platform: msm8974: Don't enable cdc clock for v2 hw.

CDC (calibration delay circuit) clocks are present on
pro hardware only, do not attempt to turn on cdc clocks
for non pro hardware.

CRs-Fixed: 637109
Change-Id: Icc40cf614179a8f056675a3ce2e88611889c21ac
1 file changed