1. db06f82 Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/clk/linux by Linus Torvalds · 6 years ago
  2. ac7da1b Merge branches 'clk-actions-s700', 'clk-exynos-unused', 'clk-qcom-dispcc-845', 'clk-scmi-round' and 'clk-cs2000-spdx' into clk-next by Stephen Boyd · 6 years ago
  3. 032405a Merge branches 'clk-imx6-ocram', 'clk-missing-put', 'clk-tegra-sdmmc-jitter', 'clk-allwinner' and 'clk-uniphier' into clk-next by Stephen Boyd · 6 years ago
  4. 4a18ef5 Merge branches 'clk-qcom-rpmh', 'clk-qcom-spdx', 'clk-con-id-leak', 'clk-fixed-factor-populated' and 'clk-mvebu-periph-parent' into clk-next by Stephen Boyd · 6 years ago
  5. d16adaf Merge branches 'clk-mvebu-spdx', 'clk-meson', 'clk-imx7d-mu', 'clk-imx-init-array-cleanup' and 'clk-rockchip' into clk-next by Stephen Boyd · 6 years ago
  6. 1390546 Merge branches 'clk-imx-critical', 'clk-tegra-bpmp', 'clk-tegra-124', 'clk-tegra-critical' and 'clk-tegra-emc-oob' into clk-next by Stephen Boyd · 6 years ago
  7. ea4f787 Merge branches 'clk-ingenic-fixes', 'clk-max9485', 'clk-pxa-32k-pll', 'clk-aspeed' and 'clk-imx6sll-gpio' into clk-next by Stephen Boyd · 6 years ago
  8. b183c68 Merge branches 'clk-imx6-video-parent', 'clk-qcom-sdm845-criticals', 'clk-renesas', 'clk-stratix10-fixes' and 'clk-atmel-i2s' into clk-next by Stephen Boyd · 6 years ago
  9. 5ef7748 Merge branches 'clk-qcom-set-rate-gate', 'clk-core-set-rate-gate', 'clk-core-duty-cycle', 'clk-si-prepare' and 'clk-imx-gpio-gates' into clk-next by Stephen Boyd · 6 years ago
  10. 747f623 Merge tag 'sound-4.19-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/tiwai/sound by Linus Torvalds · 6 years ago
  11. c2d9f5b Merge branch 'clkdev' of git://git.armlinux.org.uk/~rmk/linux-arm by Linus Torvalds · 6 years ago
  12. 53ccb22 ARM: 8778/1: clkdev: don't call __of_clk_get_by_name() unnecessarily from clk_get() by Bartosz Golaszewski · 6 years ago
  13. 4aa5db2 Merge branch 'asoc-4.19' into asoc-next by Mark Brown · 6 years ago
  14. 640332d clk: rockchip: Add pclk_rkpwm_pmu to PMU critical clocks in rk3399 by Levin Du · 6 years ago
  15. 67075b3 clk: cs2000-cp: convert to SPDX identifiers by Kuninori Morimoto · 6 years ago
  16. 7a8655e clk: scmi: Fix the rounding of clock rate by Amit Daniel Kachhap · 6 years ago
  17. 8135177 clk: qcom: Add display clock controller driver for SDM845 by Taniya Das · 6 years ago
  18. 8927c27 clk: mvebu: armada-37xx-periph: Remove unused var num_parents by Anders Roxell · 6 years ago
  19. 96455f7 clk: samsung: Remove unused mout_user_aclk400_mcuisp_p4x12 variable by Krzysztof Kozlowski · 6 years ago
  20. d47317c clk: actions: Add S700 SoC clock support by Saravanan Sekar · 6 years ago
  21. d017c1f clk: actions: Add missing REGMAP_MMIO dependency by Saravanan Sekar · 6 years ago
  22. ff388ee clk: uniphier: add clock frequency support for SPI by Kunihiko Hayashi · 6 years ago
  23. 9d22257 clk: uniphier: add more USB3 PHY clocks by Masahiro Yamada · 6 years ago
  24. 0316c018 clk: uniphier: add NAND 200MHz clock by Masahiro Yamada · 6 years ago
  25. c76a69e clk: tegra: make sdmmc2 and sdmmc4 as sdmmc clocks by Peter De-Schrijver · 6 years ago
  26. 633e796 clk: tegra: Add sdmmc mux divider clock by Peter De-Schrijver · 6 years ago
  27. cb3ac59 clk: tegra: Refactor fractional divider calculation by Peter De Schrijver · 6 years ago
  28. 0cbb61a clk: tegra: Fix includes required by fence_udelay() by Aapo Vienamo · 6 years ago
  29. 7f5eac5 clk: imx6sll: fix missing of_node_put() by Nicholas Mc Guire · 6 years ago
  30. 11177e7 clk: imx6ul: fix missing of_node_put() by Nicholas Mc Guire · 6 years ago
  31. d7b7c00 clk: imx: add ocram_s clock for i.mx6sx by Anson Huang · 6 years ago
  32. 616bf80 clk: mvebu: armada-37xx-periph: Fix wrong return value in get_parent by Gregory CLEMENT · 6 years ago
  33. f6dab42 clk: clk-fixed-factor: Clear OF_POPULATED flag in case of failure by Rajan Vaja · 6 years ago
  34. 365f7a8 clk: core: Potentially free connection id by Mikko Perttunen · 6 years ago
  35. f941978 clk: qcom: Update SPDX headers for common files by Taniya Das · 6 years ago
  36. 9c7e470 clk: qcom: clk-rpmh: Add QCOM RPMh clock driver by Taniya Das · 6 years ago
  37. 565b993 clk: aspeed: Support HPLL strapping on ast2400 by Joel Stanley · 6 years ago
  38. 76672e2 ARM: 8776/1: clkdev: Remove duplicated negative index check from __of_clk_get() by Geert Uytterhoeven · 6 years ago
  39. 3034389 clk: imx7d: add IMX7D_MU_ROOT_CLK by Oleksij Rempel · 6 years ago
  40. 66c7bb7 clk: mvebu: armada-37xx-periph: switch to SPDX license identifier by Gregory CLEMENT · 6 years ago
  41. 61c40f3 clk: mvebu: armada-37xx-periph: Fix switching CPU rate from 300Mhz to 1.2GHz by Gregory CLEMENT · 6 years ago
  42. 7df533a clk: meson: add gen_clk by Jerome Brunet · 6 years ago
  43. 80d396b clk: meson: gxbb: remove HHI_GEN_CLK_CTNL duplicate definition by Jerome Brunet · 6 years ago
  44. cddcb20 clk: meson-axg: add clocks required by pcie driver by Yixun Lan · 6 years ago
  45. 85ddc1a clk: meson: remove unused clk-audio-divider driver by Jerome Brunet · 6 years ago
  46. 9799d5a clk: meson: stop rate propagation for audio clocks by Jerome Brunet · 6 years ago
  47. 1cd5018 clk: meson: axg: add the audio clock controller driver by Jerome Brunet · 6 years ago
  48. 3054a55 clk: meson: add axg audio sclk divider driver by Jerome Brunet · 6 years ago
  49. 2eb2a01 Merge remote-tracking branch 'clk/clk-core-duty-cycle' into next/drivers by Jerome Brunet · 6 years ago
  50. e8dd920 clk: meson: add triple phase clock driver by Jerome Brunet · 6 years ago
  51. 47f2131 clk: meson: add clk-phase clock driver by Jerome Brunet · 6 years ago
  52. 443f228 clk: meson: clean-up meson clock configuration by Jerome Brunet · 6 years ago
  53. 60e267f clk: meson: remove obsolete register access by Jerome Brunet · 6 years ago
  54. 405fcac clk: tegra: emc: Avoid out-of-bounds bug by Dmitry Osipenko · 6 years ago
  55. da0d223 clk: tegra: Mark Memory Controller clock as critical by Dmitry Osipenko · 6 years ago
  56. 8097d4c clk: tegra: Make vde a child of pll_c3 by Thierry Reding · 6 years ago
  57. 26f8590 clk: tegra: Make vic03 a child of pll_c3 by Thierry Reding · 6 years ago
  58. f7b3182 clk: tegra: bpmp: Don't crash when a clock fails to register by Mikko Perttunen · 6 years ago
  59. a64ad00 clk: rockchip: fix clk_i2sout parent selection bits on rk3399 by Alberto Panizzo · 6 years ago
  60. da172d2 clk: qcom: Move frequency table macro to common file by Taniya Das · 6 years ago
  61. d0897bc clk: imx51-imx53: Include sizes.h to silence compile errors by Stephen Boyd · 6 years ago
  62. ed235d5 clk: imx51-imx53: Annotate critical clocks as CLK_IS_CRITICAL by Fabio Estevam · 6 years ago
  63. 9d8108f clk: imx6sll: add GPIO LPCGs by Anson Huang · 6 years ago
  64. cd88259 clk: aspeed: Fix SDCLK name by Lei YU · 6 years ago
  65. fc20654 clk: pxa: export 32kHz PLL by Robert Jarzmik · 6 years ago
  66. 974c7c6 clk: aspeed: Mark bclk (PCIe) and dclk (VGA) as critical by Joel Stanley · 6 years ago
  67. 33f5104 clk: Add driver for MAX9485 by Daniel Mack · 6 years ago
  68. 2b555a4 clk: ingenic: Add missing flag for UDC clock by Paul Cercueil · 6 years ago
  69. 574f4e8 clk: ingenic: Fix incorrect data for the i2s clock by Paul Cercueil · 6 years ago
  70. 53f3abe clk/mmcc-msm8996: Make mmagic_bimc_gdsc ALWAYS_ON by Vivek Gautam · 6 years ago
  71. 96e4ea8 clk: at91: add I2S clock mux driver by Codrin Ciubotariu · 6 years ago
  72. 51b9a379 clk: socfpga: stratix10: fix the sdmmc_free_clk mux by Dinh Nguyen · 6 years ago
  73. 2772ffd clk: socfpga: stratix10: fix the parents of mpu_free_clk by Dinh Nguyen · 6 years ago
  74. 659e839 Merge tag 'meson-clk-fixes-4.18-1' of https://github.com/BayLibre/clk-meson into clk-fixes by Stephen Boyd · 6 years ago
  75. edc6f7e clk: aspeed: Treat a gate in reset as disabled by Benjamin Herrenschmidt · 6 years ago
  76. 40dd71c clk: Really show symbolic clock flags in debugfs by Geert Uytterhoeven · 6 years ago
  77. 5f75b78 clk: qcom: gcc-msm8996: Disable halt check on UFS tx clock by Vinod Koul · 6 years ago
  78. 243229b clk: rockchip: add clock controller for px30 by Elaine Zhang · 6 years ago
  79. 956060a clk: rockchip: add support for half divider by Elaine Zhang · 6 years ago
  80. cfb8282 clk: qcom: Enable clocks which needs to be always on for SDM845 by Amit Nischal · 6 years ago
  81. a704756 clk: imx6: fix video_27m parent for IMX6QDL_CLK_CKO1_SEL by Philipp Puschmann · 6 years ago
  82. 4a2eaa8 clk: imx6ul: remove clks_init_on array by Anson Huang · 6 years ago
  83. debef195 clk: imx6ul: add GPIO clock gates by Anson Huang · 6 years ago
  84. 566f5b6 clk: imx6sx: remove clks_init_on array by Anson Huang · 6 years ago
  85. 8e12ce9 clk: imx6sl: remove clks_init_on array by Anson Huang · 6 years ago
  86. 54614d1 clk: imx6q: remove clks_init_on array by Anson Huang · 6 years ago
  87. e8f127c clk-si514, clk-si544: Implement prepare/unprepare/is_prepared operations by Mike Looijmans · 6 years ago
  88. 0195156 clk: sunxi-ng: add A64 compatible string by Icenowy Zheng · 6 years ago
  89. c34cc2f Merge tag 'clk-davinci-fixes-4.18' of https://github.com/dlech/linux into clk-fixes by Stephen Boyd · 6 years ago
  90. d18e853 clk: sunxi-ng: r40: Export video PLLs by Jernej Skrabec · 6 years ago
  91. fb4aa0f clk: sunxi-ng: r40: Allow setting parent rate to display related clocks by Jernej Skrabec · 6 years ago
  92. 24a95f7 clk: sunxi-ng: r40: Add minimal rate for video PLLs by Jernej Skrabec · 6 years ago
  93. 176cbf5 clk: davinci: fix a typo (which leads to build failures) by Bartosz Golaszewski · 6 years ago
  94. 0613de3 clk: davinci: cfgchip: testing the wrong variable by Dan Carpenter · 6 years ago
  95. 4c3d885 clk: renesas: Renesas R9A06G032 clock driver by Michel Pollet · 6 years ago
  96. 12f8c55 clk: sunxi-ng: replace lib-y with obj-y by Masahiro Yamada · 6 years ago
  97. 7813c14 clk: meson: audio-divider is one based by Jerome Brunet · 6 years ago
  98. 9fba738 clk: add duty cycle support by Jerome Brunet · 6 years ago
  99. 9461f7b clk: fix CLK_SET_RATE_GATE with clock rate protection by Jerome Brunet · 6 years ago
  100. 04cdd5a clk: qcom: drop CLK_SET_RATE_GATE from sdc clocks by Jerome Brunet · 6 years ago