x86: update core with upstream. this added bunch of new instructions & groups. also updated Python & Java bindings after the core change
diff --git a/arch/X86/X86ATTInstPrinter.c b/arch/X86/X86ATTInstPrinter.c
index e34c6cd..0fec099 100644
--- a/arch/X86/X86ATTInstPrinter.c
+++ b/arch/X86/X86ATTInstPrinter.c
@@ -29,6 +29,7 @@
 #include "../../SStream.h"
 #include "../../MCRegisterInfo.h"
 #include "X86Mapping.h"
+#include "X86BaseInfo.h"
 
 
 #define GET_INSTRINFO_ENUM
@@ -509,10 +510,10 @@
 
 static void printMemReference(MCInst *MI, unsigned Op, SStream *O)
 {
-	MCOperand *BaseReg  = MCInst_getOperand(MI, Op);
-	MCOperand *IndexReg  = MCInst_getOperand(MI, Op+2);
-	MCOperand *DispSpec = MCInst_getOperand(MI, Op+3);
-	MCOperand *SegReg = MCInst_getOperand(MI, Op+4);
+	MCOperand *BaseReg  = MCInst_getOperand(MI, Op + X86_AddrBaseReg);
+	MCOperand *IndexReg  = MCInst_getOperand(MI, Op + X86_AddrIndexReg);
+	MCOperand *DispSpec = MCInst_getOperand(MI, Op + X86_AddrDisp);
+	MCOperand *SegReg = MCInst_getOperand(MI, Op + X86_AddrSegmentReg);
 	uint64_t ScaleVal;
 	int reg;
 
@@ -529,7 +530,7 @@
 	// If this has a segment register, print it.
 	reg = MCOperand_getReg(SegReg);
 	if (reg) {
-		_printOperand(MI, Op+4, O);
+		_printOperand(MI, Op + X86_AddrSegmentReg, O);
 		if (MI->csh->detail) {
 			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
 		}
@@ -557,12 +558,12 @@
 		SStream_concat0(O, "(");
 
 		if (MCOperand_getReg(BaseReg))
-			_printOperand(MI, Op, O);
+			_printOperand(MI, Op + X86_AddrBaseReg, O);
 
 		if (MCOperand_getReg(IndexReg)) {
 			SStream_concat0(O, ", ");
-			_printOperand(MI, Op+2, O);
-			ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op+1));
+			_printOperand(MI, Op + X86_AddrIndexReg, O);
+			ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op + X86_AddrScaleAmt));
 			if (MI->csh->detail)
 				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = (int)ScaleVal;
 			if (ScaleVal != 1) {
@@ -601,11 +602,11 @@
 	int i;
 
 	// Try to print any aliases first.
-	mnem = printAliasInstr(MI, OS, NULL);
+	mnem = printAliasInstr(MI, OS, info);
 	if (mnem)
 		cs_mem_free(mnem);
 	else
-		printInstruction(MI, OS, NULL);
+		printInstruction(MI, OS, info);
 
 	if (MI->has_imm) {
 		// if op_count > 1, then this operand's size is taken from the destination op
diff --git a/arch/X86/X86BaseInfo.h b/arch/X86/X86BaseInfo.h
new file mode 100644
index 0000000..7708b06
--- /dev/null
+++ b/arch/X86/X86BaseInfo.h
@@ -0,0 +1,40 @@
+//===-- X86BaseInfo.h - Top level definitions for X86 -------- --*- C++ -*-===//
+//
+//                     The LLVM Compiler Infrastructure
+//
+// This file is distributed under the University of Illinois Open Source
+// License. See LICENSE.TXT for details.
+//
+//===----------------------------------------------------------------------===//
+//
+// This file contains small standalone helper functions and enum definitions for
+// the X86 target useful for the compiler back-end and the MC libraries.
+// As such, it deliberately does not include references to LLVM core
+// code gen types, passes, etc..
+//
+//===----------------------------------------------------------------------===//
+
+#ifndef CS_X86_BASEINFO_H
+#define CS_X86_BASEINFO_H
+
+/* Capstone Disassembly Engine */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
+
+// Enums for memory operand decoding.  Each memory operand is represented with
+// a 5 operand sequence in the form:
+//   [BaseReg, ScaleAmt, IndexReg, Disp, Segment]
+// These enums help decode this.
+enum {
+	X86_AddrBaseReg = 0,
+	X86_AddrScaleAmt = 1,
+	X86_AddrIndexReg = 2,
+	X86_AddrDisp = 3,
+
+	/// AddrSegmentReg - The operand # of the segment in the memory operand.
+	X86_AddrSegmentReg = 4,
+
+	/// AddrNumOperands - Total number of operands in a memory reference.
+	X86_AddrNumOperands = 5
+};
+
+#endif
diff --git a/arch/X86/X86Disassembler.c b/arch/X86/X86Disassembler.c
index 7a6ff44..9af169b 100644
--- a/arch/X86/X86Disassembler.c
+++ b/arch/X86/X86Disassembler.c
@@ -32,6 +32,7 @@
 #include "X86Mapping.h"
 
 #define GET_REGINFO_ENUM
+#define GET_REGINFO_MC_DESC
 #include "X86GenRegisterInfo.inc"
 
 #define GET_INSTRINFO_ENUM
@@ -574,7 +575,7 @@
 			return false;
 		case ENCODING_WRITEMASK:
 			return translateMaskRegister(mcInst, insn->writemask);
-		case ENCODING_RM:
+		CASE_ENCODING_RM:
 			return translateRM(mcInst, operand, insn);
 		case ENCODING_CB:
 		case ENCODING_CW:
@@ -702,6 +703,25 @@
 	pub->detail->x86.sib_base = x86_map_sib_base(inter->sibBase);
 }
 
+void X86_init(MCRegisterInfo *MRI)
+{
+	/*
+	   InitMCRegisterInfo(X86RegDesc, 234,
+	   RA, PC,
+	   X86MCRegisterClasses, 79,
+	   X86RegUnitRoots, 119, X86RegDiffLists, X86RegStrings,
+	   X86SubRegIdxLists, 7,
+	   X86SubRegIdxRanges, X86RegEncodingTable);
+	*/
+
+	MCRegisterInfo_InitMCRegisterInfo(MRI, X86RegDesc, 234,
+			0, 0,
+			X86MCRegisterClasses, 79,
+			0, 0, X86RegDiffLists, 0,
+			X86SubRegIdxLists, 7,
+			0);
+}
+
 // Public interface for the disassembler
 bool X86_getInstruction(csh ud, const uint8_t *code, size_t code_len,
 		MCInst *instr, uint16_t *size, uint64_t address, void *_info)
diff --git a/arch/X86/X86Disassembler.h b/arch/X86/X86Disassembler.h
index 7da13a1..2c21ede 100644
--- a/arch/X86/X86Disassembler.h
+++ b/arch/X86/X86Disassembler.h
@@ -83,18 +83,12 @@
 
 #include "../../MCInst.h"
 
-#define INSTRUCTION_SPECIFIER_FIELDS \
-  uint16_t operands;
-
-#define INSTRUCTION_IDS               \
-  uint16_t instructionIDs;
-
+#include "../../MCRegisterInfo.h"
 #include "X86DisassemblerDecoderCommon.h"
 
-#undef INSTRUCTION_SPECIFIER_FIELDS
-#undef INSTRUCTION_IDS
-
 bool X86_getInstruction(csh handle, const uint8_t *code, size_t code_len,
 		MCInst *instr, uint16_t *size, uint64_t address, void *info);
 
+void X86_init(MCRegisterInfo *MRI);
+
 #endif
diff --git a/arch/X86/X86DisassemblerDecoder.c b/arch/X86/X86DisassemblerDecoder.c
index ef4a07a..e80765e 100644
--- a/arch/X86/X86DisassemblerDecoder.c
+++ b/arch/X86/X86DisassemblerDecoder.c
@@ -26,6 +26,29 @@
 
 #include "X86DisassemblerDecoder.h"
 
+/// Specifies whether a ModR/M byte is needed and (if so) which
+/// instruction each possible value of the ModR/M byte corresponds to.  Once
+/// this information is known, we have narrowed down to a single instruction.
+struct ModRMDecision {
+	uint8_t modrm_type;
+	uint16_t instructionIDs;
+};
+
+/// Specifies which set of ModR/M->instruction tables to look at
+/// given a particular opcode.
+struct OpcodeDecision {
+	struct ModRMDecision modRMDecisions[256];
+};
+
+/// Specifies which opcode->instruction tables to look at given
+/// a particular context (set of attributes).  Since there are many possible
+/// contexts, the decoder first uses CONTEXTS_SYM to determine which context
+/// applies given a specific set of attributes.  Hence there are only IC_max
+/// entries in this table, rather than 2^(ATTR_max).
+struct ContextDecision {
+	struct OpcodeDecision opcodeDecisions[IC_max];
+};
+
 #ifdef CAPSTONE_X86_REDUCE
 #include "X86GenDisassemblerTables_reduce.inc"
 #else
@@ -45,9 +68,6 @@
 	return &X86InstrNameData[X86InstrNameIndices[Opcode]];
 }
 
-#define TRUE  1
-#define FALSE 0
-
 /*
  * contextForAttrs - Client for the instruction context table.  Takes a set of
  *   attributes and returns the appropriate decode context.
@@ -70,7 +90,7 @@
  *                      contextForAttrs.
  * @param opcode      - The last byte of the instruction's opcode, not counting
  *                      ModR/M extensions and escapes.
- * @return            - TRUE if the ModR/M byte is required, FALSE otherwise.
+ * @return            - true if the ModR/M byte is required, false otherwise.
  */
 static int modRMRequired(OpcodeType type,
 		InstructionContext insnContext,
@@ -139,7 +159,7 @@
 		uint8_t opcode,
 		uint8_t modRM)
 {
-	const struct ModRMDecision* dec = 0;
+	const struct ModRMDecision* dec = NULL;
 	const uint8_t *indextable = NULL;
 	uint8_t index;
 
@@ -346,7 +366,7 @@
  * @param location  - The location to query.
  * @return          - Whether the prefix is at that location.
  */
-static BOOL isPrefixAtLocation(struct InternalInstruction* insn,
+static bool isPrefixAtLocation(struct InternalInstruction* insn,
 		uint8_t prefix,
 		uint64_t location)
 {
@@ -356,9 +376,9 @@
 
 	if (insn->prefixPresent[prefix] == 1 &&
 			insn->prefixLocations[prefix] == location)
-		return TRUE;
+		return true;
 	else
-		return FALSE;
+		return false;
 }
 
 /*
@@ -372,12 +392,12 @@
  */
 static int readPrefixes(struct InternalInstruction* insn)
 {
-	BOOL isPrefix = TRUE;
+	bool isPrefix = true;
 	uint64_t prefixLocation;
 	uint8_t byte = 0, nextByte;
 
-	BOOL hasAdSize = FALSE;
-	BOOL hasOpSize = FALSE;
+	bool hasAdSize = false;
+	bool hasOpSize = false;
 
 	while (isPrefix) {
 		prefixLocation = insn->readerCursor;
@@ -399,7 +419,7 @@
 			if ((byte == 0xf2 || byte == 0xf3) &&
 					((nextByte == 0xf0) |
 					 ((nextByte & 0xfe) == 0x86 || (nextByte & 0xf8) == 0x90)))
-				insn->xAcquireRelease = TRUE;
+				insn->xAcquireRelease = true;
 			/*
 			 * Also if the byte is 0xf3, and the following condition is met:
 			 * - it is followed by a "mov mem, reg" (opcode 0x88/0x89) or
@@ -409,7 +429,7 @@
 			if (byte == 0xf3 &&
 					(nextByte == 0x88 || nextByte == 0x89 ||
 					 nextByte == 0xc6 || nextByte == 0xc7))
-				insn->xAcquireRelease = TRUE;
+				insn->xAcquireRelease = true;
 
 			if (insn->mode == MODE_64BIT && (nextByte & 0xf0) == 0x40) {
 				if (consumeByte(insn, &nextByte))
@@ -510,17 +530,17 @@
 				insn->prefix1 = byte;
 				break;
 			case 0x66:  /* Operand-size override */
-				hasOpSize = TRUE;
+				hasOpSize = true;
 				setPrefixPresent(insn, byte, prefixLocation);
 				insn->prefix2 = byte;
 				break;
 			case 0x67:  /* Address-size override */
-				hasAdSize = TRUE;
+				hasAdSize = true;
 				setPrefixPresent(insn, byte, prefixLocation);
 				insn->prefix3 = byte;
 				break;
 			default:    /* Not a prefix byte */
-				isPrefix = FALSE;
+				isPrefix = false;
 				break;
 		}
 
@@ -643,7 +663,7 @@
 				default:
 					break;
 				case VEX_PREFIX_66:
-					hasOpSize = TRUE;
+					hasOpSize = true;
 					break;
 			}
 		}
@@ -682,7 +702,7 @@
 				default:
 					break;
 				case VEX_PREFIX_66:
-					hasOpSize = TRUE;
+					hasOpSize = true;
 					break;
 			}
 		}
@@ -901,9 +921,9 @@
 		struct InternalInstruction* insn,
 		uint16_t attrMask)
 {
-	BOOL hasModRMExtension;
+	bool hasModRMExtension;
 
-	uint16_t instructionClass;
+	InstructionContext instructionClass;
 
 	if (insn->opcodeType == T3DNOW_MAP)
 		instructionClass = IC_OF;
@@ -939,15 +959,15 @@
  * @param orig  - The instruction that is not 16-bit
  * @param equiv - The instruction that is 16-bit
  */
-static BOOL is16BitEquivalent(const char* orig, const char* equiv)
+static bool is16BitEquivalent(const char* orig, const char* equiv)
 {
 	size_t i;
 
 	for (i = 0;; i++) {
 		if (orig[i] == '\0' && equiv[i] == '\0')
-			return TRUE;
+			return true;
 		if (orig[i] == '\0' || equiv[i] == '\0')
-			return FALSE;
+			return false;
 		if (orig[i] != equiv[i]) {
 			if ((orig[i] == 'Q' || orig[i] == 'L') && equiv[i] == 'W')
 				continue;
@@ -955,7 +975,7 @@
 				continue;
 			if ((orig[i] == '4' || orig[i] == '2') && equiv[i] == '6')
 				continue;
-			return FALSE;
+			return false;
 		}
 	}
 }
@@ -1185,8 +1205,8 @@
  */
 static int readSIB(struct InternalInstruction* insn)
 {
-	SIBIndex sibIndexBase = 0;
-	SIBBase sibBaseBase = 0;
+	SIBIndex sibIndexBase = SIB_INDEX_NONE;
+	SIBBase sibBaseBase = SIB_BASE_NONE;
 	uint8_t index, base;
 
 	// dbgprintf(insn, "readSIB()");
@@ -1194,7 +1214,7 @@
 	if (insn->consumedSIB)
 		return 0;
 
-	insn->consumedSIB = TRUE;
+	insn->consumedSIB = true;
 
 	switch (insn->addressSize) {
 		case 2:
@@ -1293,12 +1313,12 @@
 	if (insn->consumedDisplacement)
 		return 0;
 
-	insn->consumedDisplacement = TRUE;
+	insn->consumedDisplacement = true;
 	insn->displacementOffset = (uint8_t)(insn->readerCursor - insn->startLocation);
 
 	switch (insn->eaDisplacement) {
 		case EA_DISP_NONE:
-			insn->consumedDisplacement = FALSE;
+			insn->consumedDisplacement = false;
 			break;
 		case EA_DISP_8:
 			if (consumeInt8(insn, &d8))
@@ -1317,7 +1337,7 @@
 			break;
 	}
 
-	insn->consumedDisplacement = TRUE;
+	insn->consumedDisplacement = true;
 	return 0;
 }
 
@@ -1342,7 +1362,7 @@
 		return -1;
 
 	// mark that we already got ModRM
-	insn->consumedModRM = TRUE;
+	insn->consumedModRM = true;
 
 	// save original ModRM for later reference
 	insn->orgModRM = insn->modRM;
@@ -1637,7 +1657,7 @@
 			if (!valid)
 				return -1;
 			break;
-		case ENCODING_RM:
+		CASE_ENCODING_RM:
 			if (insn->eaBase >= insn->eaRegBase) {
 				insn->eaBase = (EABase)fixupRMValue(insn,
 						(OperandType)op->type,
@@ -1770,21 +1790,25 @@
  */
 static int readVVVV(struct InternalInstruction* insn)
 {
+	int vvvv;
 	// dbgprintf(insn, "readVVVV()");
 
 	if (insn->vectorExtensionType == TYPE_EVEX)
-		insn->vvvv = vvvvFromEVEX3of4(insn->vectorExtensionPrefix[2]);
+		vvvv = (v2FromEVEX4of4(insn->vectorExtensionPrefix[3]) << 4 |
+				vvvvFromEVEX3of4(insn->vectorExtensionPrefix[2]));
 	else if (insn->vectorExtensionType == TYPE_VEX_3B)
-		insn->vvvv = vvvvFromVEX3of3(insn->vectorExtensionPrefix[2]);
+		vvvv = vvvvFromVEX3of3(insn->vectorExtensionPrefix[2]);
 	else if (insn->vectorExtensionType == TYPE_VEX_2B)
-		insn->vvvv = vvvvFromVEX2of2(insn->vectorExtensionPrefix[1]);
+		vvvv = vvvvFromVEX2of2(insn->vectorExtensionPrefix[1]);
 	else if (insn->vectorExtensionType == TYPE_XOP)
-		insn->vvvv = vvvvFromXOP3of3(insn->vectorExtensionPrefix[2]);
+		vvvv = vvvvFromXOP3of3(insn->vectorExtensionPrefix[2]);
 	else
 		return -1;
 
 	if (insn->mode != MODE_64BIT)
-		insn->vvvv &= 0x7;
+		vvvv &= 0x7;
+
+	insn->vvvv = vvvv;
 
 	return 0;
 }
@@ -1834,11 +1858,14 @@
 			case ENCODING_DI:
 				break;
 			case ENCODING_REG:
-			case ENCODING_RM:
+			CASE_ENCODING_RM:
 				if (readModRM(insn))
 					return -1;
 				if (fixupReg(insn, &x86OperandSets[insn->spec->operands][index]))
 					return -1;
+				// Apply the AVX512 compressed displacement scaling factor.
+				if (x86OperandSets[insn->spec->operands][index].encoding != ENCODING_REG && insn->eaDisplacement == EA_DISP_8)
+					insn->displacement *= 1 << (x86OperandSets[insn->spec->operands][index].encoding - ENCODING_RM);
 				break;
 			case ENCODING_CB:
 			case ENCODING_CW:
diff --git a/arch/X86/X86DisassemblerDecoder.h b/arch/X86/X86DisassemblerDecoder.h
index 2ad9057..f64ebe5 100644
--- a/arch/X86/X86DisassemblerDecoder.h
+++ b/arch/X86/X86DisassemblerDecoder.h
@@ -19,23 +19,10 @@
 #ifndef CS_X86_DISASSEMBLERDECODER_H
 #define CS_X86_DISASSEMBLERDECODER_H
 
-#ifdef __cplusplus
-extern "C" {
-#endif
-
-#define INSTRUCTION_SPECIFIER_FIELDS \
-  uint16_t operands;
-
-#define INSTRUCTION_IDS     \
-  uint16_t instructionIDs;
-
 #include <stdio.h>
 
 #include "X86DisassemblerDecoderCommon.h"
 
-#undef INSTRUCTION_SPECIFIER_FIELDS
-#undef INSTRUCTION_IDS
-
 #include <stdint.h>
 
 /*
@@ -483,7 +470,6 @@
 /*
  * VEXLeadingOpcodeByte - Possible values for the VEX.m-mmmm field
  */
-
 typedef enum {
   VEX_LOB_0F = 0x1,
   VEX_LOB_0F38 = 0x2,
@@ -499,7 +485,6 @@
 /*
  * VEXPrefixCode - Possible values for the VEX.pp/EVEX.pp field
  */
-
 typedef enum {
   VEX_PREFIX_NONE = 0x0,
   VEX_PREFIX_66 = 0x1,
@@ -515,8 +500,6 @@
 	TYPE_XOP          = 0x4
 } VectorExtensionType;
 
-typedef uint8_t BOOL;
-
 struct reader_info {
 	const uint8_t *code;
 	uint64_t size;
@@ -546,6 +529,12 @@
  */
 typedef void (*dlog_t)(void* arg, const char *log);
 
+/// The specification for how to extract and interpret a full instruction and
+/// its operands.
+struct InstructionSpecifier {
+	uint16_t operands;
+};
+
 /*
  * The x86 internal instruction, which is produced by the decoder.
  */
@@ -559,13 +548,13 @@
   uint8_t rexPrefix;
   /* The segment override type */
   SegmentOverride segmentOverride;
-  BOOL                          consumedModRM;
+  bool                          consumedModRM;
   uint8_t                       orgModRM;  // save original modRM because we will modify modRM
   /* The SIB byte, used for more complex 32- or 64-bit memory operands */
-  BOOL                          consumedSIB;
+  bool                          consumedSIB;
   uint8_t                       sib;
   /* The displacement, used for memory operands */
-  BOOL                          consumedDisplacement;
+  bool                          consumedDisplacement;
   int32_t                       displacement;
   /* The value of the two-byte escape prefix (usually 0x0f) */
   uint8_t twoByteEscape;
@@ -577,7 +566,7 @@
   SIBBase                       sibBase;
   uint8_t                       numImmediatesConsumed;
   /* 1 if the prefix byte, 0xf2 or 0xf3 is xacquire or xrelease */
-  BOOL xAcquireRelease;
+  bool xAcquireRelease;
 
   /* The value of the vector extension prefix(EVEX/VEX/XOP), if present */
   uint8_t vectorExtensionPrefix[4];
@@ -709,8 +698,4 @@
 
 //const char *x86DisassemblerGetInstrName(unsigned Opcode, const void *mii);
 
-#ifdef __cplusplus
-}
-#endif
-
 #endif
diff --git a/arch/X86/X86DisassemblerDecoderCommon.h b/arch/X86/X86DisassemblerDecoderCommon.h
index a18ca57..5eab31b 100644
--- a/arch/X86/X86DisassemblerDecoderCommon.h
+++ b/arch/X86/X86DisassemblerDecoderCommon.h
@@ -336,34 +336,27 @@
 } ModRMDecisionType;
 #undef ENUM_ENTRY
 
-/*
- * ModRMDecision - Specifies whether a ModR/M byte is needed and (if so) which
- *  instruction each possible value of the ModR/M byte corresponds to.  Once
- *  this information is known, we have narrowed down to a single instruction.
- */
-struct ModRMDecision {
-	uint8_t     modrm_type;
-
-	/* The macro below must be defined wherever this file is included. */
-	INSTRUCTION_IDS
-};
-
-/*
- * OpcodeDecision - Specifies which set of ModR/M->instruction tables to look at
- *   given a particular opcode.
- */
-struct OpcodeDecision {
-	struct ModRMDecision modRMDecisions[256];
-};
-
-/*
- * Physical encodings of instruction operands.
- */
+#define CASE_ENCODING_RM     \
+    case ENCODING_RM:        \
+    case ENCODING_RM_CD2:    \
+    case ENCODING_RM_CD4:    \
+    case ENCODING_RM_CD8:    \
+    case ENCODING_RM_CD16:   \
+    case ENCODING_RM_CD32:   \
+    case ENCODING_RM_CD64
+ 
+// Physical encodings of instruction operands.
 
 #define ENCODINGS                                                            \
 ENUM_ENTRY(ENCODING_NONE,   "")                                              \
 ENUM_ENTRY(ENCODING_REG,    "Register operand in ModR/M byte.")              \
 ENUM_ENTRY(ENCODING_RM,     "R/M operand in ModR/M byte.")                   \
+ENUM_ENTRY(ENCODING_RM_CD2, "R/M operand with CDisp scaling of 2")           \
+ENUM_ENTRY(ENCODING_RM_CD4, "R/M operand with CDisp scaling of 4")           \
+ENUM_ENTRY(ENCODING_RM_CD8, "R/M operand with CDisp scaling of 8")           \
+ENUM_ENTRY(ENCODING_RM_CD16,"R/M operand with CDisp scaling of 16")          \
+ENUM_ENTRY(ENCODING_RM_CD32,"R/M operand with CDisp scaling of 32")          \
+ENUM_ENTRY(ENCODING_RM_CD64,"R/M operand with CDisp scaling of 64")          \
 ENUM_ENTRY(ENCODING_VVVV,   "Register operand in VEX.vvvv byte.")            \
 ENUM_ENTRY(ENCODING_WRITEMASK, "Register operand in EVEX.aaa byte.")         \
 ENUM_ENTRY(ENCODING_CB,     "1-byte code offset (possible new CS value)")    \
@@ -474,8 +467,12 @@
 ENUM_ENTRY(TYPE_XMM256,     "32-byte")                                       \
 ENUM_ENTRY(TYPE_XMM512,     "64-byte")                                       \
 ENUM_ENTRY(TYPE_VK1,        "1-bit")                                         \
+ENUM_ENTRY(TYPE_VK2,        "2-bit")                                         \
+ENUM_ENTRY(TYPE_VK4,        "4-bit")                                         \
 ENUM_ENTRY(TYPE_VK8,        "8-bit")                                         \
 ENUM_ENTRY(TYPE_VK16,       "16-bit")                                        \
+ENUM_ENTRY(TYPE_VK32,       "32-bit")                                        \
+ENUM_ENTRY(TYPE_VK64,       "64-bit")                                        \
 ENUM_ENTRY(TYPE_XMM0,       "Implicit use of XMM0")                          \
 ENUM_ENTRY(TYPE_SEGMENTREG, "Segment register operand")                      \
 ENUM_ENTRY(TYPE_DEBUGREG,   "Debug register operand")                        \
@@ -526,15 +523,6 @@
 #define X86_MAX_OPERANDS 5
 
 /*
- * The specification for how to extract and interpret a full instruction and
- * its operands.
- */
-struct InstructionSpecifier {
-	/* The macro below must be defined wherever this file is included. */
-	INSTRUCTION_SPECIFIER_FIELDS
-};
-
-/*
  * Decoding mode for the Intel disassembler.  16-bit, 32-bit, and 64-bit mode
  * are supported, and represent real mode, IA-32e, and IA-32e in 64-bit mode,
  * respectively.
diff --git a/arch/X86/X86GenAsmWriter.inc b/arch/X86/X86GenAsmWriter.inc
index f627ae8..afe348f 100644
--- a/arch/X86/X86GenAsmWriter.inc
+++ b/arch/X86/X86GenAsmWriter.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,130 +25,131 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    14038U,	// DBG_VALUE
+    13758U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    14031U,	// BUNDLE
-    14103U,	// LIFETIME_START
-    14018U,	// LIFETIME_END
+    13751U,	// BUNDLE
+    13823U,	// LIFETIME_START
+    13738U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    14118U,	// AAA
-    20251U,	// AAD8i8
-    23388U,	// AAM8i8
-    14828U,	// AAS
-    14836U,	// ABS_F
+    0U,	// LOAD_STACK_GUARD
+    13838U,	// AAA
+    20723U,	// AAD8i8
+    23860U,	// AAM8i8
+    14579U,	// AAS
+    14587U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    13639U,	// ACQUIRE_MOV16rm
-    13639U,	// ACQUIRE_MOV32rm
-    13639U,	// ACQUIRE_MOV64rm
-    13639U,	// ACQUIRE_MOV8rm
-    2123988U,	// ADC16i16
-    4237524U,	// ADC16mi
-    4237524U,	// ADC16mi8
-    4237524U,	// ADC16mr
-    6351060U,	// ADC16ri
-    6351060U,	// ADC16ri8
-    6367444U,	// ADC16rm
-    6351060U,	// ADC16rr
-    8448212U,	// ADC16rr_REV
-    10508137U,	// ADC32i32
-    12621673U,	// ADC32mi
-    12621673U,	// ADC32mi8
-    12621673U,	// ADC32mr
-    6346601U,	// ADC32ri
-    6346601U,	// ADC32ri8
-    283203433U,	// ADC32rm
-    6346601U,	// ADC32rr
-    8443753U,	// ADC32rr_REV
-    16800986U,	// ADC64i32
-    18914522U,	// ADC64mi32
-    18914522U,	// ADC64mi8
-    18914522U,	// ADC64mr
-    6347994U,	// ADC64ri32
-    6347994U,	// ADC64ri8
-    283221210U,	// ADC64rm
-    6347994U,	// ADC64rr
-    8445146U,	// ADC64rr_REV
-    20991233U,	// ADC8i8
-    23104769U,	// ADC8mi
-    23104769U,	// ADC8mr
-    6343937U,	// ADC8ri
-    118017U,	// ADC8rm
-    6343937U,	// ADC8rr
-    8441089U,	// ADC8rr_REV
-    551689003U,	// ADCX32rm
-    8543019U,	// ADCX32rr
-    551723389U,	// ADCX64rm
-    8544637U,	// ADCX64rr
-    2124030U,	// ADD16i16
-    4237566U,	// ADD16mi
-    4237566U,	// ADD16mi8
-    4237566U,	// ADD16mr
-    6351102U,	// ADD16ri
-    6351102U,	// ADD16ri8
+    13359U,	// ACQUIRE_MOV16rm
+    13359U,	// ACQUIRE_MOV32rm
+    13359U,	// ACQUIRE_MOV64rm
+    13359U,	// ACQUIRE_MOV8rm
+    2124460U,	// ADC16i16
+    4237996U,	// ADC16mi
+    4237996U,	// ADC16mi8
+    4237996U,	// ADC16mr
+    6351532U,	// ADC16ri
+    6351532U,	// ADC16ri8
+    6367916U,	// ADC16rm
+    6351532U,	// ADC16rr
+    8448684U,	// ADC16rr_REV
+    10508609U,	// ADC32i32
+    12622145U,	// ADC32mi
+    12622145U,	// ADC32mi8
+    12622145U,	// ADC32mr
+    6347073U,	// ADC32ri
+    6347073U,	// ADC32ri8
+    283203905U,	// ADC32rm
+    6347073U,	// ADC32rr
+    8444225U,	// ADC32rr_REV
+    16801458U,	// ADC64i32
+    18914994U,	// ADC64mi32
+    18914994U,	// ADC64mi8
+    18914994U,	// ADC64mr
+    6348466U,	// ADC64ri32
+    6348466U,	// ADC64ri8
+    283221682U,	// ADC64rm
+    6348466U,	// ADC64rr
+    8445618U,	// ADC64rr_REV
+    20991705U,	// ADC8i8
+    23105241U,	// ADC8mi
+    23105241U,	// ADC8mr
+    6344409U,	// ADC8ri
+    118489U,	// ADC8rm
+    6344409U,	// ADC8rr
+    8441561U,	// ADC8rr_REV
+    551689475U,	// ADCX32rm
+    8543491U,	// ADCX32rr
+    551723861U,	// ADCX64rm
+    8545109U,	// ADCX64rr
+    2124502U,	// ADD16i16
+    4238038U,	// ADD16mi
+    4238038U,	// ADD16mi8
+    4238038U,	// ADD16mr
+    6351574U,	// ADD16ri
+    6351574U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    6367486U,	// ADD16rm
-    6351102U,	// ADD16rr
+    6367958U,	// ADD16rm
+    6351574U,	// ADD16rr
     0U,	// ADD16rr_DB
-    8448254U,	// ADD16rr_REV
-    10508171U,	// ADD32i32
-    12621707U,	// ADD32mi
-    12621707U,	// ADD32mi8
-    12621707U,	// ADD32mr
-    6346635U,	// ADD32ri
-    6346635U,	// ADD32ri8
+    8448726U,	// ADD16rr_REV
+    10508643U,	// ADD32i32
+    12622179U,	// ADD32mi
+    12622179U,	// ADD32mi8
+    12622179U,	// ADD32mr
+    6347107U,	// ADD32ri
+    6347107U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    283203467U,	// ADD32rm
-    6346635U,	// ADD32rr
+    283203939U,	// ADD32rm
+    6347107U,	// ADD32rr
     0U,	// ADD32rr_DB
-    8443787U,	// ADD32rr_REV
-    16801086U,	// ADD64i32
-    18914622U,	// ADD64mi32
-    18914622U,	// ADD64mi8
-    18914622U,	// ADD64mr
-    6348094U,	// ADD64ri32
+    8444259U,	// ADD32rr_REV
+    16801558U,	// ADD64i32
+    18915094U,	// ADD64mi32
+    18915094U,	// ADD64mi8
+    18915094U,	// ADD64mr
+    6348566U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    6348094U,	// ADD64ri8
+    6348566U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    283221310U,	// ADD64rm
-    6348094U,	// ADD64rr
+    283221782U,	// ADD64rm
+    6348566U,	// ADD64rr
     0U,	// ADD64rr_DB
-    8445246U,	// ADD64rr_REV
-    20991253U,	// ADD8i8
-    23104789U,	// ADD8mi
-    23104789U,	// ADD8mr
-    6343957U,	// ADD8ri
-    6343957U,	// ADD8ri8
-    118037U,	// ADD8rm
-    6343957U,	// ADD8rr
-    8441109U,	// ADD8rr_REV
-    8573367U,	// ADDPDrm
-    8442295U,	// ADDPDrr
-    8578043U,	// ADDPSrm
-    8446971U,	// ADDPSrr
-    551752697U,	// ADDSDrm
-    551752697U,	// ADDSDrm_Int
-    8442873U,	// ADDSDrr
-    8442873U,	// ADDSDrr_Int
-    551773837U,	// ADDSSrm
-    551773837U,	// ADDSSrm_Int
-    8447629U,	// ADDSSrr
-    8447629U,	// ADDSSrr_Int
-    8573302U,	// ADDSUBPDrm
-    8442230U,	// ADDSUBPDrr
-    8577978U,	// ADDSUBPSrm
-    8446906U,	// ADDSUBPSrr
-    238123U,	// ADD_F32m
-    251786U,	// ADD_F64m
-    270898U,	// ADD_FI16m
-    284561U,	// ADD_FI32m
-    23504U,	// ADD_FPrST0
-    20333U,	// ADD_FST0r
+    8445718U,	// ADD64rr_REV
+    20991725U,	// ADD8i8
+    23105261U,	// ADD8mi
+    23105261U,	// ADD8mr
+    6344429U,	// ADD8ri
+    6344429U,	// ADD8ri8
+    118509U,	// ADD8rm
+    6344429U,	// ADD8rr
+    8441581U,	// ADD8rr_REV
+    8573839U,	// ADDPDrm
+    8442767U,	// ADDPDrr
+    8578515U,	// ADDPSrm
+    8447443U,	// ADDPSrr
+    551753169U,	// ADDSDrm
+    551753169U,	// ADDSDrm_Int
+    8443345U,	// ADDSDrr
+    8443345U,	// ADDSDrr_Int
+    551774309U,	// ADDSSrm
+    551774309U,	// ADDSSrm_Int
+    8448101U,	// ADDSSrr
+    8448101U,	// ADDSSrr_Int
+    8573774U,	// ADDSUBPDrm
+    8442702U,	// ADDSUBPDrr
+    8578450U,	// ADDSUBPSrm
+    8447378U,	// ADDSUBPSrr
+    238595U,	// ADD_F32m
+    252258U,	// ADD_F64m
+    271370U,	// ADD_FI16m
+    285033U,	// ADD_FI32m
+    23976U,	// ADD_FPrST0
+    20805U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -163,586 +164,543 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    27929U,	// ADD_FrST0
-    14057U,	// ADJCALLSTACKDOWN32
-    14057U,	// ADJCALLSTACKDOWN64
-    14075U,	// ADJCALLSTACKUP32
-    14075U,	// ADJCALLSTACKUP64
-    551689024U,	// ADOX32rm
-    8543040U,	// ADOX32rr
-    551723410U,	// ADOX64rm
-    8544658U,	// ADOX64rr
-    8693648U,	// AESDECLASTrm
-    8447888U,	// AESDECLASTrr
-    8687339U,	// AESDECrm
-    8441579U,	// AESDECrr
-    8693661U,	// AESENCLASTrm
-    8447901U,	// AESENCLASTrr
-    8687379U,	// AESENCrm
-    8441619U,	// AESENCrr
-    315146U,	// AESIMCrm
-    8539914U,	// AESIMCrr
-    25503671U,	// AESKEYGENASSIST128rm
-    811657143U,	// AESKEYGENASSIST128rr
-    2124070U,	// AND16i16
-    4237606U,	// AND16mi
-    4237606U,	// AND16mi8
-    4237606U,	// AND16mr
-    6351142U,	// AND16ri
-    6351142U,	// AND16ri8
-    6367526U,	// AND16rm
-    6351142U,	// AND16rr
-    8448294U,	// AND16rr_REV
-    10508224U,	// AND32i32
-    12621760U,	// AND32mi
-    12621760U,	// AND32mi8
-    12621760U,	// AND32mr
-    6346688U,	// AND32ri
-    6346688U,	// AND32ri8
-    283203520U,	// AND32rm
-    6346688U,	// AND32rr
-    8443840U,	// AND32rr_REV
-    16801179U,	// AND64i32
-    18914715U,	// AND64mi32
-    18914715U,	// AND64mi8
-    18914715U,	// AND64mr
-    6348187U,	// AND64ri32
-    6348187U,	// AND64ri8
-    283221403U,	// AND64rm
-    6348187U,	// AND64rr
-    8445339U,	// AND64rr_REV
-    20991266U,	// AND8i8
-    23104802U,	// AND8mi
-    23104802U,	// AND8mr
-    6343970U,	// AND8ri
-    6343970U,	// AND8ri8
-    118050U,	// AND8rm
-    6343970U,	// AND8rr
-    8441122U,	// AND8rr_REV
-    283203859U,	// ANDN32rm
-    811653395U,	// ANDN32rr
-    283221832U,	// ANDN64rm
-    811654984U,	// ANDN64rr
-    8573549U,	// ANDNPDrm
-    8442477U,	// ANDNPDrr
-    8578254U,	// ANDNPSrm
-    8447182U,	// ANDNPSrr
-    8573413U,	// ANDPDrm
-    8442341U,	// ANDPDrr
-    8578089U,	// ANDPSrm
-    8447017U,	// ANDPSrr
-    4233586U,	// ARPL16mr
-    8542578U,	// ARPL16rr
-    12541U,	// ATOMADD6432
-    13321U,	// ATOMAND16
-    12754U,	// ATOMAND32
-    13008U,	// ATOMAND64
-    12562U,	// ATOMAND6432
-    13475U,	// ATOMAND8
-    13436U,	// ATOMMAX16
-    12969U,	// ATOMMAX32
-    13282U,	// ATOMMAX64
-    12711U,	// ATOMMAX6432
-    13602U,	// ATOMMAX8
-    13360U,	// ATOMMIN16
-    12854U,	// ATOMMIN32
-    13167U,	// ATOMMIN64
-    12605U,	// ATOMMIN6432
-    13512U,	// ATOMMIN8
-    13340U,	// ATOMNAND16
-    12773U,	// ATOMNAND32
-    13027U,	// ATOMNAND64
-    12583U,	// ATOMNAND6432
-    13493U,	// ATOMNAND8
-    13399U,	// ATOMOR16
-    12932U,	// ATOMOR32
-    13245U,	// ATOMOR64
-    12670U,	// ATOMOR6432
-    13567U,	// ATOMOR8
-    12520U,	// ATOMSUB6432
-    12648U,	// ATOMSWAP6432
-    13455U,	// ATOMUMAX16
-    12988U,	// ATOMUMAX32
-    13301U,	// ATOMUMAX64
-    12732U,	// ATOMUMAX6432
-    13620U,	// ATOMUMAX8
-    13379U,	// ATOMUMIN16
-    12873U,	// ATOMUMIN32
-    13186U,	// ATOMUMIN64
-    12626U,	// ATOMUMIN6432
-    13530U,	// ATOMUMIN8
-    13417U,	// ATOMXOR16
-    12950U,	// ATOMXOR32
-    13263U,	// ATOMXOR64
-    12690U,	// ATOMXOR6432
-    13584U,	// ATOMXOR8
+    28401U,	// ADD_FrST0
+    13777U,	// ADJCALLSTACKDOWN32
+    13777U,	// ADJCALLSTACKDOWN64
+    13795U,	// ADJCALLSTACKUP32
+    13795U,	// ADJCALLSTACKUP64
+    551689496U,	// ADOX32rm
+    8543512U,	// ADOX32rr
+    551723882U,	// ADOX64rm
+    8545130U,	// ADOX64rr
+    8694120U,	// AESDECLASTrm
+    8448360U,	// AESDECLASTrr
+    8687811U,	// AESDECrm
+    8442051U,	// AESDECrr
+    8694133U,	// AESENCLASTrm
+    8448373U,	// AESENCLASTrr
+    8687851U,	// AESENCrm
+    8442091U,	// AESENCrr
+    315618U,	// AESIMCrm
+    8540386U,	// AESIMCrr
+    25504143U,	// AESKEYGENASSIST128rm
+    811657615U,	// AESKEYGENASSIST128rr
+    2124542U,	// AND16i16
+    4238078U,	// AND16mi
+    4238078U,	// AND16mi8
+    4238078U,	// AND16mr
+    6351614U,	// AND16ri
+    6351614U,	// AND16ri8
+    6367998U,	// AND16rm
+    6351614U,	// AND16rr
+    8448766U,	// AND16rr_REV
+    10508696U,	// AND32i32
+    12622232U,	// AND32mi
+    12622232U,	// AND32mi8
+    12622232U,	// AND32mr
+    6347160U,	// AND32ri
+    6347160U,	// AND32ri8
+    283203992U,	// AND32rm
+    6347160U,	// AND32rr
+    8444312U,	// AND32rr_REV
+    16801651U,	// AND64i32
+    18915187U,	// AND64mi32
+    18915187U,	// AND64mi8
+    18915187U,	// AND64mr
+    6348659U,	// AND64ri32
+    6348659U,	// AND64ri8
+    283221875U,	// AND64rm
+    6348659U,	// AND64rr
+    8445811U,	// AND64rr_REV
+    20991738U,	// AND8i8
+    23105274U,	// AND8mi
+    23105274U,	// AND8mr
+    6344442U,	// AND8ri
+    6344442U,	// AND8ri8
+    118522U,	// AND8rm
+    6344442U,	// AND8rr
+    8441594U,	// AND8rr_REV
+    283204331U,	// ANDN32rm
+    811653867U,	// ANDN32rr
+    283222304U,	// ANDN64rm
+    811655456U,	// ANDN64rr
+    8574021U,	// ANDNPDrm
+    8442949U,	// ANDNPDrr
+    8578726U,	// ANDNPSrm
+    8447654U,	// ANDNPSrr
+    8573885U,	// ANDPDrm
+    8442813U,	// ANDPDrr
+    8578561U,	// ANDPSrm
+    8447489U,	// ANDPSrr
+    4234058U,	// ARPL16mr
+    8543050U,	// ARPL16rr
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    832903677U,	// BEXTR32rm
-    811653629U,	// BEXTR32rr
-    835002356U,	// BEXTR64rm
-    811655156U,	// BEXTR64rr
-    832905736U,	// BEXTRI32mi
-    811655688U,	// BEXTRI32ri
-    835002888U,	// BEXTRI64mi
-    811655688U,	// BEXTRI64ri
-    551688372U,	// BLCFILL32rm
-    8542388U,	// BLCFILL32rr
-    551721140U,	// BLCFILL64rm
-    8542388U,	// BLCFILL64rr
-    551687809U,	// BLCI32rm
-    8541825U,	// BLCI32rr
-    551720577U,	// BLCI64rm
-    8541825U,	// BLCI64rr
-    551685875U,	// BLCIC32rm
-    8539891U,	// BLCIC32rr
-    551718643U,	// BLCIC64rm
-    8539891U,	// BLCIC64rr
-    551687959U,	// BLCMSK32rm
-    8541975U,	// BLCMSK32rr
-    551720727U,	// BLCMSK64rm
-    8541975U,	// BLCMSK64rr
-    551690789U,	// BLCS32rm
-    8544805U,	// BLCS32rr
-    551723557U,	// BLCS64rm
-    8544805U,	// BLCS64rr
-    568676845U,	// BLENDPDrmi
-    839225837U,	// BLENDPDrri
-    568681521U,	// BLENDPSrmi
-    839230513U,	// BLENDPSrri
-    8573645U,	// BLENDVPDrm0
-    8442573U,	// BLENDVPDrr0
-    8578415U,	// BLENDVPSrm0
-    8447343U,	// BLENDVPSrr0
-    551688381U,	// BLSFILL32rm
-    8542397U,	// BLSFILL32rr
-    551721149U,	// BLSFILL64rm
-    8542397U,	// BLSFILL64rr
-    551688317U,	// BLSI32rm
-    8542333U,	// BLSI32rr
-    551722705U,	// BLSI64rm
-    8543953U,	// BLSI64rr
-    551685882U,	// BLSIC32rm
-    8539898U,	// BLSIC32rr
-    551718650U,	// BLSIC64rm
-    8539898U,	// BLSIC64rr
-    551688337U,	// BLSMSK32rm
-    8542353U,	// BLSMSK32rr
-    551722721U,	// BLSMSK64rm
-    8543969U,	// BLSMSK64rr
-    551688682U,	// BLSR32rm
-    8542698U,	// BLSR32rr
-    551722968U,	// BLSR64rm
-    8544216U,	// BLSR64rr
-    380973U,	// BOUNDS16rm
-    551686189U,	// BOUNDS32rm
-    387471U,	// BSF16rm
-    8546703U,	// BSF16rr
-    551688273U,	// BSF32rm
-    8542289U,	// BSF32rr
-    551722661U,	// BSF64rm
-    8543909U,	// BSF64rr
-    387793U,	// BSR16rm
-    8547025U,	// BSR16rr
-    551688676U,	// BSR32rm
-    8542692U,	// BSR32rr
-    551722962U,	// BSR64rm
-    8544210U,	// BSR64rr
-    22832U,	// BSWAP32r
-    24416U,	// BSWAP64r
-    4238280U,	// BT16mi8
-    4238280U,	// BT16mr
-    8547272U,	// BT16ri8
-    8547272U,	// BT16rr
-    12622473U,	// BT32mi8
-    12622473U,	// BT32mr
-    8542857U,	// BT32ri8
-    8542857U,	// BT32rr
-    18915429U,	// BT64mi8
-    18915429U,	// BT64mr
-    8544357U,	// BT64ri8
-    8544357U,	// BT64rr
-    4237549U,	// BTC16mi8
-    4237549U,	// BTC16mr
-    8546541U,	// BTC16ri8
-    8546541U,	// BTC16rr
-    12621691U,	// BTC32mi8
-    12621691U,	// BTC32mr
-    8542075U,	// BTC32ri8
-    8542075U,	// BTC32rr
-    18914540U,	// BTC64mi8
-    18914540U,	// BTC64mr
-    8543468U,	// BTC64ri8
-    8543468U,	// BTC64rr
-    4238048U,	// BTR16mi8
-    4238048U,	// BTR16mr
-    8547040U,	// BTR16ri8
-    8547040U,	// BTR16rr
-    12622321U,	// BTR32mi8
-    12622321U,	// BTR32mr
-    8542705U,	// BTR32ri8
-    8542705U,	// BTR32rr
-    18915304U,	// BTR64mi8
-    18915304U,	// BTR64mr
-    8544232U,	// BTR64ri8
-    8544232U,	// BTR64rr
-    4238222U,	// BTS16mi8
-    4238222U,	// BTS16mr
-    8547214U,	// BTS16ri8
-    8547214U,	// BTS16rr
-    12622452U,	// BTS32mi8
-    12622452U,	// BTS32mr
-    8542836U,	// BTS32ri8
-    8542836U,	// BTS32rr
-    18915415U,	// BTS64mi8
-    18915415U,	// BTS64mr
-    8544343U,	// BTS64ri8
-    8544343U,	// BTS64rr
-    832903286U,	// BZHI32rm
-    811653238U,	// BZHI32rr
-    835002058U,	// BZHI64rm
-    811654858U,	// BZHI64rr
-    275903U,	// CALL16m
-    30143U,	// CALL16r
-    292253U,	// CALL32m
-    30109U,	// CALL32r
-    406958U,	// CALL64m
-    417534U,	// CALL64pcrel32
-    30126U,	// CALL64r
-    420360U,	// CALLpcrel16
-    415943U,	// CALLpcrel32
-    15287U,	// CBW
-    14265U,	// CDQ
-    14773U,	// CDQE
-    15058U,	// CHS_F
+    832904149U,	// BEXTR32rm
+    811654101U,	// BEXTR32rr
+    835002828U,	// BEXTR64rm
+    811655628U,	// BEXTR64rr
+    832906208U,	// BEXTRI32mi
+    811656160U,	// BEXTRI32ri
+    835003360U,	// BEXTRI64mi
+    811656160U,	// BEXTRI64ri
+    551688844U,	// BLCFILL32rm
+    8542860U,	// BLCFILL32rr
+    551721612U,	// BLCFILL64rm
+    8542860U,	// BLCFILL64rr
+    551688281U,	// BLCI32rm
+    8542297U,	// BLCI32rr
+    551721049U,	// BLCI64rm
+    8542297U,	// BLCI64rr
+    551686347U,	// BLCIC32rm
+    8540363U,	// BLCIC32rr
+    551719115U,	// BLCIC64rm
+    8540363U,	// BLCIC64rr
+    551688431U,	// BLCMSK32rm
+    8542447U,	// BLCMSK32rr
+    551721199U,	// BLCMSK64rm
+    8542447U,	// BLCMSK64rr
+    551691261U,	// BLCS32rm
+    8545277U,	// BLCS32rr
+    551724029U,	// BLCS64rm
+    8545277U,	// BLCS64rr
+    568677317U,	// BLENDPDrmi
+    839226309U,	// BLENDPDrri
+    568681993U,	// BLENDPSrmi
+    839230985U,	// BLENDPSrri
+    8574117U,	// BLENDVPDrm0
+    8443045U,	// BLENDVPDrr0
+    8578887U,	// BLENDVPSrm0
+    8447815U,	// BLENDVPSrr0
+    551688853U,	// BLSFILL32rm
+    8542869U,	// BLSFILL32rr
+    551721621U,	// BLSFILL64rm
+    8542869U,	// BLSFILL64rr
+    551688789U,	// BLSI32rm
+    8542805U,	// BLSI32rr
+    551723177U,	// BLSI64rm
+    8544425U,	// BLSI64rr
+    551686354U,	// BLSIC32rm
+    8540370U,	// BLSIC32rr
+    551719122U,	// BLSIC64rm
+    8540370U,	// BLSIC64rr
+    551688809U,	// BLSMSK32rm
+    8542825U,	// BLSMSK32rr
+    551723193U,	// BLSMSK64rm
+    8544441U,	// BLSMSK64rr
+    551689154U,	// BLSR32rm
+    8543170U,	// BLSR32rr
+    551723440U,	// BLSR64rm
+    8544688U,	// BLSR64rr
+    381445U,	// BOUNDS16rm
+    551686661U,	// BOUNDS32rm
+    387943U,	// BSF16rm
+    8547175U,	// BSF16rr
+    551688745U,	// BSF32rm
+    8542761U,	// BSF32rr
+    551723133U,	// BSF64rm
+    8544381U,	// BSF64rr
+    388265U,	// BSR16rm
+    8547497U,	// BSR16rr
+    551689148U,	// BSR32rm
+    8543164U,	// BSR32rr
+    551723434U,	// BSR64rm
+    8544682U,	// BSR64rr
+    23304U,	// BSWAP32r
+    24888U,	// BSWAP64r
+    4238752U,	// BT16mi8
+    4238752U,	// BT16mr
+    8547744U,	// BT16ri8
+    8547744U,	// BT16rr
+    12622945U,	// BT32mi8
+    12622945U,	// BT32mr
+    8543329U,	// BT32ri8
+    8543329U,	// BT32rr
+    18915901U,	// BT64mi8
+    18915901U,	// BT64mr
+    8544829U,	// BT64ri8
+    8544829U,	// BT64rr
+    4238021U,	// BTC16mi8
+    4238021U,	// BTC16mr
+    8547013U,	// BTC16ri8
+    8547013U,	// BTC16rr
+    12622163U,	// BTC32mi8
+    12622163U,	// BTC32mr
+    8542547U,	// BTC32ri8
+    8542547U,	// BTC32rr
+    18915012U,	// BTC64mi8
+    18915012U,	// BTC64mr
+    8543940U,	// BTC64ri8
+    8543940U,	// BTC64rr
+    4238520U,	// BTR16mi8
+    4238520U,	// BTR16mr
+    8547512U,	// BTR16ri8
+    8547512U,	// BTR16rr
+    12622793U,	// BTR32mi8
+    12622793U,	// BTR32mr
+    8543177U,	// BTR32ri8
+    8543177U,	// BTR32rr
+    18915776U,	// BTR64mi8
+    18915776U,	// BTR64mr
+    8544704U,	// BTR64ri8
+    8544704U,	// BTR64rr
+    4238694U,	// BTS16mi8
+    4238694U,	// BTS16mr
+    8547686U,	// BTS16ri8
+    8547686U,	// BTS16rr
+    12622924U,	// BTS32mi8
+    12622924U,	// BTS32mr
+    8543308U,	// BTS32ri8
+    8543308U,	// BTS32rr
+    18915887U,	// BTS64mi8
+    18915887U,	// BTS64mr
+    8544815U,	// BTS64ri8
+    8544815U,	// BTS64rr
+    832903758U,	// BZHI32rm
+    811653710U,	// BZHI32rr
+    835002530U,	// BZHI64rm
+    811655330U,	// BZHI64rr
+    275623U,	// CALL16m
+    29863U,	// CALL16r
+    291973U,	// CALL32m
+    29829U,	// CALL32r
+    406678U,	// CALL64m
+    418006U,	// CALL64pcrel32
+    29846U,	// CALL64r
+    420832U,	// CALLpcrel16
+    416415U,	// CALLpcrel32
+    15050U,	// CBW
+    13985U,	// CDQ
+    14524U,	// CDQE
+    14809U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    14187U,	// CLAC
-    14219U,	// CLC
-    14256U,	// CLD
-    431736U,	// CLFLUSH
-    14399U,	// CLGI
-    14409U,	// CLI
-    15165U,	// CLTS
-    14223U,	// CMC
-    8464445U,	// CMOVA16rm
-    8448061U,	// CMOVA16rr
-    551638836U,	// CMOVA32rm
-    8443700U,	// CMOVA32rr
-    551656577U,	// CMOVA64rm
-    8445057U,	// CMOVA64rr
-    8464723U,	// CMOVAE16rm
-    8448339U,	// CMOVAE16rr
-    551639014U,	// CMOVAE32rm
-    8443878U,	// CMOVAE32rr
-    551657000U,	// CMOVAE64rm
-    8445480U,	// CMOVAE64rr
-    8464558U,	// CMOVB16rm
-    8448174U,	// CMOVB16rr
-    551638873U,	// CMOVB32rm
-    8443737U,	// CMOVB32rr
-    551656628U,	// CMOVB64rm
-    8445108U,	// CMOVB64rr
-    8464732U,	// CMOVBE16rm
-    8448348U,	// CMOVBE16rr
-    551639023U,	// CMOVBE32rm
-    8443887U,	// CMOVBE32rr
-    551657009U,	// CMOVBE64rm
-    8445489U,	// CMOVBE64rr
-    35673522U,	// CMOVBE_F
+    13907U,	// CLAC
+    13939U,	// CLC
+    13976U,	// CLD
+    432208U,	// CLFLUSH
+    14150U,	// CLGI
+    14160U,	// CLI
+    14922U,	// CLTS
+    13943U,	// CMC
+    8464917U,	// CMOVA16rm
+    8448533U,	// CMOVA16rr
+    551639308U,	// CMOVA32rm
+    8444172U,	// CMOVA32rr
+    551657049U,	// CMOVA64rm
+    8445529U,	// CMOVA64rr
+    8465195U,	// CMOVAE16rm
+    8448811U,	// CMOVAE16rr
+    551639486U,	// CMOVAE32rm
+    8444350U,	// CMOVAE32rr
+    551657472U,	// CMOVAE64rm
+    8445952U,	// CMOVAE64rr
+    8465030U,	// CMOVB16rm
+    8448646U,	// CMOVB16rr
+    551639345U,	// CMOVB32rm
+    8444209U,	// CMOVB32rr
+    551657100U,	// CMOVB64rm
+    8445580U,	// CMOVB64rr
+    8465204U,	// CMOVBE16rm
+    8448820U,	// CMOVBE16rr
+    551639495U,	// CMOVBE32rm
+    8444359U,	// CMOVBE32rr
+    551657481U,	// CMOVBE64rm
+    8445961U,	// CMOVBE64rr
+    35673994U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    35671732U,	// CMOVB_F
+    35672204U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    8464768U,	// CMOVE16rm
-    8448384U,	// CMOVE16rr
-    551639113U,	// CMOVE32rm
-    8443977U,	// CMOVE32rr
-    551657117U,	// CMOVE64rm
-    8445597U,	// CMOVE64rr
-    35673644U,	// CMOVE_F
+    8465240U,	// CMOVE16rm
+    8448856U,	// CMOVE16rr
+    551639585U,	// CMOVE32rm
+    8444449U,	// CMOVE32rr
+    551657589U,	// CMOVE64rm
+    8446069U,	// CMOVE64rr
+    35674116U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    8464821U,	// CMOVG16rm
-    8448437U,	// CMOVG16rr
-    551639143U,	// CMOVG32rm
-    8444007U,	// CMOVG32rr
-    551657147U,	// CMOVG64rm
-    8445627U,	// CMOVG64rr
-    8464741U,	// CMOVGE16rm
-    8448357U,	// CMOVGE16rr
-    551639032U,	// CMOVGE32rm
-    8443896U,	// CMOVGE32rr
-    551657018U,	// CMOVGE64rm
-    8445498U,	// CMOVGE64rr
-    8464955U,	// CMOVL16rm
-    8448571U,	// CMOVL16rr
-    551639292U,	// CMOVL32rm
-    8444156U,	// CMOVL32rr
-    551657264U,	// CMOVL64rm
-    8445744U,	// CMOVL64rr
-    8464750U,	// CMOVLE16rm
-    8448366U,	// CMOVLE16rr
-    551639041U,	// CMOVLE32rm
-    8443905U,	// CMOVLE32rr
-    551657027U,	// CMOVLE64rm
-    8445507U,	// CMOVLE64rr
-    35673505U,	// CMOVNBE_F
+    8465293U,	// CMOVG16rm
+    8448909U,	// CMOVG16rr
+    551639615U,	// CMOVG32rm
+    8444479U,	// CMOVG32rr
+    551657619U,	// CMOVG64rm
+    8446099U,	// CMOVG64rr
+    8465213U,	// CMOVGE16rm
+    8448829U,	// CMOVGE16rr
+    551639504U,	// CMOVGE32rm
+    8444368U,	// CMOVGE32rr
+    551657490U,	// CMOVGE64rm
+    8445970U,	// CMOVGE64rr
+    8465427U,	// CMOVL16rm
+    8449043U,	// CMOVL16rr
+    551639764U,	// CMOVL32rm
+    8444628U,	// CMOVL32rr
+    551657736U,	// CMOVL64rm
+    8446216U,	// CMOVL64rr
+    8465222U,	// CMOVLE16rm
+    8448838U,	// CMOVLE16rr
+    551639513U,	// CMOVLE32rm
+    8444377U,	// CMOVLE32rr
+    551657499U,	// CMOVLE64rm
+    8445979U,	// CMOVLE64rr
+    35673977U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    35671439U,	// CMOVNB_F
+    35671911U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    8464759U,	// CMOVNE16rm
-    8448375U,	// CMOVNE16rr
-    551639050U,	// CMOVNE32rm
-    8443914U,	// CMOVNE32rr
-    551657036U,	// CMOVNE64rm
-    8445516U,	// CMOVNE64rr
-    35673595U,	// CMOVNE_F
+    8465231U,	// CMOVNE16rm
+    8448847U,	// CMOVNE16rr
+    551639522U,	// CMOVNE32rm
+    8444386U,	// CMOVNE32rr
+    551657508U,	// CMOVNE64rm
+    8445988U,	// CMOVNE64rr
+    35674067U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    8464985U,	// CMOVNO16rm
-    8448601U,	// CMOVNO16rr
-    551639327U,	// CMOVNO32rm
-    8444191U,	// CMOVNO32rr
-    551657295U,	// CMOVNO64rm
-    8445775U,	// CMOVNO64rr
-    8465015U,	// CMOVNP16rm
-    8448631U,	// CMOVNP16rr
-    551639389U,	// CMOVNP32rm
-    8444253U,	// CMOVNP32rr
-    551657335U,	// CMOVNP64rm
-    8445815U,	// CMOVNP64rr
-    35678161U,	// CMOVNP_F
+    8465457U,	// CMOVNO16rm
+    8449073U,	// CMOVNO16rr
+    551639799U,	// CMOVNO32rm
+    8444663U,	// CMOVNO32rr
+    551657767U,	// CMOVNO64rm
+    8446247U,	// CMOVNO64rr
+    8465487U,	// CMOVNP16rm
+    8449103U,	// CMOVNP16rr
+    551639861U,	// CMOVNP32rm
+    8444725U,	// CMOVNP32rr
+    551657807U,	// CMOVNP64rm
+    8446287U,	// CMOVNP64rr
+    35678633U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    8465261U,	// CMOVNS16rm
-    8448877U,	// CMOVNS16rr
-    551639621U,	// CMOVNS32rm
-    8444485U,	// CMOVNS32rr
-    551657512U,	// CMOVNS64rm
-    8445992U,	// CMOVNS64rr
-    8464994U,	// CMOVO16rm
-    8448610U,	// CMOVO16rr
-    551639336U,	// CMOVO32rm
-    8444200U,	// CMOVO32rr
-    551657304U,	// CMOVO64rm
-    8445784U,	// CMOVO64rr
-    8465036U,	// CMOVP16rm
-    8448652U,	// CMOVP16rr
-    551639440U,	// CMOVP32rm
-    8444304U,	// CMOVP32rr
-    551657350U,	// CMOVP64rm
-    8445830U,	// CMOVP64rr
-    35678200U,	// CMOVP_F
+    8465733U,	// CMOVNS16rm
+    8449349U,	// CMOVNS16rr
+    551640093U,	// CMOVNS32rm
+    8444957U,	// CMOVNS32rr
+    551657984U,	// CMOVNS64rm
+    8446464U,	// CMOVNS64rr
+    8465466U,	// CMOVO16rm
+    8449082U,	// CMOVO16rr
+    551639808U,	// CMOVO32rm
+    8444672U,	// CMOVO32rr
+    551657776U,	// CMOVO64rm
+    8446256U,	// CMOVO64rr
+    8465508U,	// CMOVP16rm
+    8449124U,	// CMOVP16rr
+    551639912U,	// CMOVP32rm
+    8444776U,	// CMOVP32rr
+    551657822U,	// CMOVP64rm
+    8446302U,	// CMOVP64rr
+    35678672U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    8465335U,	// CMOVS16rm
-    8448951U,	// CMOVS16rr
-    551639681U,	// CMOVS32rm
-    8444545U,	// CMOVS32rr
-    551657565U,	// CMOVS64rm
-    8446045U,	// CMOVS64rr
-    12913U,	// CMOV_FR32
-    13226U,	// CMOV_FR64
-    12480U,	// CMOV_GR16
-    12460U,	// CMOV_GR32
-    13549U,	// CMOV_GR8
-    12893U,	// CMOV_RFP32
-    13206U,	// CMOV_RFP64
-    12500U,	// CMOV_RFP80
-    12813U,	// CMOV_V16F32
-    13047U,	// CMOV_V2F64
-    13107U,	// CMOV_V2I64
-    12793U,	// CMOV_V4F32
-    13067U,	// CMOV_V4F64
-    13127U,	// CMOV_V4I64
-    12834U,	// CMOV_V8F32
-    13087U,	// CMOV_V8F64
-    13147U,	// CMOV_V8I64
-    2124394U,	// CMP16i16
-    4237930U,	// CMP16mi
-    4237930U,	// CMP16mi8
-    4237930U,	// CMP16mr
-    8546922U,	// CMP16ri
-    8546922U,	// CMP16ri8
-    387690U,	// CMP16rm
-    8546922U,	// CMP16rr
-    8546922U,	// CMP16rr_REV
-    10508607U,	// CMP32i32
-    12622143U,	// CMP32mi
-    12622143U,	// CMP32mi8
-    12622143U,	// CMP32mr
-    8542527U,	// CMP32ri
-    8542527U,	// CMP32ri8
-    551688511U,	// CMP32rm
-    8542527U,	// CMP32rr
-    8542527U,	// CMP32rr_REV
-    16801649U,	// CMP64i32
-    18915185U,	// CMP64mi32
-    18915185U,	// CMP64mi8
-    18915185U,	// CMP64mr
-    8544113U,	// CMP64ri32
-    8544113U,	// CMP64ri8
-    551722865U,	// CMP64rm
-    8544113U,	// CMP64rr
-    8544113U,	// CMP64rr_REV
-    20991384U,	// CMP8i8
-    23104920U,	// CMP8mi
-    23104920U,	// CMP8mr
-    8539544U,	// CMP8ri
-    445848U,	// CMP8rm
-    8539544U,	// CMP8rr
-    8539544U,	// CMP8rr_REV
-    1111963978U,	// CMPPDrmi
-    568676997U,	// CMPPDrmi_alt
-    1380415818U,	// CMPPDrri
-    839225989U,	// CMPPDrri_alt
-    1114061130U,	// CMPPSrmi
-    568681710U,	// CMPPSrmi_alt
-    1382512970U,	// CMPPSrri
-    839230702U,	// CMPPSrri_alt
-    1625795446U,	// CMPS16
-    1894242894U,	// CMPS32
-    2162696241U,	// CMPS64
-    2431143442U,	// CMPS8
-    2726771018U,	// CMPSDrm
-    581260362U,	// CMPSDrm_alt
-    1384610122U,	// CMPSDrr
-    839226442U,	// CMPSDrr_alt
-    2999400778U,	// CMPSSrm
-    585459421U,	// CMPSSrm_alt
-    1388804426U,	// CMPSSrr
-    839231197U,	// CMPSSrr_alt
-    560340U,	// CMPXCHG16B
-    4237731U,	// CMPXCHG16rm
-    8546723U,	// CMPXCHG16rr
-    12621917U,	// CMPXCHG32rm
-    8542301U,	// CMPXCHG32rr
-    18914993U,	// CMPXCHG64rm
-    8543921U,	// CMPXCHG64rr
-    396512U,	// CMPXCHG8B
-    23104823U,	// CMPXCHG8rm
-    8539447U,	// CMPXCHG8rr
-    578591U,	// COMISDrm
-    8541215U,	// COMISDrr
-    583347U,	// COMISSrm
-    8545971U,	// COMISSrr
-    23527U,	// COMP_FST0r
-    22208U,	// COM_FIPr
-    22151U,	// COM_FIr
-    23393U,	// COM_FST0r
-    15114U,	// COS_F
+    8465807U,	// CMOVS16rm
+    8449423U,	// CMOVS16rr
+    551640153U,	// CMOVS32rm
+    8445017U,	// CMOVS32rr
+    551658037U,	// CMOVS64rm
+    8446517U,	// CMOVS64rr
+    13163U,	// CMOV_FR32
+    13322U,	// CMOV_FR64
+    13042U,	// CMOV_GR16
+    13022U,	// CMOV_GR32
+    13341U,	// CMOV_GR8
+    13143U,	// CMOV_RFP32
+    13302U,	// CMOV_RFP64
+    13062U,	// CMOV_RFP80
+    13102U,	// CMOV_V16F32
+    13182U,	// CMOV_V2F64
+    13242U,	// CMOV_V2I64
+    13082U,	// CMOV_V4F32
+    13202U,	// CMOV_V4F64
+    13262U,	// CMOV_V4I64
+    13123U,	// CMOV_V8F32
+    13222U,	// CMOV_V8F64
+    13282U,	// CMOV_V8I64
+    2124866U,	// CMP16i16
+    4238402U,	// CMP16mi
+    4238402U,	// CMP16mi8
+    4238402U,	// CMP16mr
+    8547394U,	// CMP16ri
+    8547394U,	// CMP16ri8
+    388162U,	// CMP16rm
+    8547394U,	// CMP16rr
+    8547394U,	// CMP16rr_REV
+    10509079U,	// CMP32i32
+    12622615U,	// CMP32mi
+    12622615U,	// CMP32mi8
+    12622615U,	// CMP32mr
+    8542999U,	// CMP32ri
+    8542999U,	// CMP32ri8
+    551688983U,	// CMP32rm
+    8542999U,	// CMP32rr
+    8542999U,	// CMP32rr_REV
+    16802121U,	// CMP64i32
+    18915657U,	// CMP64mi32
+    18915657U,	// CMP64mi8
+    18915657U,	// CMP64mr
+    8544585U,	// CMP64ri32
+    8544585U,	// CMP64ri8
+    551723337U,	// CMP64rm
+    8544585U,	// CMP64rr
+    8544585U,	// CMP64rr_REV
+    20991856U,	// CMP8i8
+    23105392U,	// CMP8mi
+    23105392U,	// CMP8mr
+    8540016U,	// CMP8ri
+    446320U,	// CMP8rm
+    8540016U,	// CMP8rr
+    8540016U,	// CMP8rr_REV
+    1111963729U,	// CMPPDrmi
+    568677469U,	// CMPPDrmi_alt
+    1380415569U,	// CMPPDrri
+    839226461U,	// CMPPDrri_alt
+    1114060881U,	// CMPPSrmi
+    568682182U,	// CMPPSrmi_alt
+    1382512721U,	// CMPPSrri
+    839231174U,	// CMPPSrri_alt
+    1625788394U,	// CMPSB
+    1921464401U,	// CMPSDrm
+    581260834U,	// CMPSDrm_alt
+    1384609873U,	// CMPSDrr
+    839226914U,	// CMPSDrr_alt
+    2162678822U,	// CMPSL
+    2431132169U,	// CMPSQ
+    2730965073U,	// CMPSSrm
+    585459893U,	// CMPSSrm_alt
+    1388804177U,	// CMPSSrr
+    839231669U,	// CMPSSrr_alt
+    2968022350U,	// CMPSW
+    560812U,	// CMPXCHG16B
+    4238203U,	// CMPXCHG16rm
+    8547195U,	// CMPXCHG16rr
+    12622389U,	// CMPXCHG32rm
+    8542773U,	// CMPXCHG32rr
+    18915465U,	// CMPXCHG64rm
+    8544393U,	// CMPXCHG64rr
+    396984U,	// CMPXCHG8B
+    23105295U,	// CMPXCHG8rm
+    8539919U,	// CMPXCHG8rr
+    579063U,	// COMISDrm
+    8541687U,	// COMISDrr
+    583819U,	// COMISSrm
+    8546443U,	// COMISSrr
+    23999U,	// COMP_FST0r
+    22680U,	// COM_FIPr
+    22623U,	// COM_FIr
+    23865U,	// COM_FST0r
+    14871U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    14250U,	// CPUID32
-    14250U,	// CPUID64
-    14648U,	// CQO
-    6367263U,	// CRC32r32m16
-    283203366U,	// CRC32r32m32
-    117964U,	// CRC32r32m8
-    6350879U,	// CRC32r32r16
-    6346534U,	// CRC32r32r32
-    6343884U,	// CRC32r32r8
-    283221090U,	// CRC32r64m64
-    117964U,	// CRC32r64m8
-    6347874U,	// CRC32r64r64
-    6343884U,	// CRC32r64r8
-    14848U,	// CS_PREFIX
-    551719159U,	// CVTDQ2PDrm
-    8540407U,	// CVTDQ2PDrr
-    320334U,	// CVTDQ2PSrm
-    8545102U,	// CVTDQ2PSrr
-    580863U,	// CVTPD2DQrm
-    8543487U,	// CVTPD2DQrr
-    582434U,	// CVTPD2PSrm
-    8545058U,	// CVTPD2PSrr
-    580895U,	// CVTPS2DQrm
-    8543519U,	// CVTPS2DQrr
-    594178U,	// CVTPS2PDrm
-    8540418U,	// CVTPS2PDrr
-    595702U,	// CVTSD2SI64rm
-    8541942U,	// CVTSD2SI64rr
-    595702U,	// CVTSD2SIrm
-    8541942U,	// CVTSD2SIrr
-    599597U,	// CVTSD2SSrm
-    8545837U,	// CVTSD2SSrr
-    551722459U,	// CVTSI2SD64rm
-    8543707U,	// CVTSI2SD64rr
-    551688142U,	// CVTSI2SDrm
-    8542158U,	// CVTSI2SDrr
-    551723065U,	// CVTSI2SS64rm
-    8544313U,	// CVTSI2SS64rr
-    551688790U,	// CVTSI2SSrm
-    8542806U,	// CVTSI2SSrr
-    611217U,	// CVTSS2SDrm
-    8541073U,	// CVTSS2SDrr
-    612109U,	// CVTSS2SI64rm
-    8541965U,	// CVTSS2SI64rr
-    612109U,	// CVTSS2SIrm
-    8541965U,	// CVTSS2SIrr
-    580851U,	// CVTTPD2DQrm
-    8543475U,	// CVTTPD2DQrr
-    580883U,	// CVTTPS2DQrm
-    8543507U,	// CVTTPS2DQrr
-    595690U,	// CVTTSD2SI64rm
-    8541930U,	// CVTTSD2SI64rr
-    595690U,	// CVTTSD2SIrm
-    8541930U,	// CVTTSD2SIrr
-    612097U,	// CVTTSS2SI64rm
-    8541953U,	// CVTTSS2SI64rr
-    612097U,	// CVTTSS2SIrm
-    8541953U,	// CVTTSS2SIrr
-    14274U,	// CWD
-    14563U,	// CWDE
-    14122U,	// DAA
-    14832U,	// DAS
-    14003U,	// DATA16_PREFIX
-    272609U,	// DEC16m
-    26849U,	// DEC16r
-    26849U,	// DEC32_16r
-    22383U,	// DEC32_32r
-    284527U,	// DEC32m
-    22383U,	// DEC32r
-    272609U,	// DEC64_16m
-    26849U,	// DEC64_16r
-    284527U,	// DEC64_32m
-    22383U,	// DEC64_32r
-    400608U,	// DEC64m
-    23776U,	// DEC64r
-    429319U,	// DEC8m
-    19719U,	// DEC8r
-    273527U,	// DIV16m
-    27767U,	// DIV16r
-    285440U,	// DIV32m
-    23296U,	// DIV32r
-    401680U,	// DIV64m
-    24848U,	// DIV64r
-    429742U,	// DIV8m
-    20142U,	// DIV8r
-    8573656U,	// DIVPDrm
-    8442584U,	// DIVPDrr
-    8578426U,	// DIVPSrm
-    8447354U,	// DIVPSrr
-    239023U,	// DIVR_F32m
-    252421U,	// DIVR_F64m
-    271799U,	// DIVR_FI16m
-    285197U,	// DIVR_FI32m
-    23643U,	// DIVR_FPrST0
-    25103U,	// DIVR_FST0r
+    13970U,	// CPUID32
+    13970U,	// CPUID64
+    14399U,	// CQO
+    6367735U,	// CRC32r32m16
+    283203838U,	// CRC32r32m32
+    118436U,	// CRC32r32m8
+    6351351U,	// CRC32r32r16
+    6347006U,	// CRC32r32r32
+    6344356U,	// CRC32r32r8
+    283221562U,	// CRC32r64m64
+    118436U,	// CRC32r64m8
+    6348346U,	// CRC32r64r64
+    6344356U,	// CRC32r64r8
+    14599U,	// CS_PREFIX
+    551719631U,	// CVTDQ2PDrm
+    8540879U,	// CVTDQ2PDrr
+    320806U,	// CVTDQ2PSrm
+    8545574U,	// CVTDQ2PSrr
+    581335U,	// CVTPD2DQrm
+    8543959U,	// CVTPD2DQrr
+    582906U,	// CVTPD2PSrm
+    8545530U,	// CVTPD2PSrr
+    581367U,	// CVTPS2DQrm
+    8543991U,	// CVTPS2DQrr
+    594650U,	// CVTPS2PDrm
+    8540890U,	// CVTPS2PDrr
+    596174U,	// CVTSD2SI64rm
+    8542414U,	// CVTSD2SI64rr
+    596174U,	// CVTSD2SIrm
+    8542414U,	// CVTSD2SIrr
+    600069U,	// CVTSD2SSrm
+    8546309U,	// CVTSD2SSrr
+    551722931U,	// CVTSI2SD64rm
+    8544179U,	// CVTSI2SD64rr
+    551688614U,	// CVTSI2SDrm
+    8542630U,	// CVTSI2SDrr
+    551723537U,	// CVTSI2SS64rm
+    8544785U,	// CVTSI2SS64rr
+    551689262U,	// CVTSI2SSrm
+    8543278U,	// CVTSI2SSrr
+    611689U,	// CVTSS2SDrm
+    8541545U,	// CVTSS2SDrr
+    612581U,	// CVTSS2SI64rm
+    8542437U,	// CVTSS2SI64rr
+    612581U,	// CVTSS2SIrm
+    8542437U,	// CVTSS2SIrr
+    581323U,	// CVTTPD2DQrm
+    8543947U,	// CVTTPD2DQrr
+    581355U,	// CVTTPS2DQrm
+    8543979U,	// CVTTPS2DQrr
+    596162U,	// CVTTSD2SI64rm
+    8542402U,	// CVTTSD2SI64rr
+    596162U,	// CVTTSD2SIrm
+    8542402U,	// CVTTSD2SIrr
+    612569U,	// CVTTSS2SI64rm
+    8542425U,	// CVTTSS2SI64rr
+    612569U,	// CVTTSS2SIrm
+    8542425U,	// CVTTSS2SIrr
+    13994U,	// CWD
+    14314U,	// CWDE
+    13842U,	// DAA
+    14583U,	// DAS
+    13723U,	// DATA16_PREFIX
+    273081U,	// DEC16m
+    27321U,	// DEC16r
+    27321U,	// DEC32_16r
+    22855U,	// DEC32_32r
+    284999U,	// DEC32m
+    22855U,	// DEC32r
+    273081U,	// DEC64_16m
+    27321U,	// DEC64_16r
+    284999U,	// DEC64_32m
+    22855U,	// DEC64_32r
+    401080U,	// DEC64m
+    24248U,	// DEC64r
+    429791U,	// DEC8m
+    20191U,	// DEC8r
+    273999U,	// DIV16m
+    28239U,	// DIV16r
+    285912U,	// DIV32m
+    23768U,	// DIV32r
+    402152U,	// DIV64m
+    25320U,	// DIV64r
+    430214U,	// DIV8m
+    20614U,	// DIV8r
+    8574128U,	// DIVPDrm
+    8443056U,	// DIVPDrr
+    8578898U,	// DIVPSrm
+    8447826U,	// DIVPSrr
+    239495U,	// DIVR_F32m
+    252893U,	// DIVR_F64m
+    272271U,	// DIVR_FI16m
+    285669U,	// DIVR_FI32m
+    24115U,	// DIVR_FPrST0
+    25575U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -754,21 +712,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    27987U,	// DIVR_FrST0
-    551752818U,	// DIVSDrm
-    551752818U,	// DIVSDrm_Int
-    8442994U,	// DIVSDrr
-    8442994U,	// DIVSDrr_Int
-    551773967U,	// DIVSSrm
-    551773967U,	// DIVSSrm_Int
-    8447759U,	// DIVSSrr
-    8447759U,	// DIVSSrr_Int
-    239426U,	// DIV_F32m
-    252671U,	// DIV_F64m
-    272201U,	// DIV_FI16m
-    285446U,	// DIV_FI32m
-    23568U,	// DIV_FPrST0
-    26624U,	// DIV_FST0r
+    28459U,	// DIVR_FrST0
+    551753290U,	// DIVSDrm
+    551753290U,	// DIVSDrm_Int
+    8443466U,	// DIVSDrr
+    8443466U,	// DIVSDrr_Int
+    551774439U,	// DIVSSrm
+    551774439U,	// DIVSSrm_Int
+    8448231U,	// DIVSSrr
+    8448231U,	// DIVSSrr_Int
+    239898U,	// DIV_F32m
+    253143U,	// DIV_F64m
+    272673U,	// DIV_FI16m
+    285918U,	// DIV_FI32m
+    24040U,	// DIV_FPrST0
+    27096U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -783,64 +741,66 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    27972U,	// DIV_FrST0
-    568676990U,	// DPPDrmi
-    839225982U,	// DPPDrri
-    568681703U,	// DPPSrmi
-    839230695U,	// DPPSrri
-    14868U,	// DS_PREFIX
-    28797U,	// EH_RETURN
-    28797U,	// EH_RETURN64
-    13812U,	// EH_SjLj_LongJmp32
-    13916U,	// EH_SjLj_LongJmp64
-    13831U,	// EH_SjLj_SetJmp32
-    13935U,	// EH_SjLj_SetJmp64
-    416843U,	// EH_SjLj_Setup
-    283140543U,	// ENTER
-    14906U,	// ES_PREFIX
-    3271894289U,	// EXTRACTPSmr
-    811656465U,	// EXTRACTPSrr
-    6348789U,	// EXTRQ
-    839229429U,	// EXTRQI
-    13793U,	// F2XM1
-    8546823U,	// FARCALL16i
-    636350U,	// FARCALL16m
-    8542406U,	// FARCALL32i
-    636316U,	// FARCALL32m
-    636333U,	// FARCALL64
-    8546928U,	// FARJMP16i
-    636359U,	// FARJMP16m
-    8542533U,	// FARJMP32i
-    636325U,	// FARJMP32m
-    636342U,	// FARJMP64
-    233432U,	// FBLDm
-    236574U,	// FBSTPm
-    238170U,	// FCOM32m
-    252164U,	// FCOM64m
-    238771U,	// FCOMP32m
-    252236U,	// FCOMP64m
-    14680U,	// FCOMPP
-    14695U,	// FDECSTP
-    15108U,	// FEMMS
-    21947U,	// FFREE
-    270945U,	// FICOM16m
-    284939U,	// FICOM32m
-    271547U,	// FICOMP16m
-    285012U,	// FICOMP32m
-    14703U,	// FINCSTP
-    272602U,	// FLDCW16m
-    239622U,	// FLDENVm
-    14286U,	// FLDL2E
-    15170U,	// FLDL2T
-    13897U,	// FLDLG2
-    13904U,	// FLDLN2
-    14413U,	// FLDPI
-    15511U,	// FNCLEX
-    15191U,	// FNINIT
-    14675U,	// FNOP
-    272627U,	// FNSTCW16m
-    15310U,	// FNSTSW16r
-    240532U,	// FNSTSWm
+    28444U,	// DIV_FrST0
+    568677462U,	// DPPDrmi
+    839226454U,	// DPPDrri
+    568682175U,	// DPPSrmi
+    839231167U,	// DPPSrri
+    14619U,	// DS_PREFIX
+    29269U,	// EH_RETURN
+    29269U,	// EH_RETURN64
+    13532U,	// EH_SjLj_LongJmp32
+    13636U,	// EH_SjLj_LongJmp64
+    13551U,	// EH_SjLj_SetJmp32
+    13655U,	// EH_SjLj_SetJmp64
+    417315U,	// EH_SjLj_Setup
+    14859U,	// ENCLS
+    14984U,	// ENCLU
+    283141015U,	// ENTER
+    14657U,	// ES_PREFIX
+    3271894761U,	// EXTRACTPSmr
+    811656937U,	// EXTRACTPSrr
+    6349261U,	// EXTRQ
+    839229901U,	// EXTRQI
+    13513U,	// F2XM1
+    8547295U,	// FARCALL16i
+    636070U,	// FARCALL16m
+    8542878U,	// FARCALL32i
+    636036U,	// FARCALL32m
+    636053U,	// FARCALL64
+    8547400U,	// FARJMP16i
+    636079U,	// FARJMP16m
+    8543005U,	// FARJMP32i
+    636045U,	// FARJMP32m
+    636062U,	// FARJMP64
+    233904U,	// FBLDm
+    237046U,	// FBSTPm
+    238642U,	// FCOM32m
+    252636U,	// FCOM64m
+    239243U,	// FCOMP32m
+    252708U,	// FCOMP64m
+    14431U,	// FCOMPP
+    14446U,	// FDECSTP
+    14865U,	// FEMMS
+    22419U,	// FFREE
+    271417U,	// FICOM16m
+    285411U,	// FICOM32m
+    272019U,	// FICOMP16m
+    285484U,	// FICOMP32m
+    14454U,	// FINCSTP
+    273074U,	// FLDCW16m
+    240094U,	// FLDENVm
+    14006U,	// FLDL2E
+    14927U,	// FLDL2T
+    13617U,	// FLDLG2
+    13624U,	// FLDLN2
+    14164U,	// FLDPI
+    15274U,	// FNCLEX
+    14948U,	// FNINIT
+    14426U,	// FNOP
+    273099U,	// FNSTCW16m
+    15073U,	// FNSTSW16r
+    241004U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -850,71 +810,70 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    14598U,	// FPATAN
-    14581U,	// FPREM
-    13786U,	// FPREM1
-    14605U,	// FPTAN
-    15202U,	// FRNDINT
-    238038U,	// FRSTORm
-    235036U,	// FSAVEm
-    14314U,	// FSCALE
-    14587U,	// FSETPM
-    15119U,	// FSINCOS
-    239630U,	// FSTENVm
-    14944U,	// FS_PREFIX
-    14576U,	// FXAM
-    631262U,	// FXRSTOR
-    630722U,	// FXRSTOR64
-    628260U,	// FXSAVE
-    630420U,	// FXSAVE64
-    15177U,	// FXTRACT
-    15304U,	// FYL2X
-    13799U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
-    8573549U,	// FsANDNPDrm
-    8442477U,	// FsANDNPDrr
-    8578254U,	// FsANDNPSrm
-    8447182U,	// FsANDNPSrr
-    8573413U,	// FsANDPDrm
-    8442341U,	// FsANDPDrr
-    8578089U,	// FsANDPSrm
-    8447017U,	// FsANDPSrr
+    14349U,	// FPATAN
+    14332U,	// FPREM
+    13506U,	// FPREM1
+    14356U,	// FPTAN
+    14959U,	// FRNDINT
+    238510U,	// FRSTORm
+    235508U,	// FSAVEm
+    14034U,	// FSCALE
+    14338U,	// FSETPM
+    14876U,	// FSINCOS
+    240102U,	// FSTENVm
+    14695U,	// FS_PREFIX
+    14327U,	// FXAM
+    631734U,	// FXRSTOR
+    631194U,	// FXRSTOR64
+    628732U,	// FXSAVE
+    630892U,	// FXSAVE64
+    14934U,	// FXTRACT
+    15067U,	// FYL2X
+    13519U,	// FYL2XP1
+    8574021U,	// FsANDNPDrm
+    8442949U,	// FsANDNPDrr
+    8578726U,	// FsANDNPSrm
+    8447654U,	// FsANDNPSrr
+    8573885U,	// FsANDPDrm
+    8442813U,	// FsANDPDrr
+    8578561U,	// FsANDPSrm
+    8447489U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    577891U,	// FsMOVAPDrm
-    582575U,	// FsMOVAPSrm
-    8573593U,	// FsORPDrm
-    8442521U,	// FsORPDrr
-    8578306U,	// FsORPSrm
-    8447234U,	// FsORPSrr
-    577890U,	// FsVMOVAPDrm
-    582574U,	// FsVMOVAPSrm
-    8573600U,	// FsXORPDrm
-    8442528U,	// FsXORPDrr
-    8578313U,	// FsXORPSrm
-    8447241U,	// FsXORPSrr
-    14207U,	// GETSEC
-    15001U,	// GS_PREFIX
-    8573375U,	// HADDPDrm
-    8442303U,	// HADDPDrr
-    8578051U,	// HADDPSrm
-    8446979U,	// HADDPSrr
-    15198U,	// HLT
-    8573324U,	// HSUBPDrm
-    8442252U,	// HSUBPDrr
-    8578000U,	// HSUBPSrm
-    8446928U,	// HSUBPSrr
-    273526U,	// IDIV16m
-    27766U,	// IDIV16r
-    285447U,	// IDIV32m
-    23303U,	// IDIV32r
-    401679U,	// IDIV64m
-    24847U,	// IDIV64r
-    429741U,	// IDIV8m
-    20141U,	// IDIV8r
-    270912U,	// ILD_F16m
-    284598U,	// ILD_F32m
-    399526U,	// ILD_F64m
+    578363U,	// FsMOVAPDrm
+    583047U,	// FsMOVAPSrm
+    8574065U,	// FsORPDrm
+    8442993U,	// FsORPDrr
+    8578778U,	// FsORPSrm
+    8447706U,	// FsORPSrr
+    578362U,	// FsVMOVAPDrm
+    583046U,	// FsVMOVAPSrm
+    8574072U,	// FsXORPDrm
+    8443000U,	// FsXORPDrr
+    8578785U,	// FsXORPSrm
+    8447713U,	// FsXORPSrr
+    13927U,	// GETSEC
+    14752U,	// GS_PREFIX
+    8573847U,	// HADDPDrm
+    8442775U,	// HADDPDrr
+    8578523U,	// HADDPSrm
+    8447451U,	// HADDPSrr
+    14955U,	// HLT
+    8573796U,	// HSUBPDrm
+    8442724U,	// HSUBPDrr
+    8578472U,	// HSUBPSrm
+    8447400U,	// HSUBPSrr
+    273998U,	// IDIV16m
+    28238U,	// IDIV16r
+    285919U,	// IDIV32m
+    23775U,	// IDIV32r
+    402151U,	// IDIV64m
+    25319U,	// IDIV64r
+    430213U,	// IDIV8m
+    20613U,	// IDIV8r
+    271384U,	// ILD_F16m
+    285070U,	// ILD_F32m
+    399998U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -924,79 +883,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    272948U,	// IMUL16m
-    27188U,	// IMUL16r
-    8464948U,	// IMUL16rm
-    52767284U,	// IMUL16rmi
-    52767284U,	// IMUL16rmi8
-    8448564U,	// IMUL16rr
-    811657780U,	// IMUL16rri
-    811657780U,	// IMUL16rri8
-    284917U,	// IMUL32m
-    22773U,	// IMUL32r
-    551639285U,	// IMUL32rm
-    832903413U,	// IMUL32rmi
-    832903413U,	// IMUL32rmi8
-    8444149U,	// IMUL32rr
-    811653365U,	// IMUL32rri
-    811653365U,	// IMUL32rri8
-    401193U,	// IMUL64m
-    24361U,	// IMUL64r
-    551657257U,	// IMUL64rm
-    835002153U,	// IMUL64rmi32
-    835002153U,	// IMUL64rmi8
-    8445737U,	// IMUL64rr
-    811654953U,	// IMUL64rri32
-    811654953U,	// IMUL64rri8
-    429426U,	// IMUL8m
-    19826U,	// IMUL8r
-    503759U,	// IN16
-    2124372U,	// IN16ri
-    15321U,	// IN16rr
-    520132U,	// IN32
-    10508570U,	// IN32ri
-    15400U,	// IN32rr
-    552889U,	// IN8
-    20991370U,	// IN8ri
-    14443U,	// IN8rr
-    272615U,	// INC16m
-    26855U,	// INC16r
-    26855U,	// INC32_16r
-    22389U,	// INC32_32r
-    284533U,	// INC32m
-    22389U,	// INC32r
-    272615U,	// INC64_16m
-    26855U,	// INC64_16r
-    284533U,	// INC64_32m
-    22389U,	// INC64_32r
-    400614U,	// INC64m
-    23782U,	// INC64r
-    429325U,	// INC8m
-    19725U,	// INC8r
-    585458983U,	// INSERTPSrm
-    839230759U,	// INSERTPSrr
-    6349016U,	// INSERTQ
-    839508184U,	// INSERTQI
-    26464U,	// INT
-    13807U,	// INT1
-    13911U,	// INT3
-    14643U,	// INTO
-    14281U,	// INVD
-    321381U,	// INVEPT32
-    321381U,	// INVEPT64
-    431672U,	// INVLPG
-    15381U,	// INVLPGA32
-    15449U,	// INVLPGA64
-    315334U,	// INVPCID32
-    315334U,	// INVPCID64
-    315343U,	// INVVPID32
-    315343U,	// INVVPID64
-    15292U,	// IRET16
-    14534U,	// IRET32
-    14744U,	// IRET64
-    271708U,	// ISTT_FP16m
-    285063U,	// ISTT_FP32m
-    399581U,	// ISTT_FP64m
+    273420U,	// IMUL16m
+    27660U,	// IMUL16r
+    8465420U,	// IMUL16rm
+    52767756U,	// IMUL16rmi
+    52767756U,	// IMUL16rmi8
+    8449036U,	// IMUL16rr
+    811658252U,	// IMUL16rri
+    811658252U,	// IMUL16rri8
+    285389U,	// IMUL32m
+    23245U,	// IMUL32r
+    551639757U,	// IMUL32rm
+    832903885U,	// IMUL32rmi
+    832903885U,	// IMUL32rmi8
+    8444621U,	// IMUL32rr
+    811653837U,	// IMUL32rri
+    811653837U,	// IMUL32rri8
+    401665U,	// IMUL64m
+    24833U,	// IMUL64r
+    551657729U,	// IMUL64rm
+    835002625U,	// IMUL64rmi32
+    835002625U,	// IMUL64rmi8
+    8446209U,	// IMUL64rr
+    811655425U,	// IMUL64rri32
+    811655425U,	// IMUL64rri8
+    429898U,	// IMUL8m
+    20298U,	// IMUL8r
+    2124844U,	// IN16ri
+    15084U,	// IN16rr
+    10509042U,	// IN32ri
+    15163U,	// IN32rr
+    20991842U,	// IN8ri
+    14194U,	// IN8rr
+    273087U,	// INC16m
+    27327U,	// INC16r
+    27327U,	// INC32_16r
+    22861U,	// INC32_32r
+    285005U,	// INC32m
+    22861U,	// INC32r
+    273087U,	// INC64_16m
+    27327U,	// INC64_16r
+    285005U,	// INC64_32m
+    22861U,	// INC64_32r
+    401086U,	// INC64m
+    24254U,	// INC64r
+    429797U,	// INC8m
+    20197U,	// INC8r
+    504209U,	// INSB
+    585459455U,	// INSERTPSrm
+    839231231U,	// INSERTPSrr
+    6349488U,	// INSERTQ
+    839508656U,	// INSERTQI
+    520604U,	// INSL
+    553383U,	// INSW
+    26936U,	// INT
+    13527U,	// INT1
+    13631U,	// INT3
+    14394U,	// INTO
+    14001U,	// INVD
+    321853U,	// INVEPT32
+    321853U,	// INVEPT64
+    432144U,	// INVLPG
+    15144U,	// INVLPGA32
+    15212U,	// INVLPGA64
+    315806U,	// INVPCID32
+    315806U,	// INVPCID64
+    315815U,	// INVVPID32
+    315815U,	// INVVPID64
+    15055U,	// IRET16
+    14285U,	// IRET32
+    14495U,	// IRET64
+    272180U,	// ISTT_FP16m
+    285535U,	// ISTT_FP32m
+    400053U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -1006,11 +965,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    272187U,	// IST_F16m
-    285418U,	// IST_F32m
-    271700U,	// IST_FP16m
-    285055U,	// IST_FP32m
-    399572U,	// IST_FP64m
+    272659U,	// IST_F16m
+    285890U,	// IST_F32m
+    272172U,	// IST_FP16m
+    285527U,	// IST_FP32m
+    400044U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -1020,213 +979,246 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    2726771018U,	// Int_CMPSDrm
-    1384610122U,	// Int_CMPSDrr
-    2999400778U,	// Int_CMPSSrm
-    1388804426U,	// Int_CMPSSrr
-    578591U,	// Int_COMISDrm
-    8541215U,	// Int_COMISDrr
-    583347U,	// Int_COMISSrm
-    8545971U,	// Int_COMISSrr
-    551757357U,	// Int_CVTSD2SSrm
-    8447533U,	// Int_CVTSD2SSrr
-    551656923U,	// Int_CVTSI2SD64rm
-    8445403U,	// Int_CVTSI2SD64rr
-    551638990U,	// Int_CVTSI2SDrm
-    8443854U,	// Int_CVTSI2SDrr
-    551657529U,	// Int_CVTSI2SS64rm
-    8446009U,	// Int_CVTSI2SS64rr
-    551639638U,	// Int_CVTSI2SSrm
-    8444502U,	// Int_CVTSI2SSrr
-    551768977U,	// Int_CVTSS2SDrm
-    8442769U,	// Int_CVTSS2SDrr
-    595690U,	// Int_CVTTSD2SI64rm
-    8541930U,	// Int_CVTTSD2SI64rr
-    595690U,	// Int_CVTTSD2SIrm
-    8541930U,	// Int_CVTTSD2SIrr
-    612097U,	// Int_CVTTSS2SI64rm
-    8541953U,	// Int_CVTTSS2SI64rr
-    612097U,	// Int_CVTTSS2SIrm
-    8541953U,	// Int_CVTTSS2SIrr
-    14091U,	// Int_MemBarrier
-    578590U,	// Int_UCOMISDrm
-    8541214U,	// Int_UCOMISDrr
-    583346U,	// Int_UCOMISSrm
-    8545970U,	// Int_UCOMISSrr
-    2726967630U,	// Int_VCMPSDrm
-    1384806734U,	// Int_VCMPSDrr
-    2999597390U,	// Int_VCMPSSrm
-    1389001038U,	// Int_VCMPSSrr
-    578599U,	// Int_VCOMISDZrm
-    8541223U,	// Int_VCOMISDZrr
-    578599U,	// Int_VCOMISDrm
-    8541223U,	// Int_VCOMISDrr
-    583355U,	// Int_VCOMISSZrm
-    8545979U,	// Int_VCOMISSZrr
-    583355U,	// Int_VCOMISSrm
-    8545979U,	// Int_VCOMISSrr
-    283321900U,	// Int_VCVTSD2SSrm
-    811656748U,	// Int_VCVTSD2SSrr
-    283221466U,	// Int_VCVTSI2SD64Zrm
-    811654618U,	// Int_VCVTSI2SD64Zrr
-    283221466U,	// Int_VCVTSI2SD64rm
-    811654618U,	// Int_VCVTSI2SD64rr
-    283203533U,	// Int_VCVTSI2SDZrm
-    811653069U,	// Int_VCVTSI2SDZrr
-    283203533U,	// Int_VCVTSI2SDrm
-    811653069U,	// Int_VCVTSI2SDrr
-    283222072U,	// Int_VCVTSI2SS64Zrm
-    811655224U,	// Int_VCVTSI2SS64Zrr
-    283222072U,	// Int_VCVTSI2SS64rm
-    811655224U,	// Int_VCVTSI2SS64rr
-    283204181U,	// Int_VCVTSI2SSZrm
-    811653717U,	// Int_VCVTSI2SSZrr
-    283204181U,	// Int_VCVTSI2SSrm
-    811653717U,	// Int_VCVTSI2SSrr
-    283333520U,	// Int_VCVTSS2SDrm
-    811651984U,	// Int_VCVTSS2SDrr
-    591019U,	// Int_VCVTTSD2SI64Zrm
-    8537259U,	// Int_VCVTTSD2SI64Zrr
-    595689U,	// Int_VCVTTSD2SI64rm
-    8541929U,	// Int_VCVTTSD2SI64rr
-    591019U,	// Int_VCVTTSD2SIZrm
-    8537259U,	// Int_VCVTTSD2SIZrr
-    595689U,	// Int_VCVTTSD2SIrm
-    8541929U,	// Int_VCVTTSD2SIrr
-    591069U,	// Int_VCVTTSD2USI64Zrm
-    8537309U,	// Int_VCVTTSD2USI64Zrr
-    591069U,	// Int_VCVTTSD2USIZrm
-    8537309U,	// Int_VCVTTSD2USIZrr
-    607428U,	// Int_VCVTTSS2SI64Zrm
-    8537284U,	// Int_VCVTTSS2SI64Zrr
-    612096U,	// Int_VCVTTSS2SI64rm
-    8541952U,	// Int_VCVTTSS2SI64rr
-    607428U,	// Int_VCVTTSS2SIZrm
-    8537284U,	// Int_VCVTTSS2SIZrr
-    612096U,	// Int_VCVTTSS2SIrm
-    8541952U,	// Int_VCVTTSS2SIrr
-    607480U,	// Int_VCVTTSS2USI64Zrm
-    8537336U,	// Int_VCVTTSS2USI64Zrr
-    607480U,	// Int_VCVTTSS2USIZrm
-    8537336U,	// Int_VCVTTSS2USIZrr
-    283221478U,	// Int_VCVTUSI2SD64Zrm
-    811654630U,	// Int_VCVTUSI2SD64Zrr
-    283203545U,	// Int_VCVTUSI2SDZrm
-    811653081U,	// Int_VCVTUSI2SDZrr
-    283222084U,	// Int_VCVTUSI2SS64Zrm
-    811655236U,	// Int_VCVTUSI2SS64Zrr
-    283204193U,	// Int_VCVTUSI2SSZrm
-    811653729U,	// Int_VCVTUSI2SSZrr
-    578589U,	// Int_VUCOMISDZrm
-    8541213U,	// Int_VUCOMISDZrr
-    578589U,	// Int_VUCOMISDrm
-    8541213U,	// Int_VUCOMISDrr
-    583345U,	// Int_VUCOMISSZrm
-    8545969U,	// Int_VUCOMISSZrr
-    583345U,	// Int_VUCOMISSrm
-    8545969U,	// Int_VUCOMISSrr
-    415120U,	// JAE_1
-    415120U,	// JAE_2
-    415120U,	// JAE_4
-    412833U,	// JA_1
-    412833U,	// JA_2
-    412833U,	// JA_4
-    415132U,	// JBE_1
-    415132U,	// JBE_2
-    415132U,	// JBE_4
-    413001U,	// JB_1
-    413001U,	// JB_2
-    413001U,	// JB_4
-    421118U,	// JCXZ
-    421111U,	// JECXZ_32
-    421111U,	// JECXZ_64
-    415191U,	// JE_1
-    415191U,	// JE_2
-    415191U,	// JE_4
-    415170U,	// JGE_1
-    415170U,	// JGE_2
-    415170U,	// JGE_4
-    415284U,	// JG_1
-    415284U,	// JG_2
-    415284U,	// JG_4
-    415195U,	// JLE_1
-    415195U,	// JLE_2
-    415195U,	// JLE_4
-    415885U,	// JL_1
-    415885U,	// JL_2
-    415885U,	// JL_4
-    275912U,	// JMP16m
-    30152U,	// JMP16r
-    292262U,	// JMP32m
-    30118U,	// JMP32r
-    406967U,	// JMP64m
-    30135U,	// JMP64r
-    416738U,	// JMP_1
-    416738U,	// JMP_2
-    416738U,	// JMP_4
-    415207U,	// JNE_1
-    415207U,	// JNE_2
-    415207U,	// JNE_4
-    416688U,	// JNO_1
-    416688U,	// JNO_2
-    416688U,	// JNO_4
-    416758U,	// JNP_1
-    416758U,	// JNP_2
-    416758U,	// JNP_4
-    418409U,	// JNS_1
-    418409U,	// JNS_2
-    418409U,	// JNS_4
-    416684U,	// JO_1
-    416684U,	// JO_2
-    416684U,	// JO_4
-    416727U,	// JP_1
-    416727U,	// JP_2
-    416727U,	// JP_4
-    421124U,	// JRCXZ
-    418375U,	// JS_1
-    418375U,	// JS_2
-    418375U,	// JS_4
-    811649723U,	// KANDNWrr
-    811649671U,	// KANDWrr
-    8538907U,	// KMOVWkk
-    379675U,	// KMOVWkm
-    8538907U,	// KMOVWkr
-    4229915U,	// KMOVWmk
-    8538907U,	// KMOVWrk
-    8538888U,	// KNOTWrr
-    8538896U,	// KORTESTWrr
-    811649765U,	// KORWrr
+    1921464401U,	// Int_CMPSDrm
+    1384609873U,	// Int_CMPSDrr
+    2730965073U,	// Int_CMPSSrm
+    1388804177U,	// Int_CMPSSrr
+    579063U,	// Int_COMISDrm
+    8541687U,	// Int_COMISDrr
+    583819U,	// Int_COMISSrm
+    8546443U,	// Int_COMISSrr
+    551757829U,	// Int_CVTSD2SSrm
+    8448005U,	// Int_CVTSD2SSrr
+    551657395U,	// Int_CVTSI2SD64rm
+    8445875U,	// Int_CVTSI2SD64rr
+    551639462U,	// Int_CVTSI2SDrm
+    8444326U,	// Int_CVTSI2SDrr
+    551658001U,	// Int_CVTSI2SS64rm
+    8446481U,	// Int_CVTSI2SS64rr
+    551640110U,	// Int_CVTSI2SSrm
+    8444974U,	// Int_CVTSI2SSrr
+    551769449U,	// Int_CVTSS2SDrm
+    8443241U,	// Int_CVTSS2SDrr
+    596162U,	// Int_CVTTSD2SI64rm
+    8542402U,	// Int_CVTTSD2SI64rr
+    596162U,	// Int_CVTTSD2SIrm
+    8542402U,	// Int_CVTTSD2SIrr
+    612569U,	// Int_CVTTSS2SI64rm
+    8542425U,	// Int_CVTTSS2SI64rr
+    612569U,	// Int_CVTTSS2SIrm
+    8542425U,	// Int_CVTTSS2SIrr
+    13811U,	// Int_MemBarrier
+    579062U,	// Int_UCOMISDrm
+    8541686U,	// Int_UCOMISDrr
+    583818U,	// Int_UCOMISSrm
+    8546442U,	// Int_UCOMISSrr
+    1921661013U,	// Int_VCMPSDrm
+    1384806485U,	// Int_VCMPSDrr
+    2731161685U,	// Int_VCMPSSrm
+    1389000789U,	// Int_VCMPSSrr
+    579071U,	// Int_VCOMISDZrm
+    8541695U,	// Int_VCOMISDZrr
+    579071U,	// Int_VCOMISDrm
+    8541695U,	// Int_VCOMISDrr
+    583827U,	// Int_VCOMISSZrm
+    8546451U,	// Int_VCOMISSZrr
+    583827U,	// Int_VCOMISSrm
+    8546451U,	// Int_VCOMISSrr
+    283322372U,	// Int_VCVTSD2SSrm
+    811657220U,	// Int_VCVTSD2SSrr
+    283221938U,	// Int_VCVTSI2SD64Zrm
+    811655090U,	// Int_VCVTSI2SD64Zrr
+    283221938U,	// Int_VCVTSI2SD64rm
+    811655090U,	// Int_VCVTSI2SD64rr
+    283204005U,	// Int_VCVTSI2SDZrm
+    811653541U,	// Int_VCVTSI2SDZrr
+    283204005U,	// Int_VCVTSI2SDrm
+    811653541U,	// Int_VCVTSI2SDrr
+    283222544U,	// Int_VCVTSI2SS64Zrm
+    811655696U,	// Int_VCVTSI2SS64Zrr
+    283222544U,	// Int_VCVTSI2SS64rm
+    811655696U,	// Int_VCVTSI2SS64rr
+    283204653U,	// Int_VCVTSI2SSZrm
+    811654189U,	// Int_VCVTSI2SSZrr
+    283204653U,	// Int_VCVTSI2SSrm
+    811654189U,	// Int_VCVTSI2SSrr
+    283333992U,	// Int_VCVTSS2SDrm
+    811652456U,	// Int_VCVTSS2SDrr
+    591268U,	// Int_VCVTTSD2SI64Zrm
+    8537508U,	// Int_VCVTTSD2SI64Zrr
+    596161U,	// Int_VCVTTSD2SI64rm
+    8542401U,	// Int_VCVTTSD2SI64rr
+    591268U,	// Int_VCVTTSD2SIZrm
+    8537508U,	// Int_VCVTTSD2SIZrr
+    596161U,	// Int_VCVTTSD2SIrm
+    8542401U,	// Int_VCVTTSD2SIrr
+    591318U,	// Int_VCVTTSD2USI64Zrm
+    8537558U,	// Int_VCVTTSD2USI64Zrr
+    591318U,	// Int_VCVTTSD2USIZrm
+    8537558U,	// Int_VCVTTSD2USIZrr
+    607677U,	// Int_VCVTTSS2SI64Zrm
+    8537533U,	// Int_VCVTTSS2SI64Zrr
+    612568U,	// Int_VCVTTSS2SI64rm
+    8542424U,	// Int_VCVTTSS2SI64rr
+    607677U,	// Int_VCVTTSS2SIZrm
+    8537533U,	// Int_VCVTTSS2SIZrr
+    612568U,	// Int_VCVTTSS2SIrm
+    8542424U,	// Int_VCVTTSS2SIrr
+    607729U,	// Int_VCVTTSS2USI64Zrm
+    8537585U,	// Int_VCVTTSS2USI64Zrr
+    607729U,	// Int_VCVTTSS2USIZrm
+    8537585U,	// Int_VCVTTSS2USIZrr
+    283221950U,	// Int_VCVTUSI2SD64Zrm
+    811655102U,	// Int_VCVTUSI2SD64Zrr
+    283204017U,	// Int_VCVTUSI2SDZrm
+    811653553U,	// Int_VCVTUSI2SDZrr
+    283222556U,	// Int_VCVTUSI2SS64Zrm
+    811655708U,	// Int_VCVTUSI2SS64Zrr
+    283204665U,	// Int_VCVTUSI2SSZrm
+    811654201U,	// Int_VCVTUSI2SSZrr
+    579061U,	// Int_VUCOMISDZrm
+    8541685U,	// Int_VUCOMISDZrr
+    579061U,	// Int_VUCOMISDrm
+    8541685U,	// Int_VUCOMISDrr
+    583817U,	// Int_VUCOMISSZrm
+    8546441U,	// Int_VUCOMISSZrr
+    583817U,	// Int_VUCOMISSrm
+    8546441U,	// Int_VUCOMISSrr
+    415592U,	// JAE_1
+    415592U,	// JAE_2
+    415592U,	// JAE_4
+    413305U,	// JA_1
+    413305U,	// JA_2
+    413305U,	// JA_4
+    415604U,	// JBE_1
+    415604U,	// JBE_2
+    415604U,	// JBE_4
+    413473U,	// JB_1
+    413473U,	// JB_2
+    413473U,	// JB_4
+    421590U,	// JCXZ
+    421583U,	// JECXZ_32
+    421583U,	// JECXZ_64
+    415663U,	// JE_1
+    415663U,	// JE_2
+    415663U,	// JE_4
+    415642U,	// JGE_1
+    415642U,	// JGE_2
+    415642U,	// JGE_4
+    415756U,	// JG_1
+    415756U,	// JG_2
+    415756U,	// JG_4
+    415667U,	// JLE_1
+    415667U,	// JLE_2
+    415667U,	// JLE_4
+    416357U,	// JL_1
+    416357U,	// JL_2
+    416357U,	// JL_4
+    275632U,	// JMP16m
+    29872U,	// JMP16r
+    291982U,	// JMP32m
+    29838U,	// JMP32r
+    406687U,	// JMP64m
+    29855U,	// JMP64r
+    417210U,	// JMP_1
+    417210U,	// JMP_2
+    417210U,	// JMP_4
+    415679U,	// JNE_1
+    415679U,	// JNE_2
+    415679U,	// JNE_4
+    417160U,	// JNO_1
+    417160U,	// JNO_2
+    417160U,	// JNO_4
+    417230U,	// JNP_1
+    417230U,	// JNP_2
+    417230U,	// JNP_4
+    418881U,	// JNS_1
+    418881U,	// JNS_2
+    418881U,	// JNS_4
+    417156U,	// JO_1
+    417156U,	// JO_2
+    417156U,	// JO_4
+    417199U,	// JP_1
+    417199U,	// JP_2
+    417199U,	// JP_4
+    421596U,	// JRCXZ
+    418847U,	// JS_1
+    418847U,	// JS_2
+    418847U,	// JS_4
+    811647013U,	// KANDBrr
+    811647227U,	// KANDDrr
+    811647054U,	// KANDNBrr
+    811647353U,	// KANDNDrr
+    811649040U,	// KANDNQrr
+    811650152U,	// KANDNWrr
+    811648790U,	// KANDQrr
+    811650100U,	// KANDWrr
+    8536216U,	// KMOVBkk
+    442520U,	// KMOVBkm
+    8536216U,	// KMOVBkr
+    23101592U,	// KMOVBmk
+    8536216U,	// KMOVBrk
+    8537464U,	// KMOVDkk
+    551683448U,	// KMOVDkm
+    8537464U,	// KMOVDkr
+    12617080U,	// KMOVDmk
+    8537464U,	// KMOVDrk
+    8538401U,	// KMOVQkk
+    551717153U,	// KMOVQkm
+    8538401U,	// KMOVQkr
+    18909473U,	// KMOVQmk
+    8538401U,	// KMOVQrk
+    8539336U,	// KMOVWkk
+    380104U,	// KMOVWkm
+    8539336U,	// KMOVWkr
+    4230344U,	// KMOVWmk
+    8539336U,	// KMOVWrk
+    8536208U,	// KNOTBrr
+    8537391U,	// KNOTDrr
+    8538328U,	// KNOTQrr
+    8539317U,	// KNOTWrr
+    811647096U,	// KORBrr
+    811648053U,	// KORDrr
+    811649107U,	// KORQrr
+    8539325U,	// KORTESTWrr
+    811650194U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    811649712U,	// KSHIFTLWri
-    811649789U,	// KSHIFTRWri
-    811649660U,	// KUNPCKBWrr
-    811649772U,	// KXNORWrr
-    811649781U,	// KXORWrr
-    14380U,	// LAHF
-    387742U,	// LAR16rm
-    8546974U,	// LAR16rr
-    383407U,	// LAR32rm
-    8542639U,	// LAR32rr
-    384932U,	// LAR64rm
-    8544164U,	// LAR64rr
-    4237731U,	// LCMPXCHG16
-    560340U,	// LCMPXCHG16B
-    12621917U,	// LCMPXCHG32
-    18914993U,	// LCMPXCHG64
-    23104823U,	// LCMPXCHG8
-    396512U,	// LCMPXCHG8B
-    321499U,	// LDDQUrm
-    287221U,	// LDMXCSR
-    699205U,	// LDS16rm
-    694822U,	// LDS32rm
-    15518U,	// LD_F0
-    13781U,	// LD_F1
-    238138U,	// LD_F32m
-    251817U,	// LD_F64m
-    714577U,	// LD_F80m
+    811650141U,	// KSHIFTLWri
+    811650218U,	// KSHIFTRWri
+    811650089U,	// KUNPCKBWrr
+    811647103U,	// KXNORBrr
+    811648060U,	// KXNORDrr
+    811649114U,	// KXNORQrr
+    811650201U,	// KXNORWrr
+    811647112U,	// KXORBrr
+    811648077U,	// KXORDrr
+    811649131U,	// KXORQrr
+    811650210U,	// KXORWrr
+    14131U,	// LAHF
+    388214U,	// LAR16rm
+    8547446U,	// LAR16rr
+    383879U,	// LAR32rm
+    8543111U,	// LAR32rr
+    385404U,	// LAR64rm
+    8544636U,	// LAR64rr
+    4238203U,	// LCMPXCHG16
+    560812U,	// LCMPXCHG16B
+    12622389U,	// LCMPXCHG32
+    18915465U,	// LCMPXCHG64
+    23105295U,	// LCMPXCHG8
+    396984U,	// LCMPXCHG8B
+    321971U,	// LDDQUrm
+    287693U,	// LDMXCSR
+    699677U,	// LDS16rm
+    695294U,	// LDS32rm
+    15281U,	// LD_F0
+    13501U,	// LD_F1
+    238610U,	// LD_F32m
+    252289U,	// LD_F64m
+    715049U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1239,582 +1231,583 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    20446U,	// LD_Frr
-    387111U,	// LEA16r
-    551687982U,	// LEA32r
-    551687982U,	// LEA64_32r
-    551722099U,	// LEA64r
-    14367U,	// LEAVE
-    14367U,	// LEAVE64
-    699218U,	// LES16rm
-    694835U,	// LES32rm
-    14293U,	// LFENCE
-    699224U,	// LFS16rm
-    694841U,	// LFS32rm
-    696348U,	// LFS64rm
-    633805U,	// LGDT16m
-    629390U,	// LGDT32m
-    630890U,	// LGDT64m
-    699230U,	// LGS16rm
-    694847U,	// LGS32rm
-    696354U,	// LGS64rm
-    633819U,	// LIDT16m
-    629404U,	// LIDT32m
-    630904U,	// LIDT64m
-    273385U,	// LLDT16m
-    27625U,	// LLDT16r
-    273549U,	// LMSW16m
-    27789U,	// LMSW16r
-    4237566U,	// LOCK_ADD16mi
-    4237566U,	// LOCK_ADD16mi8
-    4237566U,	// LOCK_ADD16mr
-    12621707U,	// LOCK_ADD32mi
-    12621707U,	// LOCK_ADD32mi8
-    12621707U,	// LOCK_ADD32mr
-    18914622U,	// LOCK_ADD64mi32
-    18914622U,	// LOCK_ADD64mi8
-    18914622U,	// LOCK_ADD64mr
-    23104789U,	// LOCK_ADD8mi
-    23104789U,	// LOCK_ADD8mr
-    4237606U,	// LOCK_AND16mi
-    4237606U,	// LOCK_AND16mi8
-    4237606U,	// LOCK_AND16mr
-    12621760U,	// LOCK_AND32mi
-    12621760U,	// LOCK_AND32mi8
-    12621760U,	// LOCK_AND32mr
-    18914715U,	// LOCK_AND64mi32
-    18914715U,	// LOCK_AND64mi8
-    18914715U,	// LOCK_AND64mr
-    23104802U,	// LOCK_AND8mi
-    23104802U,	// LOCK_AND8mr
-    272609U,	// LOCK_DEC16m
-    284527U,	// LOCK_DEC32m
-    400608U,	// LOCK_DEC64m
-    429319U,	// LOCK_DEC8m
-    272615U,	// LOCK_INC16m
-    284533U,	// LOCK_INC32m
-    400614U,	// LOCK_INC64m
-    429325U,	// LOCK_INC8m
-    4238022U,	// LOCK_OR16mi
-    4238022U,	// LOCK_OR16mi8
-    4238022U,	// LOCK_OR16mr
-    12622297U,	// LOCK_OR32mi
-    12622297U,	// LOCK_OR32mi8
-    12622297U,	// LOCK_OR32mr
-    18915261U,	// LOCK_OR64mi32
-    18915261U,	// LOCK_OR64mi8
-    18915261U,	// LOCK_OR64mr
-    23104955U,	// LOCK_OR8mi
-    23104955U,	// LOCK_OR8mr
-    14438U,	// LOCK_PREFIX
-    4237472U,	// LOCK_SUB16mi
-    4237472U,	// LOCK_SUB16mi8
-    4237472U,	// LOCK_SUB16mr
-    12621643U,	// LOCK_SUB32mi
-    12621643U,	// LOCK_SUB32mi8
-    12621643U,	// LOCK_SUB32mr
-    18914478U,	// LOCK_SUB64mi32
-    18914478U,	// LOCK_SUB64mi8
-    18914478U,	// LOCK_SUB64mr
-    23104763U,	// LOCK_SUB8mi
-    23104763U,	// LOCK_SUB8mr
-    4238027U,	// LOCK_XOR16mi
-    4238027U,	// LOCK_XOR16mi8
-    4238027U,	// LOCK_XOR16mr
-    12622302U,	// LOCK_XOR32mi
-    12622302U,	// LOCK_XOR32mi8
-    12622302U,	// LOCK_XOR32mr
-    18915276U,	// LOCK_XOR64mi32
-    18915276U,	// LOCK_XOR64mi8
-    18915276U,	// LOCK_XOR64mr
-    23104960U,	// LOCK_XOR8mi
-    23104960U,	// LOCK_XOR8mr
-    21696002U,	// LODSB
-    11229740U,	// LODSL
-    761877U,	// LODSQ
-    2878283U,	// LODSW
-    416770U,	// LOOP
-    415236U,	// LOOPE
-    415212U,	// LOOPNE
-    23217U,	// LRETIL
-    24717U,	// LRETIQ
-    27639U,	// LRETIW
-    14540U,	// LRETL
-    14750U,	// LRETQ
-    15298U,	// LRETW
-    387630U,	// LSL16rm
-    8546862U,	// LSL16rr
-    551688423U,	// LSL32rm
-    8542439U,	// LSL32rr
-    551722779U,	// LSL64rm
-    8544027U,	// LSL64rr
-    699272U,	// LSS16rm
-    694894U,	// LSS32rm
-    696401U,	// LSS64rm
-    273126U,	// LTRm
-    27366U,	// LTRr
-    54683916U,	// LXADD16
-    56776601U,	// LXADD32
-    58875204U,	// LXADD64
-    60968219U,	// LXADD8
-    388113U,	// LZCNT16rm
-    8547345U,	// LZCNT16rr
-    551688903U,	// LZCNT32rm
-    8542919U,	// LZCNT32rr
-    551723175U,	// LZCNT64rm
-    8544423U,	// LZCNT64rr
-    8546275U,	// MASKMOVDQU
-    8546275U,	// MASKMOVDQU64
-    8573676U,	// MAXCPDrm
-    8442604U,	// MAXCPDrr
-    8578446U,	// MAXCPSrm
-    8447374U,	// MAXCPSrr
-    551752835U,	// MAXCSDrm
-    8443011U,	// MAXCSDrr
-    551773983U,	// MAXCSSrm
-    8447775U,	// MAXCSSrr
-    8573676U,	// MAXPDrm
-    8442604U,	// MAXPDrr
-    8578446U,	// MAXPSrm
-    8447374U,	// MAXPSrr
-    551752835U,	// MAXSDrm
-    551752835U,	// MAXSDrm_Int
-    8443011U,	// MAXSDrr
-    8443011U,	// MAXSDrr_Int
-    551773983U,	// MAXSSrm
-    551773983U,	// MAXSSrm_Int
-    8447775U,	// MAXSSrr
-    8447775U,	// MAXSSrr_Int
-    14300U,	// MFENCE
-    8573558U,	// MINCPDrm
-    8442486U,	// MINCPDrr
-    8578263U,	// MINCPSrm
-    8447191U,	// MINCPSrr
-    551752762U,	// MINCSDrm
-    8442938U,	// MINCSDrr
-    551773901U,	// MINCSSrm
-    8447693U,	// MINCSSrr
-    8573558U,	// MINPDrm
-    8442486U,	// MINPDrr
-    8578263U,	// MINPSrm
-    8447191U,	// MINPSrr
-    551752762U,	// MINSDrm
-    551752762U,	// MINSDrm_Int
-    8442938U,	// MINSDrr
-    8442938U,	// MINSDrr_Int
-    551773901U,	// MINSSrm
-    551773901U,	// MINSSrm_Int
-    8447693U,	// MINSSrr
-    8447693U,	// MINSSrr_Int
-    579233U,	// MMX_CVTPD2PIirm
-    8541857U,	// MMX_CVTPD2PIirr
-    551719136U,	// MMX_CVTPI2PDirm
-    8540384U,	// MMX_CVTPI2PDirr
-    551658295U,	// MMX_CVTPI2PSirm
-    8446775U,	// MMX_CVTPI2PSirr
-    595638U,	// MMX_CVTPS2PIirm
-    8541878U,	// MMX_CVTPS2PIirr
-    579222U,	// MMX_CVTTPD2PIirm
-    8541846U,	// MMX_CVTTPD2PIirr
-    595627U,	// MMX_CVTTPS2PIirm
-    8541867U,	// MMX_CVTTPS2PIirr
-    15109U,	// MMX_EMMS
-    8544554U,	// MMX_MASKMOVQ
-    8544554U,	// MMX_MASKMOVQ64
-    8541440U,	// MMX_MOVD64from64rr
-    8541440U,	// MMX_MOVD64grr
-    12621056U,	// MMX_MOVD64mr
-    551687424U,	// MMX_MOVD64rm
-    8541440U,	// MMX_MOVD64rr
-    8541440U,	// MMX_MOVD64to64rr
-    8543338U,	// MMX_MOVDQ2Qrr
-    8543338U,	// MMX_MOVFR642Qrr
-    18915511U,	// MMX_MOVNTQmr
-    8543497U,	// MMX_MOVQ2DQrr
-    8543497U,	// MMX_MOVQ2FR64rr
-    18915630U,	// MMX_MOVQ64mr
-    551723310U,	// MMX_MOVQ64rm
-    8544558U,	// MMX_MOVQ64rr
-    551718368U,	// MMX_PABSBrm64
-    8539616U,	// MMX_PABSBrr64
-    551719890U,	// MMX_PABSDrm64
-    8541138U,	// MMX_PABSDrr64
-    551725827U,	// MMX_PABSWrm64
-    8547075U,	// MMX_PABSWrr64
-    551659838U,	// MMX_PACKSSDWirm
-    8448318U,	// MMX_PACKSSDWirr
-    551653053U,	// MMX_PACKSSWBirm
-    8441533U,	// MMX_PACKSSWBirr
-    551653064U,	// MMX_PACKUSWBirm
-    8441544U,	// MMX_PACKUSWBirr
-    551652628U,	// MMX_PADDBirm
-    8441108U,	// MMX_PADDBirr
-    551653245U,	// MMX_PADDDirm
-    8441725U,	// MMX_PADDDirr
-    551656765U,	// MMX_PADDQirm
-    8445245U,	// MMX_PADDQirr
-    551652858U,	// MMX_PADDSBirm
-    8441338U,	// MMX_PADDSBirr
-    551660349U,	// MMX_PADDSWirm
-    8448829U,	// MMX_PADDSWirr
-    551652907U,	// MMX_PADDUSBirm
-    8441387U,	// MMX_PADDUSBirr
-    551660462U,	// MMX_PADDUSWirm
-    8448942U,	// MMX_PADDUSWirr
-    551659781U,	// MMX_PADDWirm
-    8448261U,	// MMX_PADDWirr
-    864379335U,	// MMX_PALIGNR64irm
-    839229895U,	// MMX_PALIGNR64irr
-    551656335U,	// MMX_PANDNirm
-    8444815U,	// MMX_PANDNirr
-    551653406U,	// MMX_PANDirm
-    8441886U,	// MMX_PANDirr
-    551652674U,	// MMX_PAVGBirm
-    8441154U,	// MMX_PAVGBirr
-    551659950U,	// MMX_PAVGWirm
-    8448430U,	// MMX_PAVGWirr
-    551652767U,	// MMX_PCMPEQBirm
-    8441247U,	// MMX_PCMPEQBirr
-    551654141U,	// MMX_PCMPEQDirm
-    8442621U,	// MMX_PCMPEQDirr
-    551660181U,	// MMX_PCMPEQWirm
-    8448661U,	// MMX_PCMPEQWirr
-    551652948U,	// MMX_PCMPGTBirm
-    8441428U,	// MMX_PCMPGTBirr
-    551654556U,	// MMX_PCMPGTDirm
-    8443036U,	// MMX_PCMPGTDirr
-    551660543U,	// MMX_PCMPGTWirm
-    8449023U,	// MMX_PCMPGTWirr
-    811657971U,	// MMX_PEXTRWirri
-    551660339U,	// MMX_PHADDSWrm64
-    8448819U,	// MMX_PHADDSWrr64
-    551659772U,	// MMX_PHADDWrm64
-    8448252U,	// MMX_PHADDWrr64
-    551653236U,	// MMX_PHADDrm64
-    8441716U,	// MMX_PHADDrr64
-    551653190U,	// MMX_PHSUBDrm64
-    8441670U,	// MMX_PHSUBDrr64
-    551660320U,	// MMX_PHSUBSWrm64
-    8448800U,	// MMX_PHSUBSWrr64
-    551659678U,	// MMX_PHSUBWrm64
-    8448158U,	// MMX_PHSUBWrr64
-    860187352U,	// MMX_PINSRWirmi
-    839232216U,	// MMX_PINSRWirri
-    551660308U,	// MMX_PMADDUBSWrm64
-    8448788U,	// MMX_PMADDUBSWrr64
-    551654690U,	// MMX_PMADDWDirm
-    8443170U,	// MMX_PMADDWDirr
-    551660480U,	// MMX_PMAXSWirm
-    8448960U,	// MMX_PMAXSWirr
-    551653018U,	// MMX_PMAXUBirm
-    8441498U,	// MMX_PMAXUBirr
-    551660389U,	// MMX_PMINSWirm
-    8448869U,	// MMX_PMINSWirr
-    551653002U,	// MMX_PMINUBirm
-    8441482U,	// MMX_PMINUBirr
-    8539470U,	// MMX_PMOVMSKBrr
-    551660414U,	// MMX_PMULHRSWrm64
-    8448894U,	// MMX_PMULHRSWrr64
-    551660613U,	// MMX_PMULHUWirm
-    8449093U,	// MMX_PMULHUWirr
-    551659987U,	// MMX_PMULHWirm
-    8448467U,	// MMX_PMULHWirr
-    551660056U,	// MMX_PMULLWirm
-    8448536U,	// MMX_PMULLWirr
-    551656969U,	// MMX_PMULUDQirm
-    8445449U,	// MMX_PMULUDQirr
-    551657937U,	// MMX_PORirm
-    8446417U,	// MMX_PORirr
-    551659607U,	// MMX_PSADBWirm
-    8448087U,	// MMX_PSADBWirr
-    551652649U,	// MMX_PSHUFBrm64
-    8441129U,	// MMX_PSHUFBrr64
-    835004821U,	// MMX_PSHUFWmi
-    811657621U,	// MMX_PSHUFWri
-    551652738U,	// MMX_PSIGNBrm64
-    8441218U,	// MMX_PSIGNBrr64
-    551653413U,	// MMX_PSIGNDrm64
-    8441893U,	// MMX_PSIGNDrr64
-    551660108U,	// MMX_PSIGNWrm64
-    8448588U,	// MMX_PSIGNWrr64
-    8441836U,	// MMX_PSLLDri
-    551653356U,	// MMX_PSLLDrm
-    8441836U,	// MMX_PSLLDrr
-    8445702U,	// MMX_PSLLQri
-    551657222U,	// MMX_PSLLQrm
-    8445702U,	// MMX_PSLLQrr
-    8448528U,	// MMX_PSLLWri
-    551660048U,	// MMX_PSLLWrm
-    8448528U,	// MMX_PSLLWrr
-    8441641U,	// MMX_PSRADri
-    551653161U,	// MMX_PSRADrm
-    8441641U,	// MMX_PSRADrr
-    8448054U,	// MMX_PSRAWri
-    551659574U,	// MMX_PSRAWrm
-    8448054U,	// MMX_PSRAWrr
-    8441853U,	// MMX_PSRLDri
-    551653373U,	// MMX_PSRLDrm
-    8441853U,	// MMX_PSRLDrr
-    8445716U,	// MMX_PSRLQri
-    551657236U,	// MMX_PSRLQrm
-    8445716U,	// MMX_PSRLQrr
-    8448551U,	// MMX_PSRLWri
-    551660071U,	// MMX_PSRLWrm
-    8448551U,	// MMX_PSRLWrr
-    551652602U,	// MMX_PSUBBirm
-    8441082U,	// MMX_PSUBBirr
-    551653199U,	// MMX_PSUBDirm
-    8441679U,	// MMX_PSUBDirr
-    551656621U,	// MMX_PSUBQirm
-    8445101U,	// MMX_PSUBQirr
-    551652849U,	// MMX_PSUBSBirm
-    8441329U,	// MMX_PSUBSBirr
-    551660330U,	// MMX_PSUBSWirm
-    8448810U,	// MMX_PSUBSWirr
-    551652897U,	// MMX_PSUBUSBirm
-    8441377U,	// MMX_PSUBUSBirr
-    551660452U,	// MMX_PSUBUSWirm
-    8448932U,	// MMX_PSUBUSWirr
-    551659687U,	// MMX_PSUBWirm
-    8448167U,	// MMX_PSUBWirr
-    551659635U,	// MMX_PUNPCKHBWirm
-    8448115U,	// MMX_PUNPCKHBWirr
-    551656799U,	// MMX_PUNPCKHDQirm
-    8445279U,	// MMX_PUNPCKHDQirr
-    551654700U,	// MMX_PUNPCKHWDirm
-    8443180U,	// MMX_PUNPCKHWDirr
-    551659647U,	// MMX_PUNPCKLBWirm
-    8448127U,	// MMX_PUNPCKLBWirr
-    551656818U,	// MMX_PUNPCKLDQirm
-    8445298U,	// MMX_PUNPCKLDQirr
-    551654712U,	// MMX_PUNPCKLWDirm
-    8443192U,	// MMX_PUNPCKLWDirr
-    551657960U,	// MMX_PXORirm
-    8446440U,	// MMX_PXORirr
+    20918U,	// LD_Frr
+    387583U,	// LEA16r
+    551688454U,	// LEA32r
+    551688454U,	// LEA64_32r
+    551722571U,	// LEA64r
+    14118U,	// LEAVE
+    14118U,	// LEAVE64
+    699690U,	// LES16rm
+    695307U,	// LES32rm
+    14013U,	// LFENCE
+    699696U,	// LFS16rm
+    695313U,	// LFS32rm
+    696820U,	// LFS64rm
+    634277U,	// LGDT16m
+    629862U,	// LGDT32m
+    631362U,	// LGDT64m
+    699702U,	// LGS16rm
+    695319U,	// LGS32rm
+    696826U,	// LGS64rm
+    634291U,	// LIDT16m
+    629876U,	// LIDT32m
+    631376U,	// LIDT64m
+    273857U,	// LLDT16m
+    28097U,	// LLDT16r
+    274021U,	// LMSW16m
+    28261U,	// LMSW16r
+    4238038U,	// LOCK_ADD16mi
+    4238038U,	// LOCK_ADD16mi8
+    4238038U,	// LOCK_ADD16mr
+    12622179U,	// LOCK_ADD32mi
+    12622179U,	// LOCK_ADD32mi8
+    12622179U,	// LOCK_ADD32mr
+    18915094U,	// LOCK_ADD64mi32
+    18915094U,	// LOCK_ADD64mi8
+    18915094U,	// LOCK_ADD64mr
+    23105261U,	// LOCK_ADD8mi
+    23105261U,	// LOCK_ADD8mr
+    4238078U,	// LOCK_AND16mi
+    4238078U,	// LOCK_AND16mi8
+    4238078U,	// LOCK_AND16mr
+    12622232U,	// LOCK_AND32mi
+    12622232U,	// LOCK_AND32mi8
+    12622232U,	// LOCK_AND32mr
+    18915187U,	// LOCK_AND64mi32
+    18915187U,	// LOCK_AND64mi8
+    18915187U,	// LOCK_AND64mr
+    23105274U,	// LOCK_AND8mi
+    23105274U,	// LOCK_AND8mr
+    273081U,	// LOCK_DEC16m
+    284999U,	// LOCK_DEC32m
+    401080U,	// LOCK_DEC64m
+    429791U,	// LOCK_DEC8m
+    273087U,	// LOCK_INC16m
+    285005U,	// LOCK_INC32m
+    401086U,	// LOCK_INC64m
+    429797U,	// LOCK_INC8m
+    4238494U,	// LOCK_OR16mi
+    4238494U,	// LOCK_OR16mi8
+    4238494U,	// LOCK_OR16mr
+    12622769U,	// LOCK_OR32mi
+    12622769U,	// LOCK_OR32mi8
+    12622769U,	// LOCK_OR32mr
+    18915733U,	// LOCK_OR64mi32
+    18915733U,	// LOCK_OR64mi8
+    18915733U,	// LOCK_OR64mr
+    23105427U,	// LOCK_OR8mi
+    23105427U,	// LOCK_OR8mr
+    14189U,	// LOCK_PREFIX
+    4237944U,	// LOCK_SUB16mi
+    4237944U,	// LOCK_SUB16mi8
+    4237944U,	// LOCK_SUB16mr
+    12622115U,	// LOCK_SUB32mi
+    12622115U,	// LOCK_SUB32mi8
+    12622115U,	// LOCK_SUB32mr
+    18914950U,	// LOCK_SUB64mi32
+    18914950U,	// LOCK_SUB64mi8
+    18914950U,	// LOCK_SUB64mr
+    23105235U,	// LOCK_SUB8mi
+    23105235U,	// LOCK_SUB8mr
+    4238499U,	// LOCK_XOR16mi
+    4238499U,	// LOCK_XOR16mi8
+    4238499U,	// LOCK_XOR16mr
+    12622774U,	// LOCK_XOR32mi
+    12622774U,	// LOCK_XOR32mi8
+    12622774U,	// LOCK_XOR32mr
+    18915748U,	// LOCK_XOR64mi32
+    18915748U,	// LOCK_XOR64mi8
+    18915748U,	// LOCK_XOR64mr
+    23105432U,	// LOCK_XOR8mi
+    23105432U,	// LOCK_XOR8mr
+    21696474U,	// LODSB
+    11230212U,	// LODSL
+    762349U,	// LODSQ
+    2878755U,	// LODSW
+    417242U,	// LOOP
+    415708U,	// LOOPE
+    415684U,	// LOOPNE
+    23689U,	// LRETIL
+    25189U,	// LRETIQ
+    28111U,	// LRETIW
+    14291U,	// LRETL
+    14501U,	// LRETQ
+    15061U,	// LRETW
+    388102U,	// LSL16rm
+    8547334U,	// LSL16rr
+    551688895U,	// LSL32rm
+    8542911U,	// LSL32rr
+    551723251U,	// LSL64rm
+    8544499U,	// LSL64rr
+    699744U,	// LSS16rm
+    695366U,	// LSS32rm
+    696873U,	// LSS64rm
+    273598U,	// LTRm
+    27838U,	// LTRr
+    54684388U,	// LXADD16
+    56777073U,	// LXADD32
+    58875676U,	// LXADD64
+    60968691U,	// LXADD8
+    388585U,	// LZCNT16rm
+    8547817U,	// LZCNT16rr
+    551689375U,	// LZCNT32rm
+    8543391U,	// LZCNT32rr
+    551723647U,	// LZCNT64rm
+    8544895U,	// LZCNT64rr
+    8546747U,	// MASKMOVDQU
+    8546747U,	// MASKMOVDQU64
+    8574148U,	// MAXCPDrm
+    8443076U,	// MAXCPDrr
+    8578918U,	// MAXCPSrm
+    8447846U,	// MAXCPSrr
+    551753307U,	// MAXCSDrm
+    8443483U,	// MAXCSDrr
+    551774455U,	// MAXCSSrm
+    8448247U,	// MAXCSSrr
+    8574148U,	// MAXPDrm
+    8443076U,	// MAXPDrr
+    8578918U,	// MAXPSrm
+    8447846U,	// MAXPSrr
+    551753307U,	// MAXSDrm
+    551753307U,	// MAXSDrm_Int
+    8443483U,	// MAXSDrr
+    8443483U,	// MAXSDrr_Int
+    551774455U,	// MAXSSrm
+    551774455U,	// MAXSSrm_Int
+    8448247U,	// MAXSSrr
+    8448247U,	// MAXSSrr_Int
+    14020U,	// MFENCE
+    8574030U,	// MINCPDrm
+    8442958U,	// MINCPDrr
+    8578735U,	// MINCPSrm
+    8447663U,	// MINCPSrr
+    551753234U,	// MINCSDrm
+    8443410U,	// MINCSDrr
+    551774373U,	// MINCSSrm
+    8448165U,	// MINCSSrr
+    8574030U,	// MINPDrm
+    8442958U,	// MINPDrr
+    8578735U,	// MINPSrm
+    8447663U,	// MINPSrr
+    551753234U,	// MINSDrm
+    551753234U,	// MINSDrm_Int
+    8443410U,	// MINSDrr
+    8443410U,	// MINSDrr_Int
+    551774373U,	// MINSSrm
+    551774373U,	// MINSSrm_Int
+    8448165U,	// MINSSrr
+    8448165U,	// MINSSrr_Int
+    579705U,	// MMX_CVTPD2PIirm
+    8542329U,	// MMX_CVTPD2PIirr
+    551719608U,	// MMX_CVTPI2PDirm
+    8540856U,	// MMX_CVTPI2PDirr
+    551658767U,	// MMX_CVTPI2PSirm
+    8447247U,	// MMX_CVTPI2PSirr
+    596110U,	// MMX_CVTPS2PIirm
+    8542350U,	// MMX_CVTPS2PIirr
+    579694U,	// MMX_CVTTPD2PIirm
+    8542318U,	// MMX_CVTTPD2PIirr
+    596099U,	// MMX_CVTTPS2PIirm
+    8542339U,	// MMX_CVTTPS2PIirr
+    14866U,	// MMX_EMMS
+    8545026U,	// MMX_MASKMOVQ
+    8545026U,	// MMX_MASKMOVQ64
+    8541912U,	// MMX_MOVD64from64rr
+    8541912U,	// MMX_MOVD64grr
+    12621528U,	// MMX_MOVD64mr
+    551687896U,	// MMX_MOVD64rm
+    8541912U,	// MMX_MOVD64rr
+    8541912U,	// MMX_MOVD64to64rr
+    8543810U,	// MMX_MOVDQ2Qrr
+    8543810U,	// MMX_MOVFR642Qrr
+    18915983U,	// MMX_MOVNTQmr
+    8543969U,	// MMX_MOVQ2DQrr
+    8543969U,	// MMX_MOVQ2FR64rr
+    18916102U,	// MMX_MOVQ64mr
+    551723782U,	// MMX_MOVQ64rm
+    8545030U,	// MMX_MOVQ64rr
+    8545030U,	// MMX_MOVQ64rr_REV
+    551718840U,	// MMX_PABSBrm64
+    8540088U,	// MMX_PABSBrr64
+    551720362U,	// MMX_PABSDrm64
+    8541610U,	// MMX_PABSDrr64
+    551726299U,	// MMX_PABSWrm64
+    8547547U,	// MMX_PABSWrr64
+    551660310U,	// MMX_PACKSSDWirm
+    8448790U,	// MMX_PACKSSDWirr
+    551653525U,	// MMX_PACKSSWBirm
+    8442005U,	// MMX_PACKSSWBirr
+    551653536U,	// MMX_PACKUSWBirm
+    8442016U,	// MMX_PACKUSWBirr
+    551653100U,	// MMX_PADDBirm
+    8441580U,	// MMX_PADDBirr
+    551653717U,	// MMX_PADDDirm
+    8442197U,	// MMX_PADDDirr
+    551657237U,	// MMX_PADDQirm
+    8445717U,	// MMX_PADDQirr
+    551653330U,	// MMX_PADDSBirm
+    8441810U,	// MMX_PADDSBirr
+    551660821U,	// MMX_PADDSWirm
+    8449301U,	// MMX_PADDSWirr
+    551653379U,	// MMX_PADDUSBirm
+    8441859U,	// MMX_PADDUSBirr
+    551660934U,	// MMX_PADDUSWirm
+    8449414U,	// MMX_PADDUSWirr
+    551660253U,	// MMX_PADDWirm
+    8448733U,	// MMX_PADDWirr
+    864379807U,	// MMX_PALIGNR64irm
+    839230367U,	// MMX_PALIGNR64irr
+    551656807U,	// MMX_PANDNirm
+    8445287U,	// MMX_PANDNirr
+    551653878U,	// MMX_PANDirm
+    8442358U,	// MMX_PANDirr
+    551653146U,	// MMX_PAVGBirm
+    8441626U,	// MMX_PAVGBirr
+    551660422U,	// MMX_PAVGWirm
+    8448902U,	// MMX_PAVGWirr
+    551653239U,	// MMX_PCMPEQBirm
+    8441719U,	// MMX_PCMPEQBirr
+    551654613U,	// MMX_PCMPEQDirm
+    8443093U,	// MMX_PCMPEQDirr
+    551660653U,	// MMX_PCMPEQWirm
+    8449133U,	// MMX_PCMPEQWirr
+    551653420U,	// MMX_PCMPGTBirm
+    8441900U,	// MMX_PCMPGTBirr
+    551655028U,	// MMX_PCMPGTDirm
+    8443508U,	// MMX_PCMPGTDirr
+    551661015U,	// MMX_PCMPGTWirm
+    8449495U,	// MMX_PCMPGTWirr
+    811658443U,	// MMX_PEXTRWirri
+    551660811U,	// MMX_PHADDSWrm64
+    8449291U,	// MMX_PHADDSWrr64
+    551660244U,	// MMX_PHADDWrm64
+    8448724U,	// MMX_PHADDWrr64
+    551653708U,	// MMX_PHADDrm64
+    8442188U,	// MMX_PHADDrr64
+    551653662U,	// MMX_PHSUBDrm64
+    8442142U,	// MMX_PHSUBDrr64
+    551660792U,	// MMX_PHSUBSWrm64
+    8449272U,	// MMX_PHSUBSWrr64
+    551660150U,	// MMX_PHSUBWrm64
+    8448630U,	// MMX_PHSUBWrr64
+    860187824U,	// MMX_PINSRWirmi
+    839232688U,	// MMX_PINSRWirri
+    551660780U,	// MMX_PMADDUBSWrm64
+    8449260U,	// MMX_PMADDUBSWrr64
+    551655162U,	// MMX_PMADDWDirm
+    8443642U,	// MMX_PMADDWDirr
+    551660952U,	// MMX_PMAXSWirm
+    8449432U,	// MMX_PMAXSWirr
+    551653490U,	// MMX_PMAXUBirm
+    8441970U,	// MMX_PMAXUBirr
+    551660861U,	// MMX_PMINSWirm
+    8449341U,	// MMX_PMINSWirr
+    551653474U,	// MMX_PMINUBirm
+    8441954U,	// MMX_PMINUBirr
+    8539942U,	// MMX_PMOVMSKBrr
+    551660886U,	// MMX_PMULHRSWrm64
+    8449366U,	// MMX_PMULHRSWrr64
+    551661085U,	// MMX_PMULHUWirm
+    8449565U,	// MMX_PMULHUWirr
+    551660459U,	// MMX_PMULHWirm
+    8448939U,	// MMX_PMULHWirr
+    551660528U,	// MMX_PMULLWirm
+    8449008U,	// MMX_PMULLWirr
+    551657441U,	// MMX_PMULUDQirm
+    8445921U,	// MMX_PMULUDQirr
+    551658409U,	// MMX_PORirm
+    8446889U,	// MMX_PORirr
+    551660079U,	// MMX_PSADBWirm
+    8448559U,	// MMX_PSADBWirr
+    551653121U,	// MMX_PSHUFBrm64
+    8441601U,	// MMX_PSHUFBrr64
+    835005293U,	// MMX_PSHUFWmi
+    811658093U,	// MMX_PSHUFWri
+    551653210U,	// MMX_PSIGNBrm64
+    8441690U,	// MMX_PSIGNBrr64
+    551653885U,	// MMX_PSIGNDrm64
+    8442365U,	// MMX_PSIGNDrr64
+    551660580U,	// MMX_PSIGNWrm64
+    8449060U,	// MMX_PSIGNWrr64
+    8442308U,	// MMX_PSLLDri
+    551653828U,	// MMX_PSLLDrm
+    8442308U,	// MMX_PSLLDrr
+    8446174U,	// MMX_PSLLQri
+    551657694U,	// MMX_PSLLQrm
+    8446174U,	// MMX_PSLLQrr
+    8449000U,	// MMX_PSLLWri
+    551660520U,	// MMX_PSLLWrm
+    8449000U,	// MMX_PSLLWrr
+    8442113U,	// MMX_PSRADri
+    551653633U,	// MMX_PSRADrm
+    8442113U,	// MMX_PSRADrr
+    8448526U,	// MMX_PSRAWri
+    551660046U,	// MMX_PSRAWrm
+    8448526U,	// MMX_PSRAWrr
+    8442325U,	// MMX_PSRLDri
+    551653845U,	// MMX_PSRLDrm
+    8442325U,	// MMX_PSRLDrr
+    8446188U,	// MMX_PSRLQri
+    551657708U,	// MMX_PSRLQrm
+    8446188U,	// MMX_PSRLQrr
+    8449023U,	// MMX_PSRLWri
+    551660543U,	// MMX_PSRLWrm
+    8449023U,	// MMX_PSRLWrr
+    551653074U,	// MMX_PSUBBirm
+    8441554U,	// MMX_PSUBBirr
+    551653671U,	// MMX_PSUBDirm
+    8442151U,	// MMX_PSUBDirr
+    551657093U,	// MMX_PSUBQirm
+    8445573U,	// MMX_PSUBQirr
+    551653321U,	// MMX_PSUBSBirm
+    8441801U,	// MMX_PSUBSBirr
+    551660802U,	// MMX_PSUBSWirm
+    8449282U,	// MMX_PSUBSWirr
+    551653369U,	// MMX_PSUBUSBirm
+    8441849U,	// MMX_PSUBUSBirr
+    551660924U,	// MMX_PSUBUSWirm
+    8449404U,	// MMX_PSUBUSWirr
+    551660159U,	// MMX_PSUBWirm
+    8448639U,	// MMX_PSUBWirr
+    551660107U,	// MMX_PUNPCKHBWirm
+    8448587U,	// MMX_PUNPCKHBWirr
+    551657271U,	// MMX_PUNPCKHDQirm
+    8445751U,	// MMX_PUNPCKHDQirr
+    551655172U,	// MMX_PUNPCKHWDirm
+    8443652U,	// MMX_PUNPCKHWDirr
+    551660119U,	// MMX_PUNPCKLBWirm
+    8448599U,	// MMX_PUNPCKLBWirr
+    551657290U,	// MMX_PUNPCKLDQirm
+    8445770U,	// MMX_PUNPCKLDQirr
+    551655184U,	// MMX_PUNPCKLWDirm
+    8443664U,	// MMX_PUNPCKLWDirr
+    551658432U,	// MMX_PXORirm
+    8446912U,	// MMX_PXORirr
     0U,	// MONITOR
-    14798U,	// MONITORrrr
-    14568U,	// MONTMUL
+    14549U,	// MONITORrrr
+    14319U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    798558U,	// MOV16ao16
-    798558U,	// MOV16ao16_16
-    4238461U,	// MOV16mi
-    4238461U,	// MOV16mr
-    4238461U,	// MOV16ms
-    2894973U,	// MOV16o16a
-    2894973U,	// MOV16o16a_16
-    8547453U,	// MOV16ri
-    8547453U,	// MOV16ri_alt
-    388221U,	// MOV16rm
-    8547453U,	// MOV16rr
-    8547453U,	// MOV16rr_REV
-    8547453U,	// MOV16rs
-    388221U,	// MOV16sm
-    8547453U,	// MOV16sr
-    814993U,	// MOV32ao32
-    814993U,	// MOV32ao32_16
-    8542990U,	// MOV32cr
-    8542990U,	// MOV32dr
-    12622606U,	// MOV32mi
-    12622606U,	// MOV32mr
-    12622606U,	// MOV32ms
-    11295502U,	// MOV32o32a
-    11295502U,	// MOV32o32a_16
+    799030U,	// MOV16ao16
+    799030U,	// MOV16ao16_16
+    4238933U,	// MOV16mi
+    4238933U,	// MOV16mr
+    4238933U,	// MOV16ms
+    2895445U,	// MOV16o16a
+    2895445U,	// MOV16o16a_16
+    8547925U,	// MOV16ri
+    8547925U,	// MOV16ri_alt
+    388693U,	// MOV16rm
+    8547925U,	// MOV16rr
+    8547925U,	// MOV16rr_REV
+    8547925U,	// MOV16rs
+    388693U,	// MOV16sm
+    8547925U,	// MOV16sr
+    815465U,	// MOV32ao32
+    815465U,	// MOV32ao32_16
+    8543462U,	// MOV32cr
+    8543462U,	// MOV32dr
+    12623078U,	// MOV32mi
+    12623078U,	// MOV32mr
+    12623078U,	// MOV32ms
+    11295974U,	// MOV32o32a
+    11295974U,	// MOV32o32a_16
     0U,	// MOV32r0
-    8542990U,	// MOV32rc
-    8542990U,	// MOV32rd
-    8542990U,	// MOV32ri
+    8543462U,	// MOV32rc
+    8543462U,	// MOV32rd
+    8543462U,	// MOV32ri
     0U,	// MOV32ri64
-    8542990U,	// MOV32ri_alt
-    551688974U,	// MOV32rm
-    8542990U,	// MOV32rr
-    8542990U,	// MOV32rr_REV
-    8542990U,	// MOV32rs
-    551688974U,	// MOV32sm
-    8542990U,	// MOV32sr
-    798521U,	// MOV64ao16
-    814953U,	// MOV64ao32
-    831389U,	// MOV64ao64
-    847201U,	// MOV64ao8
-    8544558U,	// MOV64cr
-    8544558U,	// MOV64dr
-    18915630U,	// MOV64mi32
-    18915630U,	// MOV64mr
-    18915630U,	// MOV64ms
-    2894602U,	// MOV64o16a
-    11295261U,	// MOV64o32a
-    17604620U,	// MOV64o64a
-    21810663U,	// MOV64o8a
-    8544558U,	// MOV64rc
-    8544558U,	// MOV64rd
-    8544268U,	// MOV64ri
-    8544558U,	// MOV64ri32
-    551723310U,	// MOV64rm
-    8544558U,	// MOV64rr
-    8544558U,	// MOV64rr_REV
-    8544558U,	// MOV64rs
-    551723310U,	// MOV64sm
-    8544558U,	// MOV64sr
-    8541440U,	// MOV64toPQIrr
-    551723310U,	// MOV64toSDrm
-    8541440U,	// MOV64toSDrr
-    847238U,	// MOV8ao8
-    847238U,	// MOV8ao8_16
-    23105206U,	// MOV8mi
-    23105206U,	// MOV8mr
-    3512766134U,	// MOV8mr_NOREX
-    21810870U,	// MOV8o8a
-    21810870U,	// MOV8o8a_16
-    8539830U,	// MOV8ri
-    8539830U,	// MOV8ri_alt
-    446134U,	// MOV8rm
-    63360694U,	// MOV8rm_NOREX
-    8539830U,	// MOV8rr
-    3498200758U,	// MOV8rr_NOREX
-    8539830U,	// MOV8rr_REV
-    65048931U,	// MOVAPDmr
-    577891U,	// MOVAPDrm
-    8540515U,	// MOVAPDrr
-    8540515U,	// MOVAPDrr_REV
-    65053615U,	// MOVAPSmr
-    582575U,	// MOVAPSrm
-    8545199U,	// MOVAPSrr
-    8545199U,	// MOVAPSrr_REV
-    4237661U,	// MOVBE16mr
-    387421U,	// MOVBE16rm
-    12621808U,	// MOVBE32mr
-    551688176U,	// MOVBE32rm
-    18914866U,	// MOVBE64mr
-    551722546U,	// MOVBE64rm
-    597036U,	// MOVDDUPrm
-    8543276U,	// MOVDDUPrr
-    551687424U,	// MOVDI2PDIrm
-    8541440U,	// MOVDI2PDIrr
-    551687424U,	// MOVDI2SSrm
-    8541440U,	// MOVDI2SSrr
-    67144881U,	// MOVDQAmr
-    314545U,	// MOVDQArm
-    8539313U,	// MOVDQArr
-    8539313U,	// MOVDQArr_REV
-    67151847U,	// MOVDQUmr
-    321511U,	// MOVDQUrm
-    8546279U,	// MOVDQUrr
-    8546279U,	// MOVDQUrr_REV
-    8447107U,	// MOVHLPSrr
-    69243425U,	// MOVHPDmr
-    551752225U,	// MOVHPDrm
-    69248111U,	// MOVHPSmr
-    551756911U,	// MOVHPSrm
-    8447077U,	// MOVLHPSrr
-    69243475U,	// MOVLPDmr
-    551752275U,	// MOVLPDrm
-    69248171U,	// MOVLPSmr
-    551756971U,	// MOVLPSrm
-    8540714U,	// MOVMSKPDrr
-    8545400U,	// MOVMSKPSrr
-    314534U,	// MOVNTDQArm
-    65052148U,	// MOVNTDQmr
-    18915032U,	// MOVNTI_64mr
-    12621956U,	// MOVNTImr
-    65049256U,	// MOVNTPDmr
-    65053981U,	// MOVNTPSmr
-    69243985U,	// MOVNTSD
-    71345892U,	// MOVNTSS
+    8543462U,	// MOV32ri_alt
+    551689446U,	// MOV32rm
+    8543462U,	// MOV32rr
+    8543462U,	// MOV32rr_REV
+    8543462U,	// MOV32rs
+    551689446U,	// MOV32sm
+    8543462U,	// MOV32sr
+    798993U,	// MOV64ao16
+    815425U,	// MOV64ao32
+    831861U,	// MOV64ao64
+    847673U,	// MOV64ao8
+    8545030U,	// MOV64cr
+    8545030U,	// MOV64dr
+    18916102U,	// MOV64mi32
+    18916102U,	// MOV64mr
+    18916102U,	// MOV64ms
+    2895074U,	// MOV64o16a
+    11295733U,	// MOV64o32a
+    17605092U,	// MOV64o64a
+    21811135U,	// MOV64o8a
+    8545030U,	// MOV64rc
+    8545030U,	// MOV64rd
+    8544740U,	// MOV64ri
+    8545030U,	// MOV64ri32
+    551723782U,	// MOV64rm
+    8545030U,	// MOV64rr
+    8545030U,	// MOV64rr_REV
+    8545030U,	// MOV64rs
+    551723782U,	// MOV64sm
+    8545030U,	// MOV64sr
+    8541912U,	// MOV64toPQIrr
+    551723782U,	// MOV64toSDrm
+    8541912U,	// MOV64toSDrr
+    847710U,	// MOV8ao8
+    847710U,	// MOV8ao8_16
+    23105678U,	// MOV8mi
+    23105678U,	// MOV8mr
+    3512766606U,	// MOV8mr_NOREX
+    21811342U,	// MOV8o8a
+    21811342U,	// MOV8o8a_16
+    8540302U,	// MOV8ri
+    8540302U,	// MOV8ri_alt
+    446606U,	// MOV8rm
+    63361166U,	// MOV8rm_NOREX
+    8540302U,	// MOV8rr
+    3498201230U,	// MOV8rr_NOREX
+    8540302U,	// MOV8rr_REV
+    65049403U,	// MOVAPDmr
+    578363U,	// MOVAPDrm
+    8540987U,	// MOVAPDrr
+    8540987U,	// MOVAPDrr_REV
+    65054087U,	// MOVAPSmr
+    583047U,	// MOVAPSrm
+    8545671U,	// MOVAPSrr
+    8545671U,	// MOVAPSrr_REV
+    4238133U,	// MOVBE16mr
+    387893U,	// MOVBE16rm
+    12622280U,	// MOVBE32mr
+    551688648U,	// MOVBE32rm
+    18915338U,	// MOVBE64mr
+    551723018U,	// MOVBE64rm
+    597508U,	// MOVDDUPrm
+    8543748U,	// MOVDDUPrr
+    551687896U,	// MOVDI2PDIrm
+    8541912U,	// MOVDI2PDIrr
+    551687896U,	// MOVDI2SSrm
+    8541912U,	// MOVDI2SSrr
+    67145353U,	// MOVDQAmr
+    315017U,	// MOVDQArm
+    8539785U,	// MOVDQArr
+    8539785U,	// MOVDQArr_REV
+    67152319U,	// MOVDQUmr
+    321983U,	// MOVDQUrm
+    8546751U,	// MOVDQUrr
+    8546751U,	// MOVDQUrr_REV
+    8447579U,	// MOVHLPSrr
+    69243897U,	// MOVHPDmr
+    551752697U,	// MOVHPDrm
+    69248583U,	// MOVHPSmr
+    551757383U,	// MOVHPSrm
+    8447549U,	// MOVLHPSrr
+    69243947U,	// MOVLPDmr
+    551752747U,	// MOVLPDrm
+    69248643U,	// MOVLPSmr
+    551757443U,	// MOVLPSrm
+    8541186U,	// MOVMSKPDrr
+    8545872U,	// MOVMSKPSrr
+    315006U,	// MOVNTDQArm
+    65052620U,	// MOVNTDQmr
+    18915504U,	// MOVNTI_64mr
+    12622428U,	// MOVNTImr
+    65049728U,	// MOVNTPDmr
+    65054453U,	// MOVNTPSmr
+    69244457U,	// MOVNTSD
+    71346364U,	// MOVNTSS
     0U,	// MOVPC32r
-    12621056U,	// MOVPDI2DImr
-    8541440U,	// MOVPDI2DIrr
-    18915630U,	// MOVPQI2QImr
-    8544558U,	// MOVPQI2QIrr
-    8541440U,	// MOVPQIto64rr
-    551723310U,	// MOVQI2PQIrm
-    855613U,	// MOVSB
-    69244026U,	// MOVSDmr
-    595066U,	// MOVSDrm
-    8443002U,	// MOVSDrr
-    8443002U,	// MOVSDrr_REV
-    18915630U,	// MOVSDto64mr
-    8541440U,	// MOVSDto64rr
-    580662U,	// MOVSHDUPrm
-    8543286U,	// MOVSHDUPrr
-    875138U,	// MOVSL
-    580673U,	// MOVSLDUPrm
-    8543297U,	// MOVSLDUPrr
-    893022U,	// MOVSQ
-    12621056U,	// MOVSS2DImr
-    8541440U,	// MOVSS2DIrr
-    71345943U,	// MOVSSmr
-    616215U,	// MOVSSrm
-    8447767U,	// MOVSSrr
-    8447767U,	// MOVSSrr_REV
-    912312U,	// MOVSW
-    452746U,	// MOVSX16rm8
-    8546442U,	// MOVSX16rr8
-    383771U,	// MOVSX32rm16
-    448322U,	// MOVSX32rm8
-    8543003U,	// MOVSX32rr16
-    8542018U,	// MOVSX32rr8
-    385356U,	// MOVSX64rm16
-    551690017U,	// MOVSX64rm32
-    449689U,	// MOVSX64rm8
-    8544588U,	// MOVSX64rr16
-    8544033U,	// MOVSX64rr32
-    8543385U,	// MOVSX64rr8
-    65049284U,	// MOVUPDmr
-    578244U,	// MOVUPDrm
-    8540868U,	// MOVUPDrr
-    8540868U,	// MOVUPDrr_REV
-    65054054U,	// MOVUPSmr
-    583014U,	// MOVUPSrm
-    8545638U,	// MOVUPSrr
-    8545638U,	// MOVUPSrr_REV
-    319790U,	// MOVZPQILo2PQIrm
-    8544558U,	// MOVZPQILo2PQIrr
-    551723310U,	// MOVZQI2PQIrm
-    8541440U,	// MOVZQI2PQIrr
-    452812U,	// MOVZX16rm8
-    8546508U,	// MOVZX16rr8
-    448353U,	// MOVZX32_NOREXrm8
-    8542049U,	// MOVZX32_NOREXrr8
-    383779U,	// MOVZX32rm16
-    448353U,	// MOVZX32rm8
-    8543011U,	// MOVZX32rr16
-    8542049U,	// MOVZX32rr8
-    385397U,	// MOVZX64rm16_Q
-    449746U,	// MOVZX64rm8_Q
-    8544629U,	// MOVZX64rr16_Q
-    8543442U,	// MOVZX64rr8_Q
-    610625622U,	// MPSADBWrmi
-    839231574U,	// MPSADBWrri
-    272949U,	// MUL16m
-    27189U,	// MUL16r
-    284910U,	// MUL32m
-    22766U,	// MUL32r
-    401194U,	// MUL64m
-    24362U,	// MUL64r
-    429427U,	// MUL8m
-    19827U,	// MUL8r
-    8573515U,	// MULPDrm
-    8442443U,	// MULPDrr
-    8578211U,	// MULPSrm
-    8447139U,	// MULPSrr
-    551752753U,	// MULSDrm
-    551752753U,	// MULSDrm_Int
-    8442929U,	// MULSDrr
-    8442929U,	// MULSDrr_Int
-    551773893U,	// MULSSrm
-    551773893U,	// MULSSrm_Int
-    8447685U,	// MULSSrr
-    8447685U,	// MULSSrr_Int
-    283204409U,	// MULX32rm
-    811653945U,	// MULX32rr
-    283222411U,	// MULX64rm
-    811655563U,	// MULX64rr
-    238155U,	// MUL_F32m
-    252141U,	// MUL_F64m
-    270930U,	// MUL_FI16m
-    284916U,	// MUL_FI32m
-    23515U,	// MUL_FPrST0
-    23289U,	// MUL_FST0r
+    12621528U,	// MOVPDI2DImr
+    8541912U,	// MOVPDI2DIrr
+    18916102U,	// MOVPQI2QImr
+    8545030U,	// MOVPQI2QIrr
+    8541912U,	// MOVPQIto64rr
+    551723782U,	// MOVQI2PQIrm
+    856085U,	// MOVSB
+    69244498U,	// MOVSDmr
+    595538U,	// MOVSDrm
+    8443474U,	// MOVSDrr
+    8443474U,	// MOVSDrr_REV
+    18916102U,	// MOVSDto64mr
+    8541912U,	// MOVSDto64rr
+    581134U,	// MOVSHDUPrm
+    8543758U,	// MOVSHDUPrr
+    875610U,	// MOVSL
+    581145U,	// MOVSLDUPrm
+    8543769U,	// MOVSLDUPrr
+    893494U,	// MOVSQ
+    12621528U,	// MOVSS2DImr
+    8541912U,	// MOVSS2DIrr
+    71346415U,	// MOVSSmr
+    616687U,	// MOVSSrm
+    8448239U,	// MOVSSrr
+    8448239U,	// MOVSSrr_REV
+    912784U,	// MOVSW
+    453218U,	// MOVSX16rm8
+    8546914U,	// MOVSX16rr8
+    384243U,	// MOVSX32rm16
+    448794U,	// MOVSX32rm8
+    8543475U,	// MOVSX32rr16
+    8542490U,	// MOVSX32rr8
+    385828U,	// MOVSX64rm16
+    551690489U,	// MOVSX64rm32
+    450161U,	// MOVSX64rm8
+    8545060U,	// MOVSX64rr16
+    8544505U,	// MOVSX64rr32
+    8543857U,	// MOVSX64rr8
+    65049756U,	// MOVUPDmr
+    578716U,	// MOVUPDrm
+    8541340U,	// MOVUPDrr
+    8541340U,	// MOVUPDrr_REV
+    65054526U,	// MOVUPSmr
+    583486U,	// MOVUPSrm
+    8546110U,	// MOVUPSrr
+    8546110U,	// MOVUPSrr_REV
+    320262U,	// MOVZPQILo2PQIrm
+    8545030U,	// MOVZPQILo2PQIrr
+    551723782U,	// MOVZQI2PQIrm
+    8541912U,	// MOVZQI2PQIrr
+    453284U,	// MOVZX16rm8
+    8546980U,	// MOVZX16rr8
+    448825U,	// MOVZX32_NOREXrm8
+    8542521U,	// MOVZX32_NOREXrr8
+    384251U,	// MOVZX32rm16
+    448825U,	// MOVZX32rm8
+    8543483U,	// MOVZX32rr16
+    8542521U,	// MOVZX32rr8
+    385869U,	// MOVZX64rm16_Q
+    450218U,	// MOVZX64rm8_Q
+    8545101U,	// MOVZX64rr16_Q
+    8543914U,	// MOVZX64rr8_Q
+    610626094U,	// MPSADBWrmi
+    839232046U,	// MPSADBWrri
+    273421U,	// MUL16m
+    27661U,	// MUL16r
+    285382U,	// MUL32m
+    23238U,	// MUL32r
+    401666U,	// MUL64m
+    24834U,	// MUL64r
+    429899U,	// MUL8m
+    20299U,	// MUL8r
+    8573987U,	// MULPDrm
+    8442915U,	// MULPDrr
+    8578683U,	// MULPSrm
+    8447611U,	// MULPSrr
+    551753225U,	// MULSDrm
+    551753225U,	// MULSDrm_Int
+    8443401U,	// MULSDrr
+    8443401U,	// MULSDrr_Int
+    551774365U,	// MULSSrm
+    551774365U,	// MULSSrm_Int
+    8448157U,	// MULSSrr
+    8448157U,	// MULSSrr_Int
+    283204881U,	// MULX32rm
+    811654417U,	// MULX32rr
+    283222883U,	// MULX64rm
+    811656035U,	// MULX64rr
+    238627U,	// MUL_F32m
+    252613U,	// MUL_F64m
+    271402U,	// MUL_FI16m
+    285388U,	// MUL_FI32m
+    23987U,	// MUL_FPrST0
+    23761U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1829,946 +1822,954 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    27943U,	// MUL_FrST0
-    15185U,	// MWAITrr
-    272797U,	// NEG16m
-    27037U,	// NEG16r
-    284759U,	// NEG32m
-    22615U,	// NEG32r
-    401067U,	// NEG64m
-    24235U,	// NEG64r
-    429361U,	// NEG8m
-    19761U,	// NEG8r
-    14676U,	// NOOP
-    273024U,	// NOOP18_16m4
-    273024U,	// NOOP18_16m5
-    273024U,	// NOOP18_16m6
-    273024U,	// NOOP18_16m7
-    27264U,	// NOOP18_16r4
-    27264U,	// NOOP18_16r5
-    27264U,	// NOOP18_16r6
-    27264U,	// NOOP18_16r7
-    285030U,	// NOOP18_m4
-    285030U,	// NOOP18_m5
-    285030U,	// NOOP18_m6
-    285030U,	// NOOP18_m7
-    22886U,	// NOOP18_r4
-    22886U,	// NOOP18_r5
-    22886U,	// NOOP18_r6
-    22886U,	// NOOP18_r7
-    285030U,	// NOOPL
-    285030U,	// NOOPL_19
-    285030U,	// NOOPL_1a
-    285030U,	// NOOPL_1b
-    285030U,	// NOOPL_1c
-    285030U,	// NOOPL_1d
-    285030U,	// NOOPL_1e
-    273024U,	// NOOPW
-    273024U,	// NOOPW_19
-    273024U,	// NOOPW_1a
-    273024U,	// NOOPW_1b
-    273024U,	// NOOPW_1c
-    273024U,	// NOOPW_1d
-    273024U,	// NOOPW_1e
-    273441U,	// NOT16m
-    27681U,	// NOT16r
-    285399U,	// NOT32m
-    23255U,	// NOT32r
-    401599U,	// NOT64m
-    24767U,	// NOT64r
-    429661U,	// NOT8m
-    20061U,	// NOT8r
-    2124486U,	// OR16i16
-    4238022U,	// OR16mi
-    4238022U,	// OR16mi8
-    4238022U,	// OR16mr
-    6351558U,	// OR16ri
-    6351558U,	// OR16ri8
-    6367942U,	// OR16rm
-    6351558U,	// OR16rr
-    8448710U,	// OR16rr_REV
-    10508761U,	// OR32i32
-    12622297U,	// OR32mi
-    12622297U,	// OR32mi8
-    12622297U,	// OR32mr
-    12622297U,	// OR32mrLocked
-    6347225U,	// OR32ri
-    6347225U,	// OR32ri8
-    283204057U,	// OR32rm
-    6347225U,	// OR32rr
-    8444377U,	// OR32rr_REV
-    16801725U,	// OR64i32
-    18915261U,	// OR64mi32
-    18915261U,	// OR64mi8
-    18915261U,	// OR64mr
-    6348733U,	// OR64ri32
-    6348733U,	// OR64ri8
-    283221949U,	// OR64rm
-    6348733U,	// OR64rr
-    8445885U,	// OR64rr_REV
-    20991419U,	// OR8i8
-    23104955U,	// OR8mi
-    23104955U,	// OR8mr
-    6344123U,	// OR8ri
-    6344123U,	// OR8ri8
-    118203U,	// OR8rm
-    6344123U,	// OR8rr
-    8441275U,	// OR8rr_REV
-    8573593U,	// ORPDrm
-    8442521U,	// ORPDrr
-    8578306U,	// ORPSrm
-    8447234U,	// ORPSrr
-    28499U,	// OUT16ir
-    15482U,	// OUT16rr
-    28549U,	// OUT32ir
-    15496U,	// OUT32rr
-    28027U,	// OUT8ir
-    15468U,	// OUT8rr
-    76221977U,	// OUTSB
-    76241530U,	// OUTSL
-    76278684U,	// OUTSW
-    314848U,	// PABSBrm128
-    8539616U,	// PABSBrr128
-    316370U,	// PABSDrm128
-    8541138U,	// PABSDrr128
-    322307U,	// PABSWrm128
-    8547075U,	// PABSWrr128
-    8694078U,	// PACKSSDWrm
-    8448318U,	// PACKSSDWrr
-    8687293U,	// PACKSSWBrm
-    8441533U,	// PACKSSWBrr
-    8694089U,	// PACKUSDWrm
-    8448329U,	// PACKUSDWrr
-    8687304U,	// PACKUSWBrm
-    8441544U,	// PACKUSWBrr
-    8686868U,	// PADDBrm
-    8441108U,	// PADDBrr
-    8687485U,	// PADDDrm
-    8441725U,	// PADDDrr
-    8691005U,	// PADDQrm
-    8445245U,	// PADDQrr
-    8687098U,	// PADDSBrm
-    8441338U,	// PADDSBrr
-    8694589U,	// PADDSWrm
-    8448829U,	// PADDSWrr
-    8687147U,	// PADDUSBrm
-    8441387U,	// PADDUSBrr
-    8694702U,	// PADDUSWrm
-    8448942U,	// PADDUSWrr
-    8694021U,	// PADDWrm
-    8448261U,	// PADDWrr
-    610623943U,	// PALIGNR128rm
-    839229895U,	// PALIGNR128rr
-    8690575U,	// PANDNrm
-    8444815U,	// PANDNrr
-    8687646U,	// PANDrm
-    8441886U,	// PANDrr
-    14361U,	// PAUSE
-    8686914U,	// PAVGBrm
-    8441154U,	// PAVGBrr
-    551652916U,	// PAVGUSBrm
-    8441396U,	// PAVGUSBrr
-    8694190U,	// PAVGWrm
-    8448430U,	// PAVGWrr
-    8687267U,	// PBLENDVBrm0
-    8441507U,	// PBLENDVBrr0
-    610625837U,	// PBLENDWrmi
-    839231789U,	// PBLENDWrri
-    610622908U,	// PCLMULQDQrm
-    839228860U,	// PCLMULQDQrr
-    8687007U,	// PCMPEQBrm
-    8441247U,	// PCMPEQBrr
-    8688381U,	// PCMPEQDrm
-    8442621U,	// PCMPEQDrr
-    8691599U,	// PCMPEQQrm
-    8445839U,	// PCMPEQQrr
-    8694421U,	// PCMPEQWrm
-    8448661U,	// PCMPEQWrr
+    28415U,	// MUL_FrST0
+    14942U,	// MWAITrr
+    273269U,	// NEG16m
+    27509U,	// NEG16r
+    285231U,	// NEG32m
+    23087U,	// NEG32r
+    401539U,	// NEG64m
+    24707U,	// NEG64r
+    429833U,	// NEG8m
+    20233U,	// NEG8r
+    14427U,	// NOOP
+    273496U,	// NOOP18_16m4
+    273496U,	// NOOP18_16m5
+    273496U,	// NOOP18_16m6
+    273496U,	// NOOP18_16m7
+    27736U,	// NOOP18_16r4
+    27736U,	// NOOP18_16r5
+    27736U,	// NOOP18_16r6
+    27736U,	// NOOP18_16r7
+    285502U,	// NOOP18_m4
+    285502U,	// NOOP18_m5
+    285502U,	// NOOP18_m6
+    285502U,	// NOOP18_m7
+    23358U,	// NOOP18_r4
+    23358U,	// NOOP18_r5
+    23358U,	// NOOP18_r6
+    23358U,	// NOOP18_r7
+    285502U,	// NOOPL
+    285502U,	// NOOPL_19
+    285502U,	// NOOPL_1a
+    285502U,	// NOOPL_1b
+    285502U,	// NOOPL_1c
+    285502U,	// NOOPL_1d
+    285502U,	// NOOPL_1e
+    273496U,	// NOOPW
+    273496U,	// NOOPW_19
+    273496U,	// NOOPW_1a
+    273496U,	// NOOPW_1b
+    273496U,	// NOOPW_1c
+    273496U,	// NOOPW_1d
+    273496U,	// NOOPW_1e
+    273913U,	// NOT16m
+    28153U,	// NOT16r
+    285871U,	// NOT32m
+    23727U,	// NOT32r
+    402071U,	// NOT64m
+    25239U,	// NOT64r
+    430133U,	// NOT8m
+    20533U,	// NOT8r
+    2124958U,	// OR16i16
+    4238494U,	// OR16mi
+    4238494U,	// OR16mi8
+    4238494U,	// OR16mr
+    6352030U,	// OR16ri
+    6352030U,	// OR16ri8
+    6368414U,	// OR16rm
+    6352030U,	// OR16rr
+    8449182U,	// OR16rr_REV
+    10509233U,	// OR32i32
+    12622769U,	// OR32mi
+    12622769U,	// OR32mi8
+    12622769U,	// OR32mr
+    12622769U,	// OR32mrLocked
+    6347697U,	// OR32ri
+    6347697U,	// OR32ri8
+    283204529U,	// OR32rm
+    6347697U,	// OR32rr
+    8444849U,	// OR32rr_REV
+    16802197U,	// OR64i32
+    18915733U,	// OR64mi32
+    18915733U,	// OR64mi8
+    18915733U,	// OR64mr
+    6349205U,	// OR64ri32
+    6349205U,	// OR64ri8
+    283222421U,	// OR64rm
+    6349205U,	// OR64rr
+    8446357U,	// OR64rr_REV
+    20991891U,	// OR8i8
+    23105427U,	// OR8mi
+    23105427U,	// OR8mr
+    6344595U,	// OR8ri
+    6344595U,	// OR8ri8
+    118675U,	// OR8rm
+    6344595U,	// OR8rr
+    8441747U,	// OR8rr_REV
+    8574065U,	// ORPDrm
+    8442993U,	// ORPDrr
+    8578778U,	// ORPSrm
+    8447706U,	// ORPSrr
+    28971U,	// OUT16ir
+    15245U,	// OUT16rr
+    29021U,	// OUT32ir
+    15259U,	// OUT32rr
+    28499U,	// OUT8ir
+    15231U,	// OUT8rr
+    76222449U,	// OUTSB
+    76242002U,	// OUTSL
+    76279156U,	// OUTSW
+    315320U,	// PABSBrm128
+    8540088U,	// PABSBrr128
+    316842U,	// PABSDrm128
+    8541610U,	// PABSDrr128
+    322779U,	// PABSWrm128
+    8547547U,	// PABSWrr128
+    8694550U,	// PACKSSDWrm
+    8448790U,	// PACKSSDWrr
+    8687765U,	// PACKSSWBrm
+    8442005U,	// PACKSSWBrr
+    8694561U,	// PACKUSDWrm
+    8448801U,	// PACKUSDWrr
+    8687776U,	// PACKUSWBrm
+    8442016U,	// PACKUSWBrr
+    8687340U,	// PADDBrm
+    8441580U,	// PADDBrr
+    8687957U,	// PADDDrm
+    8442197U,	// PADDDrr
+    8691477U,	// PADDQrm
+    8445717U,	// PADDQrr
+    8687570U,	// PADDSBrm
+    8441810U,	// PADDSBrr
+    8695061U,	// PADDSWrm
+    8449301U,	// PADDSWrr
+    8687619U,	// PADDUSBrm
+    8441859U,	// PADDUSBrr
+    8695174U,	// PADDUSWrm
+    8449414U,	// PADDUSWrr
+    8694493U,	// PADDWrm
+    8448733U,	// PADDWrr
+    610624415U,	// PALIGNR128rm
+    839230367U,	// PALIGNR128rr
+    8691047U,	// PANDNrm
+    8445287U,	// PANDNrr
+    8688118U,	// PANDrm
+    8442358U,	// PANDrr
+    14081U,	// PAUSE
+    8687386U,	// PAVGBrm
+    8441626U,	// PAVGBrr
+    551653388U,	// PAVGUSBrm
+    8441868U,	// PAVGUSBrr
+    8694662U,	// PAVGWrm
+    8448902U,	// PAVGWrr
+    8687739U,	// PBLENDVBrm0
+    8441979U,	// PBLENDVBrr0
+    610626309U,	// PBLENDWrmi
+    839232261U,	// PBLENDWrri
+    610623380U,	// PCLMULQDQrm
+    839229332U,	// PCLMULQDQrr
+    8687479U,	// PCMPEQBrm
+    8441719U,	// PCMPEQBrr
+    8688853U,	// PCMPEQDrm
+    8443093U,	// PCMPEQDrr
+    8692071U,	// PCMPEQQrm
+    8446311U,	// PCMPEQQrr
+    8694893U,	// PCMPEQWrm
+    8449133U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    25499346U,	// PCMPESTRIrm
-    811652818U,	// PCMPESTRIrr
+    25499818U,	// PCMPESTRIrm
+    811653290U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    25500535U,	// PCMPESTRM128rm
-    811654007U,	// PCMPESTRM128rr
-    8687188U,	// PCMPGTBrm
-    8441428U,	// PCMPGTBrr
-    8688796U,	// PCMPGTDrm
-    8443036U,	// PCMPGTDrr
-    8691861U,	// PCMPGTQrm
-    8446101U,	// PCMPGTQrr
-    8694783U,	// PCMPGTWrm
-    8449023U,	// PCMPGTWrr
+    25501007U,	// PCMPESTRM128rm
+    811654479U,	// PCMPESTRM128rr
+    8687660U,	// PCMPGTBrm
+    8441900U,	// PCMPGTBrr
+    8689268U,	// PCMPGTDrm
+    8443508U,	// PCMPGTDrr
+    8692333U,	// PCMPGTQrm
+    8446573U,	// PCMPGTQrr
+    8695255U,	// PCMPGTWrm
+    8449495U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    25499358U,	// PCMPISTRIrm
-    811652830U,	// PCMPISTRIrr
+    25499830U,	// PCMPISTRIrm
+    811653302U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    25500547U,	// PCMPISTRM128rm
-    811654019U,	// PCMPISTRM128rr
-    283203896U,	// PDEP32rm
-    811653432U,	// PDEP32rr
-    283221864U,	// PDEP64rm
-    811655016U,	// PDEP64rr
-    283204337U,	// PEXT32rm
-    811653873U,	// PEXT32rr
-    283222262U,	// PEXT64rm
-    811655414U,	// PEXT64rr
-    3808759248U,	// PEXTRBmr
-    811650512U,	// PEXTRBrr
-    4077196060U,	// PEXTRDmr
-    811651868U,	// PEXTRDrr
-    50667517U,	// PEXTRQmr
-    811655165U,	// PEXTRQrr
-    319105779U,	// PEXTRWmr
-    811657971U,	// PEXTRWri
-    811657971U,	// PEXTRWrr_REV
-    551718847U,	// PF2IDrm
-    8540095U,	// PF2IDrr
-    551725538U,	// PF2IWrm
-    8546786U,	// PF2IWrr
-    551653074U,	// PFACCrm
-    8441554U,	// PFACCrr
-    551653228U,	// PFADDrm
-    8441708U,	// PFADDrr
-    551657045U,	// PFCMPEQrm
-    8445525U,	// PFCMPEQrr
-    551654855U,	// PFCMPGErm
-    8443335U,	// PFCMPGErr
-    551659351U,	// PFCMPGTrm
-    8447831U,	// PFCMPGTrr
-    551660710U,	// PFMAXrm
-    8449190U,	// PFMAXrr
-    551656350U,	// PFMINrm
-    8444830U,	// PFMINrr
-    551656184U,	// PFMULrm
-    8444664U,	// PFMULrr
-    551653081U,	// PFNACCrm
-    8441561U,	// PFNACCrr
-    551653089U,	// PFPNACCrm
-    8441569U,	// PFPNACCrr
-    551652177U,	// PFRCPIT1rm
-    8440657U,	// PFRCPIT1rr
-    551652255U,	// PFRCPIT2rm
-    8440735U,	// PFRCPIT2rr
-    551721929U,	// PFRCPrm
-    8543177U,	// PFRCPrr
-    551652187U,	// PFRSQIT1rm
-    8440667U,	// PFRSQIT1rr
-    551724934U,	// PFRSQRTrm
-    8546182U,	// PFRSQRTrr
-    551657911U,	// PFSUBRrm
-    8446391U,	// PFSUBRrr
-    551653010U,	// PFSUBrm
-    8441490U,	// PFSUBrr
-    8687476U,	// PHADDDrm
-    8441716U,	// PHADDDrr
-    8694579U,	// PHADDSWrm128
-    8448819U,	// PHADDSWrr128
-    8694012U,	// PHADDWrm
-    8448252U,	// PHADDWrr
-    322657U,	// PHMINPOSUWrm128
-    8547425U,	// PHMINPOSUWrr128
-    8687430U,	// PHSUBDrm
-    8441670U,	// PHSUBDrr
-    8694560U,	// PHSUBSWrm128
-    8448800U,	// PHSUBSWrr128
-    8693918U,	// PHSUBWrm
-    8448158U,	// PHSUBWrr
-    551718831U,	// PI2FDrm
-    8540079U,	// PI2FDrr
-    551725448U,	// PI2FWrm
-    8546696U,	// PI2FWrr
-    866471367U,	// PINSRBrm
-    839224775U,	// PINSRBrr
-    862278419U,	// PINSRDrm
-    839226131U,	// PINSRDrr
-    864378848U,	// PINSRQrm
-    839229408U,	// PINSRQrr
-    860187352U,	// PINSRWrmi
-    839232216U,	// PINSRWrri
-    8694548U,	// PMADDUBSWrm128
-    8448788U,	// PMADDUBSWrr128
-    8688930U,	// PMADDWDrm
-    8443170U,	// PMADDWDrr
-    8687173U,	// PMAXSBrm
-    8441413U,	// PMAXSBrr
-    8688770U,	// PMAXSDrm
-    8443010U,	// PMAXSDrr
-    8694720U,	// PMAXSWrm
-    8448960U,	// PMAXSWrr
-    8687258U,	// PMAXUBrm
-    8441498U,	// PMAXUBrr
-    8688855U,	// PMAXUDrm
-    8443095U,	// PMAXUDrr
-    8694894U,	// PMAXUWrm
-    8449134U,	// PMAXUWrr
-    8687114U,	// PMINSBrm
-    8441354U,	// PMINSBrr
-    8688697U,	// PMINSDrm
-    8442937U,	// PMINSDrr
-    8694629U,	// PMINSWrm
-    8448869U,	// PMINSWrr
-    8687242U,	// PMINUBrm
-    8441482U,	// PMINUBrr
-    8688837U,	// PMINUDrm
-    8443077U,	// PMINUDrr
-    8694872U,	// PMINUWrm
-    8449112U,	// PMINUWrr
-    8539470U,	// PMOVMSKBrr
-    551685975U,	// PMOVSXBDrm
-    8539991U,	// PMOVSXBDrr
-    384189U,	// PMOVSXBQrm
-    8543421U,	// PMOVSXBQrr
-    551725239U,	// PMOVSXBWrm
-    8546487U,	// PMOVSXBWrr
-    551722515U,	// PMOVSXDQrm
-    8543763U,	// PMOVSXDQrr
-    551720315U,	// PMOVSXWDrm
-    8541563U,	// PMOVSXWDrr
-    551690592U,	// PMOVSXWQrm
-    8544608U,	// PMOVSXWQrr
-    551685986U,	// PMOVZXBDrm
-    8540002U,	// PMOVZXBDrr
-    384200U,	// PMOVZXBQrm
-    8543432U,	// PMOVZXBQrr
-    551725250U,	// PMOVZXBWrm
-    8546498U,	// PMOVZXBWrr
-    551722526U,	// PMOVZXDQrm
-    8543774U,	// PMOVZXDQrr
-    551720326U,	// PMOVZXWDrm
-    8541574U,	// PMOVZXWDrr
-    551690603U,	// PMOVZXWQrm
-    8544619U,	// PMOVZXWQrr
-    8691088U,	// PMULDQrm
-    8445328U,	// PMULDQrr
-    8694654U,	// PMULHRSWrm128
-    8448894U,	// PMULHRSWrr128
-    551660214U,	// PMULHRWrm
-    8448694U,	// PMULHRWrr
-    8694853U,	// PMULHUWrm
-    8449093U,	// PMULHUWrr
-    8694227U,	// PMULHWrm
-    8448467U,	// PMULHWrr
-    8687604U,	// PMULLDrm
-    8441844U,	// PMULLDrr
-    8694296U,	// PMULLWrm
-    8448536U,	// PMULLWrr
-    8691209U,	// PMULUDQrm
-    8445449U,	// PMULUDQrr
-    27270U,	// POP16r
-    273030U,	// POP16rmm
-    27270U,	// POP16rmr
-    22892U,	// POP32r
-    285036U,	// POP32rmm
-    22892U,	// POP32rmr
-    24448U,	// POP64r
-    401280U,	// POP64rmm
-    24448U,	// POP64rmr
-    15248U,	// POPA16
-    14463U,	// POPA32
-    388104U,	// POPCNT16rm
-    8547336U,	// POPCNT16rr
-    551688894U,	// POPCNT32rm
-    8542910U,	// POPCNT32rr
-    551723166U,	// POPCNT64rm
-    8544414U,	// POPCNT64rr
-    14890U,	// POPDS16
-    14871U,	// POPDS32
-    14928U,	// POPES16
-    14909U,	// POPES32
-    15261U,	// POPF16
-    14476U,	// POPF32
-    14718U,	// POPF64
-    14985U,	// POPFS16
-    14947U,	// POPFS32
-    14966U,	// POPFS64
-    15042U,	// POPGS16
-    15004U,	// POPGS32
-    15023U,	// POPGS64
-    15156U,	// POPSS16
-    15137U,	// POPSS32
-    8692177U,	// PORrm
-    8446417U,	// PORrr
-    431686U,	// PREFETCH
-    429247U,	// PREFETCHNTA
-    428835U,	// PREFETCHT0
-    428869U,	// PREFETCHT1
-    428947U,	// PREFETCHT2
-    436669U,	// PREFETCHW
-    8693847U,	// PSADBWrm
-    8448087U,	// PSADBWrr
-    8686889U,	// PSHUFBrm
-    8441129U,	// PSHUFBrr
-    25497527U,	// PSHUFDmi
-    811650999U,	// PSHUFDri
-    25504201U,	// PSHUFHWmi
-    811657673U,	// PSHUFHWri
-    25504246U,	// PSHUFLWmi
-    811657718U,	// PSHUFLWri
-    8686978U,	// PSIGNBrm
-    8441218U,	// PSIGNBrr
-    8687653U,	// PSIGNDrm
-    8441893U,	// PSIGNDrr
-    8694348U,	// PSIGNWrm
-    8448588U,	// PSIGNWrr
-    8445310U,	// PSLLDQri
-    8441836U,	// PSLLDri
-    8687596U,	// PSLLDrm
-    8441836U,	// PSLLDrr
-    8445702U,	// PSLLQri
-    8691462U,	// PSLLQrm
-    8445702U,	// PSLLQrr
-    8448528U,	// PSLLWri
-    8694288U,	// PSLLWrm
-    8448528U,	// PSLLWrr
-    8441641U,	// PSRADri
-    8687401U,	// PSRADrm
-    8441641U,	// PSRADrr
-    8448054U,	// PSRAWri
-    8693814U,	// PSRAWrm
-    8448054U,	// PSRAWrr
-    8445319U,	// PSRLDQri
-    8441853U,	// PSRLDri
-    8687613U,	// PSRLDrm
-    8441853U,	// PSRLDrr
-    8445716U,	// PSRLQri
-    8691476U,	// PSRLQrm
-    8445716U,	// PSRLQrr
-    8448551U,	// PSRLWri
-    8694311U,	// PSRLWrm
-    8448551U,	// PSRLWrr
-    8686842U,	// PSUBBrm
-    8441082U,	// PSUBBrr
-    8687439U,	// PSUBDrm
-    8441679U,	// PSUBDrr
-    8690861U,	// PSUBQrm
-    8445101U,	// PSUBQrr
-    8687089U,	// PSUBSBrm
-    8441329U,	// PSUBSBrr
-    8694570U,	// PSUBSWrm
-    8448810U,	// PSUBSWrr
-    8687137U,	// PSUBUSBrm
-    8441377U,	// PSUBUSBrr
-    8694692U,	// PSUBUSWrm
-    8448932U,	// PSUBUSWrr
-    8693927U,	// PSUBWrm
-    8448167U,	// PSUBWrr
-    551719275U,	// PSWAPDrm
-    8540523U,	// PSWAPDrr
-    583594U,	// PTESTrm
-    8546218U,	// PTESTrr
-    8693875U,	// PUNPCKHBWrm
-    8448115U,	// PUNPCKHBWrr
-    8691039U,	// PUNPCKHDQrm
-    8445279U,	// PUNPCKHDQrr
-    8691106U,	// PUNPCKHQDQrm
-    8445346U,	// PUNPCKHQDQrr
-    8688940U,	// PUNPCKHWDrm
-    8443180U,	// PUNPCKHWDrr
-    8693887U,	// PUNPCKLBWrm
-    8448127U,	// PUNPCKLBWrr
-    8691058U,	// PUNPCKLDQrm
-    8445298U,	// PUNPCKLDQrr
-    8691119U,	// PUNPCKLQDQrm
-    8445359U,	// PUNPCKLQDQrr
-    8688952U,	// PUNPCKLWDrm
-    8443192U,	// PUNPCKLWDrr
-    27099U,	// PUSH16i8
-    27099U,	// PUSH16r
-    272859U,	// PUSH16rmm
-    27099U,	// PUSH16rmr
-    22639U,	// PUSH32i8
-    22639U,	// PUSH32r
-    284783U,	// PUSH32rmm
-    22639U,	// PUSH32rmr
-    27099U,	// PUSH64i16
-    24259U,	// PUSH64i32
-    24259U,	// PUSH64i8
-    24259U,	// PUSH64r
-    401091U,	// PUSH64rmm
-    24259U,	// PUSH64rmr
-    15241U,	// PUSHA16
-    14456U,	// PUSHA32
-    14851U,	// PUSHCS16
-    14841U,	// PUSHCS32
-    14880U,	// PUSHDS16
-    14861U,	// PUSHDS32
-    14918U,	// PUSHES16
-    14899U,	// PUSHES32
-    15254U,	// PUSHF16
-    14469U,	// PUSHF32
-    14711U,	// PUSHF64
-    14975U,	// PUSHFS16
-    14937U,	// PUSHFS32
-    14956U,	// PUSHFS64
-    15032U,	// PUSHGS16
-    14994U,	// PUSHGS32
-    15013U,	// PUSHGS64
-    15146U,	// PUSHSS16
-    15127U,	// PUSHSS32
-    27099U,	// PUSHi16
-    22639U,	// PUSHi32
-    8692200U,	// PXORrm
-    8446440U,	// PXORrr
-    272879U,	// RCL16m1
-    274156U,	// RCL16mCL
-    4237807U,	// RCL16mi
-    27119U,	// RCL16r1
-    28396U,	// RCL16rCL
-    8448495U,	// RCL16ri
-    284832U,	// RCL32m1
-    290316U,	// RCL32mCL
-    12621984U,	// RCL32mi
-    22688U,	// RCL32r1
-    28172U,	// RCL32rCL
-    8444064U,	// RCL32ri
-    401136U,	// RCL64m1
-    405116U,	// RCL64mCL
-    18915056U,	// RCL64mi
-    24304U,	// RCL64r1
-    28284U,	// RCL64rCL
-    8445680U,	// RCL64ri
-    429406U,	// RCL8m1
-    437660U,	// RCL8mCL
-    23104862U,	// RCL8mi
-    19806U,	// RCL8r1
-    28060U,	// RCL8rCL
-    8441182U,	// RCL8ri
-    582879U,	// RCPPSm
-    582879U,	// RCPPSm_Int
-    8545503U,	// RCPPSr
-    8545503U,	// RCPPSr_Int
-    616149U,	// RCPSSm
-    551773909U,	// RCPSSm_Int
-    8546005U,	// RCPSSr
-    8447701U,	// RCPSSr_Int
-    273066U,	// RCR16m1
-    274200U,	// RCR16mCL
-    4237994U,	// RCR16mi
-    27306U,	// RCR16r1
-    28440U,	// RCR16rCL
-    8448682U,	// RCR16ri
-    285132U,	// RCR32m1
-    290360U,	// RCR32mCL
-    12622284U,	// RCR32mi
-    22988U,	// RCR32r1
-    28216U,	// RCR32rCL
-    8444364U,	// RCR32ri
-    401328U,	// RCR64m1
-    405160U,	// RCR64mCL
-    18915248U,	// RCR64mi
-    24496U,	// RCR64r1
-    28328U,	// RCR64rCL
-    8445872U,	// RCR64ri
-    429486U,	// RCR8m1
-    437704U,	// RCR8mCL
-    23104942U,	// RCR8mi
-    19886U,	// RCR8r1
-    28104U,	// RCR8rCL
-    8441262U,	// RCR8ri
-    22547U,	// RDFSBASE
-    24158U,	// RDFSBASE64
-    22569U,	// RDGSBASE
-    24180U,	// RDGSBASE64
-    14806U,	// RDMSR
-    14227U,	// RDPMC
-    26915U,	// RDRAND16r
-    22461U,	// RDRAND32r
-    23960U,	// RDRAND64r
-    26899U,	// RDSEED16r
-    22432U,	// RDSEED32r
-    23893U,	// RDSEED64r
-    14240U,	// RDTSC
-    14653U,	// RDTSCP
-    13660U,	// RELEASE_MOV16mr
-    13660U,	// RELEASE_MOV32mr
-    13660U,	// RELEASE_MOV64mr
-    13660U,	// RELEASE_MOV8mr
-    14330U,	// REPNE_PREFIX
-    14171U,	// REP_MOVSB_32
-    14171U,	// REP_MOVSB_64
-    14524U,	// REP_MOVSD_32
-    14524U,	// REP_MOVSD_64
-    14734U,	// REP_MOVSQ_64
-    15277U,	// REP_MOVSW_32
-    15277U,	// REP_MOVSW_64
-    14660U,	// REP_PREFIX
-    14161U,	// REP_STOSB_32
-    14161U,	// REP_STOSB_64
-    14514U,	// REP_STOSD_32
-    14514U,	// REP_STOSD_64
-    14724U,	// REP_STOSQ_64
-    15267U,	// REP_STOSW_32
-    15267U,	// REP_STOSW_64
-    23218U,	// RETIL
-    24718U,	// RETIQ
-    27640U,	// RETIW
-    14535U,	// RETL
-    14745U,	// RETQ
-    15293U,	// RETW
-    13997U,	// REX64_PREFIX
-    272928U,	// ROL16m1
-    274178U,	// ROL16mCL
-    4237856U,	// ROL16mi
-    27168U,	// ROL16r1
-    28418U,	// ROL16rCL
-    8448544U,	// ROL16ri
-    284878U,	// ROL32m1
-    290338U,	// ROL32mCL
-    12622030U,	// ROL32mi
-    22734U,	// ROL32r1
-    28194U,	// ROL32rCL
-    8444110U,	// ROL32ri
-    401165U,	// ROL64m1
-    405138U,	// ROL64mCL
-    18915085U,	// ROL64mi
-    24333U,	// ROL64r1
-    28306U,	// ROL64rCL
-    8445709U,	// ROL64ri
-    429420U,	// ROL8m1
-    437682U,	// ROL8mCL
-    23104876U,	// ROL8mi
-    19820U,	// ROL8r1
-    28082U,	// ROL8rCL
-    8441196U,	// ROL8ri
-    273093U,	// ROR16m1
-    274222U,	// ROR16mCL
-    4238021U,	// ROR16mi
-    27333U,	// ROR16r1
-    28462U,	// ROR16rCL
-    8448709U,	// ROR16ri
-    285144U,	// ROR32m1
-    290382U,	// ROR32mCL
-    12622296U,	// ROR32mi
-    23000U,	// ROR32r1
-    28238U,	// ROR32rCL
-    8444376U,	// ROR32ri
-    401340U,	// ROR64m1
-    405182U,	// ROR64mCL
-    18915260U,	// ROR64mi
-    24508U,	// ROR64r1
-    28350U,	// ROR64rCL
-    8445884U,	// ROR64ri
-    429498U,	// ROR8m1
-    437726U,	// ROR8mCL
-    23104954U,	// ROR8mi
-    19898U,	// ROR8r1
-    28126U,	// ROR8rCL
-    8441274U,	// ROR8ri
-    832904021U,	// RORX32mi
-    811653973U,	// RORX32ri
-    835002791U,	// RORX64mi
-    811655591U,	// RORX64ri
-    77926903U,	// ROUNDPDm
-    811651575U,	// ROUNDPDr
-    77931579U,	// ROUNDPSm
-    811656251U,	// ROUNDPSr
-    581260308U,	// ROUNDSDm
-    839226388U,	// ROUNDSDr
-    839226388U,	// ROUNDSDr_Int
-    585459368U,	// ROUNDSSm
-    839231144U,	// ROUNDSSr
-    839231144U,	// ROUNDSSr_Int
-    14594U,	// RSM
-    582962U,	// RSQRTPSm
-    582962U,	// RSQRTPSm_Int
-    8545586U,	// RSQRTPSr
-    8545586U,	// RSQRTPSr_Int
-    616174U,	// RSQRTSSm
-    551773934U,	// RSQRTSSm_Int
-    8546030U,	// RSQRTSSr
-    8447726U,	// RSQRTSSr_Int
-    14385U,	// SAHF
-    272873U,	// SAL16m1
-    274145U,	// SAL16mCL
-    4237801U,	// SAL16mi
-    27113U,	// SAL16r1
-    28385U,	// SAL16rCL
-    8448489U,	// SAL16ri
-    284826U,	// SAL32m1
-    290305U,	// SAL32mCL
-    12621978U,	// SAL32mi
-    22682U,	// SAL32r1
-    28161U,	// SAL32rCL
-    8444058U,	// SAL32ri
-    401130U,	// SAL64m1
-    405105U,	// SAL64mCL
-    18915050U,	// SAL64mi
-    24298U,	// SAL64r1
-    28273U,	// SAL64rCL
-    8445674U,	// SAL64ri
-    429400U,	// SAL8m1
-    437649U,	// SAL8mCL
-    23104856U,	// SAL8mi
-    19800U,	// SAL8r1
-    28049U,	// SAL8rCL
-    8441176U,	// SAL8ri
-    14214U,	// SALC
-    273060U,	// SAR16m1
-    274189U,	// SAR16mCL
-    4237988U,	// SAR16mi
-    27300U,	// SAR16r1
-    28429U,	// SAR16rCL
-    8448676U,	// SAR16ri
-    285109U,	// SAR32m1
-    290349U,	// SAR32mCL
-    12622261U,	// SAR32mi
-    22965U,	// SAR32r1
-    28205U,	// SAR32rCL
-    8444341U,	// SAR32ri
-    401322U,	// SAR64m1
-    405149U,	// SAR64mCL
-    18915242U,	// SAR64mi
-    24490U,	// SAR64r1
-    28317U,	// SAR64rCL
-    8445866U,	// SAR64ri
-    429480U,	// SAR8m1
-    437693U,	// SAR8mCL
-    23104936U,	// SAR8mi
-    19880U,	// SAR8r1
-    28093U,	// SAR8rCL
-    8441256U,	// SAR8ri
-    832904007U,	// SARX32rm
-    811653959U,	// SARX32rr
-    835002777U,	// SARX64rm
-    811655577U,	// SARX64rr
-    2123845U,	// SBB16i16
-    4237381U,	// SBB16mi
-    4237381U,	// SBB16mi8
-    4237381U,	// SBB16mr
-    6350917U,	// SBB16ri
-    6350917U,	// SBB16ri8
-    6367301U,	// SBB16rm
-    6350917U,	// SBB16rr
-    8448069U,	// SBB16rr_REV
-    10508092U,	// SBB32i32
-    12621628U,	// SBB32mi
-    12621628U,	// SBB32mi8
-    12621628U,	// SBB32mr
-    6346556U,	// SBB32ri
-    6346556U,	// SBB32ri8
-    283203388U,	// SBB32rm
-    6346556U,	// SBB32rr
-    8443708U,	// SBB32rr_REV
-    16800905U,	// SBB64i32
-    18914441U,	// SBB64mi32
-    18914441U,	// SBB64mi8
-    18914441U,	// SBB64mr
-    6347913U,	// SBB64ri32
-    6347913U,	// SBB64ri8
-    283221129U,	// SBB64rm
-    6347913U,	// SBB64rr
-    8445065U,	// SBB64rr_REV
-    20991219U,	// SBB8i8
-    23104755U,	// SBB8mi
-    23104755U,	// SBB8mr
-    6343923U,	// SBB8ri
-    118003U,	// SBB8rm
-    6343923U,	// SBB8rr
-    8441075U,	// SBB8rr_REV
-    2599675U,	// SCAS16
-    11000342U,	// SCAS32
-    17309701U,	// SCAS64
-    21515736U,	// SCAS8
-    15063U,	// SEG_ALLOCA_32
-    15063U,	// SEG_ALLOCA_64
-    431509U,	// SETAEm
-    21909U,	// SETAEr
-    429241U,	// SETAm
-    19641U,	// SETAr
-    431531U,	// SETBEm
-    21931U,	// SETBEr
+    25501019U,	// PCMPISTRM128rm
+    811654491U,	// PCMPISTRM128rr
+    283204368U,	// PDEP32rm
+    811653904U,	// PDEP32rr
+    283222336U,	// PDEP64rm
+    811655488U,	// PDEP64rr
+    283204809U,	// PEXT32rm
+    811654345U,	// PEXT32rr
+    283222734U,	// PEXT64rm
+    811655886U,	// PEXT64rr
+    3808759720U,	// PEXTRBmr
+    811650984U,	// PEXTRBrr
+    4077196532U,	// PEXTRDmr
+    811652340U,	// PEXTRDrr
+    50667989U,	// PEXTRQmr
+    811655637U,	// PEXTRQrr
+    319106251U,	// PEXTRWmr
+    811658443U,	// PEXTRWri
+    811658443U,	// PEXTRWrr_REV
+    551719319U,	// PF2IDrm
+    8540567U,	// PF2IDrr
+    551726010U,	// PF2IWrm
+    8547258U,	// PF2IWrr
+    551653546U,	// PFACCrm
+    8442026U,	// PFACCrr
+    551653700U,	// PFADDrm
+    8442180U,	// PFADDrr
+    551657517U,	// PFCMPEQrm
+    8445997U,	// PFCMPEQrr
+    551655327U,	// PFCMPGErm
+    8443807U,	// PFCMPGErr
+    551659823U,	// PFCMPGTrm
+    8448303U,	// PFCMPGTrr
+    551661182U,	// PFMAXrm
+    8449662U,	// PFMAXrr
+    551656822U,	// PFMINrm
+    8445302U,	// PFMINrr
+    551656656U,	// PFMULrm
+    8445136U,	// PFMULrr
+    551653553U,	// PFNACCrm
+    8442033U,	// PFNACCrr
+    551653561U,	// PFPNACCrm
+    8442041U,	// PFPNACCrr
+    551652606U,	// PFRCPIT1rm
+    8441086U,	// PFRCPIT1rr
+    551652695U,	// PFRCPIT2rm
+    8441175U,	// PFRCPIT2rr
+    551722401U,	// PFRCPrm
+    8543649U,	// PFRCPrr
+    551652616U,	// PFRSQIT1rm
+    8441096U,	// PFRSQIT1rr
+    551725406U,	// PFRSQRTrm
+    8546654U,	// PFRSQRTrr
+    551658383U,	// PFSUBRrm
+    8446863U,	// PFSUBRrr
+    551653482U,	// PFSUBrm
+    8441962U,	// PFSUBrr
+    8687948U,	// PHADDDrm
+    8442188U,	// PHADDDrr
+    8695051U,	// PHADDSWrm128
+    8449291U,	// PHADDSWrr128
+    8694484U,	// PHADDWrm
+    8448724U,	// PHADDWrr
+    323129U,	// PHMINPOSUWrm128
+    8547897U,	// PHMINPOSUWrr128
+    8687902U,	// PHSUBDrm
+    8442142U,	// PHSUBDrr
+    8695032U,	// PHSUBSWrm128
+    8449272U,	// PHSUBSWrr128
+    8694390U,	// PHSUBWrm
+    8448630U,	// PHSUBWrr
+    551719303U,	// PI2FDrm
+    8540551U,	// PI2FDrr
+    551725920U,	// PI2FWrm
+    8547168U,	// PI2FWrr
+    866471839U,	// PINSRBrm
+    839225247U,	// PINSRBrr
+    862278891U,	// PINSRDrm
+    839226603U,	// PINSRDrr
+    864379320U,	// PINSRQrm
+    839229880U,	// PINSRQrr
+    860187824U,	// PINSRWrmi
+    839232688U,	// PINSRWrri
+    8695020U,	// PMADDUBSWrm128
+    8449260U,	// PMADDUBSWrr128
+    8689402U,	// PMADDWDrm
+    8443642U,	// PMADDWDrr
+    8687645U,	// PMAXSBrm
+    8441885U,	// PMAXSBrr
+    8689242U,	// PMAXSDrm
+    8443482U,	// PMAXSDrr
+    8695192U,	// PMAXSWrm
+    8449432U,	// PMAXSWrr
+    8687730U,	// PMAXUBrm
+    8441970U,	// PMAXUBrr
+    8689327U,	// PMAXUDrm
+    8443567U,	// PMAXUDrr
+    8695366U,	// PMAXUWrm
+    8449606U,	// PMAXUWrr
+    8687586U,	// PMINSBrm
+    8441826U,	// PMINSBrr
+    8689169U,	// PMINSDrm
+    8443409U,	// PMINSDrr
+    8695101U,	// PMINSWrm
+    8449341U,	// PMINSWrr
+    8687714U,	// PMINUBrm
+    8441954U,	// PMINUBrr
+    8689309U,	// PMINUDrm
+    8443549U,	// PMINUDrr
+    8695344U,	// PMINUWrm
+    8449584U,	// PMINUWrr
+    8539942U,	// PMOVMSKBrr
+    551686447U,	// PMOVSXBDrm
+    8540463U,	// PMOVSXBDrr
+    384661U,	// PMOVSXBQrm
+    8543893U,	// PMOVSXBQrr
+    551725711U,	// PMOVSXBWrm
+    8546959U,	// PMOVSXBWrr
+    551722987U,	// PMOVSXDQrm
+    8544235U,	// PMOVSXDQrr
+    551720787U,	// PMOVSXWDrm
+    8542035U,	// PMOVSXWDrr
+    551691064U,	// PMOVSXWQrm
+    8545080U,	// PMOVSXWQrr
+    551686458U,	// PMOVZXBDrm
+    8540474U,	// PMOVZXBDrr
+    384672U,	// PMOVZXBQrm
+    8543904U,	// PMOVZXBQrr
+    551725722U,	// PMOVZXBWrm
+    8546970U,	// PMOVZXBWrr
+    551722998U,	// PMOVZXDQrm
+    8544246U,	// PMOVZXDQrr
+    551720798U,	// PMOVZXWDrm
+    8542046U,	// PMOVZXWDrr
+    551691075U,	// PMOVZXWQrm
+    8545091U,	// PMOVZXWQrr
+    8691560U,	// PMULDQrm
+    8445800U,	// PMULDQrr
+    8695126U,	// PMULHRSWrm128
+    8449366U,	// PMULHRSWrr128
+    551660686U,	// PMULHRWrm
+    8449166U,	// PMULHRWrr
+    8695325U,	// PMULHUWrm
+    8449565U,	// PMULHUWrr
+    8694699U,	// PMULHWrm
+    8448939U,	// PMULHWrr
+    8688076U,	// PMULLDrm
+    8442316U,	// PMULLDrr
+    8694768U,	// PMULLWrm
+    8449008U,	// PMULLWrr
+    8691681U,	// PMULUDQrm
+    8445921U,	// PMULUDQrr
+    27742U,	// POP16r
+    273502U,	// POP16rmm
+    27742U,	// POP16rmr
+    23364U,	// POP32r
+    285508U,	// POP32rmm
+    23364U,	// POP32rmr
+    24920U,	// POP64r
+    401752U,	// POP64rmm
+    24920U,	// POP64rmr
+    15011U,	// POPA16
+    14214U,	// POPA32
+    388576U,	// POPCNT16rm
+    8547808U,	// POPCNT16rr
+    551689366U,	// POPCNT32rm
+    8543382U,	// POPCNT32rr
+    551723638U,	// POPCNT64rm
+    8544886U,	// POPCNT64rr
+    14641U,	// POPDS16
+    14622U,	// POPDS32
+    14679U,	// POPES16
+    14660U,	// POPES32
+    15024U,	// POPF16
+    14227U,	// POPF32
+    14469U,	// POPF64
+    14736U,	// POPFS16
+    14698U,	// POPFS32
+    14717U,	// POPFS64
+    14793U,	// POPGS16
+    14755U,	// POPGS32
+    14774U,	// POPGS64
+    14913U,	// POPSS16
+    14894U,	// POPSS32
+    8692649U,	// PORrm
+    8446889U,	// PORrr
+    432158U,	// PREFETCH
+    429719U,	// PREFETCHNTA
+    429264U,	// PREFETCHT0
+    429298U,	// PREFETCHT1
+    429387U,	// PREFETCHT2
+    437141U,	// PREFETCHW
+    8694319U,	// PSADBWrm
+    8448559U,	// PSADBWrr
+    8687361U,	// PSHUFBrm
+    8441601U,	// PSHUFBrr
+    25497999U,	// PSHUFDmi
+    811651471U,	// PSHUFDri
+    25504673U,	// PSHUFHWmi
+    811658145U,	// PSHUFHWri
+    25504718U,	// PSHUFLWmi
+    811658190U,	// PSHUFLWri
+    8687450U,	// PSIGNBrm
+    8441690U,	// PSIGNBrr
+    8688125U,	// PSIGNDrm
+    8442365U,	// PSIGNDrr
+    8694820U,	// PSIGNWrm
+    8449060U,	// PSIGNWrr
+    8445782U,	// PSLLDQri
+    8442308U,	// PSLLDri
+    8688068U,	// PSLLDrm
+    8442308U,	// PSLLDrr
+    8446174U,	// PSLLQri
+    8691934U,	// PSLLQrm
+    8446174U,	// PSLLQrr
+    8449000U,	// PSLLWri
+    8694760U,	// PSLLWrm
+    8449000U,	// PSLLWrr
+    8442113U,	// PSRADri
+    8687873U,	// PSRADrm
+    8442113U,	// PSRADrr
+    8448526U,	// PSRAWri
+    8694286U,	// PSRAWrm
+    8448526U,	// PSRAWrr
+    8445791U,	// PSRLDQri
+    8442325U,	// PSRLDri
+    8688085U,	// PSRLDrm
+    8442325U,	// PSRLDrr
+    8446188U,	// PSRLQri
+    8691948U,	// PSRLQrm
+    8446188U,	// PSRLQrr
+    8449023U,	// PSRLWri
+    8694783U,	// PSRLWrm
+    8449023U,	// PSRLWrr
+    8687314U,	// PSUBBrm
+    8441554U,	// PSUBBrr
+    8687911U,	// PSUBDrm
+    8442151U,	// PSUBDrr
+    8691333U,	// PSUBQrm
+    8445573U,	// PSUBQrr
+    8687561U,	// PSUBSBrm
+    8441801U,	// PSUBSBrr
+    8695042U,	// PSUBSWrm
+    8449282U,	// PSUBSWrr
+    8687609U,	// PSUBUSBrm
+    8441849U,	// PSUBUSBrr
+    8695164U,	// PSUBUSWrm
+    8449404U,	// PSUBUSWrr
+    8694399U,	// PSUBWrm
+    8448639U,	// PSUBWrr
+    551719747U,	// PSWAPDrm
+    8540995U,	// PSWAPDrr
+    584066U,	// PTESTrm
+    8546690U,	// PTESTrr
+    8694347U,	// PUNPCKHBWrm
+    8448587U,	// PUNPCKHBWrr
+    8691511U,	// PUNPCKHDQrm
+    8445751U,	// PUNPCKHDQrr
+    8691578U,	// PUNPCKHQDQrm
+    8445818U,	// PUNPCKHQDQrr
+    8689412U,	// PUNPCKHWDrm
+    8443652U,	// PUNPCKHWDrr
+    8694359U,	// PUNPCKLBWrm
+    8448599U,	// PUNPCKLBWrr
+    8691530U,	// PUNPCKLDQrm
+    8445770U,	// PUNPCKLDQrr
+    8691591U,	// PUNPCKLQDQrm
+    8445831U,	// PUNPCKLQDQrr
+    8689424U,	// PUNPCKLWDrm
+    8443664U,	// PUNPCKLWDrr
+    27571U,	// PUSH16i8
+    27571U,	// PUSH16r
+    273331U,	// PUSH16rmm
+    27571U,	// PUSH16rmr
+    23111U,	// PUSH32i8
+    23111U,	// PUSH32r
+    285255U,	// PUSH32rmm
+    23111U,	// PUSH32rmr
+    27571U,	// PUSH64i16
+    24731U,	// PUSH64i32
+    24731U,	// PUSH64i8
+    24731U,	// PUSH64r
+    401563U,	// PUSH64rmm
+    24731U,	// PUSH64rmr
+    15004U,	// PUSHA16
+    14207U,	// PUSHA32
+    14602U,	// PUSHCS16
+    14592U,	// PUSHCS32
+    14631U,	// PUSHDS16
+    14612U,	// PUSHDS32
+    14669U,	// PUSHES16
+    14650U,	// PUSHES32
+    15017U,	// PUSHF16
+    14220U,	// PUSHF32
+    14462U,	// PUSHF64
+    14726U,	// PUSHFS16
+    14688U,	// PUSHFS32
+    14707U,	// PUSHFS64
+    14783U,	// PUSHGS16
+    14745U,	// PUSHGS32
+    14764U,	// PUSHGS64
+    14903U,	// PUSHSS16
+    14884U,	// PUSHSS32
+    27571U,	// PUSHi16
+    23111U,	// PUSHi32
+    8692672U,	// PXORrm
+    8446912U,	// PXORrr
+    273351U,	// RCL16m1
+    274628U,	// RCL16mCL
+    4238279U,	// RCL16mi
+    27591U,	// RCL16r1
+    28868U,	// RCL16rCL
+    8448967U,	// RCL16ri
+    285304U,	// RCL32m1
+    290788U,	// RCL32mCL
+    12622456U,	// RCL32mi
+    23160U,	// RCL32r1
+    28644U,	// RCL32rCL
+    8444536U,	// RCL32ri
+    401608U,	// RCL64m1
+    405588U,	// RCL64mCL
+    18915528U,	// RCL64mi
+    24776U,	// RCL64r1
+    28756U,	// RCL64rCL
+    8446152U,	// RCL64ri
+    429878U,	// RCL8m1
+    438132U,	// RCL8mCL
+    23105334U,	// RCL8mi
+    20278U,	// RCL8r1
+    28532U,	// RCL8rCL
+    8441654U,	// RCL8ri
+    583351U,	// RCPPSm
+    583351U,	// RCPPSm_Int
+    8545975U,	// RCPPSr
+    8545975U,	// RCPPSr_Int
+    616621U,	// RCPSSm
+    551774381U,	// RCPSSm_Int
+    8546477U,	// RCPSSr
+    8448173U,	// RCPSSr_Int
+    273538U,	// RCR16m1
+    274672U,	// RCR16mCL
+    4238466U,	// RCR16mi
+    27778U,	// RCR16r1
+    28912U,	// RCR16rCL
+    8449154U,	// RCR16ri
+    285604U,	// RCR32m1
+    290832U,	// RCR32mCL
+    12622756U,	// RCR32mi
+    23460U,	// RCR32r1
+    28688U,	// RCR32rCL
+    8444836U,	// RCR32ri
+    401800U,	// RCR64m1
+    405632U,	// RCR64mCL
+    18915720U,	// RCR64mi
+    24968U,	// RCR64r1
+    28800U,	// RCR64rCL
+    8446344U,	// RCR64ri
+    429958U,	// RCR8m1
+    438176U,	// RCR8mCL
+    23105414U,	// RCR8mi
+    20358U,	// RCR8r1
+    28576U,	// RCR8rCL
+    8441734U,	// RCR8ri
+    23019U,	// RDFSBASE
+    24630U,	// RDFSBASE64
+    23041U,	// RDGSBASE
+    24652U,	// RDGSBASE64
+    14557U,	// RDMSR
+    13947U,	// RDPMC
+    27387U,	// RDRAND16r
+    22933U,	// RDRAND32r
+    24432U,	// RDRAND64r
+    27371U,	// RDSEED16r
+    22904U,	// RDSEED32r
+    24365U,	// RDSEED64r
+    13960U,	// RDTSC
+    14404U,	// RDTSCP
+    13380U,	// RELEASE_MOV16mr
+    13380U,	// RELEASE_MOV32mr
+    13380U,	// RELEASE_MOV64mr
+    13380U,	// RELEASE_MOV8mr
+    14050U,	// REPNE_PREFIX
+    13891U,	// REP_MOVSB_32
+    13891U,	// REP_MOVSB_64
+    14275U,	// REP_MOVSD_32
+    14275U,	// REP_MOVSD_64
+    14485U,	// REP_MOVSQ_64
+    15040U,	// REP_MOVSW_32
+    15040U,	// REP_MOVSW_64
+    14411U,	// REP_PREFIX
+    13881U,	// REP_STOSB_32
+    13881U,	// REP_STOSB_64
+    14265U,	// REP_STOSD_32
+    14265U,	// REP_STOSD_64
+    14475U,	// REP_STOSQ_64
+    15030U,	// REP_STOSW_32
+    15030U,	// REP_STOSW_64
+    23690U,	// RETIL
+    25190U,	// RETIQ
+    28112U,	// RETIW
+    14286U,	// RETL
+    14496U,	// RETQ
+    15056U,	// RETW
+    13717U,	// REX64_PREFIX
+    273400U,	// ROL16m1
+    274650U,	// ROL16mCL
+    4238328U,	// ROL16mi
+    27640U,	// ROL16r1
+    28890U,	// ROL16rCL
+    8449016U,	// ROL16ri
+    285350U,	// ROL32m1
+    290810U,	// ROL32mCL
+    12622502U,	// ROL32mi
+    23206U,	// ROL32r1
+    28666U,	// ROL32rCL
+    8444582U,	// ROL32ri
+    401637U,	// ROL64m1
+    405610U,	// ROL64mCL
+    18915557U,	// ROL64mi
+    24805U,	// ROL64r1
+    28778U,	// ROL64rCL
+    8446181U,	// ROL64ri
+    429892U,	// ROL8m1
+    438154U,	// ROL8mCL
+    23105348U,	// ROL8mi
+    20292U,	// ROL8r1
+    28554U,	// ROL8rCL
+    8441668U,	// ROL8ri
+    273565U,	// ROR16m1
+    274694U,	// ROR16mCL
+    4238493U,	// ROR16mi
+    27805U,	// ROR16r1
+    28934U,	// ROR16rCL
+    8449181U,	// ROR16ri
+    285616U,	// ROR32m1
+    290854U,	// ROR32mCL
+    12622768U,	// ROR32mi
+    23472U,	// ROR32r1
+    28710U,	// ROR32rCL
+    8444848U,	// ROR32ri
+    401812U,	// ROR64m1
+    405654U,	// ROR64mCL
+    18915732U,	// ROR64mi
+    24980U,	// ROR64r1
+    28822U,	// ROR64rCL
+    8446356U,	// ROR64ri
+    429970U,	// ROR8m1
+    438198U,	// ROR8mCL
+    23105426U,	// ROR8mi
+    20370U,	// ROR8r1
+    28598U,	// ROR8rCL
+    8441746U,	// ROR8ri
+    832904493U,	// RORX32mi
+    811654445U,	// RORX32ri
+    835003263U,	// RORX64mi
+    811656063U,	// RORX64ri
+    77927375U,	// ROUNDPDm
+    811652047U,	// ROUNDPDr
+    77932051U,	// ROUNDPSm
+    811656723U,	// ROUNDPSr
+    581260780U,	// ROUNDSDm
+    839226860U,	// ROUNDSDr
+    839226860U,	// ROUNDSDr_Int
+    585459840U,	// ROUNDSSm
+    839231616U,	// ROUNDSSr
+    839231616U,	// ROUNDSSr_Int
+    14345U,	// RSM
+    583434U,	// RSQRTPSm
+    583434U,	// RSQRTPSm_Int
+    8546058U,	// RSQRTPSr
+    8546058U,	// RSQRTPSr_Int
+    616646U,	// RSQRTSSm
+    551774406U,	// RSQRTSSm_Int
+    8546502U,	// RSQRTSSr
+    8448198U,	// RSQRTSSr_Int
+    14136U,	// SAHF
+    273345U,	// SAL16m1
+    274617U,	// SAL16mCL
+    4238273U,	// SAL16mi
+    27585U,	// SAL16r1
+    28857U,	// SAL16rCL
+    8448961U,	// SAL16ri
+    285298U,	// SAL32m1
+    290777U,	// SAL32mCL
+    12622450U,	// SAL32mi
+    23154U,	// SAL32r1
+    28633U,	// SAL32rCL
+    8444530U,	// SAL32ri
+    401602U,	// SAL64m1
+    405577U,	// SAL64mCL
+    18915522U,	// SAL64mi
+    24770U,	// SAL64r1
+    28745U,	// SAL64rCL
+    8446146U,	// SAL64ri
+    429872U,	// SAL8m1
+    438121U,	// SAL8mCL
+    23105328U,	// SAL8mi
+    20272U,	// SAL8r1
+    28521U,	// SAL8rCL
+    8441648U,	// SAL8ri
+    13934U,	// SALC
+    273532U,	// SAR16m1
+    274661U,	// SAR16mCL
+    4238460U,	// SAR16mi
+    27772U,	// SAR16r1
+    28901U,	// SAR16rCL
+    8449148U,	// SAR16ri
+    285581U,	// SAR32m1
+    290821U,	// SAR32mCL
+    12622733U,	// SAR32mi
+    23437U,	// SAR32r1
+    28677U,	// SAR32rCL
+    8444813U,	// SAR32ri
+    401794U,	// SAR64m1
+    405621U,	// SAR64mCL
+    18915714U,	// SAR64mi
+    24962U,	// SAR64r1
+    28789U,	// SAR64rCL
+    8446338U,	// SAR64ri
+    429952U,	// SAR8m1
+    438165U,	// SAR8mCL
+    23105408U,	// SAR8mi
+    20352U,	// SAR8r1
+    28565U,	// SAR8rCL
+    8441728U,	// SAR8ri
+    832904479U,	// SARX32rm
+    811654431U,	// SARX32rr
+    835003249U,	// SARX64rm
+    811656049U,	// SARX64rr
+    2124317U,	// SBB16i16
+    4237853U,	// SBB16mi
+    4237853U,	// SBB16mi8
+    4237853U,	// SBB16mr
+    6351389U,	// SBB16ri
+    6351389U,	// SBB16ri8
+    6367773U,	// SBB16rm
+    6351389U,	// SBB16rr
+    8448541U,	// SBB16rr_REV
+    10508564U,	// SBB32i32
+    12622100U,	// SBB32mi
+    12622100U,	// SBB32mi8
+    12622100U,	// SBB32mr
+    6347028U,	// SBB32ri
+    6347028U,	// SBB32ri8
+    283203860U,	// SBB32rm
+    6347028U,	// SBB32rr
+    8444180U,	// SBB32rr_REV
+    16801377U,	// SBB64i32
+    18914913U,	// SBB64mi32
+    18914913U,	// SBB64mi8
+    18914913U,	// SBB64mr
+    6348385U,	// SBB64ri32
+    6348385U,	// SBB64ri8
+    283221601U,	// SBB64rm
+    6348385U,	// SBB64rr
+    8445537U,	// SBB64rr_REV
+    20991691U,	// SBB8i8
+    23105227U,	// SBB8mi
+    23105227U,	// SBB8mr
+    6344395U,	// SBB8ri
+    118475U,	// SBB8rm
+    6344395U,	// SBB8rr
+    8441547U,	// SBB8rr_REV
+    21467056U,	// SCASB
+    11000814U,	// SCASL
+    17310173U,	// SCASQ
+    2649299U,	// SCASW
+    14814U,	// SEG_ALLOCA_32
+    14814U,	// SEG_ALLOCA_64
+    14101U,	// SEH_EndPrologue
+    14087U,	// SEH_Epilogue
+    29347U,	// SEH_PushFrame
+    29392U,	// SEH_PushReg
+    283144898U,	// SEH_SaveReg
+    283144812U,	// SEH_SaveXMM
+    283144883U,	// SEH_SetFrame
+    29330U,	// SEH_StackAlloc
+    431981U,	// SETAEm
+    22381U,	// SETAEr
+    429713U,	// SETAm
+    20113U,	// SETAr
+    432003U,	// SETBEm
+    22403U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    429645U,	// SETBm
-    20045U,	// SETBr
-    431627U,	// SETEm
-    22027U,	// SETEr
-    431568U,	// SETGEm
-    21968U,	// SETGEr
-    431680U,	// SETGm
-    22080U,	// SETGr
-    431584U,	// SETLEm
-    21984U,	// SETLEr
-    432824U,	// SETLm
-    23224U,	// SETLr
-    431604U,	// SETNEm
-    22004U,	// SETNEr
-    433077U,	// SETNOm
-    23477U,	// SETNOr
-    433147U,	// SETNPm
-    23547U,	// SETNPr
-    434798U,	// SETNSm
-    25198U,	// SETNSr
-    433084U,	// SETOm
-    23484U,	// SETOr
-    433176U,	// SETPm
-    23576U,	// SETPr
-    436015U,	// SETSm
-    26415U,	// SETSr
-    14307U,	// SFENCE
-    633812U,	// SGDT16m
-    629397U,	// SGDT32m
-    630897U,	// SGDT64m
-    8686383U,	// SHA1MSG1rm
-    8440623U,	// SHA1MSG1rr
-    8686448U,	// SHA1MSG2rm
-    8440688U,	// SHA1MSG2rr
-    8689169U,	// SHA1NEXTErm
-    8443409U,	// SHA1NEXTErr
-    610618292U,	// SHA1RNDS4rmi
-    839224244U,	// SHA1RNDS4rri
-    8686393U,	// SHA256MSG1rm
-    8440633U,	// SHA256MSG1rr
-    8686458U,	// SHA256MSG2rm
-    8440698U,	// SHA256MSG2rr
-    8686470U,	// SHA256RNDS2rm
-    8440710U,	// SHA256RNDS2rr
-    272897U,	// SHL16m1
-    274167U,	// SHL16mCL
-    4237825U,	// SHL16mi
-    27137U,	// SHL16r1
-    28407U,	// SHL16rCL
-    8448513U,	// SHL16ri
-    284846U,	// SHL32m1
-    290327U,	// SHL32mCL
-    12621998U,	// SHL32mi
-    22702U,	// SHL32r1
-    28183U,	// SHL32rCL
-    8444078U,	// SHL32ri
-    401144U,	// SHL64m1
-    405127U,	// SHL64mCL
-    18915064U,	// SHL64mi
-    24312U,	// SHL64r1
-    28295U,	// SHL64rCL
-    8445688U,	// SHL64ri
-    429414U,	// SHL8m1
-    437671U,	// SHL8mCL
-    23104870U,	// SHL8mi
-    19814U,	// SHL8r1
-    28071U,	// SHL8rCL
-    8441190U,	// SHL8ri
-    4239049U,	// SHLD16mrCL
-    319105308U,	// SHLD16mri8
-    8449737U,	// SHLD16rrCL
-    839231772U,	// SHLD16rri8
-    12627433U,	// SHLD32mrCL
-    4077197231U,	// SHLD32mri8
-    8449513U,	// SHLD32rrCL
-    839227311U,	// SHLD32rri8
-    18919001U,	// SHLD64mrCL
-    50666858U,	// SHLD64mri8
-    8449625U,	// SHLD64rrCL
-    839228778U,	// SHLD64rri8
-    832903986U,	// SHLX32rm
-    811653938U,	// SHLX32rr
-    835002756U,	// SHLX64rm
-    811655556U,	// SHLX64rr
-    273087U,	// SHR16m1
-    274211U,	// SHR16mCL
-    4238015U,	// SHR16mi
-    27327U,	// SHR16r1
-    28451U,	// SHR16rCL
-    8448703U,	// SHR16ri
-    285138U,	// SHR32m1
-    290371U,	// SHR32mCL
-    12622290U,	// SHR32mi
-    22994U,	// SHR32r1
-    28227U,	// SHR32rCL
-    8444370U,	// SHR32ri
-    401334U,	// SHR64m1
-    405171U,	// SHR64mCL
-    18915254U,	// SHR64mi
-    24502U,	// SHR64r1
-    28339U,	// SHR64rCL
-    8445878U,	// SHR64ri
-    429492U,	// SHR8m1
-    437715U,	// SHR8mCL
-    23104948U,	// SHR8mi
-    19892U,	// SHR8r1
-    28115U,	// SHR8rCL
-    8441268U,	// SHR8ri
-    4239061U,	// SHRD16mrCL
-    319105334U,	// SHRD16mri8
-    8449749U,	// SHRD16rrCL
-    839231798U,	// SHRD16rri8
-    12627445U,	// SHRD32mrCL
-    4077197254U,	// SHRD32mri8
-    8449525U,	// SHRD32rrCL
-    839227334U,	// SHRD32rri8
-    18919013U,	// SHRD64mrCL
-    50666963U,	// SHRD64mri8
-    8449637U,	// SHRD64rrCL
-    839228883U,	// SHRD64rri8
-    832904014U,	// SHRX32rm
-    811653966U,	// SHRX32rr
-    835002784U,	// SHRX64rm
-    811655584U,	// SHRX64rr
-    568676877U,	// SHUFPDrmi
-    839225869U,	// SHUFPDrri
-    568681553U,	// SHUFPSrmi
-    839230545U,	// SHUFPSrri
-    633826U,	// SIDT16m
-    629411U,	// SIDT32m
-    630911U,	// SIDT64m
-    14611U,	// SIN_F
+    430117U,	// SETBm
+    20517U,	// SETBr
+    432099U,	// SETEm
+    22499U,	// SETEr
+    432040U,	// SETGEm
+    22440U,	// SETGEr
+    432152U,	// SETGm
+    22552U,	// SETGr
+    432056U,	// SETLEm
+    22456U,	// SETLEr
+    433296U,	// SETLm
+    23696U,	// SETLr
+    432076U,	// SETNEm
+    22476U,	// SETNEr
+    433549U,	// SETNOm
+    23949U,	// SETNOr
+    433619U,	// SETNPm
+    24019U,	// SETNPr
+    435270U,	// SETNSm
+    25670U,	// SETNSr
+    433556U,	// SETOm
+    23956U,	// SETOr
+    433648U,	// SETPm
+    24048U,	// SETPr
+    436487U,	// SETSm
+    26887U,	// SETSr
+    14027U,	// SFENCE
+    634284U,	// SGDT16m
+    629869U,	// SGDT32m
+    631369U,	// SGDT64m
+    8686812U,	// SHA1MSG1rm
+    8441052U,	// SHA1MSG1rr
+    8686888U,	// SHA1MSG2rm
+    8441128U,	// SHA1MSG2rr
+    8689641U,	// SHA1NEXTErm
+    8443881U,	// SHA1NEXTErr
+    610618743U,	// SHA1RNDS4rmi
+    839224695U,	// SHA1RNDS4rri
+    8686822U,	// SHA256MSG1rm
+    8441062U,	// SHA256MSG1rr
+    8686898U,	// SHA256MSG2rm
+    8441138U,	// SHA256MSG2rr
+    8686910U,	// SHA256RNDS2rm
+    8441150U,	// SHA256RNDS2rr
+    273369U,	// SHL16m1
+    274639U,	// SHL16mCL
+    4238297U,	// SHL16mi
+    27609U,	// SHL16r1
+    28879U,	// SHL16rCL
+    8448985U,	// SHL16ri
+    285318U,	// SHL32m1
+    290799U,	// SHL32mCL
+    12622470U,	// SHL32mi
+    23174U,	// SHL32r1
+    28655U,	// SHL32rCL
+    8444550U,	// SHL32ri
+    401616U,	// SHL64m1
+    405599U,	// SHL64mCL
+    18915536U,	// SHL64mi
+    24784U,	// SHL64r1
+    28767U,	// SHL64rCL
+    8446160U,	// SHL64ri
+    429886U,	// SHL8m1
+    438143U,	// SHL8mCL
+    23105342U,	// SHL8mi
+    20286U,	// SHL8r1
+    28543U,	// SHL8rCL
+    8441662U,	// SHL8ri
+    4239521U,	// SHLD16mrCL
+    319105780U,	// SHLD16mri8
+    8450209U,	// SHLD16rrCL
+    839232244U,	// SHLD16rri8
+    12627905U,	// SHLD32mrCL
+    4077197703U,	// SHLD32mri8
+    8449985U,	// SHLD32rrCL
+    839227783U,	// SHLD32rri8
+    18919473U,	// SHLD64mrCL
+    50667330U,	// SHLD64mri8
+    8450097U,	// SHLD64rrCL
+    839229250U,	// SHLD64rri8
+    832904458U,	// SHLX32rm
+    811654410U,	// SHLX32rr
+    835003228U,	// SHLX64rm
+    811656028U,	// SHLX64rr
+    273559U,	// SHR16m1
+    274683U,	// SHR16mCL
+    4238487U,	// SHR16mi
+    27799U,	// SHR16r1
+    28923U,	// SHR16rCL
+    8449175U,	// SHR16ri
+    285610U,	// SHR32m1
+    290843U,	// SHR32mCL
+    12622762U,	// SHR32mi
+    23466U,	// SHR32r1
+    28699U,	// SHR32rCL
+    8444842U,	// SHR32ri
+    401806U,	// SHR64m1
+    405643U,	// SHR64mCL
+    18915726U,	// SHR64mi
+    24974U,	// SHR64r1
+    28811U,	// SHR64rCL
+    8446350U,	// SHR64ri
+    429964U,	// SHR8m1
+    438187U,	// SHR8mCL
+    23105420U,	// SHR8mi
+    20364U,	// SHR8r1
+    28587U,	// SHR8rCL
+    8441740U,	// SHR8ri
+    4239533U,	// SHRD16mrCL
+    319105806U,	// SHRD16mri8
+    8450221U,	// SHRD16rrCL
+    839232270U,	// SHRD16rri8
+    12627917U,	// SHRD32mrCL
+    4077197726U,	// SHRD32mri8
+    8449997U,	// SHRD32rrCL
+    839227806U,	// SHRD32rri8
+    18919485U,	// SHRD64mrCL
+    50667435U,	// SHRD64mri8
+    8450109U,	// SHRD64rrCL
+    839229355U,	// SHRD64rri8
+    832904486U,	// SHRX32rm
+    811654438U,	// SHRX32rr
+    835003256U,	// SHRX64rm
+    811656056U,	// SHRX64rr
+    568677349U,	// SHUFPDrmi
+    839226341U,	// SHUFPDrri
+    568682025U,	// SHUFPSrmi
+    839231017U,	// SHUFPSrri
+    634298U,	// SIDT16m
+    629883U,	// SIDT32m
+    631383U,	// SIDT64m
+    14362U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    15369U,	// SKINIT
-    273392U,	// SLDT16m
-    27632U,	// SLDT16r
-    23210U,	// SLDT32r
-    270470U,	// SLDT64m
-    24710U,	// SLDT64r
-    273556U,	// SMSW16m
-    27796U,	// SMSW16r
-    23316U,	// SMSW32r
-    24901U,	// SMSW64r
-    578226U,	// SQRTPDm
-    8540850U,	// SQRTPDr
-    582963U,	// SQRTPSm
-    8545587U,	// SQRTPSr
-    595035U,	// SQRTSDm
-    595035U,	// SQRTSDm_Int
-    8541275U,	// SQRTSDr
-    8541275U,	// SQRTSDr_Int
-    616175U,	// SQRTSSm
-    616175U,	// SQRTSSm_Int
-    8546031U,	// SQRTSSr
-    8546031U,	// SQRTSSr_Int
-    15210U,	// SQRT_F
+    15132U,	// SKINIT
+    273864U,	// SLDT16m
+    28104U,	// SLDT16r
+    23682U,	// SLDT32r
+    270942U,	// SLDT64m
+    25182U,	// SLDT64r
+    274028U,	// SMSW16m
+    28268U,	// SMSW16r
+    23788U,	// SMSW32r
+    25373U,	// SMSW64r
+    578698U,	// SQRTPDm
+    8541322U,	// SQRTPDr
+    583435U,	// SQRTPSm
+    8546059U,	// SQRTPSr
+    595507U,	// SQRTSDm
+    595507U,	// SQRTSDm_Int
+    8541747U,	// SQRTSDr
+    8541747U,	// SQRTSDr_Int
+    616647U,	// SQRTSSm
+    616647U,	// SQRTSSm_Int
+    8546503U,	// SQRTSSr
+    8546503U,	// SQRTSSr_Int
+    14967U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    15134U,	// SS_PREFIX
-    14192U,	// STAC
-    14246U,	// STC
-    14270U,	// STD
-    14404U,	// STGI
-    14419U,	// STI
-    287231U,	// STMXCSR
-    552303U,	// STOSB
-    520056U,	// STOSL
-    536492U,	// STOSQ
-    503623U,	// STOSW
-    27372U,	// STR16r
-    23031U,	// STR32r
-    24558U,	// STR64r
-    273132U,	// STRm
-    239413U,	// ST_F32m
-    252644U,	// ST_F64m
-    238925U,	// ST_FP32m
-    252280U,	// ST_FP64m
-    714615U,	// ST_FP80m
-    13681U,	// ST_FPNCE
-    13704U,	// ST_FPr0r7
-    23589U,	// ST_FPrr
+    14891U,	// SS_PREFIX
+    13912U,	// STAC
+    13966U,	// STC
+    13990U,	// STD
+    14155U,	// STGI
+    14170U,	// STI
+    287703U,	// STMXCSR
+    503623U,	// STOSB
+    520528U,	// STOSL
+    536964U,	// STOSQ
+    553247U,	// STOSW
+    27844U,	// STR16r
+    23503U,	// STR32r
+    25030U,	// STR64r
+    273604U,	// STRm
+    239885U,	// ST_F32m
+    253116U,	// ST_F64m
+    239397U,	// ST_FP32m
+    252752U,	// ST_FP64m
+    715087U,	// ST_FP80m
+    13401U,	// ST_FPNCE
+    13424U,	// ST_FPr0r7
+    24061U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2780,52 +2781,52 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    26545U,	// ST_Frr
-    2123936U,	// SUB16i16
-    4237472U,	// SUB16mi
-    4237472U,	// SUB16mi8
-    4237472U,	// SUB16mr
-    6351008U,	// SUB16ri
-    6351008U,	// SUB16ri8
-    6367392U,	// SUB16rm
-    6351008U,	// SUB16rr
-    8448160U,	// SUB16rr_REV
-    10508107U,	// SUB32i32
-    12621643U,	// SUB32mi
-    12621643U,	// SUB32mi8
-    12621643U,	// SUB32mr
-    6346571U,	// SUB32ri
-    6346571U,	// SUB32ri8
-    283203403U,	// SUB32rm
-    6346571U,	// SUB32rr
-    8443723U,	// SUB32rr_REV
-    16800942U,	// SUB64i32
-    18914478U,	// SUB64mi32
-    18914478U,	// SUB64mi8
-    18914478U,	// SUB64mr
-    6347950U,	// SUB64ri32
-    6347950U,	// SUB64ri8
-    283221166U,	// SUB64rm
-    6347950U,	// SUB64rr
-    8445102U,	// SUB64rr_REV
-    20991227U,	// SUB8i8
-    23104763U,	// SUB8mi
-    23104763U,	// SUB8mr
-    6343931U,	// SUB8ri
-    6343931U,	// SUB8ri8
-    118011U,	// SUB8rm
-    6343931U,	// SUB8rr
-    8441083U,	// SUB8rr_REV
-    8573305U,	// SUBPDrm
-    8442233U,	// SUBPDrr
-    8577981U,	// SUBPSrm
-    8446909U,	// SUBPSrr
-    239006U,	// SUBR_F32m
-    252347U,	// SUBR_F64m
-    271782U,	// SUBR_FI16m
-    285123U,	// SUBR_FI32m
-    23490U,	// SUBR_FPrST0
-    25016U,	// SUBR_FST0r
+    27017U,	// ST_Frr
+    2124408U,	// SUB16i16
+    4237944U,	// SUB16mi
+    4237944U,	// SUB16mi8
+    4237944U,	// SUB16mr
+    6351480U,	// SUB16ri
+    6351480U,	// SUB16ri8
+    6367864U,	// SUB16rm
+    6351480U,	// SUB16rr
+    8448632U,	// SUB16rr_REV
+    10508579U,	// SUB32i32
+    12622115U,	// SUB32mi
+    12622115U,	// SUB32mi8
+    12622115U,	// SUB32mr
+    6347043U,	// SUB32ri
+    6347043U,	// SUB32ri8
+    283203875U,	// SUB32rm
+    6347043U,	// SUB32rr
+    8444195U,	// SUB32rr_REV
+    16801414U,	// SUB64i32
+    18914950U,	// SUB64mi32
+    18914950U,	// SUB64mi8
+    18914950U,	// SUB64mr
+    6348422U,	// SUB64ri32
+    6348422U,	// SUB64ri8
+    283221638U,	// SUB64rm
+    6348422U,	// SUB64rr
+    8445574U,	// SUB64rr_REV
+    20991699U,	// SUB8i8
+    23105235U,	// SUB8mi
+    23105235U,	// SUB8mr
+    6344403U,	// SUB8ri
+    6344403U,	// SUB8ri8
+    118483U,	// SUB8rm
+    6344403U,	// SUB8rr
+    8441555U,	// SUB8rr_REV
+    8573777U,	// SUBPDrm
+    8442705U,	// SUBPDrr
+    8578453U,	// SUBPSrm
+    8447381U,	// SUBPSrr
+    239478U,	// SUBR_F32m
+    252819U,	// SUBR_F64m
+    272254U,	// SUBR_FI16m
+    285595U,	// SUBR_FI32m
+    23962U,	// SUBR_FPrST0
+    25488U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2837,21 +2838,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    27915U,	// SUBR_FrST0
-    551752668U,	// SUBSDrm
-    551752668U,	// SUBSDrm_Int
-    8442844U,	// SUBSDrr
-    8442844U,	// SUBSDrr_Int
-    551773808U,	// SUBSSrm
-    551773808U,	// SUBSSrm_Int
-    8447600U,	// SUBSSrr
-    8447600U,	// SUBSSrr_Int
-    238102U,	// SUB_F32m
-    251722U,	// SUB_F64m
-    270877U,	// SUB_FI16m
-    284497U,	// SUB_FI32m
-    23560U,	// SUB_FPrST0
-    20115U,	// SUB_FST0r
+    28387U,	// SUBR_FrST0
+    551753140U,	// SUBSDrm
+    551753140U,	// SUBSDrm_Int
+    8443316U,	// SUBSDrr
+    8443316U,	// SUBSDrr_Int
+    551774280U,	// SUBSSrm
+    551774280U,	// SUBSSrm_Int
+    8448072U,	// SUBSSrr
+    8448072U,	// SUBSSrr_Int
+    238574U,	// SUB_F32m
+    252194U,	// SUB_F64m
+    271349U,	// SUB_FI16m
+    284969U,	// SUB_FI32m
+    24032U,	// SUB_FPrST0
+    20587U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2866,2611 +2867,3281 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    27957U,	// SUB_FrST0
-    15051U,	// SWAPGS
-    14497U,	// SYSCALL
-    14789U,	// SYSENTER
-    14554U,	// SYSEXIT
-    14764U,	// SYSEXIT64
-    14546U,	// SYSRET
-    14756U,	// SYSRET64
-    551685889U,	// T1MSKC32rm
-    8539905U,	// T1MSKC32rr
-    551718657U,	// T1MSKC64rm
-    8539905U,	// T1MSKC64rr
-    80108514U,	// TAILJMPd
-    80108514U,	// TAILJMPd64
-    79984038U,	// TAILJMPm
-    80098743U,	// TAILJMPm64
+    28429U,	// SUB_FrST0
+    14802U,	// SWAPGS
+    14248U,	// SYSCALL
+    14540U,	// SYSENTER
+    14305U,	// SYSEXIT
+    14515U,	// SYSEXIT64
+    14297U,	// SYSRET
+    14507U,	// SYSRET64
+    551686361U,	// T1MSKC32rm
+    8540377U,	// T1MSKC32rr
+    551719129U,	// T1MSKC64rm
+    8540377U,	// T1MSKC64rr
+    80108986U,	// TAILJMPd
+    80108986U,	// TAILJMPd64
+    79983758U,	// TAILJMPm
+    80098463U,	// TAILJMPm64
     0U,	// TAILJMPr
-    79721911U,	// TAILJMPr64
+    79721631U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    2124861U,	// TEST16i16
-    4238397U,	// TEST16mi
-    4238397U,	// TEST16mi_alt
-    8547389U,	// TEST16ri
-    8547389U,	// TEST16ri_alt
-	4238397U,	// TEST16rm
-    8547389U,	// TEST16rr
-    10509021U,	// TEST32i32
-    12622557U,	// TEST32mi
-    12622557U,	// TEST32mi_alt
-    8542941U,	// TEST32ri
-    8542941U,	// TEST32ri_alt
-	12622557U,	// TEST32rm
-    8542941U,	// TEST32rr
-    16802031U,	// TEST64i32
-    18915567U,	// TEST64mi32
-    18915567U,	// TEST64mi32_alt
-    8544495U,	// TEST64ri32
-    8544495U,	// TEST64ri32_alt
-	18915567U,	// TEST64rm
-    8544495U,	// TEST64rr
-    20991609U,	// TEST8i8
-    23105145U,	// TEST8mi
-    23105145U,	// TEST8mi_alt
-    8539769U,	// TEST8ri
+    2125333U,	// TEST16i16
+    4238869U,	// TEST16mi
+    4238869U,	// TEST16mi_alt
+    8547861U,	// TEST16ri
+    8547861U,	// TEST16ri_alt
+    4238869U,	// TEST16rm
+    8547861U,	// TEST16rr
+    10509493U,	// TEST32i32
+    12623029U,	// TEST32mi
+    12623029U,	// TEST32mi_alt
+    8543413U,	// TEST32ri
+    8543413U,	// TEST32ri_alt
+    12623029U,	// TEST32rm
+    8543413U,	// TEST32rr
+    16802503U,	// TEST64i32
+    18916039U,	// TEST64mi32
+    18916039U,	// TEST64mi32_alt
+    8544967U,	// TEST64ri32
+    8544967U,	// TEST64ri32_alt
+    18916039U,	// TEST64rm
+    8544967U,	// TEST64rr
+    20992081U,	// TEST8i8
+    23105617U,	// TEST8mi
+    23105617U,	// TEST8mi_alt
+    8540241U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    8539769U,	// TEST8ri_alt
-	23105145U,	// TEST8rm
-    8539769U,	// TEST8rr
-    13849U,	// TLSCall_32
-    13953U,	// TLSCall_64
-    13862U,	// TLS_addr32
-    13966U,	// TLS_addr64
-    13875U,	// TLS_base_addr32
-    13979U,	// TLS_base_addr64
-    13893U,	// TRAP
-    15222U,	// TST_F
+    8540241U,	// TEST8ri_alt
+    23105617U,	// TEST8rm
+    8540241U,	// TEST8rr
+    13569U,	// TLSCall_32
+    13673U,	// TLSCall_64
+    13582U,	// TLS_addr32
+    13686U,	// TLS_addr64
+    13595U,	// TLS_base_addr32
+    13699U,	// TLS_base_addr64
+    13613U,	// TRAP
+    14979U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    388121U,	// TZCNT16rm
-    8547353U,	// TZCNT16rr
-    551688911U,	// TZCNT32rm
-    8542927U,	// TZCNT32rr
-    551723183U,	// TZCNT64rm
-    8544431U,	// TZCNT64rr
-    551687967U,	// TZMSK32rm
-    8541983U,	// TZMSK32rr
-    551720735U,	// TZMSK64rm
-    8541983U,	// TZMSK64rr
-    594974U,	// UCOMISDrm
-    8541214U,	// UCOMISDrr
-    616114U,	// UCOMISSrm
-    8545970U,	// UCOMISSrr
-    22216U,	// UCOM_FIPr
-    22158U,	// UCOM_FIr
-    14687U,	// UCOM_FPPr
-    23534U,	// UCOM_FPr
+    388593U,	// TZCNT16rm
+    8547825U,	// TZCNT16rr
+    551689383U,	// TZCNT32rm
+    8543399U,	// TZCNT32rr
+    551723655U,	// TZCNT64rm
+    8544903U,	// TZCNT64rr
+    551688439U,	// TZMSK32rm
+    8542455U,	// TZMSK32rr
+    551721207U,	// TZMSK64rm
+    8542455U,	// TZMSK64rr
+    595446U,	// UCOMISDrm
+    8541686U,	// UCOMISDrr
+    616586U,	// UCOMISSrm
+    8546442U,	// UCOMISSrr
+    22688U,	// UCOM_FIPr
+    22630U,	// UCOM_FIr
+    14438U,	// UCOM_FPPr
+    24006U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    23399U,	// UCOM_Fr
-    14126U,	// UD2B
-    8573462U,	// UNPCKHPDrm
-    8442390U,	// UNPCKHPDrr
-    8578138U,	// UNPCKHPSrm
-    8447066U,	// UNPCKHPSrr
-    8573504U,	// UNPCKLPDrm
-    8442432U,	// UNPCKLPDrr
-    8578200U,	// UNPCKLPSrm
-    8447128U,	// UNPCKLPSrr
-    551579762U,	// VAARG_64
-    922076U,	// VADDPDYrm
-    811651548U,	// VADDPDYrr
-    934520U,	// VADDPDZrm
-    350421624U,	// VADDPDZrmb
-    811647608U,	// VADDPDZrr
-    811782620U,	// VADDPDrm
-    811651548U,	// VADDPDrr
-    926752U,	// VADDPSYrm
-    811656224U,	// VADDPSYrr
-    936252U,	// VADDPSZrm
-    352536892U,	// VADDPSZrmb
-    811649340U,	// VADDPSZrr
-    811787296U,	// VADDPSrm
-    811656224U,	// VADDPSrr
-    283317259U,	// VADDSDZrm
-    811652107U,	// VADDSDZrr
-    283317259U,	// VADDSDrm
-    283317259U,	// VADDSDrm_Int
-    811652107U,	// VADDSDrr
-    811652107U,	// VADDSDrr_Int
-    283338399U,	// VADDSSZrm
-    811656863U,	// VADDSSZrr
-    283338399U,	// VADDSSrm
-    283338399U,	// VADDSSrm_Int
-    811656863U,	// VADDSSrr
-    811656863U,	// VADDSSrr_Int
-    921984U,	// VADDSUBPDYrm
-    811651456U,	// VADDSUBPDYrr
-    811782528U,	// VADDSUBPDrm
-    811651456U,	// VADDSUBPDrr
-    926660U,	// VADDSUBPSYrm
-    811656132U,	// VADDSUBPSYrr
-    811787204U,	// VADDSUBPSrm
-    811656132U,	// VADDSUBPSrr
-    811902863U,	// VAESDECLASTrm
-    811657103U,	// VAESDECLASTrr
-    811896554U,	// VAESDECrm
-    811650794U,	// VAESDECrr
-    811902876U,	// VAESENCLASTrm
-    811657116U,	// VAESENCLASTrr
-    811896594U,	// VAESENCrm
-    811650834U,	// VAESENCrr
-    315145U,	// VAESIMCrm
-    8539913U,	// VAESIMCrr
-    25503670U,	// VAESKEYGENASSIST128rm
-    811657142U,	// VAESKEYGENASSIST128rr
-    354763062U,	// VALIGNDrmi
-    839221558U,	// VALIGNDrri
-    354764569U,	// VALIGNQrmi
-    839223065U,	// VALIGNQrri
-    922220U,	// VANDNPDYrm
-    811651692U,	// VANDNPDYrr
-    811782764U,	// VANDNPDrm
-    811651692U,	// VANDNPDrr
-    926925U,	// VANDNPSYrm
-    811656397U,	// VANDNPSYrr
-    811787469U,	// VANDNPSrm
-    811656397U,	// VANDNPSrr
-    922084U,	// VANDPDYrm
-    811651556U,	// VANDPDYrr
-    811782628U,	// VANDPDrm
-    811651556U,	// VANDPDrr
-    926760U,	// VANDPSYrm
-    811656232U,	// VANDPSYrr
-    811787304U,	// VANDPSrm
-    811656232U,	// VANDPSrr
-    283144340U,	// VASTART_SAVE_XMM_REGS
-    839811785U,	// VBLENDMPDZrm
-    839221961U,	// VBLENDMPDZrr
-    839813517U,	// VBLENDMPSZrm
-    839223693U,	// VBLENDMPSZrr
-    88429036U,	// VBLENDPDYrmi
-    839225836U,	// VBLENDPDYrri
-    300241388U,	// VBLENDPDrmi
-    839225836U,	// VBLENDPDrri
-    88433712U,	// VBLENDPSYrmi
-    839230512U,	// VBLENDPSYrri
-    300246064U,	// VBLENDPSrmi
-    839230512U,	// VBLENDPSrri
-    88429260U,	// VBLENDVPDYrm
-    839226060U,	// VBLENDVPDYrr
-    300241612U,	// VBLENDVPDrm
-    839226060U,	// VBLENDVPDrr
-    88434030U,	// VBLENDVPSYrm
-    839230830U,	// VBLENDVPSYrr
-    300246382U,	// VBLENDVPSrm
-    839230830U,	// VBLENDVPSrr
-    576602U,	// VBROADCASTF128
-    314513U,	// VBROADCASTI128
-    595043U,	// VBROADCASTSDYrm
-    8541283U,	// VBROADCASTSDYrr
-    590859U,	// VBROADCASTSDZrm
-    8537099U,	// VBROADCASTSDZrr
-    616192U,	// VBROADCASTSSYrm
-    8546048U,	// VBROADCASTSSYrr
-    608868U,	// VBROADCASTSSZrm
-    8538724U,	// VBROADCASTSSZrr
-    616192U,	// VBROADCASTSSrm
-    8546048U,	// VBROADCASTSSrr
-    843725134U,	// VCMPPDYrmi
-    88429188U,	// VCMPPDYrmi_alt
-    1380612430U,	// VCMPPDYrri
-    839225988U,	// VCMPPDYrri_alt
-    1164589390U,	// VCMPPDZrmi
-    92619496U,	// VCMPPDZrmi_alt
-    1433041230U,	// VCMPPDZrri
-    839221992U,	// VCMPPDZrri_alt
-    95058254U,	// VCMPPDZrrib
-    1112160590U,	// VCMPPDrmi
-    300241540U,	// VCMPPDrmi_alt
-    1380612430U,	// VCMPPDrri
-    839225988U,	// VCMPPDrri_alt
-    845822286U,	// VCMPPSYrmi
-    88433901U,	// VCMPPSYrmi_alt
-    1382709582U,	// VCMPPSYrri
-    839230701U,	// VCMPPSYrri_alt
-    1170880846U,	// VCMPPSZrmi
-    92621228U,	// VCMPPSZrmi_alt
-    1439332686U,	// VCMPPSZrri
-    839223724U,	// VCMPPSZrri_alt
-    99252558U,	// VCMPPSZrrib
-    1114257742U,	// VCMPPSrmi
-    300246253U,	// VCMPPSrmi_alt
-    1382709582U,	// VCMPPSrri
-    839230701U,	// VCMPPSrri_alt
-    2726967630U,	// VCMPSDZrm
-    312824905U,	// VCMPSDZrmi_alt
-    1384806734U,	// VCMPSDZrr
-    839226441U,	// VCMPSDZrri_alt
-    2726967630U,	// VCMPSDrm
-    312824905U,	// VCMPSDrm_alt
-    1384806734U,	// VCMPSDrr
-    839226441U,	// VCMPSDrr_alt
-    2999597390U,	// VCMPSSZrm
-    317023964U,	// VCMPSSZrmi_alt
-    1389001038U,	// VCMPSSZrr
-    839231196U,	// VCMPSSZrri_alt
-    2999597390U,	// VCMPSSrm
-    317023964U,	// VCMPSSrm_alt
-    1389001038U,	// VCMPSSrr
-    839231196U,	// VCMPSSrr_alt
-    578599U,	// VCOMISDZrm
-    8541223U,	// VCOMISDZrr
-    578599U,	// VCOMISDrm
-    8541223U,	// VCOMISDrr
-    583355U,	// VCOMISSZrm
-    8545979U,	// VCOMISSZrr
-    583355U,	// VCOMISSrm
-    8545979U,	// VCOMISSrr
-    315638U,	// VCVTDQ2PDYrm
-    8540406U,	// VCVTDQ2PDYrr
-    967080U,	// VCVTDQ2PDZrm
-    8536488U,	// VCVTDQ2PDZrr
-    551719158U,	// VCVTDQ2PDrm
-    8540406U,	// VCVTDQ2PDrr
-    975693U,	// VCVTDQ2PSYrm
-    8545101U,	// VCVTDQ2PSYrr
-    985208U,	// VCVTDQ2PSZrm
-    8538232U,	// VCVTDQ2PSZrr
-    1001592U,	// VCVTDQ2PSZrrb
-    320333U,	// VCVTDQ2PSrm
-    8545101U,	// VCVTDQ2PSrr
-    584890U,	// VCVTPD2DQXrm
-    1027295U,	// VCVTPD2DQYrm
-    8547551U,	// VCVTPD2DQYrr
-    1033675U,	// VCVTPD2DQZrm
-    8537547U,	// VCVTPD2DQZrr
-    1000907U,	// VCVTPD2DQZrrb
-    8543486U,	// VCVTPD2DQrr
-    584902U,	// VCVTPD2PSXrm
-    1027307U,	// VCVTPD2PSYrm
-    8547563U,	// VCVTPD2PSYrr
-    1034336U,	// VCVTPD2PSZrm
-    8538208U,	// VCVTPD2PSZrr
-    1001568U,	// VCVTPD2PSZrrb
-    8545057U,	// VCVTPD2PSrr
-    1033862U,	// VCVTPD2UDQZrm
-    8537734U,	// VCVTPD2UDQZrr
-    1001094U,	// VCVTPD2UDQZrrb
-    582444U,	// VCVTPH2PSYrm
-    8545068U,	// VCVTPH2PSYrr
-    1024812U,	// VCVTPH2PSZrm
-    8545068U,	// VCVTPH2PSZrr
-    598828U,	// VCVTPH2PSrm
-    8545068U,	// VCVTPH2PSrr
-    1023262U,	// VCVTPS2DQYrm
-    8543518U,	// VCVTPS2DQYrr
-    1033700U,	// VCVTPS2DQZrm
-    8537572U,	// VCVTPS2DQZrr
-    1000932U,	// VCVTPS2DQZrrb
-    580894U,	// VCVTPS2DQrm
-    8543518U,	// VCVTPS2DQrr
-    577793U,	// VCVTPS2PDYrm
-    8540417U,	// VCVTPS2PDYrr
-    1016257U,	// VCVTPS2PDZrm
-    8536513U,	// VCVTPS2PDZrr
-    594177U,	// VCVTPS2PDrm
-    8540417U,	// VCVTPS2PDrr
-    1392842326U,	// VCVTPS2PHYmr
-    811652694U,	// VCVTPS2PHYrr
-    1661273247U,	// VCVTPS2PHZmr
-    811648159U,	// VCVTPS2PHZrr
-    1929713238U,	// VCVTPS2PHmr
-    811652694U,	// VCVTPS2PHrr
-    1033889U,	// VCVTPS2UDQZrm
-    8537761U,	// VCVTPS2UDQZrr
-    1001121U,	// VCVTPS2UDQZrrb
-    591032U,	// VCVTSD2SI64Zrm
-    8537272U,	// VCVTSD2SI64Zrr
-    595701U,	// VCVTSD2SI64rm
-    8541941U,	// VCVTSD2SI64rr
-    591032U,	// VCVTSD2SIZrm
-    8537272U,	// VCVTSD2SIZrr
-    595701U,	// VCVTSD2SIrm
-    8541941U,	// VCVTSD2SIrr
-    283321900U,	// VCVTSD2SSZrm
-    811656748U,	// VCVTSD2SSZrr
-    283321900U,	// VCVTSD2SSrm
-    811656748U,	// VCVTSD2SSrr
-    591083U,	// VCVTSD2USI64Zrm
-    8537323U,	// VCVTSD2USI64Zrr
-    591083U,	// VCVTSD2USIZrm
-    8537323U,	// VCVTSD2USIZrr
-    283221466U,	// VCVTSI2SD64rm
-    811654618U,	// VCVTSI2SD64rr
-    283198739U,	// VCVTSI2SDZrm
-    811648275U,	// VCVTSI2SDZrr
-    283203533U,	// VCVTSI2SDrm
-    811653069U,	// VCVTSI2SDrr
-    283222072U,	// VCVTSI2SS64rm
-    811655224U,	// VCVTSI2SS64rr
-    283198766U,	// VCVTSI2SSZrm
-    811648302U,	// VCVTSI2SSZrr
-    283204181U,	// VCVTSI2SSrm
-    811653717U,	// VCVTSI2SSrr
-    283215453U,	// VCVTSI642SDZrm
-    811648605U,	// VCVTSI642SDZrr
-    283215725U,	// VCVTSI642SSZrm
-    811648877U,	// VCVTSI642SSZrr
-    283333520U,	// VCVTSS2SDZrm
-    811651984U,	// VCVTSS2SDZrr
-    283333520U,	// VCVTSS2SDrm
-    811651984U,	// VCVTSS2SDrr
-    607441U,	// VCVTSS2SI64Zrm
-    8537297U,	// VCVTSS2SI64Zrr
-    612108U,	// VCVTSS2SI64rm
-    8541964U,	// VCVTSS2SI64rr
-    607441U,	// VCVTSS2SIZrm
-    8537297U,	// VCVTSS2SIZrr
-    612108U,	// VCVTSS2SIrm
-    8541964U,	// VCVTSS2SIrr
-    607494U,	// VCVTSS2USI64Zrm
-    8537350U,	// VCVTSS2USI64Zrr
-    607494U,	// VCVTSS2USIZrm
-    8537350U,	// VCVTSS2USIZrr
-    584877U,	// VCVTTPD2DQXrm
-    1027282U,	// VCVTTPD2DQYrm
-    8547538U,	// VCVTTPD2DQYrr
-    1033662U,	// VCVTTPD2DQZrm
-    8537534U,	// VCVTTPD2DQZrr
-    8543474U,	// VCVTTPD2DQrr
-    1033848U,	// VCVTTPD2UDQZrm
-    8537720U,	// VCVTTPD2UDQZrr
-    1023250U,	// VCVTTPS2DQYrm
-    8543506U,	// VCVTTPS2DQYrr
-    1033687U,	// VCVTTPS2DQZrm
-    8537559U,	// VCVTTPS2DQZrr
-    580882U,	// VCVTTPS2DQrm
-    8543506U,	// VCVTTPS2DQrr
-    1033875U,	// VCVTTPS2UDQZrm
-    8537747U,	// VCVTTPS2UDQZrr
-    591019U,	// VCVTTSD2SI64Zrm
-    8537259U,	// VCVTTSD2SI64Zrr
-    595689U,	// VCVTTSD2SI64rm
-    8541929U,	// VCVTTSD2SI64rr
-    591019U,	// VCVTTSD2SIZrm
-    8537259U,	// VCVTTSD2SIZrr
-    595689U,	// VCVTTSD2SIrm
-    8541929U,	// VCVTTSD2SIrr
-    591069U,	// VCVTTSD2USI64Zrm
-    8537309U,	// VCVTTSD2USI64Zrr
-    591069U,	// VCVTTSD2USIZrm
-    8537309U,	// VCVTTSD2USIZrr
-    607428U,	// VCVTTSS2SI64Zrm
-    8537284U,	// VCVTTSS2SI64Zrr
-    612096U,	// VCVTTSS2SI64rm
-    8541952U,	// VCVTTSS2SI64rr
-    607428U,	// VCVTTSS2SIZrm
-    8537284U,	// VCVTTSS2SIZrr
-    612096U,	// VCVTTSS2SIrm
-    8541952U,	// VCVTTSS2SIrr
-    607480U,	// VCVTTSS2USI64Zrm
-    8537336U,	// VCVTTSS2USI64Zrr
-    607480U,	// VCVTTSS2USIZrm
-    8537336U,	// VCVTTSS2USIZrr
-    1016244U,	// VCVTUDQ2PDZrm
-    8536500U,	// VCVTUDQ2PDZrr
-    1034372U,	// VCVTUDQ2PSZrm
-    8538244U,	// VCVTUDQ2PSZrr
-    1001604U,	// VCVTUDQ2PSZrrb
-    283198752U,	// VCVTUSI2SDZrm
-    811648288U,	// VCVTUSI2SDZrr
-    283198779U,	// VCVTUSI2SSZrm
-    811648315U,	// VCVTUSI2SSZrr
-    283215466U,	// VCVTUSI642SDZrm
-    811648618U,	// VCVTUSI642SDZrr
-    283215738U,	// VCVTUSI642SSZrm
-    811648890U,	// VCVTUSI642SSZrr
-    922327U,	// VDIVPDYrm
-    811651799U,	// VDIVPDYrr
-    934678U,	// VDIVPDZrm
-    350421782U,	// VDIVPDZrmb
-    811647766U,	// VDIVPDZrr
-    811782871U,	// VDIVPDrm
-    811651799U,	// VDIVPDrr
-    927097U,	// VDIVPSYrm
-    811656569U,	// VDIVPSYrr
-    936410U,	// VDIVPSZrm
-    352537050U,	// VDIVPSZrmb
-    811649498U,	// VDIVPSZrr
-    811787641U,	// VDIVPSrm
-    811656569U,	// VDIVPSrr
-    283317361U,	// VDIVSDZrm
-    811652209U,	// VDIVSDZrr
-    283317361U,	// VDIVSDrm
-    283317361U,	// VDIVSDrm_Int
-    811652209U,	// VDIVSDrr
-    811652209U,	// VDIVSDrr_Int
-    283338510U,	// VDIVSSZrm
-    811656974U,	// VDIVSSZrr
-    283338510U,	// VDIVSSrm
-    283338510U,	// VDIVSSrm_Int
-    811656974U,	// VDIVSSrr
-    811656974U,	// VDIVSSrr_Int
-    300241533U,	// VDPPDrmi
-    839225981U,	// VDPPDrri
-    101016806U,	// VDPPSYrmi
-    839230694U,	// VDPPSYrri
-    300246246U,	// VDPPSrmi
-    839230694U,	// VDPPSrri
-    270830U,	// VERRm
-    25070U,	// VERRr
-    273072U,	// VERWm
-    27312U,	// VERWr
-    1392839743U,	// VEXTRACTF128mr
-    811650111U,	// VEXTRACTF128rr
-    1392839615U,	// VEXTRACTF32x4mr
-    811649983U,	// VEXTRACTF32x4rr
-    1661275129U,	// VEXTRACTF64x4mr
-    811650041U,	// VEXTRACTF64x4rr
-    2198146166U,	// VEXTRACTI128mr
-    811650166U,	// VEXTRACTI128rr
-    2198146012U,	// VEXTRACTI32x4mr
-    811650012U,	// VEXTRACTI32x4rr
-    2466581526U,	// VEXTRACTI64x4mr
-    811650070U,	// VEXTRACTI64x4rr
-    3271894288U,	// VEXTRACTPSmr
-    811656464U,	// VEXTRACTPSrr
-    3271894288U,	// VEXTRACTPSzmr
-    811656464U,	// VEXTRACTPSzrr
-    839811455U,	// VFMADD132PDZm
-    1425015167U,	// VFMADD132PDZmb
-    839813187U,	// VFMADD132PSZm
-    1427130435U,	// VFMADD132PSZmb
-    839811608U,	// VFMADD213PDZm
-    1425015320U,	// VFMADD213PDZmb
-    839221784U,	// VFMADD213PDZr
-    839813340U,	// VFMADD213PSZm
-    1427130588U,	// VFMADD213PSZmb
-    839223516U,	// VFMADD213PSZr
-    300241351U,	// VFMADDPD4mr
-    88428999U,	// VFMADDPD4mrY
-    812683719U,	// VFMADDPD4rm
-    812700103U,	// VFMADDPD4rmY
-    839225799U,	// VFMADDPD4rr
-    839225799U,	// VFMADDPD4rrY
-    839225799U,	// VFMADDPD4rrY_REV
-    839225799U,	// VFMADDPD4rr_REV
-    9474245U,	// VFMADDPDr132m
-    9490629U,	// VFMADDPDr132mY
-    839225541U,	// VFMADDPDr132r
-    839225541U,	// VFMADDPDr132rY
-    9474375U,	// VFMADDPDr213m
-    9490759U,	// VFMADDPDr213mY
-    839225671U,	// VFMADDPDr213r
-    839225671U,	// VFMADDPDr213rY
-    9474159U,	// VFMADDPDr231m
-    9490543U,	// VFMADDPDr231mY
-    839225455U,	// VFMADDPDr231r
-    839225455U,	// VFMADDPDr231rY
-    300246027U,	// VFMADDPS4mr
-    88433675U,	// VFMADDPS4mrY
-    812688395U,	// VFMADDPS4rm
-    812704779U,	// VFMADDPS4rmY
-    839230475U,	// VFMADDPS4rr
-    839230475U,	// VFMADDPS4rrY
-    839230475U,	// VFMADDPS4rrY_REV
-    839230475U,	// VFMADDPS4rr_REV
-    9478918U,	// VFMADDPSr132m
-    9495302U,	// VFMADDPSr132mY
-    839230214U,	// VFMADDPSr132r
-    839230214U,	// VFMADDPSr132rY
-    9479059U,	// VFMADDPSr213m
-    9495443U,	// VFMADDPSr213mY
-    839230355U,	// VFMADDPSr213r
-    839230355U,	// VFMADDPSr213rY
-    9478832U,	// VFMADDPSr231m
-    9495216U,	// VFMADDPSr231mY
-    839230128U,	// VFMADDPSr231r
-    839230128U,	// VFMADDPSr231rY
-    312824822U,	// VFMADDSD4mr
-    312824822U,	// VFMADDSD4mr_Int
-    1357911030U,	// VFMADDSD4rm
-    1357911030U,	// VFMADDSD4rm_Int
-    839226358U,	// VFMADDSD4rr
-    839226358U,	// VFMADDSD4rr_Int
-    839226358U,	// VFMADDSD4rr_REV
-    9470877U,	// VFMADDSDZm
-    839222173U,	// VFMADDSDZr
-    1357910901U,	// VFMADDSDr132m
-    839226229U,	// VFMADDSDr132r
-    1357910966U,	// VFMADDSDr213m
-    839226294U,	// VFMADDSDr213r
-    1357910847U,	// VFMADDSDr231m
-    839226175U,	// VFMADDSDr231r
-    317023882U,	// VFMADDSS4mr
-    317023882U,	// VFMADDSS4mr_Int
-    1357932170U,	// VFMADDSS4rm
-    1357932170U,	// VFMADDSS4rm_Int
-    839231114U,	// VFMADDSS4rr
-    839231114U,	// VFMADDSS4rr_Int
-    839231114U,	// VFMADDSS4rr_REV
-    9472521U,	// VFMADDSSZm
-    839223817U,	// VFMADDSSZr
-    1357932049U,	// VFMADDSSr132m
-    839230993U,	// VFMADDSSr132r
-    1357932114U,	// VFMADDSSr213m
-    839231058U,	// VFMADDSSr213r
-    1357931995U,	// VFMADDSSr231m
-    839230939U,	// VFMADDSSr231r
-    839811392U,	// VFMADDSUB132PDZm
-    1425015104U,	// VFMADDSUB132PDZmb
-    839813124U,	// VFMADDSUB132PSZm
-    1427130372U,	// VFMADDSUB132PSZmb
-    839811545U,	// VFMADDSUB213PDZm
-    1425015257U,	// VFMADDSUB213PDZmb
-    839221721U,	// VFMADDSUB213PDZr
-    839813277U,	// VFMADDSUB213PSZm
-    1427130525U,	// VFMADDSUB213PSZmb
-    839223453U,	// VFMADDSUB213PSZr
-    300241267U,	// VFMADDSUBPD4mr
-    88428915U,	// VFMADDSUBPD4mrY
-    812683635U,	// VFMADDSUBPD4rm
-    812700019U,	// VFMADDSUBPD4rmY
-    839225715U,	// VFMADDSUBPD4rr
-    839225715U,	// VFMADDSUBPD4rrY
-    839225715U,	// VFMADDSUBPD4rrY_REV
-    839225715U,	// VFMADDSUBPD4rr_REV
-    9474186U,	// VFMADDSUBPDr132m
-    9490570U,	// VFMADDSUBPDr132mY
-    839225482U,	// VFMADDSUBPDr132r
-    839225482U,	// VFMADDSUBPDr132rY
-    9474316U,	// VFMADDSUBPDr213m
-    9490700U,	// VFMADDSUBPDr213mY
-    839225612U,	// VFMADDSUBPDr213r
-    839225612U,	// VFMADDSUBPDr213rY
-    9474100U,	// VFMADDSUBPDr231m
-    9490484U,	// VFMADDSUBPDr231mY
-    839225396U,	// VFMADDSUBPDr231r
-    839225396U,	// VFMADDSUBPDr231rY
-    300245943U,	// VFMADDSUBPS4mr
-    88433591U,	// VFMADDSUBPS4mrY
-    812688311U,	// VFMADDSUBPS4rm
-    812704695U,	// VFMADDSUBPS4rmY
-    839230391U,	// VFMADDSUBPS4rr
-    839230391U,	// VFMADDSUBPS4rrY
-    839230391U,	// VFMADDSUBPS4rrY_REV
-    839230391U,	// VFMADDSUBPS4rr_REV
-    9478859U,	// VFMADDSUBPSr132m
-    9495243U,	// VFMADDSUBPSr132mY
-    839230155U,	// VFMADDSUBPSr132r
-    839230155U,	// VFMADDSUBPSr132rY
-    9479000U,	// VFMADDSUBPSr213m
-    9495384U,	// VFMADDSUBPSr213mY
-    839230296U,	// VFMADDSUBPSr213r
-    839230296U,	// VFMADDSUBPSr213rY
-    9478773U,	// VFMADDSUBPSr231m
-    9495157U,	// VFMADDSUBPSr231mY
-    839230069U,	// VFMADDSUBPSr231r
-    839230069U,	// VFMADDSUBPSr231rY
-    839811409U,	// VFMSUB132PDZm
-    1425015121U,	// VFMSUB132PDZmb
-    839813141U,	// VFMSUB132PSZm
-    1427130389U,	// VFMSUB132PSZmb
-    839811562U,	// VFMSUB213PDZm
-    1425015274U,	// VFMSUB213PDZmb
-    839221738U,	// VFMSUB213PDZr
-    839813294U,	// VFMSUB213PSZm
-    1427130542U,	// VFMSUB213PSZmb
-    839223470U,	// VFMSUB213PSZr
-    839811438U,	// VFMSUBADD132PDZm
-    1425015150U,	// VFMSUBADD132PDZmb
-    839813170U,	// VFMSUBADD132PSZm
-    1427130418U,	// VFMSUBADD132PSZmb
-    839811591U,	// VFMSUBADD213PDZm
-    1425015303U,	// VFMSUBADD213PDZmb
-    839221767U,	// VFMSUBADD213PDZr
-    839813323U,	// VFMSUBADD213PSZm
-    1427130571U,	// VFMSUBADD213PSZmb
-    839223499U,	// VFMSUBADD213PSZr
-    300241329U,	// VFMSUBADDPD4mr
-    88428977U,	// VFMSUBADDPD4mrY
-    812683697U,	// VFMSUBADDPD4rm
-    812700081U,	// VFMSUBADDPD4rmY
-    839225777U,	// VFMSUBADDPD4rr
-    839225777U,	// VFMSUBADDPD4rrY
-    839225777U,	// VFMSUBADDPD4rrY_REV
-    839225777U,	// VFMSUBADDPD4rr_REV
-    9474229U,	// VFMSUBADDPDr132m
-    9490613U,	// VFMSUBADDPDr132mY
-    839225525U,	// VFMSUBADDPDr132r
-    839225525U,	// VFMSUBADDPDr132rY
-    9474359U,	// VFMSUBADDPDr213m
-    9490743U,	// VFMSUBADDPDr213mY
-    839225655U,	// VFMSUBADDPDr213r
-    839225655U,	// VFMSUBADDPDr213rY
-    9474143U,	// VFMSUBADDPDr231m
-    9490527U,	// VFMSUBADDPDr231mY
-    839225439U,	// VFMSUBADDPDr231r
-    839225439U,	// VFMSUBADDPDr231rY
-    300246005U,	// VFMSUBADDPS4mr
-    88433653U,	// VFMSUBADDPS4mrY
-    812688373U,	// VFMSUBADDPS4rm
-    812704757U,	// VFMSUBADDPS4rmY
-    839230453U,	// VFMSUBADDPS4rr
-    839230453U,	// VFMSUBADDPS4rrY
-    839230453U,	// VFMSUBADDPS4rrY_REV
-    839230453U,	// VFMSUBADDPS4rr_REV
-    9478902U,	// VFMSUBADDPSr132m
-    9495286U,	// VFMSUBADDPSr132mY
-    839230198U,	// VFMSUBADDPSr132r
-    839230198U,	// VFMSUBADDPSr132rY
-    9479043U,	// VFMSUBADDPSr213m
-    9495427U,	// VFMSUBADDPSr213mY
-    839230339U,	// VFMSUBADDPSr213r
-    839230339U,	// VFMSUBADDPSr213rY
-    9478816U,	// VFMSUBADDPSr231m
-    9495200U,	// VFMSUBADDPSr231mY
-    839230112U,	// VFMSUBADDPSr231r
-    839230112U,	// VFMSUBADDPSr231rY
-    300241300U,	// VFMSUBPD4mr
-    88428948U,	// VFMSUBPD4mrY
-    812683668U,	// VFMSUBPD4rm
-    812700052U,	// VFMSUBPD4rmY
-    839225748U,	// VFMSUBPD4rr
-    839225748U,	// VFMSUBPD4rrY
-    839225748U,	// VFMSUBPD4rrY_REV
-    839225748U,	// VFMSUBPD4rr_REV
-    9474202U,	// VFMSUBPDr132m
-    9490586U,	// VFMSUBPDr132mY
-    839225498U,	// VFMSUBPDr132r
-    839225498U,	// VFMSUBPDr132rY
-    9474332U,	// VFMSUBPDr213m
-    9490716U,	// VFMSUBPDr213mY
-    839225628U,	// VFMSUBPDr213r
-    839225628U,	// VFMSUBPDr213rY
-    9474116U,	// VFMSUBPDr231m
-    9490500U,	// VFMSUBPDr231mY
-    839225412U,	// VFMSUBPDr231r
-    839225412U,	// VFMSUBPDr231rY
-    300245976U,	// VFMSUBPS4mr
-    88433624U,	// VFMSUBPS4mrY
-    812688344U,	// VFMSUBPS4rm
-    812704728U,	// VFMSUBPS4rmY
-    839230424U,	// VFMSUBPS4rr
-    839230424U,	// VFMSUBPS4rrY
-    839230424U,	// VFMSUBPS4rrY_REV
-    839230424U,	// VFMSUBPS4rr_REV
-    9478875U,	// VFMSUBPSr132m
-    9495259U,	// VFMSUBPSr132mY
-    839230171U,	// VFMSUBPSr132r
-    839230171U,	// VFMSUBPSr132rY
-    9479016U,	// VFMSUBPSr213m
-    9495400U,	// VFMSUBPSr213mY
-    839230312U,	// VFMSUBPSr213r
-    839230312U,	// VFMSUBPSr213rY
-    9478789U,	// VFMSUBPSr231m
-    9495173U,	// VFMSUBPSr231mY
-    839230085U,	// VFMSUBPSr231r
-    839230085U,	// VFMSUBPSr231rY
-    312824793U,	// VFMSUBSD4mr
-    312824793U,	// VFMSUBSD4mr_Int
-    1357911001U,	// VFMSUBSD4rm
-    1357911001U,	// VFMSUBSD4rm_Int
-    839226329U,	// VFMSUBSD4rr
-    839226329U,	// VFMSUBSD4rr_Int
-    839226329U,	// VFMSUBSD4rr_REV
-    9470848U,	// VFMSUBSDZm
-    839222144U,	// VFMSUBSDZr
-    1357910874U,	// VFMSUBSDr132m
-    839226202U,	// VFMSUBSDr132r
-    1357910939U,	// VFMSUBSDr213m
-    839226267U,	// VFMSUBSDr213r
-    1357910820U,	// VFMSUBSDr231m
-    839226148U,	// VFMSUBSDr231r
-    317023853U,	// VFMSUBSS4mr
-    317023853U,	// VFMSUBSS4mr_Int
-    1357932141U,	// VFMSUBSS4rm
-    1357932141U,	// VFMSUBSS4rm_Int
-    839231085U,	// VFMSUBSS4rr
-    839231085U,	// VFMSUBSS4rr_Int
-    839231085U,	// VFMSUBSS4rr_REV
-    9472492U,	// VFMSUBSSZm
-    839223788U,	// VFMSUBSSZr
-    1357932022U,	// VFMSUBSSr132m
-    839230966U,	// VFMSUBSSr132r
-    1357932087U,	// VFMSUBSSr213m
-    839231031U,	// VFMSUBSSr213r
-    1357931968U,	// VFMSUBSSr231m
-    839230912U,	// VFMSUBSSr231r
-    839811469U,	// VFNMADD132PDZm
-    1425015181U,	// VFNMADD132PDZmb
-    839813201U,	// VFNMADD132PSZm
-    1427130449U,	// VFNMADD132PSZmb
-    839811622U,	// VFNMADD213PDZm
-    1425015334U,	// VFNMADD213PDZmb
-    839221798U,	// VFNMADD213PDZr
-    839813354U,	// VFNMADD213PSZm
-    1427130602U,	// VFNMADD213PSZmb
-    839223530U,	// VFNMADD213PSZr
-    300241361U,	// VFNMADDPD4mr
-    88429009U,	// VFNMADDPD4mrY
-    812683729U,	// VFNMADDPD4rm
-    812700113U,	// VFNMADDPD4rmY
-    839225809U,	// VFNMADDPD4rr
-    839225809U,	// VFNMADDPD4rrY
-    839225809U,	// VFNMADDPD4rrY_REV
-    839225809U,	// VFNMADDPD4rr_REV
-    9474258U,	// VFNMADDPDr132m
-    9490642U,	// VFNMADDPDr132mY
-    839225554U,	// VFNMADDPDr132r
-    839225554U,	// VFNMADDPDr132rY
-    9474388U,	// VFNMADDPDr213m
-    9490772U,	// VFNMADDPDr213mY
-    839225684U,	// VFNMADDPDr213r
-    839225684U,	// VFNMADDPDr213rY
-    9474172U,	// VFNMADDPDr231m
-    9490556U,	// VFNMADDPDr231mY
-    839225468U,	// VFNMADDPDr231r
-    839225468U,	// VFNMADDPDr231rY
-    300246037U,	// VFNMADDPS4mr
-    88433685U,	// VFNMADDPS4mrY
-    812688405U,	// VFNMADDPS4rm
-    812704789U,	// VFNMADDPS4rmY
-    839230485U,	// VFNMADDPS4rr
-    839230485U,	// VFNMADDPS4rrY
-    839230485U,	// VFNMADDPS4rrY_REV
-    839230485U,	// VFNMADDPS4rr_REV
-    9478931U,	// VFNMADDPSr132m
-    9495315U,	// VFNMADDPSr132mY
-    839230227U,	// VFNMADDPSr132r
-    839230227U,	// VFNMADDPSr132rY
-    9479072U,	// VFNMADDPSr213m
-    9495456U,	// VFNMADDPSr213mY
-    839230368U,	// VFNMADDPSr213r
-    839230368U,	// VFNMADDPSr213rY
-    9478845U,	// VFNMADDPSr231m
-    9495229U,	// VFNMADDPSr231mY
-    839230141U,	// VFNMADDPSr231r
-    839230141U,	// VFNMADDPSr231rY
-    312824832U,	// VFNMADDSD4mr
-    312824832U,	// VFNMADDSD4mr_Int
-    1357911040U,	// VFNMADDSD4rm
-    1357911040U,	// VFNMADDSD4rm_Int
-    839226368U,	// VFNMADDSD4rr
-    839226368U,	// VFNMADDSD4rr_Int
-    839226368U,	// VFNMADDSD4rr_REV
-    9470891U,	// VFNMADDSDZm
-    839222187U,	// VFNMADDSDZr
-    1357910914U,	// VFNMADDSDr132m
-    839226242U,	// VFNMADDSDr132r
-    1357910979U,	// VFNMADDSDr213m
-    839226307U,	// VFNMADDSDr213r
-    1357910860U,	// VFNMADDSDr231m
-    839226188U,	// VFNMADDSDr231r
-    317023892U,	// VFNMADDSS4mr
-    317023892U,	// VFNMADDSS4mr_Int
-    1357932180U,	// VFNMADDSS4rm
-    1357932180U,	// VFNMADDSS4rm_Int
-    839231124U,	// VFNMADDSS4rr
-    839231124U,	// VFNMADDSS4rr_Int
-    839231124U,	// VFNMADDSS4rr_REV
-    9472535U,	// VFNMADDSSZm
-    839223831U,	// VFNMADDSSZr
-    1357932062U,	// VFNMADDSSr132m
-    839231006U,	// VFNMADDSSr132r
-    1357932127U,	// VFNMADDSSr213m
-    839231071U,	// VFNMADDSSr213r
-    1357932008U,	// VFNMADDSSr231m
-    839230952U,	// VFNMADDSSr231r
-    839811423U,	// VFNMSUB132PDZm
-    1425015135U,	// VFNMSUB132PDZmb
-    839813155U,	// VFNMSUB132PSZm
-    1427130403U,	// VFNMSUB132PSZmb
-    839811576U,	// VFNMSUB213PDZm
-    1425015288U,	// VFNMSUB213PDZmb
-    839221752U,	// VFNMSUB213PDZr
-    839813308U,	// VFNMSUB213PSZm
-    1427130556U,	// VFNMSUB213PSZmb
-    839223484U,	// VFNMSUB213PSZr
-    300241310U,	// VFNMSUBPD4mr
-    88428958U,	// VFNMSUBPD4mrY
-    812683678U,	// VFNMSUBPD4rm
-    812700062U,	// VFNMSUBPD4rmY
-    839225758U,	// VFNMSUBPD4rr
-    839225758U,	// VFNMSUBPD4rrY
-    839225758U,	// VFNMSUBPD4rrY_REV
-    839225758U,	// VFNMSUBPD4rr_REV
-    9474215U,	// VFNMSUBPDr132m
-    9490599U,	// VFNMSUBPDr132mY
-    839225511U,	// VFNMSUBPDr132r
-    839225511U,	// VFNMSUBPDr132rY
-    9474345U,	// VFNMSUBPDr213m
-    9490729U,	// VFNMSUBPDr213mY
-    839225641U,	// VFNMSUBPDr213r
-    839225641U,	// VFNMSUBPDr213rY
-    9474129U,	// VFNMSUBPDr231m
-    9490513U,	// VFNMSUBPDr231mY
-    839225425U,	// VFNMSUBPDr231r
-    839225425U,	// VFNMSUBPDr231rY
-    300245986U,	// VFNMSUBPS4mr
-    88433634U,	// VFNMSUBPS4mrY
-    812688354U,	// VFNMSUBPS4rm
-    812704738U,	// VFNMSUBPS4rmY
-    839230434U,	// VFNMSUBPS4rr
-    839230434U,	// VFNMSUBPS4rrY
-    839230434U,	// VFNMSUBPS4rrY_REV
-    839230434U,	// VFNMSUBPS4rr_REV
-    9478888U,	// VFNMSUBPSr132m
-    9495272U,	// VFNMSUBPSr132mY
-    839230184U,	// VFNMSUBPSr132r
-    839230184U,	// VFNMSUBPSr132rY
-    9479029U,	// VFNMSUBPSr213m
-    9495413U,	// VFNMSUBPSr213mY
-    839230325U,	// VFNMSUBPSr213r
-    839230325U,	// VFNMSUBPSr213rY
-    9478802U,	// VFNMSUBPSr231m
-    9495186U,	// VFNMSUBPSr231mY
-    839230098U,	// VFNMSUBPSr231r
-    839230098U,	// VFNMSUBPSr231rY
-    312824803U,	// VFNMSUBSD4mr
-    312824803U,	// VFNMSUBSD4mr_Int
-    1357911011U,	// VFNMSUBSD4rm
-    1357911011U,	// VFNMSUBSD4rm_Int
-    839226339U,	// VFNMSUBSD4rr
-    839226339U,	// VFNMSUBSD4rr_Int
-    839226339U,	// VFNMSUBSD4rr_REV
-    9470862U,	// VFNMSUBSDZm
-    839222158U,	// VFNMSUBSDZr
-    1357910887U,	// VFNMSUBSDr132m
-    839226215U,	// VFNMSUBSDr132r
-    1357910952U,	// VFNMSUBSDr213m
-    839226280U,	// VFNMSUBSDr213r
-    1357910833U,	// VFNMSUBSDr231m
-    839226161U,	// VFNMSUBSDr231r
-    317023863U,	// VFNMSUBSS4mr
-    317023863U,	// VFNMSUBSS4mr_Int
-    1357932151U,	// VFNMSUBSS4rm
-    1357932151U,	// VFNMSUBSS4rm_Int
-    839231095U,	// VFNMSUBSS4rr
-    839231095U,	// VFNMSUBSS4rr_Int
-    839231095U,	// VFNMSUBSS4rr_REV
-    9472506U,	// VFNMSUBSSZm
-    839223802U,	// VFNMSUBSSZr
-    1357932035U,	// VFNMSUBSSr132m
-    839230979U,	// VFNMSUBSSr132r
-    1357932100U,	// VFNMSUBSSr213m
-    839231044U,	// VFNMSUBSSr213r
-    1357931981U,	// VFNMSUBSSr231m
-    839230925U,	// VFNMSUBSSr231r
-    578291U,	// VFRCZPDrm
-    1020659U,	// VFRCZPDrmY
-    8540915U,	// VFRCZPDrr
-    8540915U,	// VFRCZPDrrY
-    583061U,	// VFRCZPSrm
-    1025429U,	// VFRCZPSrmY
-    8545685U,	// VFRCZPSrr
-    8545685U,	// VFRCZPSrrY
-    595090U,	// VFRCZSDrm
-    8541330U,	// VFRCZSDrr
-    616230U,	// VFRCZSSrm
-    8546086U,	// VFRCZSSrr
-    811782764U,	// VFsANDNPDrm
-    811651692U,	// VFsANDNPDrr
-    811787469U,	// VFsANDNPSrm
-    811656397U,	// VFsANDNPSrr
-    811782628U,	// VFsANDPDrm
-    811651556U,	// VFsANDPDrr
-    811787304U,	// VFsANDPSrm
-    811656232U,	// VFsANDPSrr
-    811782808U,	// VFsORPDrm
-    811651736U,	// VFsORPDrr
-    811787521U,	// VFsORPSrm
-    811656449U,	// VFsORPSrr
-    811782815U,	// VFsXORPDrm
-    811651743U,	// VFsXORPDrr
-    811787528U,	// VFsXORPSrm
-    811656456U,	// VFsXORPSrr
-    103879168U,	// VGATHERDPDYrm
-    1131137U,	// VGATHERDPDZrm
-    103879168U,	// VGATHERDPDrm
-    105980996U,	// VGATHERDPSYrm
-    1149253U,	// VGATHERDPSZrm
-    105980996U,	// VGATHERDPSrm
-    103879308U,	// VGATHERQPDYrm
-    1131249U,	// VGATHERQPDZrm
-    103879308U,	// VGATHERQPDrm
-    105981173U,	// VGATHERQPSYrm
-    1132981U,	// VGATHERQPSZrm
-    105981173U,	// VGATHERQPSrm
-    922046U,	// VHADDPDYrm
-    811651518U,	// VHADDPDYrr
-    811782590U,	// VHADDPDrm
-    811651518U,	// VHADDPDrr
-    926722U,	// VHADDPSYrm
-    811656194U,	// VHADDPSYrr
-    811787266U,	// VHADDPSrm
-    811656194U,	// VHADDPSrr
-    921995U,	// VHSUBPDYrm
-    811651467U,	// VHSUBPDYrr
-    811782539U,	// VHSUBPDrm
-    811651467U,	// VHSUBPDrr
-    926671U,	// VHSUBPSYrm
-    811656143U,	// VHSUBPSYrr
-    811787215U,	// VHSUBPSrm
-    811656143U,	// VHSUBPSrr
-    300239949U,	// VINSERTF128rm
-    839224397U,	// VINSERTF128rr
-    300239822U,	// VINSERTF32x4rm
-    839224270U,	// VINSERTF32x4rr
-    101010440U,	// VINSERTF64x4rm
-    839224328U,	// VINSERTF64x4rr
-    342183044U,	// VINSERTI128rm
-    839224452U,	// VINSERTI128rr
-    342182891U,	// VINSERTI32x4rm
-    839224299U,	// VINSERTI32x4rr
-    101010469U,	// VINSERTI64x4rm
-    839224357U,	// VINSERTI64x4rr
-    317023526U,	// VINSERTPSrm
-    839230758U,	// VINSERTPSrr
-    317023526U,	// VINSERTPSzrm
-    839230758U,	// VINSERTPSzrr
-    976858U,	// VLDDQUYrm
-    321498U,	// VLDDQUrm
-    287220U,	// VLDMXCSR
-    8546274U,	// VMASKMOVDQU
-    8546274U,	// VMASKMOVDQU64
-    1661276895U,	// VMASKMOVPDYmr
-    922335U,	// VMASKMOVPDYrm
-    1392841439U,	// VMASKMOVPDmr
-    811782879U,	// VMASKMOVPDrm
-    1661281665U,	// VMASKMOVPSYmr
-    927105U,	// VMASKMOVPSYrm
-    1392846209U,	// VMASKMOVPSmr
-    811787649U,	// VMASKMOVPSrm
-    922347U,	// VMAXCPDYrm
-    811651819U,	// VMAXCPDYrr
-    811782891U,	// VMAXCPDrm
-    811651819U,	// VMAXCPDrr
-    927117U,	// VMAXCPSYrm
-    811656589U,	// VMAXCPSYrr
-    811787661U,	// VMAXCPSrm
-    811656589U,	// VMAXCPSrr
-    283317386U,	// VMAXCSDrm
-    811652234U,	// VMAXCSDrr
-    283338526U,	// VMAXCSSrm
-    811656990U,	// VMAXCSSrr
-    922347U,	// VMAXPDYrm
-    811651819U,	// VMAXPDYrr
-    934687U,	// VMAXPDZrm
-    350421791U,	// VMAXPDZrmb
-    811647775U,	// VMAXPDZrr
-    811782891U,	// VMAXPDrm
-    811651819U,	// VMAXPDrr
-    927117U,	// VMAXPSYrm
-    811656589U,	// VMAXPSYrr
-    936419U,	// VMAXPSZrm
-    352537059U,	// VMAXPSZrmb
-    811649507U,	// VMAXPSZrr
-    811787661U,	// VMAXPSrm
-    811656589U,	// VMAXPSrr
-    283317386U,	// VMAXSDZrm
-    811652234U,	// VMAXSDZrr
-    283317386U,	// VMAXSDrm
-    283317386U,	// VMAXSDrm_Int
-    811652234U,	// VMAXSDrr
-    811652234U,	// VMAXSDrr_Int
-    283338526U,	// VMAXSSZrm
-    811656990U,	// VMAXSSZrr
-    283338526U,	// VMAXSSrm
-    283338526U,	// VMAXSSrm_Int
-    811656990U,	// VMAXSSrr
-    811656990U,	// VMAXSSrr_Int
-    14490U,	// VMCALL
-    401838U,	// VMCLEARm
-    14233U,	// VMFUNC
-    922229U,	// VMINCPDYrm
-    811651701U,	// VMINCPDYrr
-    811782773U,	// VMINCPDrm
-    811651701U,	// VMINCPDrr
-    926934U,	// VMINCPSYrm
-    811656406U,	// VMINCPSYrr
-    811787478U,	// VMINCPSrm
-    811656406U,	// VMINCPSrr
-    283317313U,	// VMINCSDrm
-    811652161U,	// VMINCSDrr
-    283338444U,	// VMINCSSrm
-    811656908U,	// VMINCSSrr
-    922229U,	// VMINPDYrm
-    811651701U,	// VMINPDYrr
-    934623U,	// VMINPDZrm
-    350421727U,	// VMINPDZrmb
-    811647711U,	// VMINPDZrr
-    811782773U,	// VMINPDrm
-    811651701U,	// VMINPDrr
-    926934U,	// VMINPSYrm
-    811656406U,	// VMINPSYrr
-    936355U,	// VMINPSZrm
-    352536995U,	// VMINPSZrmb
-    811649443U,	// VMINPSZrr
-    811787478U,	// VMINPSrm
-    811656406U,	// VMINPSrr
-    283317313U,	// VMINSDZrm
-    811652161U,	// VMINSDZrr
-    283317313U,	// VMINSDrm
-    283317313U,	// VMINSDrm_Int
-    811652161U,	// VMINSDrr
-    811652161U,	// VMINSDrr_Int
-    283338444U,	// VMINSSZrm
-    811656908U,	// VMINSSZrr
-    283338444U,	// VMINSSrm
-    283338444U,	// VMINSSrm_Int
-    811656908U,	// VMINSSrr
-    811656908U,	// VMINSSrr_Int
-    14390U,	// VMLAUNCH
-    15334U,	// VMLOAD32
-    15414U,	// VMLOAD64
-    14482U,	// VMMCALL
-    8544564U,	// VMOV64toPQIZrr
-    8544564U,	// VMOV64toPQIrr
-    8544564U,	// VMOV64toSDZrr
-    551723316U,	// VMOV64toSDrm
-    8544564U,	// VMOV64toSDrr
-    106991970U,	// VMOVAPDYmr
-    1020258U,	// VMOVAPDYrm
-    8540514U,	// VMOVAPDYrr
-    8540514U,	// VMOVAPDYrr_REV
-    109089122U,	// VMOVAPDZmr
-    1032805U,	// VMOVAPDZrm
-    2693694053U,	// VMOVAPDZrmk
-    8536677U,	// VMOVAPDZrr
-    2693104229U,	// VMOVAPDZrrk
-    65048930U,	// VMOVAPDmr
-    577890U,	// VMOVAPDrm
-    8540514U,	// VMOVAPDrr
-    8540514U,	// VMOVAPDrr_REV
-    106996654U,	// VMOVAPSYmr
-    1024942U,	// VMOVAPSYrm
-    8545198U,	// VMOVAPSYrr
-    8545198U,	// VMOVAPSYrr_REV
-    109093806U,	// VMOVAPSZmr
-    1034537U,	// VMOVAPSZrm
-    2693695785U,	// VMOVAPSZrmk
-    8538409U,	// VMOVAPSZrr
-    2693105961U,	// VMOVAPSZrrk
-    65053614U,	// VMOVAPSmr
-    582574U,	// VMOVAPSrm
-    8545198U,	// VMOVAPSrr
-    8545198U,	// VMOVAPSrr_REV
-    1023019U,	// VMOVDDUPYrm
-    8543275U,	// VMOVDDUPYrr
-    1033545U,	// VMOVDDUPZrm
-    8537417U,	// VMOVDDUPZrr
-    597035U,	// VMOVDDUPrm
-    8543275U,	// VMOVDDUPrr
-    551687430U,	// VMOVDI2PDIZrm
-    8541446U,	// VMOVDI2PDIZrr
-    551687430U,	// VMOVDI2PDIrm
-    8541446U,	// VMOVDI2PDIrr
-    551687430U,	// VMOVDI2SSZrm
-    8541446U,	// VMOVDI2SSZrr
-    551687430U,	// VMOVDI2SSrm
-    8541446U,	// VMOVDI2SSrr
-    111184741U,	// VMOVDQA32mr
-    985957U,	// VMOVDQA32rm
-    8538981U,	// VMOVDQA32rr
-    111184809U,	// VMOVDQA64mr
-    986025U,	// VMOVDQA64rm
-    8539049U,	// VMOVDQA64rr
-    113282224U,	// VMOVDQAYmr
-    969904U,	// VMOVDQAYrm
-    8539312U,	// VMOVDQAYrr
-    8539312U,	// VMOVDQAYrr_REV
-    67144880U,	// VMOVDQAmr
-    314544U,	// VMOVDQArm
-    8539312U,	// VMOVDQArr
-    8539312U,	// VMOVDQArr_REV
-    111181825U,	// VMOVDQU32mr
-    983041U,	// VMOVDQU32rm
-    2693906433U,	// VMOVDQU32rmk
-    8536065U,	// VMOVDQU32rr
-    2693103617U,	// VMOVDQU32rrk
-    2692792321U,	// VMOVDQU32rrkz
-    111181837U,	// VMOVDQU64mr
-    983053U,	// VMOVDQU64rm
-    2693906445U,	// VMOVDQU64rmk
-    8536077U,	// VMOVDQU64rr
-    2693103629U,	// VMOVDQU64rrk
-    2692792333U,	// VMOVDQU64rrkz
-    113289199U,	// VMOVDQUYmr
-    976879U,	// VMOVDQUYrm
-    8546287U,	// VMOVDQUYrr
-    8546287U,	// VMOVDQUYrr_REV
-    67151855U,	// VMOVDQUmr
-    321519U,	// VMOVDQUrm
-    8546287U,	// VMOVDQUrr
-    8546287U,	// VMOVDQUrr_REV
-    811656322U,	// VMOVHLPSZrr
-    811656322U,	// VMOVHLPSrr
-    69243424U,	// VMOVHPDmr
-    283316768U,	// VMOVHPDrm
-    69248110U,	// VMOVHPSmr
-    283321454U,	// VMOVHPSrm
-    811656292U,	// VMOVLHPSZrr
-    811656292U,	// VMOVLHPSrr
-    69243474U,	// VMOVLPDmr
-    283316818U,	// VMOVLPDrm
-    69248170U,	// VMOVLPSmr
-    283321514U,	// VMOVLPSrm
-    8540713U,	// VMOVMSKPDYrr
-    8540713U,	// VMOVMSKPDrr
-    8545399U,	// VMOVMSKPSYrr
-    8545399U,	// VMOVMSKPSrr
-    969893U,	// VMOVNTDQAYrm
-    314533U,	// VMOVNTDQArm
-    106995187U,	// VMOVNTDQYmr
-    65052147U,	// VMOVNTDQmr
-    106992295U,	// VMOVNTPDYmr
-    65049255U,	// VMOVNTPDmr
-    106997020U,	// VMOVNTPSYmr
-    65053980U,	// VMOVNTPSmr
-    12621062U,	// VMOVPDI2DIZmr
-    8541446U,	// VMOVPDI2DIZrr
-    12621062U,	// VMOVPDI2DImr
-    8541446U,	// VMOVPDI2DIrr
-    18915636U,	// VMOVPQI2QImr
-    8544564U,	// VMOVPQI2QIrr
-    18915636U,	// VMOVPQIto64Zmr
-    8544564U,	// VMOVPQIto64Zrr
-    8544564U,	// VMOVPQIto64rr
-    551723316U,	// VMOVQI2PQIZrm
-    551723316U,	// VMOVQI2PQIrm
-    69239834U,	// VMOVSDZmr
-    590874U,	// VMOVSDZrm
-    811648026U,	// VMOVSDZrr
-    811652217U,	// VMOVSDZrr_REV
-    2693383194U,	// VMOVSDZrrk
-    69244025U,	// VMOVSDmr
-    595065U,	// VMOVSDrm
-    811652217U,	// VMOVSDrr
-    811652217U,	// VMOVSDrr_REV
-    18915636U,	// VMOVSDto64Zmr
-    8544564U,	// VMOVSDto64Zrr
-    18915636U,	// VMOVSDto64mr
-    8544564U,	// VMOVSDto64rr
-    1023029U,	// VMOVSHDUPYrm
-    8543285U,	// VMOVSHDUPYrr
-    1033556U,	// VMOVSHDUPZrm
-    8537428U,	// VMOVSHDUPZrr
-    580661U,	// VMOVSHDUPrm
-    8543285U,	// VMOVSHDUPrr
-    1023040U,	// VMOVSLDUPYrm
-    8543296U,	// VMOVSLDUPYrr
-    1033568U,	// VMOVSLDUPZrm
-    8537440U,	// VMOVSLDUPZrr
-    580672U,	// VMOVSLDUPrm
-    8543296U,	// VMOVSLDUPrr
-    12621062U,	// VMOVSS2DIZmr
-    8541446U,	// VMOVSS2DIZrr
-    12621062U,	// VMOVSS2DImr
-    8541446U,	// VMOVSS2DIrr
-    71338611U,	// VMOVSSZmr
-    608883U,	// VMOVSSZrm
-    811649651U,	// VMOVSSZrr
-    811656982U,	// VMOVSSZrr_REV
-    2693384819U,	// VMOVSSZrrk
-    71345942U,	// VMOVSSmr
-    616214U,	// VMOVSSrm
-    811656982U,	// VMOVSSrr
-    811656982U,	// VMOVSSrr_REV
-    106992323U,	// VMOVUPDYmr
-    1020611U,	// VMOVUPDYrm
-    8540867U,	// VMOVUPDYrr
-    8540867U,	// VMOVUPDYrr_REV
-    109089475U,	// VMOVUPDZmr
-    1032972U,	// VMOVUPDZrm
-    2693694220U,	// VMOVUPDZrmk
-    8536844U,	// VMOVUPDZrr
-    2693104396U,	// VMOVUPDZrrk
-    65049283U,	// VMOVUPDmr
-    578243U,	// VMOVUPDrm
-    8540867U,	// VMOVUPDrr
-    8540867U,	// VMOVUPDrr_REV
-    106997093U,	// VMOVUPSYmr
-    1025381U,	// VMOVUPSYrm
-    8545637U,	// VMOVUPSYrr
-    8545637U,	// VMOVUPSYrr_REV
-    109094245U,	// VMOVUPSZmr
-    1034704U,	// VMOVUPSZrm
-    2693695952U,	// VMOVUPSZrmk
-    8538576U,	// VMOVUPSZrr
-    2693106128U,	// VMOVUPSZrrk
-    65054053U,	// VMOVUPSmr
-    583013U,	// VMOVUPSrm
-    8545637U,	// VMOVUPSrr
-    8545637U,	// VMOVUPSrr_REV
-    319796U,	// VMOVZPQILo2PQIZrm
-    8544564U,	// VMOVZPQILo2PQIZrr
-    319796U,	// VMOVZPQILo2PQIrm
-    8544564U,	// VMOVZPQILo2PQIrr
-    551723316U,	// VMOVZQI2PQIrm
-    8544564U,	// VMOVZQI2PQIrr
-    101017685U,	// VMPSADBWYrmi
-    839231573U,	// VMPSADBWYrri
-    342190165U,	// VMPSADBWrmi
-    839231573U,	// VMPSADBWrri
-    397316U,	// VMPTRLDm
-    403400U,	// VMPTRSTm
-    12621697U,	// VMREAD32rm
-    8542081U,	// VMREAD32rr
-    18914601U,	// VMREAD64rm
-    8543529U,	// VMREAD64rr
-    14321U,	// VMRESUME
-    15358U,	// VMRUN32
-    15438U,	// VMRUN64
-    15346U,	// VMSAVE32
-    15426U,	// VMSAVE64
-    922186U,	// VMULPDYrm
-    811651658U,	// VMULPDYrr
-    934592U,	// VMULPDZrm
-    350421696U,	// VMULPDZrmb
-    811647680U,	// VMULPDZrr
-    811782730U,	// VMULPDrm
-    811651658U,	// VMULPDrr
-    926882U,	// VMULPSYrm
-    811656354U,	// VMULPSYrr
-    936324U,	// VMULPSZrm
-    352536964U,	// VMULPSZrmb
-    811649412U,	// VMULPSZrr
-    811787426U,	// VMULPSrm
-    811656354U,	// VMULPSrr
-    283317296U,	// VMULSDZrm
-    811652144U,	// VMULSDZrr
-    283317296U,	// VMULSDrm
-    283317296U,	// VMULSDrm_Int
-    811652144U,	// VMULSDrr
-    811652144U,	// VMULSDrr_Int
-    283338436U,	// VMULSSZrm
-    811656900U,	// VMULSSZrr
-    283338436U,	// VMULSSrm
-    283338436U,	// VMULSSrm_Int
-    811656900U,	// VMULSSrr
-    811656900U,	// VMULSSrr_Int
-    551688255U,	// VMWRITE32rm
-    8542271U,	// VMWRITE32rr
-    551722634U,	// VMWRITE64rm
-    8543882U,	// VMWRITE64rr
-    14373U,	// VMXOFF
-    400293U,	// VMXON
-    922264U,	// VORPDYrm
-    811651736U,	// VORPDYrr
-    811782808U,	// VORPDrm
-    811651736U,	// VORPDrr
-    926977U,	// VORPSYrm
-    811656449U,	// VORPSYrr
-    811787521U,	// VORPSrm
-    811656449U,	// VORPSrr
-    314847U,	// VPABSBrm128
-    970207U,	// VPABSBrm256
-    8539615U,	// VPABSBrr128
-    8539615U,	// VPABSBrr256
-    984042U,	// VPABSDrm
-    316369U,	// VPABSDrm128
-    971729U,	// VPABSDrm256
-    8537066U,	// VPABSDrr
-    8541137U,	// VPABSDrr128
-    8541137U,	// VPABSDrr256
-    984922U,	// VPABSQrm
-    8537946U,	// VPABSQrr
-    322306U,	// VPABSWrm128
-    977666U,	// VPABSWrm256
-    8547074U,	// VPABSWrr128
-    8547074U,	// VPABSWrr256
-    1190205U,	// VPACKSSDWYrm
-    811657533U,	// VPACKSSDWYrr
-    811903293U,	// VPACKSSDWrm
-    811657533U,	// VPACKSSDWrr
-    1183420U,	// VPACKSSWBYrm
-    811650748U,	// VPACKSSWBYrr
-    811896508U,	// VPACKSSWBrm
-    811650748U,	// VPACKSSWBrr
-    1190216U,	// VPACKUSDWYrm
-    811657544U,	// VPACKUSDWYrr
-    811903304U,	// VPACKUSDWrm
-    811657544U,	// VPACKUSDWrr
-    1183431U,	// VPACKUSWBYrm
-    811650759U,	// VPACKUSWBYrr
-    811896519U,	// VPACKUSWBrm
-    811650759U,	// VPACKUSWBrr
-    1182995U,	// VPADDBYrm
-    811650323U,	// VPADDBYrr
-    811896083U,	// VPADDBrm
-    811650323U,	// VPADDBrr
-    1183612U,	// VPADDDYrm
-    811650940U,	// VPADDDYrr
-    812794029U,	// VPADDDZrm
-    352403629U,	// VPADDDZrmb
-    811647149U,	// VPADDDZrr
-    811896700U,	// VPADDDrm
-    811650940U,	// VPADDDrr
-    1187132U,	// VPADDQYrm
-    811654460U,	// VPADDQYrr
-    812795376U,	// VPADDQZrm
-    350324208U,	// VPADDQZrmb
-    811648496U,	// VPADDQZrr
-    811900220U,	// VPADDQrm
-    811654460U,	// VPADDQrr
-    1183225U,	// VPADDSBYrm
-    811650553U,	// VPADDSBYrr
-    811896313U,	// VPADDSBrm
-    811650553U,	// VPADDSBrr
-    1190716U,	// VPADDSWYrm
-    811658044U,	// VPADDSWYrr
-    811903804U,	// VPADDSWrm
-    811658044U,	// VPADDSWrr
-    1183274U,	// VPADDUSBYrm
-    811650602U,	// VPADDUSBYrr
-    811896362U,	// VPADDUSBrm
-    811650602U,	// VPADDUSBrr
-    1190829U,	// VPADDUSWYrm
-    811658157U,	// VPADDUSWYrr
-    811903917U,	// VPADDUSWrm
-    811658157U,	// VPADDUSWrr
-    1190148U,	// VPADDWYrm
-    811657476U,	// VPADDWYrr
-    811903236U,	// VPADDWrm
-    811657476U,	// VPADDWrr
-    342188486U,	// VPALIGNR128rm
-    839229894U,	// VPALIGNR128rr
-    101016006U,	// VPALIGNR256rm
-    839229894U,	// VPALIGNR256rr
-    812794038U,	// VPANDDZrm
-    352403638U,	// VPANDDZrmb
-    811647158U,	// VPANDDZrr
-    812794156U,	// VPANDNDZrm
-    352403756U,	// VPANDNDZrmb
-    811647276U,	// VPANDNDZrr
-    812795663U,	// VPANDNQZrm
-    350324495U,	// VPANDNQZrmb
-    811648783U,	// VPANDNQZrr
-    1186702U,	// VPANDNYrm
-    811654030U,	// VPANDNYrr
-    811899790U,	// VPANDNrm
-    811654030U,	// VPANDNrr
-    812795421U,	// VPANDQZrm
-    350324253U,	// VPANDQZrmb
-    811648541U,	// VPANDQZrr
-    1183773U,	// VPANDYrm
-    811651101U,	// VPANDYrr
-    811896861U,	// VPANDrm
-    811651101U,	// VPANDrr
-    1183041U,	// VPAVGBYrm
-    811650369U,	// VPAVGBYrr
-    811896129U,	// VPAVGBrm
-    811650369U,	// VPAVGBrr
-    1190317U,	// VPAVGWYrm
-    811657645U,	// VPAVGWYrr
-    811903405U,	// VPAVGWrm
-    811657645U,	// VPAVGWrr
-    101011332U,	// VPBLENDDYrmi
-    839225220U,	// VPBLENDDYrri
-    342183812U,	// VPBLENDDrmi
-    839225220U,	// VPBLENDDrri
-    839811328U,	// VPBLENDMDZrm
-    839221504U,	// VPBLENDMDZrr
-    839812835U,	// VPBLENDMQZrm
-    839223011U,	// VPBLENDMQZrr
-    101011106U,	// VPBLENDVBYrm
-    839224994U,	// VPBLENDVBYrr
-    342183586U,	// VPBLENDVBrm
-    839224994U,	// VPBLENDVBrr
-    101017900U,	// VPBLENDWYrmi
-    839231788U,	// VPBLENDWYrri
-    342190380U,	// VPBLENDWrmi
-    839231788U,	// VPBLENDWrri
-    446059U,	// VPBROADCASTBYrm
-    8539755U,	// VPBROADCASTBYrr
-    446059U,	// VPBROADCASTBrm
-    8539755U,	// VPBROADCASTBrr
-    551687341U,	// VPBROADCASTDYrm
-    8541357U,	// VPBROADCASTDYrr
-    551633990U,	// VPBROADCASTDZkrm
-    2692793414U,	// VPBROADCASTDZkrr
-    551683142U,	// VPBROADCASTDZrm
-    8537158U,	// VPBROADCASTDZrr
-    2692793414U,	// VPBROADCASTDrZkrr
-    8537158U,	// VPBROADCASTDrZrr
-    551687341U,	// VPBROADCASTDrm
-    8541357U,	// VPBROADCASTDrr
-    8537452U,	// VPBROADCASTMB2Qrr
-    8536177U,	// VPBROADCASTMW2Drr
-    551723233U,	// VPBROADCASTQYrm
-    8544481U,	// VPBROADCASTQYrr
-    551651243U,	// VPBROADCASTQZkrm
-    2692794283U,	// VPBROADCASTQZkrr
-    551716779U,	// VPBROADCASTQZrm
-    8538027U,	// VPBROADCASTQZrr
-    2692794283U,	// VPBROADCASTQrZkrr
-    8538027U,	// VPBROADCASTQrZrr
-    551723233U,	// VPBROADCASTQrm
-    8544481U,	// VPBROADCASTQrr
-    388143U,	// VPBROADCASTWYrm
-    8547375U,	// VPBROADCASTWYrr
-    388143U,	// VPBROADCASTWrm
-    8547375U,	// VPBROADCASTWrr
-    342187451U,	// VPCLMULQDQrm
-    839228859U,	// VPCLMULQDQrr
-    342190103U,	// VPCMOVmr
-    88434711U,	// VPCMOVmrY
-    812820503U,	// VPCMOVrm
-    1239063U,	// VPCMOVrmY
-    839231511U,	// VPCMOVrr
-    839231511U,	// VPCMOVrrY
-    3068803400U,	// VPCMPDZrmi
-    354767451U,	// VPCMPDZrmi_alt
-    1458207048U,	// VPCMPDZrri
-    839225947U,	// VPCMPDZrri_alt
-    1183134U,	// VPCMPEQBYrm
-    811650462U,	// VPCMPEQBYrr
-    811896222U,	// VPCMPEQBrm
-    811650462U,	// VPCMPEQBrr
-    1184508U,	// VPCMPEQDYrm
-    811651836U,	// VPCMPEQDYrr
-    812794664U,	// VPCMPEQDZrm
-    811647784U,	// VPCMPEQDZrr
-    811897596U,	// VPCMPEQDrm
-    811651836U,	// VPCMPEQDrr
-    1187726U,	// VPCMPEQQYrm
-    811655054U,	// VPCMPEQQYrr
-    812795683U,	// VPCMPEQQZrm
-    811648803U,	// VPCMPEQQZrr
-    811900814U,	// VPCMPEQQrm
-    811655054U,	// VPCMPEQQrr
-    1190548U,	// VPCMPEQWYrm
-    811657876U,	// VPCMPEQWYrr
-    811903636U,	// VPCMPEQWrm
-    811657876U,	// VPCMPEQWrr
+    23871U,	// UCOM_Fr
+    13846U,	// UD2B
+    8573934U,	// UNPCKHPDrm
+    8442862U,	// UNPCKHPDrr
+    8578610U,	// UNPCKHPSrm
+    8447538U,	// UNPCKHPSrr
+    8573976U,	// UNPCKLPDrm
+    8442904U,	// UNPCKLPDrr
+    8578672U,	// UNPCKLPSrm
+    8447600U,	// UNPCKLPSrr
+    551580234U,	// VAARG_64
+    812520372U,	// VADDPDYrm
+    811652020U,	// VADDPDYrr
+    812532486U,	// VADDPDZrm
+    350421766U,	// VADDPDZrmb
+    1424917254U,	// VADDPDZrmbk
+    1424917254U,	// VADDPDZrmbkz
+    839832500U,	// VADDPDZrmk
+    839832500U,	// VADDPDZrmkz
+    811647750U,	// VADDPDZrr
+    839222022U,	// VADDPDZrrk
+    839222022U,	// VADDPDZrrkz
+    811783092U,	// VADDPDrm
+    811652020U,	// VADDPDrr
+    812525048U,	// VADDPSYrm
+    811656696U,	// VADDPSYrr
+    812534449U,	// VADDPSZrm
+    352537265U,	// VADDPSZrmb
+    1427049137U,	// VADDPSZrmbk
+    1427049137U,	// VADDPSZrmbkz
+    839837176U,	// VADDPSZrmk
+    839837176U,	// VADDPSZrmkz
+    811649713U,	// VADDPSZrr
+    839223985U,	// VADDPSZrrk
+    839223985U,	// VADDPSZrrkz
+    811787768U,	// VADDPSrm
+    811656696U,	// VADDPSrr
+    283317731U,	// VADDSDZrm
+    811652579U,	// VADDSDZrr
+    283317731U,	// VADDSDrm
+    283317731U,	// VADDSDrm_Int
+    811652579U,	// VADDSDrr
+    811652579U,	// VADDSDrr_Int
+    283338871U,	// VADDSSZrm
+    811657335U,	// VADDSSZrr
+    283338871U,	// VADDSSrm
+    283338871U,	// VADDSSrm_Int
+    811657335U,	// VADDSSrr
+    811657335U,	// VADDSSrr_Int
+    812520280U,	// VADDSUBPDYrm
+    811651928U,	// VADDSUBPDYrr
+    811783000U,	// VADDSUBPDrm
+    811651928U,	// VADDSUBPDrr
+    812524956U,	// VADDSUBPSYrm
+    811656604U,	// VADDSUBPSYrr
+    811787676U,	// VADDSUBPSrm
+    811656604U,	// VADDSUBPSrr
+    811903335U,	// VAESDECLASTrm
+    811657575U,	// VAESDECLASTrr
+    811897026U,	// VAESDECrm
+    811651266U,	// VAESDECrr
+    811903348U,	// VAESENCLASTrm
+    811657588U,	// VAESENCLASTrr
+    811897066U,	// VAESENCrm
+    811651306U,	// VAESENCrr
+    315617U,	// VAESIMCrm
+    8540385U,	// VAESIMCrr
+    25504142U,	// VAESKEYGENASSIST128rm
+    811657614U,	// VAESKEYGENASSIST128rr
+    354763148U,	// VALIGNDrmi
+    839221644U,	// VALIGNDrri
+    88113548U,	// VALIGNDrrik
+    839500172U,	// VALIGNDrrikz
+    354764835U,	// VALIGNQrmi
+    839223331U,	// VALIGNQrri
+    88115235U,	// VALIGNQrrik
+    839501859U,	// VALIGNQrrikz
+    812520516U,	// VANDNPDYrm
+    811652164U,	// VANDNPDYrr
+    811783236U,	// VANDNPDrm
+    811652164U,	// VANDNPDrr
+    812525221U,	// VANDNPSYrm
+    811656869U,	// VANDNPSYrr
+    811787941U,	// VANDNPSrm
+    811656869U,	// VANDNPSrr
+    812520380U,	// VANDPDYrm
+    811652028U,	// VANDPDYrr
+    811783100U,	// VANDPDrm
+    811652028U,	// VANDPDrr
+    812525056U,	// VANDPSYrm
+    811656704U,	// VANDPSYrr
+    811787776U,	// VANDPSrm
+    811656704U,	// VANDPSrr
+    283144826U,	// VASTART_SAVE_XMM_REGS
+    839828311U,	// VBLENDMPDZrm
+    839222103U,	// VBLENDMPDZrr
+    839830274U,	// VBLENDMPSZrm
+    839224066U,	// VBLENDMPSZrr
+    90526660U,	// VBLENDPDYrmi
+    839226308U,	// VBLENDPDYrri
+    300241860U,	// VBLENDPDrmi
+    839226308U,	// VBLENDPDrri
+    90531336U,	// VBLENDPSYrmi
+    839230984U,	// VBLENDPSYrri
+    300246536U,	// VBLENDPSrmi
+    839230984U,	// VBLENDPSrri
+    90526884U,	// VBLENDVPDYrm
+    839226532U,	// VBLENDVPDYrr
+    300242084U,	// VBLENDVPDrm
+    839226532U,	// VBLENDVPDrr
+    90531654U,	// VBLENDVPSYrm
+    839231302U,	// VBLENDVPSYrr
+    300246854U,	// VBLENDVPSrm
+    839231302U,	// VBLENDVPSrr
+    577064U,	// VBROADCASTF128
+    314975U,	// VBROADCASTI128
+    813989889U,	// VBROADCASTI32X4krm
+    311297U,	// VBROADCASTI32X4rm
+    814694419U,	// VBROADCASTI64X4krm
+    1015827U,	// VBROADCASTI64X4rm
+    595515U,	// VBROADCASTSDYrm
+    8541755U,	// VBROADCASTSDYrr
+    591081U,	// VBROADCASTSDZrm
+    8537321U,	// VBROADCASTSDZrr
+    616664U,	// VBROADCASTSSYrm
+    8546520U,	// VBROADCASTSSYrr
+    609297U,	// VBROADCASTSSZrm
+    8539153U,	// VBROADCASTSSZrr
+    616664U,	// VBROADCASTSSrm
+    8546520U,	// VBROADCASTSSrr
+    1112160341U,	// VCMPPDYrmi
+    90526812U,	// VCMPPDYrmi_alt
+    1380612181U,	// VCMPPDYrri
+    839226460U,	// VCMPPDYrri_alt
+    1435121749U,	// VCMPPDZrmi
+    94716790U,	// VCMPPDZrmi_alt
+    1435138133U,	// VCMPPDZrri
+    839222134U,	// VCMPPDZrri_alt
+    97155157U,	// VCMPPDZrrib
+    1112160341U,	// VCMPPDrmi
+    300242012U,	// VCMPPDrmi_alt
+    1380612181U,	// VCMPPDrri
+    839226460U,	// VCMPPDrri_alt
+    1114257493U,	// VCMPPSYrmi
+    90531525U,	// VCMPPSYrmi_alt
+    1382709333U,	// VCMPPSYrri
+    839231173U,	// VCMPPSYrri_alt
+    1441413205U,	// VCMPPSZrmi
+    94718753U,	// VCMPPSZrmi_alt
+    1441429589U,	// VCMPPSZrri
+    839224097U,	// VCMPPSZrri_alt
+    101349461U,	// VCMPPSZrrib
+    1114257493U,	// VCMPPSrmi
+    300246725U,	// VCMPPSrmi_alt
+    1382709333U,	// VCMPPSrri
+    839231173U,	// VCMPPSrri_alt
+    1921661013U,	// VCMPSDZrm
+    312825377U,	// VCMPSDZrmi_alt
+    1384806485U,	// VCMPSDZrr
+    839226913U,	// VCMPSDZrri_alt
+    1921661013U,	// VCMPSDrm
+    312825377U,	// VCMPSDrm_alt
+    1384806485U,	// VCMPSDrr
+    839226913U,	// VCMPSDrr_alt
+    2731161685U,	// VCMPSSZrm
+    317024436U,	// VCMPSSZrmi_alt
+    1389000789U,	// VCMPSSZrr
+    839231668U,	// VCMPSSZrri_alt
+    2731161685U,	// VCMPSSrm
+    317024436U,	// VCMPSSrm_alt
+    1389000789U,	// VCMPSSrr
+    839231668U,	// VCMPSSrr_alt
+    579071U,	// VCOMISDZrm
+    8541695U,	// VCOMISDZrr
+    579071U,	// VCOMISDrm
+    8541695U,	// VCOMISDrr
+    583827U,	// VCOMISSZrm
+    8546451U,	// VCOMISSZrr
+    583827U,	// VCOMISSrm
+    8546451U,	// VCOMISSrr
+    316110U,	// VCVTDQ2PDYrm
+    8540878U,	// VCVTDQ2PDYrr
+    1016318U,	// VCVTDQ2PDZrm
+    8536574U,	// VCVTDQ2PDZrr
+    551719630U,	// VCVTDQ2PDrm
+    8540878U,	// VCVTDQ2PDrr
+    1025317U,	// VCVTDQ2PSYrm
+    8545573U,	// VCVTDQ2PSYrr
+    1034677U,	// VCVTDQ2PSZrm
+    8538549U,	// VCVTDQ2PSZrr
+    1051061U,	// VCVTDQ2PSZrrb
+    320805U,	// VCVTDQ2PSrm
+    8545573U,	// VCVTDQ2PSrr
+    585362U,	// VCVTPD2DQXrm
+    1076919U,	// VCVTPD2DQYrm
+    8548023U,	// VCVTPD2DQYrr
+    1083076U,	// VCVTPD2DQZrm
+    8537796U,	// VCVTPD2DQZrr
+    1050308U,	// VCVTPD2DQZrrb
+    8543958U,	// VCVTPD2DQrr
+    585374U,	// VCVTPD2PSXrm
+    1076931U,	// VCVTPD2PSYrm
+    8548035U,	// VCVTPD2PSYrr
+    1083805U,	// VCVTPD2PSZrm
+    8538525U,	// VCVTPD2PSZrr
+    1051037U,	// VCVTPD2PSZrrb
+    8545529U,	// VCVTPD2PSrr
+    1083271U,	// VCVTPD2UDQZrm
+    8537991U,	// VCVTPD2UDQZrr
+    1050503U,	// VCVTPD2UDQZrrb
+    582916U,	// VCVTPH2PSYrm
+    8545540U,	// VCVTPH2PSYrr
+    1074436U,	// VCVTPH2PSZrm
+    8545540U,	// VCVTPH2PSZrr
+    599300U,	// VCVTPH2PSrm
+    8545540U,	// VCVTPH2PSrr
+    1072886U,	// VCVTPS2DQYrm
+    8543990U,	// VCVTPS2DQYrr
+    1083101U,	// VCVTPS2DQZrm
+    8537821U,	// VCVTPS2DQZrr
+    1050333U,	// VCVTPS2DQZrrb
+    581366U,	// VCVTPS2DQrm
+    8543990U,	// VCVTPS2DQrr
+    578265U,	// VCVTPS2PDYrm
+    8540889U,	// VCVTPS2PDYrr
+    1065495U,	// VCVTPS2PDZrm
+    8536599U,	// VCVTPS2PDZrr
+    594649U,	// VCVTPS2PDrm
+    8540889U,	// VCVTPS2PDrr
+    1661278254U,	// VCVTPS2PHYmr
+    811653166U,	// VCVTPS2PHYrr
+    1929708952U,	// VCVTPS2PHZmr
+    811648408U,	// VCVTPS2PHZrr
+    2198149166U,	// VCVTPS2PHmr
+    811653166U,	// VCVTPS2PHrr
+    1083298U,	// VCVTPS2UDQZrm
+    8538018U,	// VCVTPS2UDQZrr
+    1050530U,	// VCVTPS2UDQZrrb
+    591281U,	// VCVTSD2SI64Zrm
+    8537521U,	// VCVTSD2SI64Zrr
+    596173U,	// VCVTSD2SI64rm
+    8542413U,	// VCVTSD2SI64rr
+    591281U,	// VCVTSD2SIZrm
+    8537521U,	// VCVTSD2SIZrr
+    596173U,	// VCVTSD2SIrm
+    8542413U,	// VCVTSD2SIrr
+    283322372U,	// VCVTSD2SSZrm
+    811657220U,	// VCVTSD2SSZrr
+    283322372U,	// VCVTSD2SSrm
+    811657220U,	// VCVTSD2SSrr
+    591332U,	// VCVTSD2USI64Zrm
+    8537572U,	// VCVTSD2USI64Zrr
+    591332U,	// VCVTSD2USIZrm
+    8537572U,	// VCVTSD2USIZrr
+    283221938U,	// VCVTSI2SD64rm
+    811655090U,	// VCVTSI2SD64rr
+    283198988U,	// VCVTSI2SDZrm
+    811648524U,	// VCVTSI2SDZrr
+    283204005U,	// VCVTSI2SDrm
+    811653541U,	// VCVTSI2SDrr
+    283222544U,	// VCVTSI2SS64rm
+    811655696U,	// VCVTSI2SS64rr
+    283199015U,	// VCVTSI2SSZrm
+    811648551U,	// VCVTSI2SSZrr
+    283204653U,	// VCVTSI2SSrm
+    811654189U,	// VCVTSI2SSrr
+    283215710U,	// VCVTSI642SDZrm
+    811648862U,	// VCVTSI642SDZrr
+    283216015U,	// VCVTSI642SSZrm
+    811649167U,	// VCVTSI642SSZrr
+    283333992U,	// VCVTSS2SDZrm
+    811652456U,	// VCVTSS2SDZrr
+    283333992U,	// VCVTSS2SDrm
+    811652456U,	// VCVTSS2SDrr
+    607690U,	// VCVTSS2SI64Zrm
+    8537546U,	// VCVTSS2SI64Zrr
+    612580U,	// VCVTSS2SI64rm
+    8542436U,	// VCVTSS2SI64rr
+    607690U,	// VCVTSS2SIZrm
+    8537546U,	// VCVTSS2SIZrr
+    612580U,	// VCVTSS2SIrm
+    8542436U,	// VCVTSS2SIrr
+    607743U,	// VCVTSS2USI64Zrm
+    8537599U,	// VCVTSS2USI64Zrr
+    607743U,	// VCVTSS2USIZrm
+    8537599U,	// VCVTSS2USIZrr
+    585349U,	// VCVTTPD2DQXrm
+    1076906U,	// VCVTTPD2DQYrm
+    8548010U,	// VCVTTPD2DQYrr
+    1083063U,	// VCVTTPD2DQZrm
+    8537783U,	// VCVTTPD2DQZrr
+    8543946U,	// VCVTTPD2DQrr
+    1083257U,	// VCVTTPD2UDQZrm
+    8537977U,	// VCVTTPD2UDQZrr
+    1072874U,	// VCVTTPS2DQYrm
+    8543978U,	// VCVTTPS2DQYrr
+    1083088U,	// VCVTTPS2DQZrm
+    8537808U,	// VCVTTPS2DQZrr
+    581354U,	// VCVTTPS2DQrm
+    8543978U,	// VCVTTPS2DQrr
+    1083284U,	// VCVTTPS2UDQZrm
+    8538004U,	// VCVTTPS2UDQZrr
+    591268U,	// VCVTTSD2SI64Zrm
+    8537508U,	// VCVTTSD2SI64Zrr
+    596161U,	// VCVTTSD2SI64rm
+    8542401U,	// VCVTTSD2SI64rr
+    591268U,	// VCVTTSD2SIZrm
+    8537508U,	// VCVTTSD2SIZrr
+    596161U,	// VCVTTSD2SIrm
+    8542401U,	// VCVTTSD2SIrr
+    591318U,	// VCVTTSD2USI64Zrm
+    8537558U,	// VCVTTSD2USI64Zrr
+    591318U,	// VCVTTSD2USIZrm
+    8537558U,	// VCVTTSD2USIZrr
+    607677U,	// VCVTTSS2SI64Zrm
+    8537533U,	// VCVTTSS2SI64Zrr
+    612568U,	// VCVTTSS2SI64rm
+    8542424U,	// VCVTTSS2SI64rr
+    607677U,	// VCVTTSS2SIZrm
+    8537533U,	// VCVTTSS2SIZrr
+    612568U,	// VCVTTSS2SIrm
+    8542424U,	// VCVTTSS2SIrr
+    607729U,	// VCVTTSS2USI64Zrm
+    8537585U,	// VCVTTSS2USI64Zrr
+    607729U,	// VCVTTSS2USIZrm
+    8537585U,	// VCVTTSS2USIZrr
+    1065482U,	// VCVTUDQ2PDZrm
+    8536586U,	// VCVTUDQ2PDZrr
+    1083841U,	// VCVTUDQ2PSZrm
+    8538561U,	// VCVTUDQ2PSZrr
+    1051073U,	// VCVTUDQ2PSZrrb
+    283199001U,	// VCVTUSI2SDZrm
+    811648537U,	// VCVTUSI2SDZrr
+    283199028U,	// VCVTUSI2SSZrm
+    811648564U,	// VCVTUSI2SSZrr
+    283215723U,	// VCVTUSI642SDZrm
+    811648875U,	// VCVTUSI642SDZrr
+    283216028U,	// VCVTUSI642SSZrm
+    811649180U,	// VCVTUSI642SSZrr
+    812520623U,	// VDIVPDYrm
+    811652271U,	// VDIVPDYrr
+    812532700U,	// VDIVPDZrm
+    350421980U,	// VDIVPDZrmb
+    1424917468U,	// VDIVPDZrmbk
+    1424917468U,	// VDIVPDZrmbkz
+    839832751U,	// VDIVPDZrmk
+    839832751U,	// VDIVPDZrmkz
+    811647964U,	// VDIVPDZrr
+    839222236U,	// VDIVPDZrrk
+    839222236U,	// VDIVPDZrrkz
+    811783343U,	// VDIVPDrm
+    811652271U,	// VDIVPDrr
+    812525393U,	// VDIVPSYrm
+    811657041U,	// VDIVPSYrr
+    812534663U,	// VDIVPSZrm
+    352537479U,	// VDIVPSZrmb
+    1427049351U,	// VDIVPSZrmbk
+    1427049351U,	// VDIVPSZrmbkz
+    839837521U,	// VDIVPSZrmk
+    839837521U,	// VDIVPSZrmkz
+    811649927U,	// VDIVPSZrr
+    839224199U,	// VDIVPSZrrk
+    839224199U,	// VDIVPSZrrkz
+    811788113U,	// VDIVPSrm
+    811657041U,	// VDIVPSrr
+    283317833U,	// VDIVSDZrm
+    811652681U,	// VDIVSDZrr
+    283317833U,	// VDIVSDrm
+    283317833U,	// VDIVSDrm_Int
+    811652681U,	// VDIVSDrr
+    811652681U,	// VDIVSDrr_Int
+    283338982U,	// VDIVSSZrm
+    811657446U,	// VDIVSSZrr
+    283338982U,	// VDIVSSrm
+    283338982U,	// VDIVSSrm_Int
+    811657446U,	// VDIVSSrr
+    811657446U,	// VDIVSSrr_Int
+    300242005U,	// VDPPDrmi
+    839226453U,	// VDPPDrri
+    103114430U,	// VDPPSYrmi
+    839231166U,	// VDPPSYrri
+    300246718U,	// VDPPSrmi
+    839231166U,	// VDPPSrri
+    271302U,	// VERRm
+    25542U,	// VERRr
+    273544U,	// VERWm
+    27784U,	// VERWr
+    1661275661U,	// VEXTRACTF128mr
+    811650573U,	// VEXTRACTF128rr
+    1661275522U,	// VEXTRACTF32x4mr
+    811650434U,	// VEXTRACTF32x4rr
+    1929711036U,	// VEXTRACTF64x4mr
+    811650492U,	// VEXTRACTF64x4rr
+    2466582084U,	// VEXTRACTI128mr
+    811650628U,	// VEXTRACTI128rr
+    2466581919U,	// VEXTRACTI32x4mr
+    811650463U,	// VEXTRACTI32x4rr
+    2735017433U,	// VEXTRACTI64x4mr
+    811650521U,	// VEXTRACTI64x4rr
+    3271894760U,	// VEXTRACTPSmr
+    811656936U,	// VEXTRACTPSrr
+    3271894760U,	// VEXTRACTPSzmr
+    811656936U,	// VEXTRACTPSzrr
+    839827925U,	// VFMADD132PDZm
+    1424916949U,	// VFMADD132PDZmb
+    839829888U,	// VFMADD132PSZm
+    1427048832U,	// VFMADD132PSZmb
+    839828078U,	// VFMADD213PDZm
+    1424917102U,	// VFMADD213PDZmb
+    839221870U,	// VFMADD213PDZr
+    839830041U,	// VFMADD213PSZm
+    1427048985U,	// VFMADD213PSZmb
+    839223833U,	// VFMADD213PSZr
+    300241823U,	// VFMADDPD4mr
+    90526623U,	// VFMADDPD4mrY
+    839963551U,	// VFMADDPD4rm
+    839979935U,	// VFMADDPD4rmY
+    839226271U,	// VFMADDPD4rr
+    839226271U,	// VFMADDPD4rrY
+    839226271U,	// VFMADDPD4rrY_REV
+    839226271U,	// VFMADDPD4rr_REV
+    839963293U,	// VFMADDPDr132m
+    839979677U,	// VFMADDPDr132mY
+    839226013U,	// VFMADDPDr132r
+    839226013U,	// VFMADDPDr132rY
+    839963423U,	// VFMADDPDr213m
+    839979807U,	// VFMADDPDr213mY
+    839226143U,	// VFMADDPDr213r
+    839226143U,	// VFMADDPDr213rY
+    839963207U,	// VFMADDPDr231m
+    839979591U,	// VFMADDPDr231mY
+    839225927U,	// VFMADDPDr231r
+    839225927U,	// VFMADDPDr231rY
+    300246499U,	// VFMADDPS4mr
+    90531299U,	// VFMADDPS4mrY
+    839968227U,	// VFMADDPS4rm
+    839984611U,	// VFMADDPS4rmY
+    839230947U,	// VFMADDPS4rr
+    839230947U,	// VFMADDPS4rrY
+    839230947U,	// VFMADDPS4rrY_REV
+    839230947U,	// VFMADDPS4rr_REV
+    839967966U,	// VFMADDPSr132m
+    839984350U,	// VFMADDPSr132mY
+    839230686U,	// VFMADDPSr132r
+    839230686U,	// VFMADDPSr132rY
+    839968107U,	// VFMADDPSr213m
+    839984491U,	// VFMADDPSr213mY
+    839230827U,	// VFMADDPSr213r
+    839230827U,	// VFMADDPSr213rY
+    839967880U,	// VFMADDPSr231m
+    839984264U,	// VFMADDPSr231mY
+    839230600U,	// VFMADDPSr231r
+    839230600U,	// VFMADDPSr231rY
+    312825294U,	// VFMADDSD4mr
+    312825294U,	// VFMADDSD4mr_Int
+    1357813198U,	// VFMADDSD4rm
+    1357813198U,	// VFMADDSD4rm_Int
+    839226830U,	// VFMADDSD4rr
+    839226830U,	// VFMADDSD4rr_Int
+    839226830U,	// VFMADDSD4rr_REV
+    839959675U,	// VFMADDSDZm
+    839222395U,	// VFMADDSDZr
+    1357813069U,	// VFMADDSDr132m
+    839226701U,	// VFMADDSDr132r
+    1357813134U,	// VFMADDSDr213m
+    839226766U,	// VFMADDSDr213r
+    1357813015U,	// VFMADDSDr231m
+    839226647U,	// VFMADDSDr231r
+    317024354U,	// VFMADDSS4mr
+    317024354U,	// VFMADDSS4mr_Int
+    1357850722U,	// VFMADDSS4rm
+    1357850722U,	// VFMADDSS4rm_Int
+    839231586U,	// VFMADDSS4rr
+    839231586U,	// VFMADDSS4rr_Int
+    839231586U,	// VFMADDSS4rr_REV
+    839961526U,	// VFMADDSSZm
+    839224246U,	// VFMADDSSZr
+    1357850601U,	// VFMADDSSr132m
+    839231465U,	// VFMADDSSr132r
+    1357850666U,	// VFMADDSSr213m
+    839231530U,	// VFMADDSSr213r
+    1357850547U,	// VFMADDSSr231m
+    839231411U,	// VFMADDSSr231r
+    839827862U,	// VFMADDSUB132PDZm
+    1424916886U,	// VFMADDSUB132PDZmb
+    839829825U,	// VFMADDSUB132PSZm
+    1427048769U,	// VFMADDSUB132PSZmb
+    839828015U,	// VFMADDSUB213PDZm
+    1424917039U,	// VFMADDSUB213PDZmb
+    839221807U,	// VFMADDSUB213PDZr
+    839829978U,	// VFMADDSUB213PSZm
+    1427048922U,	// VFMADDSUB213PSZmb
+    839223770U,	// VFMADDSUB213PSZr
+    300241739U,	// VFMADDSUBPD4mr
+    90526539U,	// VFMADDSUBPD4mrY
+    839963467U,	// VFMADDSUBPD4rm
+    839979851U,	// VFMADDSUBPD4rmY
+    839226187U,	// VFMADDSUBPD4rr
+    839226187U,	// VFMADDSUBPD4rrY
+    839226187U,	// VFMADDSUBPD4rrY_REV
+    839226187U,	// VFMADDSUBPD4rr_REV
+    839963234U,	// VFMADDSUBPDr132m
+    839979618U,	// VFMADDSUBPDr132mY
+    839225954U,	// VFMADDSUBPDr132r
+    839225954U,	// VFMADDSUBPDr132rY
+    839963364U,	// VFMADDSUBPDr213m
+    839979748U,	// VFMADDSUBPDr213mY
+    839226084U,	// VFMADDSUBPDr213r
+    839226084U,	// VFMADDSUBPDr213rY
+    839963148U,	// VFMADDSUBPDr231m
+    839979532U,	// VFMADDSUBPDr231mY
+    839225868U,	// VFMADDSUBPDr231r
+    839225868U,	// VFMADDSUBPDr231rY
+    300246415U,	// VFMADDSUBPS4mr
+    90531215U,	// VFMADDSUBPS4mrY
+    839968143U,	// VFMADDSUBPS4rm
+    839984527U,	// VFMADDSUBPS4rmY
+    839230863U,	// VFMADDSUBPS4rr
+    839230863U,	// VFMADDSUBPS4rrY
+    839230863U,	// VFMADDSUBPS4rrY_REV
+    839230863U,	// VFMADDSUBPS4rr_REV
+    839967907U,	// VFMADDSUBPSr132m
+    839984291U,	// VFMADDSUBPSr132mY
+    839230627U,	// VFMADDSUBPSr132r
+    839230627U,	// VFMADDSUBPSr132rY
+    839968048U,	// VFMADDSUBPSr213m
+    839984432U,	// VFMADDSUBPSr213mY
+    839230768U,	// VFMADDSUBPSr213r
+    839230768U,	// VFMADDSUBPSr213rY
+    839967821U,	// VFMADDSUBPSr231m
+    839984205U,	// VFMADDSUBPSr231mY
+    839230541U,	// VFMADDSUBPSr231r
+    839230541U,	// VFMADDSUBPSr231rY
+    839827879U,	// VFMSUB132PDZm
+    1424916903U,	// VFMSUB132PDZmb
+    839829842U,	// VFMSUB132PSZm
+    1427048786U,	// VFMSUB132PSZmb
+    839828032U,	// VFMSUB213PDZm
+    1424917056U,	// VFMSUB213PDZmb
+    839221824U,	// VFMSUB213PDZr
+    839829995U,	// VFMSUB213PSZm
+    1427048939U,	// VFMSUB213PSZmb
+    839223787U,	// VFMSUB213PSZr
+    839827908U,	// VFMSUBADD132PDZm
+    1424916932U,	// VFMSUBADD132PDZmb
+    839829871U,	// VFMSUBADD132PSZm
+    1427048815U,	// VFMSUBADD132PSZmb
+    839828061U,	// VFMSUBADD213PDZm
+    1424917085U,	// VFMSUBADD213PDZmb
+    839221853U,	// VFMSUBADD213PDZr
+    839830024U,	// VFMSUBADD213PSZm
+    1427048968U,	// VFMSUBADD213PSZmb
+    839223816U,	// VFMSUBADD213PSZr
+    300241801U,	// VFMSUBADDPD4mr
+    90526601U,	// VFMSUBADDPD4mrY
+    839963529U,	// VFMSUBADDPD4rm
+    839979913U,	// VFMSUBADDPD4rmY
+    839226249U,	// VFMSUBADDPD4rr
+    839226249U,	// VFMSUBADDPD4rrY
+    839226249U,	// VFMSUBADDPD4rrY_REV
+    839226249U,	// VFMSUBADDPD4rr_REV
+    839963277U,	// VFMSUBADDPDr132m
+    839979661U,	// VFMSUBADDPDr132mY
+    839225997U,	// VFMSUBADDPDr132r
+    839225997U,	// VFMSUBADDPDr132rY
+    839963407U,	// VFMSUBADDPDr213m
+    839979791U,	// VFMSUBADDPDr213mY
+    839226127U,	// VFMSUBADDPDr213r
+    839226127U,	// VFMSUBADDPDr213rY
+    839963191U,	// VFMSUBADDPDr231m
+    839979575U,	// VFMSUBADDPDr231mY
+    839225911U,	// VFMSUBADDPDr231r
+    839225911U,	// VFMSUBADDPDr231rY
+    300246477U,	// VFMSUBADDPS4mr
+    90531277U,	// VFMSUBADDPS4mrY
+    839968205U,	// VFMSUBADDPS4rm
+    839984589U,	// VFMSUBADDPS4rmY
+    839230925U,	// VFMSUBADDPS4rr
+    839230925U,	// VFMSUBADDPS4rrY
+    839230925U,	// VFMSUBADDPS4rrY_REV
+    839230925U,	// VFMSUBADDPS4rr_REV
+    839967950U,	// VFMSUBADDPSr132m
+    839984334U,	// VFMSUBADDPSr132mY
+    839230670U,	// VFMSUBADDPSr132r
+    839230670U,	// VFMSUBADDPSr132rY
+    839968091U,	// VFMSUBADDPSr213m
+    839984475U,	// VFMSUBADDPSr213mY
+    839230811U,	// VFMSUBADDPSr213r
+    839230811U,	// VFMSUBADDPSr213rY
+    839967864U,	// VFMSUBADDPSr231m
+    839984248U,	// VFMSUBADDPSr231mY
+    839230584U,	// VFMSUBADDPSr231r
+    839230584U,	// VFMSUBADDPSr231rY
+    300241772U,	// VFMSUBPD4mr
+    90526572U,	// VFMSUBPD4mrY
+    839963500U,	// VFMSUBPD4rm
+    839979884U,	// VFMSUBPD4rmY
+    839226220U,	// VFMSUBPD4rr
+    839226220U,	// VFMSUBPD4rrY
+    839226220U,	// VFMSUBPD4rrY_REV
+    839226220U,	// VFMSUBPD4rr_REV
+    839963250U,	// VFMSUBPDr132m
+    839979634U,	// VFMSUBPDr132mY
+    839225970U,	// VFMSUBPDr132r
+    839225970U,	// VFMSUBPDr132rY
+    839963380U,	// VFMSUBPDr213m
+    839979764U,	// VFMSUBPDr213mY
+    839226100U,	// VFMSUBPDr213r
+    839226100U,	// VFMSUBPDr213rY
+    839963164U,	// VFMSUBPDr231m
+    839979548U,	// VFMSUBPDr231mY
+    839225884U,	// VFMSUBPDr231r
+    839225884U,	// VFMSUBPDr231rY
+    300246448U,	// VFMSUBPS4mr
+    90531248U,	// VFMSUBPS4mrY
+    839968176U,	// VFMSUBPS4rm
+    839984560U,	// VFMSUBPS4rmY
+    839230896U,	// VFMSUBPS4rr
+    839230896U,	// VFMSUBPS4rrY
+    839230896U,	// VFMSUBPS4rrY_REV
+    839230896U,	// VFMSUBPS4rr_REV
+    839967923U,	// VFMSUBPSr132m
+    839984307U,	// VFMSUBPSr132mY
+    839230643U,	// VFMSUBPSr132r
+    839230643U,	// VFMSUBPSr132rY
+    839968064U,	// VFMSUBPSr213m
+    839984448U,	// VFMSUBPSr213mY
+    839230784U,	// VFMSUBPSr213r
+    839230784U,	// VFMSUBPSr213rY
+    839967837U,	// VFMSUBPSr231m
+    839984221U,	// VFMSUBPSr231mY
+    839230557U,	// VFMSUBPSr231r
+    839230557U,	// VFMSUBPSr231rY
+    312825265U,	// VFMSUBSD4mr
+    312825265U,	// VFMSUBSD4mr_Int
+    1357813169U,	// VFMSUBSD4rm
+    1357813169U,	// VFMSUBSD4rm_Int
+    839226801U,	// VFMSUBSD4rr
+    839226801U,	// VFMSUBSD4rr_Int
+    839226801U,	// VFMSUBSD4rr_REV
+    839959646U,	// VFMSUBSDZm
+    839222366U,	// VFMSUBSDZr
+    1357813042U,	// VFMSUBSDr132m
+    839226674U,	// VFMSUBSDr132r
+    1357813107U,	// VFMSUBSDr213m
+    839226739U,	// VFMSUBSDr213r
+    1357812988U,	// VFMSUBSDr231m
+    839226620U,	// VFMSUBSDr231r
+    317024325U,	// VFMSUBSS4mr
+    317024325U,	// VFMSUBSS4mr_Int
+    1357850693U,	// VFMSUBSS4rm
+    1357850693U,	// VFMSUBSS4rm_Int
+    839231557U,	// VFMSUBSS4rr
+    839231557U,	// VFMSUBSS4rr_Int
+    839231557U,	// VFMSUBSS4rr_REV
+    839961497U,	// VFMSUBSSZm
+    839224217U,	// VFMSUBSSZr
+    1357850574U,	// VFMSUBSSr132m
+    839231438U,	// VFMSUBSSr132r
+    1357850639U,	// VFMSUBSSr213m
+    839231503U,	// VFMSUBSSr213r
+    1357850520U,	// VFMSUBSSr231m
+    839231384U,	// VFMSUBSSr231r
+    839827939U,	// VFNMADD132PDZm
+    1424916963U,	// VFNMADD132PDZmb
+    839829902U,	// VFNMADD132PSZm
+    1427048846U,	// VFNMADD132PSZmb
+    839828092U,	// VFNMADD213PDZm
+    1424917116U,	// VFNMADD213PDZmb
+    839221884U,	// VFNMADD213PDZr
+    839830055U,	// VFNMADD213PSZm
+    1427048999U,	// VFNMADD213PSZmb
+    839223847U,	// VFNMADD213PSZr
+    300241833U,	// VFNMADDPD4mr
+    90526633U,	// VFNMADDPD4mrY
+    839963561U,	// VFNMADDPD4rm
+    839979945U,	// VFNMADDPD4rmY
+    839226281U,	// VFNMADDPD4rr
+    839226281U,	// VFNMADDPD4rrY
+    839226281U,	// VFNMADDPD4rrY_REV
+    839226281U,	// VFNMADDPD4rr_REV
+    839963306U,	// VFNMADDPDr132m
+    839979690U,	// VFNMADDPDr132mY
+    839226026U,	// VFNMADDPDr132r
+    839226026U,	// VFNMADDPDr132rY
+    839963436U,	// VFNMADDPDr213m
+    839979820U,	// VFNMADDPDr213mY
+    839226156U,	// VFNMADDPDr213r
+    839226156U,	// VFNMADDPDr213rY
+    839963220U,	// VFNMADDPDr231m
+    839979604U,	// VFNMADDPDr231mY
+    839225940U,	// VFNMADDPDr231r
+    839225940U,	// VFNMADDPDr231rY
+    300246509U,	// VFNMADDPS4mr
+    90531309U,	// VFNMADDPS4mrY
+    839968237U,	// VFNMADDPS4rm
+    839984621U,	// VFNMADDPS4rmY
+    839230957U,	// VFNMADDPS4rr
+    839230957U,	// VFNMADDPS4rrY
+    839230957U,	// VFNMADDPS4rrY_REV
+    839230957U,	// VFNMADDPS4rr_REV
+    839967979U,	// VFNMADDPSr132m
+    839984363U,	// VFNMADDPSr132mY
+    839230699U,	// VFNMADDPSr132r
+    839230699U,	// VFNMADDPSr132rY
+    839968120U,	// VFNMADDPSr213m
+    839984504U,	// VFNMADDPSr213mY
+    839230840U,	// VFNMADDPSr213r
+    839230840U,	// VFNMADDPSr213rY
+    839967893U,	// VFNMADDPSr231m
+    839984277U,	// VFNMADDPSr231mY
+    839230613U,	// VFNMADDPSr231r
+    839230613U,	// VFNMADDPSr231rY
+    312825304U,	// VFNMADDSD4mr
+    312825304U,	// VFNMADDSD4mr_Int
+    1357813208U,	// VFNMADDSD4rm
+    1357813208U,	// VFNMADDSD4rm_Int
+    839226840U,	// VFNMADDSD4rr
+    839226840U,	// VFNMADDSD4rr_Int
+    839226840U,	// VFNMADDSD4rr_REV
+    839959689U,	// VFNMADDSDZm
+    839222409U,	// VFNMADDSDZr
+    1357813082U,	// VFNMADDSDr132m
+    839226714U,	// VFNMADDSDr132r
+    1357813147U,	// VFNMADDSDr213m
+    839226779U,	// VFNMADDSDr213r
+    1357813028U,	// VFNMADDSDr231m
+    839226660U,	// VFNMADDSDr231r
+    317024364U,	// VFNMADDSS4mr
+    317024364U,	// VFNMADDSS4mr_Int
+    1357850732U,	// VFNMADDSS4rm
+    1357850732U,	// VFNMADDSS4rm_Int
+    839231596U,	// VFNMADDSS4rr
+    839231596U,	// VFNMADDSS4rr_Int
+    839231596U,	// VFNMADDSS4rr_REV
+    839961540U,	// VFNMADDSSZm
+    839224260U,	// VFNMADDSSZr
+    1357850614U,	// VFNMADDSSr132m
+    839231478U,	// VFNMADDSSr132r
+    1357850679U,	// VFNMADDSSr213m
+    839231543U,	// VFNMADDSSr213r
+    1357850560U,	// VFNMADDSSr231m
+    839231424U,	// VFNMADDSSr231r
+    839827893U,	// VFNMSUB132PDZm
+    1424916917U,	// VFNMSUB132PDZmb
+    839829856U,	// VFNMSUB132PSZm
+    1427048800U,	// VFNMSUB132PSZmb
+    839828046U,	// VFNMSUB213PDZm
+    1424917070U,	// VFNMSUB213PDZmb
+    839221838U,	// VFNMSUB213PDZr
+    839830009U,	// VFNMSUB213PSZm
+    1427048953U,	// VFNMSUB213PSZmb
+    839223801U,	// VFNMSUB213PSZr
+    300241782U,	// VFNMSUBPD4mr
+    90526582U,	// VFNMSUBPD4mrY
+    839963510U,	// VFNMSUBPD4rm
+    839979894U,	// VFNMSUBPD4rmY
+    839226230U,	// VFNMSUBPD4rr
+    839226230U,	// VFNMSUBPD4rrY
+    839226230U,	// VFNMSUBPD4rrY_REV
+    839226230U,	// VFNMSUBPD4rr_REV
+    839963263U,	// VFNMSUBPDr132m
+    839979647U,	// VFNMSUBPDr132mY
+    839225983U,	// VFNMSUBPDr132r
+    839225983U,	// VFNMSUBPDr132rY
+    839963393U,	// VFNMSUBPDr213m
+    839979777U,	// VFNMSUBPDr213mY
+    839226113U,	// VFNMSUBPDr213r
+    839226113U,	// VFNMSUBPDr213rY
+    839963177U,	// VFNMSUBPDr231m
+    839979561U,	// VFNMSUBPDr231mY
+    839225897U,	// VFNMSUBPDr231r
+    839225897U,	// VFNMSUBPDr231rY
+    300246458U,	// VFNMSUBPS4mr
+    90531258U,	// VFNMSUBPS4mrY
+    839968186U,	// VFNMSUBPS4rm
+    839984570U,	// VFNMSUBPS4rmY
+    839230906U,	// VFNMSUBPS4rr
+    839230906U,	// VFNMSUBPS4rrY
+    839230906U,	// VFNMSUBPS4rrY_REV
+    839230906U,	// VFNMSUBPS4rr_REV
+    839967936U,	// VFNMSUBPSr132m
+    839984320U,	// VFNMSUBPSr132mY
+    839230656U,	// VFNMSUBPSr132r
+    839230656U,	// VFNMSUBPSr132rY
+    839968077U,	// VFNMSUBPSr213m
+    839984461U,	// VFNMSUBPSr213mY
+    839230797U,	// VFNMSUBPSr213r
+    839230797U,	// VFNMSUBPSr213rY
+    839967850U,	// VFNMSUBPSr231m
+    839984234U,	// VFNMSUBPSr231mY
+    839230570U,	// VFNMSUBPSr231r
+    839230570U,	// VFNMSUBPSr231rY
+    312825275U,	// VFNMSUBSD4mr
+    312825275U,	// VFNMSUBSD4mr_Int
+    1357813179U,	// VFNMSUBSD4rm
+    1357813179U,	// VFNMSUBSD4rm_Int
+    839226811U,	// VFNMSUBSD4rr
+    839226811U,	// VFNMSUBSD4rr_Int
+    839226811U,	// VFNMSUBSD4rr_REV
+    839959660U,	// VFNMSUBSDZm
+    839222380U,	// VFNMSUBSDZr
+    1357813055U,	// VFNMSUBSDr132m
+    839226687U,	// VFNMSUBSDr132r
+    1357813120U,	// VFNMSUBSDr213m
+    839226752U,	// VFNMSUBSDr213r
+    1357813001U,	// VFNMSUBSDr231m
+    839226633U,	// VFNMSUBSDr231r
+    317024335U,	// VFNMSUBSS4mr
+    317024335U,	// VFNMSUBSS4mr_Int
+    1357850703U,	// VFNMSUBSS4rm
+    1357850703U,	// VFNMSUBSS4rm_Int
+    839231567U,	// VFNMSUBSS4rr
+    839231567U,	// VFNMSUBSS4rr_Int
+    839231567U,	// VFNMSUBSS4rr_REV
+    839961511U,	// VFNMSUBSSZm
+    839224231U,	// VFNMSUBSSZr
+    1357850587U,	// VFNMSUBSSr132m
+    839231451U,	// VFNMSUBSSr132r
+    1357850652U,	// VFNMSUBSSr213m
+    839231516U,	// VFNMSUBSSr213r
+    1357850533U,	// VFNMSUBSSr231m
+    839231397U,	// VFNMSUBSSr231r
+    578763U,	// VFRCZPDrm
+    1070283U,	// VFRCZPDrmY
+    8541387U,	// VFRCZPDrr
+    8541387U,	// VFRCZPDrrY
+    583533U,	// VFRCZPSrm
+    1075053U,	// VFRCZPSrmY
+    8546157U,	// VFRCZPSrr
+    8546157U,	// VFRCZPSrrY
+    595562U,	// VFRCZSDrm
+    8541802U,	// VFRCZSDrr
+    616702U,	// VFRCZSSrm
+    8546558U,	// VFRCZSSrr
+    811783236U,	// VFsANDNPDrm
+    811652164U,	// VFsANDNPDrr
+    811787941U,	// VFsANDNPSrm
+    811656869U,	// VFsANDNPSrr
+    811783100U,	// VFsANDPDrm
+    811652028U,	// VFsANDPDrr
+    811787776U,	// VFsANDPSrm
+    811656704U,	// VFsANDPSrr
+    811783280U,	// VFsORPDrm
+    811652208U,	// VFsORPDrr
+    811787993U,	// VFsORPSrm
+    811656921U,	// VFsORPSrr
+    811783287U,	// VFsXORPDrm
+    811652215U,	// VFsXORPDrr
+    811788000U,	// VFsXORPSrm
+    811656928U,	// VFsXORPSrr
+    105993176U,	// VGATHERDPDYrm
+    552698639U,	// VGATHERDPDZrm
+    105993176U,	// VGATHERDPDrm
+    108095004U,	// VGATHERDPSYrm
+    552716986U,	// VGATHERDPSZrm
+    108095004U,	// VGATHERDPSrm
+    109183684U,	// VGATHERPF0DPDm
+    109185647U,	// VGATHERPF0DPSm
+    109216639U,	// VGATHERPF0QPDm
+    109218602U,	// VGATHERPF0QPSm
+    109183717U,	// VGATHERPF1DPDm
+    109185680U,	// VGATHERPF1DPSm
+    109216672U,	// VGATHERPF1QPDm
+    109218635U,	// VGATHERPF1QPSm
+    105993316U,	// VGATHERQPDYrm
+    552698817U,	// VGATHERQPDZrm
+    105993316U,	// VGATHERQPDrm
+    108095181U,	// VGATHERQPSYrm
+    552700780U,	// VGATHERQPSZrm
+    108095181U,	// VGATHERQPSrm
+    812520342U,	// VHADDPDYrm
+    811651990U,	// VHADDPDYrr
+    811783062U,	// VHADDPDrm
+    811651990U,	// VHADDPDrr
+    812525018U,	// VHADDPSYrm
+    811656666U,	// VHADDPSYrr
+    811787738U,	// VHADDPSrm
+    811656666U,	// VHADDPSrr
+    812520291U,	// VHSUBPDYrm
+    811651939U,	// VHSUBPDYrr
+    811783011U,	// VHSUBPDrm
+    811651939U,	// VHSUBPDrr
+    812524967U,	// VHSUBPSYrm
+    811656615U,	// VHSUBPSYrr
+    811787687U,	// VHSUBPSrm
+    811656615U,	// VHSUBPSrr
+    300240411U,	// VINSERTF128rm
+    839224859U,	// VINSERTF128rr
+    300240273U,	// VINSERTF32x4rm
+    839224721U,	// VINSERTF32x4rr
+    103108043U,	// VINSERTF64x4rm
+    839224779U,	// VINSERTF64x4rr
+    342183506U,	// VINSERTI128rm
+    839224914U,	// VINSERTI128rr
+    342183342U,	// VINSERTI32x4rm
+    839224750U,	// VINSERTI32x4rr
+    103108072U,	// VINSERTI64x4rm
+    839224808U,	// VINSERTI64x4rr
+    317023998U,	// VINSERTPSrm
+    839231230U,	// VINSERTPSrr
+    317023998U,	// VINSERTPSzrm
+    839231230U,	// VINSERTPSzrr
+    1026482U,	// VLDDQUYrm
+    321970U,	// VLDDQUrm
+    287692U,	// VLDMXCSR
+    8546746U,	// VMASKMOVDQU
+    8546746U,	// VMASKMOVDQU64
+    1929712823U,	// VMASKMOVPDYmr
+    812520631U,	// VMASKMOVPDYrm
+    1661277367U,	// VMASKMOVPDmr
+    811783351U,	// VMASKMOVPDrm
+    1929717593U,	// VMASKMOVPSYmr
+    812525401U,	// VMASKMOVPSYrm
+    1661282137U,	// VMASKMOVPSmr
+    811788121U,	// VMASKMOVPSrm
+    812520643U,	// VMAXCPDYrm
+    811652291U,	// VMAXCPDYrr
+    811783363U,	// VMAXCPDrm
+    811652291U,	// VMAXCPDrr
+    812525413U,	// VMAXCPSYrm
+    811657061U,	// VMAXCPSYrr
+    811788133U,	// VMAXCPSrm
+    811657061U,	// VMAXCPSrr
+    283317858U,	// VMAXCSDrm
+    811652706U,	// VMAXCSDrr
+    283338998U,	// VMAXCSSrm
+    811657462U,	// VMAXCSSrr
+    812520643U,	// VMAXPDYrm
+    811652291U,	// VMAXPDYrr
+    812532709U,	// VMAXPDZrm
+    350421989U,	// VMAXPDZrmb
+    1424917477U,	// VMAXPDZrmbk
+    1424917477U,	// VMAXPDZrmbkz
+    839832771U,	// VMAXPDZrmk
+    839832771U,	// VMAXPDZrmkz
+    811647973U,	// VMAXPDZrr
+    839222245U,	// VMAXPDZrrk
+    839222245U,	// VMAXPDZrrkz
+    811783363U,	// VMAXPDrm
+    811652291U,	// VMAXPDrr
+    812525413U,	// VMAXPSYrm
+    811657061U,	// VMAXPSYrr
+    812534672U,	// VMAXPSZrm
+    352537488U,	// VMAXPSZrmb
+    1427049360U,	// VMAXPSZrmbk
+    1427049360U,	// VMAXPSZrmbkz
+    839837541U,	// VMAXPSZrmk
+    839837541U,	// VMAXPSZrmkz
+    811649936U,	// VMAXPSZrr
+    839224208U,	// VMAXPSZrrk
+    839224208U,	// VMAXPSZrrkz
+    811788133U,	// VMAXPSrm
+    811657061U,	// VMAXPSrr
+    283317858U,	// VMAXSDZrm
+    811652706U,	// VMAXSDZrr
+    283317858U,	// VMAXSDrm
+    283317858U,	// VMAXSDrm_Int
+    811652706U,	// VMAXSDrr
+    811652706U,	// VMAXSDrr_Int
+    283338998U,	// VMAXSSZrm
+    811657462U,	// VMAXSSZrr
+    283338998U,	// VMAXSSrm
+    283338998U,	// VMAXSSrm_Int
+    811657462U,	// VMAXSSrr
+    811657462U,	// VMAXSSrr_Int
+    14241U,	// VMCALL
+    402310U,	// VMCLEARm
+    13953U,	// VMFUNC
+    812520525U,	// VMINCPDYrm
+    811652173U,	// VMINCPDYrr
+    811783245U,	// VMINCPDrm
+    811652173U,	// VMINCPDrr
+    812525230U,	// VMINCPSYrm
+    811656878U,	// VMINCPSYrr
+    811787950U,	// VMINCPSrm
+    811656878U,	// VMINCPSrr
+    283317785U,	// VMINCSDrm
+    811652633U,	// VMINCSDrr
+    283338916U,	// VMINCSSrm
+    811657380U,	// VMINCSSrr
+    812520525U,	// VMINPDYrm
+    811652173U,	// VMINPDYrr
+    812532589U,	// VMINPDZrm
+    350421869U,	// VMINPDZrmb
+    1424917357U,	// VMINPDZrmbk
+    1424917357U,	// VMINPDZrmbkz
+    839832653U,	// VMINPDZrmk
+    839832653U,	// VMINPDZrmkz
+    811647853U,	// VMINPDZrr
+    839222125U,	// VMINPDZrrk
+    839222125U,	// VMINPDZrrkz
+    811783245U,	// VMINPDrm
+    811652173U,	// VMINPDrr
+    812525230U,	// VMINPSYrm
+    811656878U,	// VMINPSYrr
+    812534552U,	// VMINPSZrm
+    352537368U,	// VMINPSZrmb
+    1427049240U,	// VMINPSZrmbk
+    1427049240U,	// VMINPSZrmbkz
+    839837358U,	// VMINPSZrmk
+    839837358U,	// VMINPSZrmkz
+    811649816U,	// VMINPSZrr
+    839224088U,	// VMINPSZrrk
+    839224088U,	// VMINPSZrrkz
+    811787950U,	// VMINPSrm
+    811656878U,	// VMINPSrr
+    283317785U,	// VMINSDZrm
+    811652633U,	// VMINSDZrr
+    283317785U,	// VMINSDrm
+    283317785U,	// VMINSDrm_Int
+    811652633U,	// VMINSDrr
+    811652633U,	// VMINSDrr_Int
+    283338916U,	// VMINSSZrm
+    811657380U,	// VMINSSZrr
+    283338916U,	// VMINSSrm
+    283338916U,	// VMINSSrm_Int
+    811657380U,	// VMINSSrr
+    811657380U,	// VMINSSrr_Int
+    14141U,	// VMLAUNCH
+    15097U,	// VMLOAD32
+    15177U,	// VMLOAD64
+    14233U,	// VMMCALL
+    8545036U,	// VMOV64toPQIZrr
+    8545036U,	// VMOV64toPQIrr
+    8545036U,	// VMOV64toSDZrr
+    551723788U,	// VMOV64toSDrm
+    8545036U,	// VMOV64toSDrr
+    111186746U,	// VMOVAPDYmr
+    1069882U,	// VMOVAPDYrm
+    8540986U,	// VMOVAPDYrr
+    8540986U,	// VMOVAPDYrr_REV
+    65049402U,	// VMOVAPDZ128mr
+    870650682U,	// VMOVAPDZ128mrk
+    578362U,	// VMOVAPDZ128rm
+    814797626U,	// VMOVAPDZ128rmk
+    813880122U,	// VMOVAPDZ128rmkz
+    8540986U,	// VMOVAPDZ128rr
+    8540986U,	// VMOVAPDZ128rr_alt
+    814060346U,	// VMOVAPDZ128rrk
+    814060346U,	// VMOVAPDZ128rrk_alt
+    813749050U,	// VMOVAPDZ128rrkz
+    813749050U,	// VMOVAPDZ128rrkz_alt
+    111186746U,	// VMOVAPDZ256mr
+    916788026U,	// VMOVAPDZ256mrk
+    1069882U,	// VMOVAPDZ256rm
+    814814010U,	// VMOVAPDZ256rmk
+    814617402U,	// VMOVAPDZ256rmkz
+    8540986U,	// VMOVAPDZ256rr
+    8540986U,	// VMOVAPDZ256rr_alt
+    814060346U,	// VMOVAPDZ256rrk
+    814060346U,	// VMOVAPDZ256rrk_alt
+    813749050U,	// VMOVAPDZ256rrkz
+    813749050U,	// VMOVAPDZ256rrkz_alt
+    113283898U,	// VMOVAPDZmr
+    918885178U,	// VMOVAPDZmrk
+    1086266U,	// VMOVAPDZrm
+    814666554U,	// VMOVAPDZrmk
+    814633786U,	// VMOVAPDZrmkz
+    8540986U,	// VMOVAPDZrr
+    8540986U,	// VMOVAPDZrr_alt
+    814060346U,	// VMOVAPDZrrk
+    814060346U,	// VMOVAPDZrrk_alt
+    813749050U,	// VMOVAPDZrrkz
+    813749050U,	// VMOVAPDZrrkz_alt
+    65049402U,	// VMOVAPDmr
+    578362U,	// VMOVAPDrm
+    8540986U,	// VMOVAPDrr
+    8540986U,	// VMOVAPDrr_REV
+    111191430U,	// VMOVAPSYmr
+    1074566U,	// VMOVAPSYrm
+    8545670U,	// VMOVAPSYrr
+    8545670U,	// VMOVAPSYrr_REV
+    65054086U,	// VMOVAPSZ128mr
+    870655366U,	// VMOVAPSZ128mrk
+    583046U,	// VMOVAPSZ128rm
+    814802310U,	// VMOVAPSZ128rmk
+    813884806U,	// VMOVAPSZ128rmkz
+    8545670U,	// VMOVAPSZ128rr
+    8545670U,	// VMOVAPSZ128rr_alt
+    814065030U,	// VMOVAPSZ128rrk
+    814065030U,	// VMOVAPSZ128rrk_alt
+    813753734U,	// VMOVAPSZ128rrkz
+    813753734U,	// VMOVAPSZ128rrkz_alt
+    111191430U,	// VMOVAPSZ256mr
+    916792710U,	// VMOVAPSZ256mrk
+    1074566U,	// VMOVAPSZ256rm
+    814818694U,	// VMOVAPSZ256rmk
+    814622086U,	// VMOVAPSZ256rmkz
+    8545670U,	// VMOVAPSZ256rr
+    8545670U,	// VMOVAPSZ256rr_alt
+    814065030U,	// VMOVAPSZ256rrk
+    814065030U,	// VMOVAPSZ256rrk_alt
+    813753734U,	// VMOVAPSZ256rrkz
+    813753734U,	// VMOVAPSZ256rrkz_alt
+    113288582U,	// VMOVAPSZmr
+    918889862U,	// VMOVAPSZmrk
+    1090950U,	// VMOVAPSZrm
+    814671238U,	// VMOVAPSZrmk
+    814638470U,	// VMOVAPSZrmkz
+    8545670U,	// VMOVAPSZrr
+    8545670U,	// VMOVAPSZrr_alt
+    814065030U,	// VMOVAPSZrrk
+    814065030U,	// VMOVAPSZrrk_alt
+    813753734U,	// VMOVAPSZrrkz
+    813753734U,	// VMOVAPSZrrkz_alt
+    65054086U,	// VMOVAPSmr
+    583046U,	// VMOVAPSrm
+    8545670U,	// VMOVAPSrr
+    8545670U,	// VMOVAPSrr_REV
+    1072643U,	// VMOVDDUPYrm
+    8543747U,	// VMOVDDUPYrr
+    1082946U,	// VMOVDDUPZrm
+    8537666U,	// VMOVDDUPZrr
+    597507U,	// VMOVDDUPrm
+    8543747U,	// VMOVDDUPrr
+    551687902U,	// VMOVDI2PDIZrm
+    8541918U,	// VMOVDI2PDIZrr
+    551687902U,	// VMOVDI2PDIrm
+    8541918U,	// VMOVDI2PDIrr
+    551687902U,	// VMOVDI2SSZrm
+    8541918U,	// VMOVDI2SSZrr
+    551687902U,	// VMOVDI2SSrm
+    8541918U,	// VMOVDI2SSrr
+    67144978U,	// VMOVDQA32Z128mr
+    872746258U,	// VMOVDQA32Z128mrk
+    314642U,	// VMOVDQA32Z128rm
+    814877970U,	// VMOVDQA32Z128rmk
+    813993234U,	// VMOVDQA32Z128rmkz
+    8539410U,	// VMOVDQA32Z128rr
+    8539410U,	// VMOVDQA32Z128rr_alt
+    814058770U,	// VMOVDQA32Z128rrk
+    814058770U,	// VMOVDQA32Z128rrk_alt
+    813747474U,	// VMOVDQA32Z128rrkz
+    813747474U,	// VMOVDQA32Z128rrkz_alt
+    115379474U,	// VMOVDQA32Z256mr
+    920980754U,	// VMOVDQA32Z256mrk
+    1019154U,	// VMOVDQA32Z256rm
+    814894354U,	// VMOVDQA32Z256rmk
+    814697746U,	// VMOVDQA32Z256rmkz
+    8539410U,	// VMOVDQA32Z256rr
+    8539410U,	// VMOVDQA32Z256rr_alt
+    814058770U,	// VMOVDQA32Z256rrk
+    814058770U,	// VMOVDQA32Z256rrk_alt
+    813747474U,	// VMOVDQA32Z256rrkz
+    813747474U,	// VMOVDQA32Z256rrkz_alt
+    117476626U,	// VMOVDQA32Zmr
+    923077906U,	// VMOVDQA32Zmrk
+    1035538U,	// VMOVDQA32Zrm
+    814910738U,	// VMOVDQA32Zrmk
+    814927122U,	// VMOVDQA32Zrmkz
+    8539410U,	// VMOVDQA32Zrr
+    8539410U,	// VMOVDQA32Zrr_alt
+    814058770U,	// VMOVDQA32Zrrk
+    814058770U,	// VMOVDQA32Zrrk_alt
+    813747474U,	// VMOVDQA32Zrrkz
+    813747474U,	// VMOVDQA32Zrrkz_alt
+    67145057U,	// VMOVDQA64Z128mr
+    872746337U,	// VMOVDQA64Z128mrk
+    314721U,	// VMOVDQA64Z128rm
+    814878049U,	// VMOVDQA64Z128rmk
+    813993313U,	// VMOVDQA64Z128rmkz
+    8539489U,	// VMOVDQA64Z128rr
+    8539489U,	// VMOVDQA64Z128rr_alt
+    814058849U,	// VMOVDQA64Z128rrk
+    814058849U,	// VMOVDQA64Z128rrk_alt
+    813747553U,	// VMOVDQA64Z128rrkz
+    813747553U,	// VMOVDQA64Z128rrkz_alt
+    115379553U,	// VMOVDQA64Z256mr
+    920980833U,	// VMOVDQA64Z256mrk
+    1019233U,	// VMOVDQA64Z256rm
+    814894433U,	// VMOVDQA64Z256rmk
+    814697825U,	// VMOVDQA64Z256rmkz
+    8539489U,	// VMOVDQA64Z256rr
+    8539489U,	// VMOVDQA64Z256rr_alt
+    814058849U,	// VMOVDQA64Z256rrk
+    814058849U,	// VMOVDQA64Z256rrk_alt
+    813747553U,	// VMOVDQA64Z256rrkz
+    813747553U,	// VMOVDQA64Z256rrkz_alt
+    117476705U,	// VMOVDQA64Zmr
+    923077985U,	// VMOVDQA64Zmrk
+    1035617U,	// VMOVDQA64Zrm
+    814910817U,	// VMOVDQA64Zrmk
+    814927201U,	// VMOVDQA64Zrmkz
+    8539489U,	// VMOVDQA64Zrr
+    8539489U,	// VMOVDQA64Zrr_alt
+    814058849U,	// VMOVDQA64Zrrk
+    814058849U,	// VMOVDQA64Zrrk_alt
+    813747553U,	// VMOVDQA64Zrrkz
+    813747553U,	// VMOVDQA64Zrrkz_alt
+    115379848U,	// VMOVDQAYmr
+    1019528U,	// VMOVDQAYrm
+    8539784U,	// VMOVDQAYrr
+    8539784U,	// VMOVDQAYrr_REV
+    67145352U,	// VMOVDQAmr
+    315016U,	// VMOVDQArm
+    8539784U,	// VMOVDQArr
+    8539784U,	// VMOVDQArr_REV
+    67145206U,	// VMOVDQU16Z128mr
+    872746486U,	// VMOVDQU16Z128mrk
+    314870U,	// VMOVDQU16Z128rm
+    814878198U,	// VMOVDQU16Z128rmk
+    813993462U,	// VMOVDQU16Z128rmkz
+    8539638U,	// VMOVDQU16Z128rr
+    8539638U,	// VMOVDQU16Z128rr_alt
+    814058998U,	// VMOVDQU16Z128rrk
+    814058998U,	// VMOVDQU16Z128rrk_alt
+    813747702U,	// VMOVDQU16Z128rrkz
+    813747702U,	// VMOVDQU16Z128rrkz_alt
+    115379702U,	// VMOVDQU16Z256mr
+    920980982U,	// VMOVDQU16Z256mrk
+    1019382U,	// VMOVDQU16Z256rm
+    814894582U,	// VMOVDQU16Z256rmk
+    814697974U,	// VMOVDQU16Z256rmkz
+    8539638U,	// VMOVDQU16Z256rr
+    8539638U,	// VMOVDQU16Z256rr_alt
+    814058998U,	// VMOVDQU16Z256rrk
+    814058998U,	// VMOVDQU16Z256rrk_alt
+    813747702U,	// VMOVDQU16Z256rrkz
+    813747702U,	// VMOVDQU16Z256rrkz_alt
+    117476854U,	// VMOVDQU16Zmr
+    923078134U,	// VMOVDQU16Zmrk
+    1035766U,	// VMOVDQU16Zrm
+    814910966U,	// VMOVDQU16Zrmk
+    814927350U,	// VMOVDQU16Zrmkz
+    8539638U,	// VMOVDQU16Zrr
+    8539638U,	// VMOVDQU16Zrr_alt
+    814058998U,	// VMOVDQU16Zrrk
+    814058998U,	// VMOVDQU16Zrrk_alt
+    813747702U,	// VMOVDQU16Zrrkz
+    813747702U,	// VMOVDQU16Zrrkz_alt
+    67144989U,	// VMOVDQU32Z128mr
+    872746269U,	// VMOVDQU32Z128mrk
+    314653U,	// VMOVDQU32Z128rm
+    814877981U,	// VMOVDQU32Z128rmk
+    813993245U,	// VMOVDQU32Z128rmkz
+    8539421U,	// VMOVDQU32Z128rr
+    8539421U,	// VMOVDQU32Z128rr_alt
+    814058781U,	// VMOVDQU32Z128rrk
+    814058781U,	// VMOVDQU32Z128rrk_alt
+    813747485U,	// VMOVDQU32Z128rrkz
+    813747485U,	// VMOVDQU32Z128rrkz_alt
+    115379485U,	// VMOVDQU32Z256mr
+    920980765U,	// VMOVDQU32Z256mrk
+    1019165U,	// VMOVDQU32Z256rm
+    814894365U,	// VMOVDQU32Z256rmk
+    814697757U,	// VMOVDQU32Z256rmkz
+    8539421U,	// VMOVDQU32Z256rr
+    8539421U,	// VMOVDQU32Z256rr_alt
+    814058781U,	// VMOVDQU32Z256rrk
+    814058781U,	// VMOVDQU32Z256rrk_alt
+    813747485U,	// VMOVDQU32Z256rrkz
+    813747485U,	// VMOVDQU32Z256rrkz_alt
+    117476637U,	// VMOVDQU32Zmr
+    923077917U,	// VMOVDQU32Zmrk
+    1035549U,	// VMOVDQU32Zrm
+    814910749U,	// VMOVDQU32Zrmk
+    814927133U,	// VMOVDQU32Zrmkz
+    8539421U,	// VMOVDQU32Zrr
+    8539421U,	// VMOVDQU32Zrr_alt
+    814058781U,	// VMOVDQU32Zrrk
+    814058781U,	// VMOVDQU32Zrrk_alt
+    813747485U,	// VMOVDQU32Zrrkz
+    813747485U,	// VMOVDQU32Zrrkz_alt
+    67145068U,	// VMOVDQU64Z128mr
+    872746348U,	// VMOVDQU64Z128mrk
+    314732U,	// VMOVDQU64Z128rm
+    814878060U,	// VMOVDQU64Z128rmk
+    813993324U,	// VMOVDQU64Z128rmkz
+    8539500U,	// VMOVDQU64Z128rr
+    8539500U,	// VMOVDQU64Z128rr_alt
+    814058860U,	// VMOVDQU64Z128rrk
+    814058860U,	// VMOVDQU64Z128rrk_alt
+    813747564U,	// VMOVDQU64Z128rrkz
+    813747564U,	// VMOVDQU64Z128rrkz_alt
+    115379564U,	// VMOVDQU64Z256mr
+    920980844U,	// VMOVDQU64Z256mrk
+    1019244U,	// VMOVDQU64Z256rm
+    814894444U,	// VMOVDQU64Z256rmk
+    814697836U,	// VMOVDQU64Z256rmkz
+    8539500U,	// VMOVDQU64Z256rr
+    8539500U,	// VMOVDQU64Z256rr_alt
+    814058860U,	// VMOVDQU64Z256rrk
+    814058860U,	// VMOVDQU64Z256rrk_alt
+    813747564U,	// VMOVDQU64Z256rrkz
+    813747564U,	// VMOVDQU64Z256rrkz_alt
+    117476716U,	// VMOVDQU64Zmr
+    923077996U,	// VMOVDQU64Zmrk
+    1035628U,	// VMOVDQU64Zrm
+    814910828U,	// VMOVDQU64Zrmk
+    814927212U,	// VMOVDQU64Zrmkz
+    8539500U,	// VMOVDQU64Zrr
+    8539500U,	// VMOVDQU64Zrr_alt
+    814058860U,	// VMOVDQU64Zrrk
+    814058860U,	// VMOVDQU64Zrrk_alt
+    813747564U,	// VMOVDQU64Zrrkz
+    813747564U,	// VMOVDQU64Zrrkz_alt
+    67145327U,	// VMOVDQU8Z128mr
+    872746607U,	// VMOVDQU8Z128mrk
+    314991U,	// VMOVDQU8Z128rm
+    814878319U,	// VMOVDQU8Z128rmk
+    813993583U,	// VMOVDQU8Z128rmkz
+    8539759U,	// VMOVDQU8Z128rr
+    8539759U,	// VMOVDQU8Z128rr_alt
+    814059119U,	// VMOVDQU8Z128rrk
+    814059119U,	// VMOVDQU8Z128rrk_alt
+    813747823U,	// VMOVDQU8Z128rrkz
+    813747823U,	// VMOVDQU8Z128rrkz_alt
+    115379823U,	// VMOVDQU8Z256mr
+    920981103U,	// VMOVDQU8Z256mrk
+    1019503U,	// VMOVDQU8Z256rm
+    814894703U,	// VMOVDQU8Z256rmk
+    814698095U,	// VMOVDQU8Z256rmkz
+    8539759U,	// VMOVDQU8Z256rr
+    8539759U,	// VMOVDQU8Z256rr_alt
+    814059119U,	// VMOVDQU8Z256rrk
+    814059119U,	// VMOVDQU8Z256rrk_alt
+    813747823U,	// VMOVDQU8Z256rrkz
+    813747823U,	// VMOVDQU8Z256rrkz_alt
+    117476975U,	// VMOVDQU8Zmr
+    923078255U,	// VMOVDQU8Zmrk
+    1035887U,	// VMOVDQU8Zrm
+    814911087U,	// VMOVDQU8Zrmk
+    814927471U,	// VMOVDQU8Zrmkz
+    8539759U,	// VMOVDQU8Zrr
+    8539759U,	// VMOVDQU8Zrr_alt
+    814059119U,	// VMOVDQU8Zrrk
+    814059119U,	// VMOVDQU8Zrrk_alt
+    813747823U,	// VMOVDQU8Zrrkz
+    813747823U,	// VMOVDQU8Zrrkz_alt
+    115386823U,	// VMOVDQUYmr
+    1026503U,	// VMOVDQUYrm
+    8546759U,	// VMOVDQUYrr
+    8546759U,	// VMOVDQUYrr_REV
+    67152327U,	// VMOVDQUmr
+    321991U,	// VMOVDQUrm
+    8546759U,	// VMOVDQUrr
+    8546759U,	// VMOVDQUrr_REV
+    811656794U,	// VMOVHLPSZrr
+    811656794U,	// VMOVHLPSrr
+    69243896U,	// VMOVHPDmr
+    283317240U,	// VMOVHPDrm
+    69248582U,	// VMOVHPSmr
+    283321926U,	// VMOVHPSrm
+    811656764U,	// VMOVLHPSZrr
+    811656764U,	// VMOVLHPSrr
+    69243946U,	// VMOVLPDmr
+    283317290U,	// VMOVLPDrm
+    69248642U,	// VMOVLPSmr
+    283321986U,	// VMOVLPSrm
+    8541185U,	// VMOVMSKPDYrr
+    8541185U,	// VMOVMSKPDrr
+    8545871U,	// VMOVMSKPSYrr
+    8545871U,	// VMOVMSKPSrr
+    1019517U,	// VMOVNTDQAYrm
+    1035901U,	// VMOVNTDQAZrm
+    315005U,	// VMOVNTDQArm
+    111189963U,	// VMOVNTDQYmr
+    117481419U,	// VMOVNTDQZmr
+    65052619U,	// VMOVNTDQmr
+    111187071U,	// VMOVNTPDYmr
+    113284223U,	// VMOVNTPDZmr
+    65049727U,	// VMOVNTPDmr
+    111191796U,	// VMOVNTPSYmr
+    113288948U,	// VMOVNTPSZmr
+    65054452U,	// VMOVNTPSmr
+    12621534U,	// VMOVPDI2DIZmr
+    8541918U,	// VMOVPDI2DIZrr
+    12621534U,	// VMOVPDI2DImr
+    8541918U,	// VMOVPDI2DIrr
+    18916108U,	// VMOVPQI2QImr
+    8545036U,	// VMOVPQI2QIrr
+    18916108U,	// VMOVPQIto64Zmr
+    8545036U,	// VMOVPQIto64Zrr
+    8545036U,	// VMOVPQIto64rr
+    551723788U,	// VMOVQI2PQIZrm
+    551723788U,	// VMOVQI2PQIrm
+    69240056U,	// VMOVSDZmr
+    591096U,	// VMOVSDZrm
+    811648248U,	// VMOVSDZrr
+    811652689U,	// VMOVSDZrr_REV
+    814335224U,	// VMOVSDZrrk
+    69244497U,	// VMOVSDmr
+    595537U,	// VMOVSDrm
+    811652689U,	// VMOVSDrr
+    811652689U,	// VMOVSDrr_REV
+    18916108U,	// VMOVSDto64Zmr
+    8545036U,	// VMOVSDto64Zrr
+    18916108U,	// VMOVSDto64mr
+    8545036U,	// VMOVSDto64rr
+    1072653U,	// VMOVSHDUPYrm
+    8543757U,	// VMOVSHDUPYrr
+    1082957U,	// VMOVSHDUPZrm
+    8537677U,	// VMOVSHDUPZrr
+    581133U,	// VMOVSHDUPrm
+    8543757U,	// VMOVSHDUPrr
+    1072664U,	// VMOVSLDUPYrm
+    8543768U,	// VMOVSLDUPYrr
+    1082969U,	// VMOVSLDUPZrm
+    8537689U,	// VMOVSLDUPZrr
+    581144U,	// VMOVSLDUPrm
+    8543768U,	// VMOVSLDUPrr
+    12621534U,	// VMOVSS2DIZmr
+    8541918U,	// VMOVSS2DIZrr
+    12621534U,	// VMOVSS2DImr
+    8541918U,	// VMOVSS2DIrr
+    71339040U,	// VMOVSSZmr
+    609312U,	// VMOVSSZrm
+    811650080U,	// VMOVSSZrr
+    811657454U,	// VMOVSSZrr_REV
+    814337056U,	// VMOVSSZrrk
+    71346414U,	// VMOVSSmr
+    616686U,	// VMOVSSrm
+    811657454U,	// VMOVSSrr
+    811657454U,	// VMOVSSrr_REV
+    111187099U,	// VMOVUPDYmr
+    1070235U,	// VMOVUPDYrm
+    8541339U,	// VMOVUPDYrr
+    8541339U,	// VMOVUPDYrr_REV
+    65049755U,	// VMOVUPDZ128mr
+    870651035U,	// VMOVUPDZ128mrk
+    578715U,	// VMOVUPDZ128rm
+    814797979U,	// VMOVUPDZ128rmk
+    813880475U,	// VMOVUPDZ128rmkz
+    8541339U,	// VMOVUPDZ128rr
+    8541339U,	// VMOVUPDZ128rr_alt
+    814060699U,	// VMOVUPDZ128rrk
+    814060699U,	// VMOVUPDZ128rrk_alt
+    813749403U,	// VMOVUPDZ128rrkz
+    813749403U,	// VMOVUPDZ128rrkz_alt
+    111187099U,	// VMOVUPDZ256mr
+    916788379U,	// VMOVUPDZ256mrk
+    1070235U,	// VMOVUPDZ256rm
+    814814363U,	// VMOVUPDZ256rmk
+    814617755U,	// VMOVUPDZ256rmkz
+    8541339U,	// VMOVUPDZ256rr
+    8541339U,	// VMOVUPDZ256rr_alt
+    814060699U,	// VMOVUPDZ256rrk
+    814060699U,	// VMOVUPDZ256rrk_alt
+    813749403U,	// VMOVUPDZ256rrkz
+    813749403U,	// VMOVUPDZ256rrkz_alt
+    113284251U,	// VMOVUPDZmr
+    918885531U,	// VMOVUPDZmrk
+    1086619U,	// VMOVUPDZrm
+    814666907U,	// VMOVUPDZrmk
+    814634139U,	// VMOVUPDZrmkz
+    8541339U,	// VMOVUPDZrr
+    8541339U,	// VMOVUPDZrr_alt
+    814060699U,	// VMOVUPDZrrk
+    814060699U,	// VMOVUPDZrrk_alt
+    813749403U,	// VMOVUPDZrrkz
+    813749403U,	// VMOVUPDZrrkz_alt
+    65049755U,	// VMOVUPDmr
+    578715U,	// VMOVUPDrm
+    8541339U,	// VMOVUPDrr
+    8541339U,	// VMOVUPDrr_REV
+    111191869U,	// VMOVUPSYmr
+    1075005U,	// VMOVUPSYrm
+    8546109U,	// VMOVUPSYrr
+    8546109U,	// VMOVUPSYrr_REV
+    65054525U,	// VMOVUPSZ128mr
+    870655805U,	// VMOVUPSZ128mrk
+    583485U,	// VMOVUPSZ128rm
+    814802749U,	// VMOVUPSZ128rmk
+    813885245U,	// VMOVUPSZ128rmkz
+    8546109U,	// VMOVUPSZ128rr
+    8546109U,	// VMOVUPSZ128rr_alt
+    814065469U,	// VMOVUPSZ128rrk
+    814065469U,	// VMOVUPSZ128rrk_alt
+    813754173U,	// VMOVUPSZ128rrkz
+    813754173U,	// VMOVUPSZ128rrkz_alt
+    111191869U,	// VMOVUPSZ256mr
+    916793149U,	// VMOVUPSZ256mrk
+    1075005U,	// VMOVUPSZ256rm
+    814819133U,	// VMOVUPSZ256rmk
+    814622525U,	// VMOVUPSZ256rmkz
+    8546109U,	// VMOVUPSZ256rr
+    8546109U,	// VMOVUPSZ256rr_alt
+    814065469U,	// VMOVUPSZ256rrk
+    814065469U,	// VMOVUPSZ256rrk_alt
+    813754173U,	// VMOVUPSZ256rrkz
+    813754173U,	// VMOVUPSZ256rrkz_alt
+    113289021U,	// VMOVUPSZmr
+    918890301U,	// VMOVUPSZmrk
+    1091389U,	// VMOVUPSZrm
+    814671677U,	// VMOVUPSZrmk
+    814638909U,	// VMOVUPSZrmkz
+    8546109U,	// VMOVUPSZrr
+    8546109U,	// VMOVUPSZrr_alt
+    814065469U,	// VMOVUPSZrrk
+    814065469U,	// VMOVUPSZrrk_alt
+    813754173U,	// VMOVUPSZrrkz
+    813754173U,	// VMOVUPSZrrkz_alt
+    65054525U,	// VMOVUPSmr
+    583485U,	// VMOVUPSrm
+    8546109U,	// VMOVUPSrr
+    8546109U,	// VMOVUPSrr_REV
+    320268U,	// VMOVZPQILo2PQIZrm
+    8545036U,	// VMOVZPQILo2PQIZrr
+    320268U,	// VMOVZPQILo2PQIrm
+    8545036U,	// VMOVZPQILo2PQIrr
+    551723788U,	// VMOVZQI2PQIrm
+    8545036U,	// VMOVZQI2PQIrr
+    103115309U,	// VMPSADBWYrmi
+    839232045U,	// VMPSADBWYrri
+    342190637U,	// VMPSADBWrmi
+    839232045U,	// VMPSADBWrri
+    397788U,	// VMPTRLDm
+    403872U,	// VMPTRSTm
+    12622169U,	// VMREAD32rm
+    8542553U,	// VMREAD32rr
+    18915073U,	// VMREAD64rm
+    8544001U,	// VMREAD64rr
+    14041U,	// VMRESUME
+    15121U,	// VMRUN32
+    15201U,	// VMRUN64
+    15109U,	// VMSAVE32
+    15189U,	// VMSAVE64
+    812520482U,	// VMULPDYrm
+    811652130U,	// VMULPDYrr
+    812532558U,	// VMULPDZrm
+    350421838U,	// VMULPDZrmb
+    1424917326U,	// VMULPDZrmbk
+    1424917326U,	// VMULPDZrmbkz
+    839832610U,	// VMULPDZrmk
+    839832610U,	// VMULPDZrmkz
+    811647822U,	// VMULPDZrr
+    839222094U,	// VMULPDZrrk
+    839222094U,	// VMULPDZrrkz
+    811783202U,	// VMULPDrm
+    811652130U,	// VMULPDrr
+    812525178U,	// VMULPSYrm
+    811656826U,	// VMULPSYrr
+    812534521U,	// VMULPSZrm
+    352537337U,	// VMULPSZrmb
+    1427049209U,	// VMULPSZrmbk
+    1427049209U,	// VMULPSZrmbkz
+    839837306U,	// VMULPSZrmk
+    839837306U,	// VMULPSZrmkz
+    811649785U,	// VMULPSZrr
+    839224057U,	// VMULPSZrrk
+    839224057U,	// VMULPSZrrkz
+    811787898U,	// VMULPSrm
+    811656826U,	// VMULPSrr
+    283317768U,	// VMULSDZrm
+    811652616U,	// VMULSDZrr
+    283317768U,	// VMULSDrm
+    283317768U,	// VMULSDrm_Int
+    811652616U,	// VMULSDrr
+    811652616U,	// VMULSDrr_Int
+    283338908U,	// VMULSSZrm
+    811657372U,	// VMULSSZrr
+    283338908U,	// VMULSSrm
+    283338908U,	// VMULSSrm_Int
+    811657372U,	// VMULSSrr
+    811657372U,	// VMULSSrr_Int
+    551688727U,	// VMWRITE32rm
+    8542743U,	// VMWRITE32rr
+    551723106U,	// VMWRITE64rm
+    8544354U,	// VMWRITE64rr
+    14124U,	// VMXOFF
+    400765U,	// VMXON
+    812520560U,	// VORPDYrm
+    811652208U,	// VORPDYrr
+    811783280U,	// VORPDrm
+    811652208U,	// VORPDrr
+    812525273U,	// VORPSYrm
+    811656921U,	// VORPSYrr
+    811787993U,	// VORPSrm
+    811656921U,	// VORPSrr
+    315319U,	// VPABSBrm128
+    1019831U,	// VPABSBrm256
+    8540087U,	// VPABSBrr128
+    8540087U,	// VPABSBrr256
+    1033416U,	// VPABSDZrm
+    620889288U,	// VPABSDZrmb
+    620840136U,	// VPABSDZrmbk
+    620840136U,	// VPABSDZrmbkz
+    814925000U,	// VPABSDZrmk
+    814925000U,	// VPABSDZrmkz
+    8537288U,	// VPABSDZrr
+    813745352U,	// VPABSDZrrk
+    813745352U,	// VPABSDZrrkz
+    316841U,	// VPABSDrm128
+    1021353U,	// VPABSDrm256
+    8541609U,	// VPABSDrr128
+    8541609U,	// VPABSDrr256
+    1034364U,	// VPABSQZrm
+    618825852U,	// VPABSQZrmb
+    618760316U,	// VPABSQZrmbk
+    618760316U,	// VPABSQZrmbkz
+    814925948U,	// VPABSQZrmk
+    814925948U,	// VPABSQZrmkz
+    8538236U,	// VPABSQZrr
+    813746300U,	// VPABSQZrrk
+    813746300U,	// VPABSQZrrkz
+    322778U,	// VPABSWrm128
+    1027290U,	// VPABSWrm256
+    8547546U,	// VPABSWrr128
+    8547546U,	// VPABSWrr256
+    812608277U,	// VPACKSSDWYrm
+    811658005U,	// VPACKSSDWYrr
+    811903765U,	// VPACKSSDWrm
+    811658005U,	// VPACKSSDWrr
+    812601492U,	// VPACKSSWBYrm
+    811651220U,	// VPACKSSWBYrr
+    811896980U,	// VPACKSSWBrm
+    811651220U,	// VPACKSSWBrr
+    812608288U,	// VPACKUSDWYrm
+    811658016U,	// VPACKUSDWYrr
+    811903776U,	// VPACKUSDWrm
+    811658016U,	// VPACKUSDWrr
+    812601503U,	// VPACKUSWBYrm
+    811651231U,	// VPACKUSWBYrr
+    811896991U,	// VPACKUSWBrm
+    811651231U,	// VPACKUSWBrr
+    812601067U,	// VPADDBYrm
+    811650795U,	// VPADDBYrr
+    811896555U,	// VPADDBrm
+    811650795U,	// VPADDBrr
+    812601684U,	// VPADDDYrm
+    811651412U,	// VPADDDYrr
+    812826866U,	// VPADDDZrm
+    352403698U,	// VPADDDZrmb
+    3037839602U,	// VPADDDZrmbk
+    840106226U,	// VPADDDZrmbkz
+    120799474U,	// VPADDDZrmk
+    840073458U,	// VPADDDZrmkz
+    811647218U,	// VPADDDZrr
+    814334194U,	// VPADDDZrrk
+    839221490U,	// VPADDDZrrkz
+    811897172U,	// VPADDDrm
+    811651412U,	// VPADDDrr
+    812605204U,	// VPADDQYrm
+    811654932U,	// VPADDQYrr
+    812828393U,	// VPADDQZrm
+    350324457U,	// VPADDQZrmb
+    3035727593U,	// VPADDQZrmbk
+    840140521U,	// VPADDQZrmbkz
+    120801001U,	// VPADDQZrmk
+    840074985U,	// VPADDQZrmkz
+    811648745U,	// VPADDQZrr
+    814335721U,	// VPADDQZrrk
+    839223017U,	// VPADDQZrrkz
+    811900692U,	// VPADDQrm
+    811654932U,	// VPADDQrr
+    812601297U,	// VPADDSBYrm
+    811651025U,	// VPADDSBYrr
+    811896785U,	// VPADDSBrm
+    811651025U,	// VPADDSBrr
+    812608788U,	// VPADDSWYrm
+    811658516U,	// VPADDSWYrr
+    811904276U,	// VPADDSWrm
+    811658516U,	// VPADDSWrr
+    812601346U,	// VPADDUSBYrm
+    811651074U,	// VPADDUSBYrr
+    811896834U,	// VPADDUSBrm
+    811651074U,	// VPADDUSBrr
+    812608901U,	// VPADDUSWYrm
+    811658629U,	// VPADDUSWYrr
+    811904389U,	// VPADDUSWrm
+    811658629U,	// VPADDUSWrr
+    812608220U,	// VPADDWYrm
+    811657948U,	// VPADDWYrr
+    811903708U,	// VPADDWrm
+    811657948U,	// VPADDWrr
+    342188958U,	// VPALIGNR128rm
+    839230366U,	// VPALIGNR128rr
+    103113630U,	// VPALIGNR256rm
+    839230366U,	// VPALIGNR256rr
+    812826883U,	// VPANDDZrm
+    352403715U,	// VPANDDZrmb
+    3037839619U,	// VPANDDZrmbk
+    840106243U,	// VPANDDZrmbkz
+    120799491U,	// VPANDDZrmk
+    840073475U,	// VPANDDZrmkz
+    811647235U,	// VPANDDZrr
+    814334211U,	// VPANDDZrrk
+    839221507U,	// VPANDDZrrkz
+    812827010U,	// VPANDNDZrm
+    352403842U,	// VPANDNDZrmb
+    3037839746U,	// VPANDNDZrmbk
+    840106370U,	// VPANDNDZrmbkz
+    120799618U,	// VPANDNDZrmk
+    840073602U,	// VPANDNDZrmkz
+    811647362U,	// VPANDNDZrr
+    814334338U,	// VPANDNDZrrk
+    839221634U,	// VPANDNDZrrkz
+    812828697U,	// VPANDNQZrm
+    350324761U,	// VPANDNQZrmb
+    3035727897U,	// VPANDNQZrmbk
+    840140825U,	// VPANDNQZrmbkz
+    120801305U,	// VPANDNQZrmk
+    840075289U,	// VPANDNQZrmkz
+    811649049U,	// VPANDNQZrr
+    814336025U,	// VPANDNQZrrk
+    839223321U,	// VPANDNQZrrkz
+    812604774U,	// VPANDNYrm
+    811654502U,	// VPANDNYrr
+    811900262U,	// VPANDNrm
+    811654502U,	// VPANDNrr
+    812828446U,	// VPANDQZrm
+    350324510U,	// VPANDQZrmb
+    3035727646U,	// VPANDQZrmbk
+    840140574U,	// VPANDQZrmbkz
+    120801054U,	// VPANDQZrmk
+    840075038U,	// VPANDQZrmkz
+    811648798U,	// VPANDQZrr
+    814335774U,	// VPANDQZrrk
+    839223070U,	// VPANDQZrrkz
+    812601845U,	// VPANDYrm
+    811651573U,	// VPANDYrr
+    811897333U,	// VPANDrm
+    811651573U,	// VPANDrr
+    812601113U,	// VPAVGBYrm
+    811650841U,	// VPAVGBYrr
+    811896601U,	// VPAVGBrm
+    811650841U,	// VPAVGBrr
+    812608389U,	// VPAVGWYrm
+    811658117U,	// VPAVGWYrr
+    811903877U,	// VPAVGWrm
+    811658117U,	// VPAVGWrr
+    103108956U,	// VPBLENDDYrmi
+    839225692U,	// VPBLENDDYrri
+    342184284U,	// VPBLENDDrmi
+    839225692U,	// VPBLENDDrri
+    839827789U,	// VPBLENDMDZrm
+    839221581U,	// VPBLENDMDZrr
+    839829476U,	// VPBLENDMQZrm
+    839223268U,	// VPBLENDMQZrr
+    103108730U,	// VPBLENDVBYrm
+    839225466U,	// VPBLENDVBYrr
+    342184058U,	// VPBLENDVBrm
+    839225466U,	// VPBLENDVBrr
+    103115524U,	// VPBLENDWYrmi
+    839232260U,	// VPBLENDWYrri
+    342190852U,	// VPBLENDWrmi
+    839232260U,	// VPBLENDWrri
+    446531U,	// VPBROADCASTBYrm
+    8540227U,	// VPBROADCASTBYrr
+    446531U,	// VPBROADCASTBrm
+    8540227U,	// VPBROADCASTBrr
+    551687813U,	// VPBROADCASTDYrm
+    8541829U,	// VPBROADCASTDYrr
+    551634231U,	// VPBROADCASTDZkrm
+    813745463U,	// VPBROADCASTDZkrr
+    551683383U,	// VPBROADCASTDZrm
+    8537399U,	// VPBROADCASTDZrr
+    813745463U,	// VPBROADCASTDrZkrr
+    8537399U,	// VPBROADCASTDrZrr
+    551687813U,	// VPBROADCASTDrm
+    8541829U,	// VPBROADCASTDrr
+    8537701U,	// VPBROADCASTMB2Qrr
+    8536246U,	// VPBROADCASTMW2Drr
+    551723705U,	// VPBROADCASTQYrm
+    8544953U,	// VPBROADCASTQYrr
+    551651552U,	// VPBROADCASTQZkrm
+    813746400U,	// VPBROADCASTQZkrr
+    551717088U,	// VPBROADCASTQZrm
+    8538336U,	// VPBROADCASTQZrr
+    813746400U,	// VPBROADCASTQrZkrr
+    8538336U,	// VPBROADCASTQrZrr
+    551723705U,	// VPBROADCASTQrm
+    8544953U,	// VPBROADCASTQrr
+    388615U,	// VPBROADCASTWYrm
+    8547847U,	// VPBROADCASTWYrr
+    388615U,	// VPBROADCASTWrm
+    8547847U,	// VPBROADCASTWrr
+    342187923U,	// VPCLMULQDQrm
+    839229331U,	// VPCLMULQDQrr
+    342190575U,	// VPCMOVmr
+    90532335U,	// VPCMOVmrY
+    840051183U,	// VPCMOVrm
+    840067567U,	// VPCMOVrmY
+    839231983U,	// VPCMOVrr
+    839231983U,	// VPCMOVrrY
+    3343530063U,	// VPCMPDZrmi
+    354767923U,	// VPCMPDZrmi_alt
+    124867635U,	// VPCMPDZrmik_alt
+    1464498255U,	// VPCMPDZrri
+    839226419U,	// VPCMPDZrri_alt
+    839504947U,	// VPCMPDZrrik_alt
+    812601206U,	// VPCMPEQBYrm
+    811650934U,	// VPCMPEQBYrr
+    811896694U,	// VPCMPEQBrm
+    811650934U,	// VPCMPEQBrr
+    812602580U,	// VPCMPEQDYrm
+    811652308U,	// VPCMPEQDYrr
+    812827630U,	// VPCMPEQDZrm
+    811647982U,	// VPCMPEQDZrr
+    811898068U,	// VPCMPEQDrm
+    811652308U,	// VPCMPEQDrr
+    812605798U,	// VPCMPEQQYrm
+    811655526U,	// VPCMPEQQYrr
+    812828717U,	// VPCMPEQQZrm
+    811649069U,	// VPCMPEQQZrr
+    811901286U,	// VPCMPEQQrm
+    811655526U,	// VPCMPEQQrr
+    812608620U,	// VPCMPEQWYrm
+    811658348U,	// VPCMPEQWYrr
+    811904108U,	// VPCMPEQWrm
+    811658348U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    25499345U,	// VPCMPESTRIrm
-    811652817U,	// VPCMPESTRIrr
+    25499817U,	// VPCMPESTRIrm
+    811653289U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    25500534U,	// VPCMPESTRM128rm
-    811654006U,	// VPCMPESTRM128rr
-    1183315U,	// VPCMPGTBYrm
-    811650643U,	// VPCMPGTBYrr
-    811896403U,	// VPCMPGTBrm
-    811650643U,	// VPCMPGTBrr
-    1184923U,	// VPCMPGTDYrm
-    811652251U,	// VPCMPGTDYrr
-    812794939U,	// VPCMPGTDZrm
-    811648059U,	// VPCMPGTDZrr
-    811898011U,	// VPCMPGTDrm
-    811652251U,	// VPCMPGTDrr
-    1187988U,	// VPCMPGTQYrm
-    811655316U,	// VPCMPGTQYrr
-    812795808U,	// VPCMPGTQZrm
-    811648928U,	// VPCMPGTQZrr
-    811901076U,	// VPCMPGTQrm
-    811655316U,	// VPCMPGTQrr
-    1190910U,	// VPCMPGTWYrm
-    811658238U,	// VPCMPGTWYrr
-    811903998U,	// VPCMPGTWrm
-    811658238U,	// VPCMPGTWrr
+    25501006U,	// VPCMPESTRM128rm
+    811654478U,	// VPCMPESTRM128rr
+    812601387U,	// VPCMPGTBYrm
+    811651115U,	// VPCMPGTBYrr
+    811896875U,	// VPCMPGTBrm
+    811651115U,	// VPCMPGTBrr
+    812602995U,	// VPCMPGTDYrm
+    811652723U,	// VPCMPGTDYrr
+    812827929U,	// VPCMPGTDZrm
+    811648281U,	// VPCMPGTDZrr
+    811898483U,	// VPCMPGTDrm
+    811652723U,	// VPCMPGTDrr
+    812606060U,	// VPCMPGTQYrm
+    811655788U,	// VPCMPGTQYrr
+    812828866U,	// VPCMPGTQZrm
+    811649218U,	// VPCMPGTQZrr
+    811901548U,	// VPCMPGTQrm
+    811655788U,	// VPCMPGTQrr
+    812608982U,	// VPCMPGTWYrm
+    811658710U,	// VPCMPGTWYrr
+    811904470U,	// VPCMPGTWrm
+    811658710U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    25499357U,	// VPCMPISTRIrm
-    811652829U,	// VPCMPISTRIrr
+    25499829U,	// VPCMPISTRIrm
+    811653301U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    25500546U,	// VPCMPISTRM128rm
-    811654018U,	// VPCMPISTRM128rr
-    3070900552U,	// VPCMPQZrmi
-    354770799U,	// VPCMPQZrmi_alt
-    1460304200U,	// VPCMPQZrri
-    839229295U,	// VPCMPQZrri_alt
-    3072997704U,	// VPCMPUDZrmi
-    354768077U,	// VPCMPUDZrmi_alt
-    1462401352U,	// VPCMPUDZrri
-    839226573U,	// VPCMPUDZrri_alt
-    3075094856U,	// VPCMPUQZrmi
-    354771206U,	// VPCMPUQZrmi_alt
-    1464498504U,	// VPCMPUQZrri
-    839229702U,	// VPCMPUQZrri_alt
-    342183289U,	// VPCOMBmi
-    839224697U,	// VPCOMBri
-    342183949U,	// VPCOMDmi
-    839225357U,	// VPCOMDri
-    342187832U,	// VPCOMQmi
-    839229240U,	// VPCOMQri
-    342183552U,	// VPCOMUBmi
-    839224960U,	// VPCOMUBri
-    342185147U,	// VPCOMUDmi
-    839226555U,	// VPCOMUDri
-    342188285U,	// VPCOMUQmi
-    839229693U,	// VPCOMUQri
-    342191182U,	// VPCOMUWmi
-    839232590U,	// VPCOMUWri
-    342190659U,	// VPCOMWmi
-    839232067U,	// VPCOMWri
-    984109U,	// VPCONFLICTDrm
-    620889133U,	// VPCONFLICTDrmb
-    1246253U,	// VPCONFLICTDrmbk
-    620839981U,	// VPCONFLICTDrmbkz
-    2693907501U,	// VPCONFLICTDrmk
-    2693940269U,	// VPCONFLICTDrmkz
-    3229762605U,	// VPCONFLICTDrr
-    2693104685U,	// VPCONFLICTDrrk
-    2692793389U,	// VPCONFLICTDrrkz
-    984978U,	// VPCONFLICTQrm
-    618825618U,	// VPCONFLICTQrmb
-    1263506U,	// VPCONFLICTQrmbk
-    618760082U,	// VPCONFLICTQrmbkz
-    2693908370U,	// VPCONFLICTQrmk
-    2693941138U,	// VPCONFLICTQrmkz
-    3229763474U,	// VPCONFLICTQrr
-    2693105554U,	// VPCONFLICTQrrk
-    2692794258U,	// VPCONFLICTQrrkz
-    88427571U,	// VPERM2F128rm
-    839224371U,	// VPERM2F128rr
-    88427626U,	// VPERM2I128rm
-    839224426U,	// VPERM2I128rr
-    1183765U,	// VPERMDYrm
-    811651093U,	// VPERMDYrr
-    812794136U,	// VPERMDZrm
-    811647256U,	// VPERMDZrr
-    840024155U,	// VPERMI2Drm
-    839221339U,	// VPERMI2Drr
-    840024476U,	// VPERMI2PDrm
-    839221660U,	// VPERMI2PDrr
-    840026220U,	// VPERMI2PSrm
-    839223404U,	// VPERMI2PSrr
-    840025470U,	// VPERMI2Qrm
-    839222654U,	// VPERMI2Qrr
-    1198592234U,	// VPERMIL2PDmr
-    930156778U,	// VPERMIL2PDmrY
-    126947562U,	// VPERMIL2PDrm
-    129044714U,	// VPERMIL2PDrmY
-    839504106U,	// VPERMIL2PDrr
-    839504106U,	// VPERMIL2PDrrY
-    1198596929U,	// VPERMIL2PSmr
-    930161473U,	// VPERMIL2PSmrY
-    126952257U,	// VPERMIL2PSrm
-    129049409U,	// VPERMIL2PSrmY
-    839508801U,	// VPERMIL2PSrr
-    839508801U,	// VPERMIL2PSrrY
-    130355764U,	// VPERMILPDYmi
-    811651636U,	// VPERMILPDYri
-    1184308U,	// VPERMILPDYrm
-    811651636U,	// VPERMILPDYrr
-    132448948U,	// VPERMILPDZmi
-    811647668U,	// VPERMILPDZri
-    77926964U,	// VPERMILPDmi
-    811651636U,	// VPERMILPDri
-    811897396U,	// VPERMILPDrm
-    811651636U,	// VPERMILPDrr
-    130360460U,	// VPERMILPSYmi
-    811656332U,	// VPERMILPSYri
-    1189004U,	// VPERMILPSYrm
-    811656332U,	// VPERMILPSYrr
-    132450680U,	// VPERMILPSZmi
-    811649400U,	// VPERMILPSZri
-    77931660U,	// VPERMILPSmi
-    811656332U,	// VPERMILPSri
-    811902092U,	// VPERMILPSrm
-    811656332U,	// VPERMILPSrr
-    134550115U,	// VPERMPDYmi
-    811651683U,	// VPERMPDYri
-    136643285U,	// VPERMPDZmi
-    811647701U,	// VPERMPDZri
-    934613U,	// VPERMPDZrm
-    811647701U,	// VPERMPDZrr
-    1189060U,	// VPERMPSYrm
-    811656388U,	// VPERMPSYrr
-    936345U,	// VPERMPSZrm
-    811649433U,	// VPERMPSZrr
-    134553408U,	// VPERMQYmi
-    811654976U,	// VPERMQYri
-    132450043U,	// VPERMQZmi
-    811648763U,	// VPERMQZri
-    812795643U,	// VPERMQZrm
-    811648763U,	// VPERMQZrr
-    840024166U,	// VPERMT2Drm
-    839221350U,	// VPERMT2Drr
-    840024525U,	// VPERMT2PDrm
-    839221709U,	// VPERMT2PDrr
-    840026257U,	// VPERMT2PSrm
-    839223441U,	// VPERMT2PSrr
-    840025481U,	// VPERMT2Qrm
-    839222665U,	// VPERMT2Qrr
-    3808759247U,	// VPEXTRBmr
-    811650511U,	// VPEXTRBrr
-    4077196059U,	// VPEXTRDmr
-    811651867U,	// VPEXTRDrr
-    50667516U,	// VPEXTRQmr
-    811655164U,	// VPEXTRQrr
-    319105778U,	// VPEXTRWmr
-    811657970U,	// VPEXTRWri
-    811657970U,	// VPEXTRWrr_REV
-    105975694U,	// VPGATHERDDYrm
-    1147071U,	// VPGATHERDDZrm
-    105975694U,	// VPGATHERDDrm
-    103882183U,	// VPGATHERDQYrm
-    1132098U,	// VPGATHERDQZrm
-    103882183U,	// VPGATHERDQrm
-    105976582U,	// VPGATHERQDYrm
-    1131315U,	// VPGATHERQDZrm
-    105976582U,	// VPGATHERQDrm
-    103882648U,	// VPGATHERQQYrm
-    1132334U,	// VPGATHERQQZrm
-    103882648U,	// VPGATHERQQrm
-    315184U,	// VPHADDBDrm
-    8539952U,	// VPHADDBDrr
-    318607U,	// VPHADDBQrm
-    8543375U,	// VPHADDBQrr
-    321640U,	// VPHADDBWrm
-    8546408U,	// VPHADDBWrr
-    318795U,	// VPHADDDQrm
-    8543563U,	// VPHADDDQrr
-    1183603U,	// VPHADDDYrm
-    811650931U,	// VPHADDDYrr
-    811896691U,	// VPHADDDrm
-    811650931U,	// VPHADDDrr
-    811903794U,	// VPHADDSWrm128
-    1190706U,	// VPHADDSWrm256
-    811658034U,	// VPHADDSWrr128
-    811658034U,	// VPHADDSWrr256
-    315194U,	// VPHADDUBDrm
-    8539962U,	// VPHADDUBDrr
-    318625U,	// VPHADDUBQrm
-    8543393U,	// VPHADDUBQrr
-    321682U,	// VPHADDUBWrm
-    8546450U,	// VPHADDUBWrr
-    318973U,	// VPHADDUDQrm
-    8543741U,	// VPHADDUDQrr
-    316783U,	// VPHADDUWDrm
-    8541551U,	// VPHADDUWDrr
-    319828U,	// VPHADDUWQrm
-    8544596U,	// VPHADDUWQrr
-    316695U,	// VPHADDWDrm
-    8541463U,	// VPHADDWDrr
-    319803U,	// VPHADDWQrm
-    8544571U,	// VPHADDWQrr
-    1190139U,	// VPHADDWYrm
-    811657467U,	// VPHADDWYrr
-    811903227U,	// VPHADDWrm
-    811657467U,	// VPHADDWrr
-    322656U,	// VPHMINPOSUWrm128
-    8547424U,	// VPHMINPOSUWrr128
-    321611U,	// VPHSUBBWrm
-    8546379U,	// VPHSUBBWrr
-    318770U,	// VPHSUBDQrm
-    8543538U,	// VPHSUBDQrr
-    1183557U,	// VPHSUBDYrm
-    811650885U,	// VPHSUBDYrr
-    811896645U,	// VPHSUBDrm
-    811650885U,	// VPHSUBDrr
-    811903775U,	// VPHSUBSWrm128
-    1190687U,	// VPHSUBSWrm256
-    811658015U,	// VPHSUBSWrr128
-    811658015U,	// VPHSUBSWrr256
-    316685U,	// VPHSUBWDrm
-    8541453U,	// VPHSUBWDrr
-    1190045U,	// VPHSUBWYrm
-    811657373U,	// VPHSUBWYrr
-    811903133U,	// VPHSUBWrm
-    811657373U,	// VPHSUBWrr
-    866471366U,	// VPINSRBrm
-    839224774U,	// VPINSRBrr
-    862278418U,	// VPINSRDrm
-    839226130U,	// VPINSRDrr
-    864378847U,	// VPINSRQrm
-    839229407U,	// VPINSRQrr
-    860187351U,	// VPINSRWrmi
-    839232215U,	// VPINSRWrri
-    342183834U,	// VPMACSDDrm
-    839225242U,	// VPMACSDDrr
-    342185569U,	// VPMACSDQHrm
-    839226977U,	// VPMACSDQHrr
-    342186392U,	// VPMACSDQLrm
-    839227800U,	// VPMACSDQLrr
-    342183844U,	// VPMACSSDDrm
-    839225252U,	// VPMACSSDDrr
-    342185580U,	// VPMACSSDQHrm
-    839226988U,	// VPMACSSDQHrr
-    342186403U,	// VPMACSSDQLrm
-    839227811U,	// VPMACSSDQLrr
-    342185304U,	// VPMACSSWDrm
-    839226712U,	// VPMACSSWDrr
-    342191259U,	// VPMACSSWWrm
-    839232667U,	// VPMACSSWWrr
-    342185283U,	// VPMACSWDrm
-    839226691U,	// VPMACSWDrr
-    342191235U,	// VPMACSWWrm
-    839232643U,	// VPMACSWWrr
-    342185315U,	// VPMADCSSWDrm
-    839226723U,	// VPMADCSSWDrr
-    342185293U,	// VPMADCSWDrm
-    839226701U,	// VPMADCSWDrr
-    811903763U,	// VPMADDUBSWrm128
-    1190675U,	// VPMADDUBSWrm256
-    811658003U,	// VPMADDUBSWrr128
-    811658003U,	// VPMADDUBSWrr256
-    1185057U,	// VPMADDWDYrm
-    811652385U,	// VPMADDWDYrr
-    811898145U,	// VPMADDWDrm
-    811652385U,	// VPMADDWDrr
-    2466583802U,	// VPMASKMOVDYmr
-    1185018U,	// VPMASKMOVDYrm
-    2198148346U,	// VPMASKMOVDmr
-    811898106U,	// VPMASKMOVDrm
-    2466586920U,	// VPMASKMOVQYmr
-    1188136U,	// VPMASKMOVQYrm
-    2198151464U,	// VPMASKMOVQmr
-    811901224U,	// VPMASKMOVQrm
-    1183300U,	// VPMAXSBYrm
-    811650628U,	// VPMAXSBYrr
-    811896388U,	// VPMAXSBrm
-    811650628U,	// VPMAXSBrr
-    1184897U,	// VPMAXSDYrm
-    811652225U,	// VPMAXSDYrr
-    812794915U,	// VPMAXSDZrm
-    352404515U,	// VPMAXSDZrmb
-    811648035U,	// VPMAXSDZrr
-    811897985U,	// VPMAXSDrm
-    811652225U,	// VPMAXSDrr
-    812795784U,	// VPMAXSQZrm
-    350324616U,	// VPMAXSQZrmb
-    811648904U,	// VPMAXSQZrr
-    1190847U,	// VPMAXSWYrm
-    811658175U,	// VPMAXSWYrr
-    811903935U,	// VPMAXSWrm
-    811658175U,	// VPMAXSWrr
-    1183385U,	// VPMAXUBYrm
-    811650713U,	// VPMAXUBYrr
-    811896473U,	// VPMAXUBrm
-    811650713U,	// VPMAXUBrr
-    1184982U,	// VPMAXUDYrm
-    811652310U,	// VPMAXUDYrr
-    812794975U,	// VPMAXUDZrm
-    352404575U,	// VPMAXUDZrmb
-    811648095U,	// VPMAXUDZrr
-    811898070U,	// VPMAXUDrm
-    811652310U,	// VPMAXUDrr
-    812795844U,	// VPMAXUQZrm
-    350324676U,	// VPMAXUQZrmb
-    811648964U,	// VPMAXUQZrr
-    1191021U,	// VPMAXUWYrm
-    811658349U,	// VPMAXUWYrr
-    811904109U,	// VPMAXUWrm
-    811658349U,	// VPMAXUWrr
-    1183241U,	// VPMINSBYrm
-    811650569U,	// VPMINSBYrr
-    811896329U,	// VPMINSBrm
-    811650569U,	// VPMINSBrr
-    1184824U,	// VPMINSDYrm
-    811652152U,	// VPMINSDYrr
-    812794881U,	// VPMINSDZrm
-    352404481U,	// VPMINSDZrmb
-    811648001U,	// VPMINSDZrr
-    811897912U,	// VPMINSDrm
-    811652152U,	// VPMINSDrr
-    812795747U,	// VPMINSQZrm
-    350324579U,	// VPMINSQZrmb
-    811648867U,	// VPMINSQZrr
-    1190756U,	// VPMINSWYrm
-    811658084U,	// VPMINSWYrr
-    811903844U,	// VPMINSWrm
-    811658084U,	// VPMINSWrr
-    1183369U,	// VPMINUBYrm
-    811650697U,	// VPMINUBYrr
-    811896457U,	// VPMINUBrm
-    811650697U,	// VPMINUBrr
-    1184964U,	// VPMINUDYrm
-    811652292U,	// VPMINUDYrr
-    812794965U,	// VPMINUDZrm
-    352404565U,	// VPMINUDZrmb
-    811648085U,	// VPMINUDZrr
-    811898052U,	// VPMINUDrm
-    811652292U,	// VPMINUDrr
-    812795834U,	// VPMINUQZrm
-    350324666U,	// VPMINUQZrmb
-    811648954U,	// VPMINUQZrr
-    1190999U,	// VPMINUWYrm
-    811658327U,	// VPMINUWYrr
-    811904087U,	// VPMINUWrm
-    811658327U,	// VPMINUWrr
-    2692792368U,	// VPMOVDBkrr
-    67141680U,	// VPMOVDBmr
-    8536112U,	// VPMOVDBrr
-    2692795046U,	// VPMOVDWkrr
-    113281702U,	// VPMOVDWmr
-    8538790U,	// VPMOVDWrr
-    8539469U,	// VPMOVMSKBYrr
-    8539469U,	// VPMOVMSKBrr
-    2692792401U,	// VPMOVQBkrr
-    67141713U,	// VPMOVQBmr
-    8536145U,	// VPMOVQBrr
-    2692793189U,	// VPMOVQDkrr
-    113279845U,	// VPMOVQDmr
-    8536933U,	// VPMOVQDrr
-    2692795099U,	// VPMOVQWkrr
-    67144411U,	// VPMOVQWmr
-    8538843U,	// VPMOVQWrr
-    2692792357U,	// VPMOVSDBkrr
-    67141669U,	// VPMOVSDBmr
-    8536101U,	// VPMOVSDBrr
-    2692795035U,	// VPMOVSDWkrr
-    113281691U,	// VPMOVSDWmr
-    8538779U,	// VPMOVSDWrr
-    2692792390U,	// VPMOVSQBkrr
-    67141702U,	// VPMOVSQBmr
-    8536134U,	// VPMOVSQBrr
-    2692793178U,	// VPMOVSQDkrr
-    113279834U,	// VPMOVSQDmr
-    8536922U,	// VPMOVSQDrr
-    2692795088U,	// VPMOVSQWkrr
-    67144400U,	// VPMOVSQWmr
-    8538832U,	// VPMOVSQWrr
-    551685974U,	// VPMOVSXBDYrm
-    8539990U,	// VPMOVSXBDYrr
-    311445U,	// VPMOVSXBDZrm
-    8536213U,	// VPMOVSXBDZrr
-    551685974U,	// VPMOVSXBDrm
-    8539990U,	// VPMOVSXBDrr
-    384188U,	// VPMOVSXBQYrm
-    8543420U,	// VPMOVSXBQYrr
-    312742U,	// VPMOVSXBQZrm
-    8537510U,	// VPMOVSXBQZrr
-    384188U,	// VPMOVSXBQrm
-    8543420U,	// VPMOVSXBQrr
-    321718U,	// VPMOVSXBWYrm
-    8546486U,	// VPMOVSXBWYrr
-    551725238U,	// VPMOVSXBWrm
-    8546486U,	// VPMOVSXBWrr
-    318994U,	// VPMOVSXDQYrm
-    8543762U,	// VPMOVSXDQYrr
-    968377U,	// VPMOVSXDQZrm
-    8537785U,	// VPMOVSXDQZrr
-    551722514U,	// VPMOVSXDQrm
-    8543762U,	// VPMOVSXDQrr
-    316794U,	// VPMOVSXWDYrm
-    8541562U,	// VPMOVSXWDYrr
-    967815U,	// VPMOVSXWDZrm
-    8537223U,	// VPMOVSXWDZrr
-    551720314U,	// VPMOVSXWDrm
-    8541562U,	// VPMOVSXWDrr
-    551690591U,	// VPMOVSXWQYrm
-    8544607U,	// VPMOVSXWQYrr
-    313324U,	// VPMOVSXWQZrm
-    8538092U,	// VPMOVSXWQZrr
-    551690591U,	// VPMOVSXWQrm
-    8544607U,	// VPMOVSXWQrr
-    2692792345U,	// VPMOVUSDBkrr
-    67141657U,	// VPMOVUSDBmr
-    8536089U,	// VPMOVUSDBrr
-    2692795023U,	// VPMOVUSDWkrr
-    113281679U,	// VPMOVUSDWmr
-    8538767U,	// VPMOVUSDWrr
-    2692792378U,	// VPMOVUSQBkrr
-    67141690U,	// VPMOVUSQBmr
-    8536122U,	// VPMOVUSQBrr
-    2692793166U,	// VPMOVUSQDkrr
-    113279822U,	// VPMOVUSQDmr
-    8536910U,	// VPMOVUSQDrr
-    2692795076U,	// VPMOVUSQWkrr
-    67144388U,	// VPMOVUSQWmr
-    8538820U,	// VPMOVUSQWrr
-    551685985U,	// VPMOVZXBDYrm
-    8540001U,	// VPMOVZXBDYrr
-    311457U,	// VPMOVZXBDZrm
-    8536225U,	// VPMOVZXBDZrr
-    551685985U,	// VPMOVZXBDrm
-    8540001U,	// VPMOVZXBDrr
-    384199U,	// VPMOVZXBQYrm
-    8543431U,	// VPMOVZXBQYrr
-    312754U,	// VPMOVZXBQZrm
-    8537522U,	// VPMOVZXBQZrr
-    384199U,	// VPMOVZXBQrm
-    8543431U,	// VPMOVZXBQrr
-    321729U,	// VPMOVZXBWYrm
-    8546497U,	// VPMOVZXBWYrr
-    551725249U,	// VPMOVZXBWrm
-    8546497U,	// VPMOVZXBWrr
-    319005U,	// VPMOVZXDQYrm
-    8543773U,	// VPMOVZXDQYrr
-    968389U,	// VPMOVZXDQZrm
-    8537797U,	// VPMOVZXDQZrr
-    551722525U,	// VPMOVZXDQrm
-    8543773U,	// VPMOVZXDQrr
-    316805U,	// VPMOVZXWDYrm
-    8541573U,	// VPMOVZXWDYrr
-    967827U,	// VPMOVZXWDZrm
-    8537235U,	// VPMOVZXWDZrr
-    551720325U,	// VPMOVZXWDrm
-    8541573U,	// VPMOVZXWDrr
-    551690602U,	// VPMOVZXWQYrm
-    8544618U,	// VPMOVZXWQYrr
-    313336U,	// VPMOVZXWQZrm
-    8538104U,	// VPMOVZXWQZrr
-    551690602U,	// VPMOVZXWQrm
-    8544618U,	// VPMOVZXWQrr
-    1187215U,	// VPMULDQYrm
-    811654543U,	// VPMULDQYrr
-    812795411U,	// VPMULDQZrm
-    811648531U,	// VPMULDQZrr
-    811900303U,	// VPMULDQrm
-    811654543U,	// VPMULDQrr
-    811903869U,	// VPMULHRSWrm128
-    1190781U,	// VPMULHRSWrm256
-    811658109U,	// VPMULHRSWrr128
-    811658109U,	// VPMULHRSWrr256
-    1190980U,	// VPMULHUWYrm
-    811658308U,	// VPMULHUWYrr
-    811904068U,	// VPMULHUWrm
-    811658308U,	// VPMULHUWrr
-    1190354U,	// VPMULHWYrm
-    811657682U,	// VPMULHWYrr
-    811903442U,	// VPMULHWrm
-    811657682U,	// VPMULHWrr
-    1183731U,	// VPMULLDYrm
-    811651059U,	// VPMULLDYrr
-    812794093U,	// VPMULLDZrm
-    352403693U,	// VPMULLDZrmb
-    811647213U,	// VPMULLDZrr
-    811896819U,	// VPMULLDrm
-    811651059U,	// VPMULLDrr
-    1190423U,	// VPMULLWYrm
-    811657751U,	// VPMULLWYrr
-    811903511U,	// VPMULLWrm
-    811657751U,	// VPMULLWrr
-    1187336U,	// VPMULUDQYrm
-    811654664U,	// VPMULUDQYrr
-    812795566U,	// VPMULUDQZrm
-    811648686U,	// VPMULUDQZrr
-    811900424U,	// VPMULUDQrm
-    811654664U,	// VPMULUDQrr
-    812794735U,	// VPORDZrm
-    352404335U,	// VPORDZrmb
-    811647855U,	// VPORDZrr
-    812795721U,	// VPORQZrm
-    350324553U,	// VPORQZrmb
-    811648841U,	// VPORQZrr
-    1188304U,	// VPORYrm
-    811655632U,	// VPORYrr
-    811901392U,	// VPORrm
-    811655632U,	// VPORrr
-    342186862U,	// VPPERMmr
-    812817262U,	// VPPERMrm
-    839228270U,	// VPPERMrr
-    25497187U,	// VPROTBmi
-    25497187U,	// VPROTBmr
-    811650659U,	// VPROTBri
-    811896419U,	// VPROTBrm
-    811650659U,	// VPROTBrr
-    25498789U,	// VPROTDmi
-    25498789U,	// VPROTDmr
-    811652261U,	// VPROTDri
-    811898021U,	// VPROTDrm
-    811652261U,	// VPROTDrr
-    25501893U,	// VPROTQmi
-    25501893U,	// VPROTQmr
-    811655365U,	// VPROTQri
-    811901125U,	// VPROTQrm
-    811655365U,	// VPROTQrr
-    25504807U,	// VPROTWmi
-    25504807U,	// VPROTWmr
-    811658279U,	// VPROTWri
-    811904039U,	// VPROTWrm
-    811658279U,	// VPROTWrr
-    1189983U,	// VPSADBWYrm
-    811657311U,	// VPSADBWYrr
-    811903071U,	// VPSADBWrm
-    811657311U,	// VPSADBWrr
-    2711961804U,	// VPSCATTERDDZmr
-    2714060367U,	// VPSCATTERDQZmr
-    2714059584U,	// VPSCATTERQDZmr
-    2714060603U,	// VPSCATTERQQZmr
-    25496811U,	// VPSHABmr
-    811896043U,	// VPSHABrm
-    811650283U,	// VPSHABrr
-    25497376U,	// VPSHADmr
-    811896608U,	// VPSHADrm
-    811650848U,	// VPSHADrr
-    25500793U,	// VPSHAQmr
-    811900025U,	// VPSHAQrm
-    811654265U,	// VPSHAQrr
-    25503789U,	// VPSHAWmr
-    811903021U,	// VPSHAWrm
-    811657261U,	// VPSHAWrr
-    25496932U,	// VPSHLBmr
-    811896164U,	// VPSHLBrm
-    811650404U,	// VPSHLBrr
-    25497571U,	// VPSHLDmr
-    811896803U,	// VPSHLDrm
-    811651043U,	// VPSHLDrr
-    25501430U,	// VPSHLQmr
-    811900662U,	// VPSHLQrm
-    811654902U,	// VPSHLQrr
-    25504255U,	// VPSHLWmr
-    811903487U,	// VPSHLWrm
-    811657727U,	// VPSHLWrr
-    1183016U,	// VPSHUFBYrm
-    811650344U,	// VPSHUFBYrr
-    811896104U,	// VPSHUFBrm
-    811650344U,	// VPSHUFBrr
-    134549430U,	// VPSHUFDYmi
-    811650998U,	// VPSHUFDYri
-    132448474U,	// VPSHUFDZmi
-    811647194U,	// VPSHUFDZri
-    25497526U,	// VPSHUFDmi
-    811650998U,	// VPSHUFDri
-    134556104U,	// VPSHUFHWYmi
-    811657672U,	// VPSHUFHWYri
-    25504200U,	// VPSHUFHWmi
-    811657672U,	// VPSHUFHWri
-    134556149U,	// VPSHUFLWYmi
-    811657717U,	// VPSHUFLWYri
-    25504245U,	// VPSHUFLWmi
-    811657717U,	// VPSHUFLWri
-    1183105U,	// VPSIGNBYrm
-    811650433U,	// VPSIGNBYrr
-    811896193U,	// VPSIGNBrm
-    811650433U,	// VPSIGNBrr
-    1183780U,	// VPSIGNDYrm
-    811651108U,	// VPSIGNDYrr
-    811896868U,	// VPSIGNDrm
-    811651108U,	// VPSIGNDrr
-    1190475U,	// VPSIGNWYrm
-    811657803U,	// VPSIGNWYrr
-    811903563U,	// VPSIGNWrm
-    811657803U,	// VPSIGNWrr
-    811654525U,	// VPSLLDQYri
-    811654525U,	// VPSLLDQri
-    811651051U,	// VPSLLDYri
-    811896811U,	// VPSLLDYrm
-    811651051U,	// VPSLLDYrr
-    132448484U,	// VPSLLDZmi
-    623198436U,	// VPSLLDZmik
-    811647204U,	// VPSLLDZri
-    839221476U,	// VPSLLDZrik
-    811892964U,	// VPSLLDZrm
-    2693955812U,	// VPSLLDZrmk
-    811647204U,	// VPSLLDZrr
-    839221476U,	// VPSLLDZrrk
-    811651051U,	// VPSLLDri
-    811896811U,	// VPSLLDrm
-    811651051U,	// VPSLLDrr
-    811654917U,	// VPSLLQYri
-    811900677U,	// VPSLLQYrm
-    811654917U,	// VPSLLQYrr
-    132450001U,	// VPSLLQZmi
-    623199953U,	// VPSLLQZmik
-    811648721U,	// VPSLLQZri
-    839222993U,	// VPSLLQZrik
-    811894481U,	// VPSLLQZrm
-    2693957329U,	// VPSLLQZrmk
-    811648721U,	// VPSLLQZrr
-    839222993U,	// VPSLLQZrrk
-    811654917U,	// VPSLLQri
-    811900677U,	// VPSLLQrm
-    811654917U,	// VPSLLQrr
-    1185000U,	// VPSLLVDYrm
-    811652328U,	// VPSLLVDYrr
-    812794995U,	// VPSLLVDZrm
-    811648115U,	// VPSLLVDZrr
-    811898088U,	// VPSLLVDrm
-    811652328U,	// VPSLLVDrr
-    1188118U,	// VPSLLVQYrm
-    811655446U,	// VPSLLVQYrr
-    812795864U,	// VPSLLVQZrm
-    811648984U,	// VPSLLVQZrr
-    811901206U,	// VPSLLVQrm
-    811655446U,	// VPSLLVQrr
-    811657743U,	// VPSLLWYri
-    811903503U,	// VPSLLWYrm
-    811657743U,	// VPSLLWYrr
-    811657743U,	// VPSLLWri
-    811903503U,	// VPSLLWrm
-    811657743U,	// VPSLLWrr
-    811650856U,	// VPSRADYri
-    811896616U,	// VPSRADYrm
-    811650856U,	// VPSRADYrr
-    132448387U,	// VPSRADZmi
-    623198339U,	// VPSRADZmik
-    811647107U,	// VPSRADZri
-    839221379U,	// VPSRADZrik
-    811892867U,	// VPSRADZrm
-    2693955715U,	// VPSRADZrmk
-    811647107U,	// VPSRADZrr
-    839221379U,	// VPSRADZrrk
-    811650856U,	// VPSRADri
-    811896616U,	// VPSRADrm
-    811650856U,	// VPSRADrr
-    132449684U,	// VPSRAQZmi
-    623199636U,	// VPSRAQZmik
-    811648404U,	// VPSRAQZri
-    839222676U,	// VPSRAQZrik
-    811894164U,	// VPSRAQZrm
-    2693957012U,	// VPSRAQZrmk
-    811648404U,	// VPSRAQZrr
-    839222676U,	// VPSRAQZrrk
-    1184991U,	// VPSRAVDYrm
-    811652319U,	// VPSRAVDYrr
-    812794985U,	// VPSRAVDZrm
-    811648105U,	// VPSRAVDZrr
-    811898079U,	// VPSRAVDrm
-    811652319U,	// VPSRAVDrr
-    812795854U,	// VPSRAVQZrm
-    811648974U,	// VPSRAVQZrr
-    811657269U,	// VPSRAWYri
-    811903029U,	// VPSRAWYrm
-    811657269U,	// VPSRAWYrr
-    811657269U,	// VPSRAWri
-    811903029U,	// VPSRAWrm
-    811657269U,	// VPSRAWrr
-    811654534U,	// VPSRLDQYri
-    811654534U,	// VPSRLDQri
-    811651068U,	// VPSRLDYri
-    811896828U,	// VPSRLDYrm
-    811651068U,	// VPSRLDYrr
-    132448503U,	// VPSRLDZmi
-    623198455U,	// VPSRLDZmik
-    811647223U,	// VPSRLDZri
-    839221495U,	// VPSRLDZrik
-    811892983U,	// VPSRLDZrm
-    2693955831U,	// VPSRLDZrmk
-    811647223U,	// VPSRLDZrr
-    839221495U,	// VPSRLDZrrk
-    811651068U,	// VPSRLDri
-    811896828U,	// VPSRLDrm
-    811651068U,	// VPSRLDrr
-    811654931U,	// VPSRLQYri
-    811900691U,	// VPSRLQYrm
-    811654931U,	// VPSRLQYrr
-    132450010U,	// VPSRLQZmi
-    623199962U,	// VPSRLQZmik
-    811648730U,	// VPSRLQZri
-    839223002U,	// VPSRLQZrik
-    811894490U,	// VPSRLQZrm
-    2693957338U,	// VPSRLQZrmk
-    811648730U,	// VPSRLQZrr
-    839223002U,	// VPSRLQZrrk
-    811654931U,	// VPSRLQri
-    811900691U,	// VPSRLQrm
-    811654931U,	// VPSRLQrr
-    1185009U,	// VPSRLVDYrm
-    811652337U,	// VPSRLVDYrr
-    812795005U,	// VPSRLVDZrm
-    811648125U,	// VPSRLVDZrr
-    811898097U,	// VPSRLVDrm
-    811652337U,	// VPSRLVDrr
-    1188127U,	// VPSRLVQYrm
-    811655455U,	// VPSRLVQYrr
-    812795874U,	// VPSRLVQZrm
-    811648994U,	// VPSRLVQZrr
-    811901215U,	// VPSRLVQrm
-    811655455U,	// VPSRLVQrr
-    811657766U,	// VPSRLWYri
-    811903526U,	// VPSRLWYrm
-    811657766U,	// VPSRLWYrr
-    811657766U,	// VPSRLWri
-    811903526U,	// VPSRLWrm
-    811657766U,	// VPSRLWrr
-    1182969U,	// VPSUBBYrm
-    811650297U,	// VPSUBBYrr
-    811896057U,	// VPSUBBrm
-    811650297U,	// VPSUBBrr
-    1183566U,	// VPSUBDYrm
-    811650894U,	// VPSUBDYrr
-    812793996U,	// VPSUBDZrm
-    352403596U,	// VPSUBDZrmb
-    811647116U,	// VPSUBDZrr
-    811896654U,	// VPSUBDrm
-    811650894U,	// VPSUBDrr
-    1186988U,	// VPSUBQYrm
-    811654316U,	// VPSUBQYrr
-    812795293U,	// VPSUBQZrm
-    350324125U,	// VPSUBQZrmb
-    811648413U,	// VPSUBQZrr
-    811900076U,	// VPSUBQrm
-    811654316U,	// VPSUBQrr
-    1183216U,	// VPSUBSBYrm
-    811650544U,	// VPSUBSBYrr
-    811896304U,	// VPSUBSBrm
-    811650544U,	// VPSUBSBrr
-    1190697U,	// VPSUBSWYrm
-    811658025U,	// VPSUBSWYrr
-    811903785U,	// VPSUBSWrm
-    811658025U,	// VPSUBSWrr
-    1183264U,	// VPSUBUSBYrm
-    811650592U,	// VPSUBUSBYrr
-    811896352U,	// VPSUBUSBrm
-    811650592U,	// VPSUBUSBrr
-    1190819U,	// VPSUBUSWYrm
-    811658147U,	// VPSUBUSWYrr
-    811903907U,	// VPSUBUSWrm
-    811658147U,	// VPSUBUSWrr
-    1190054U,	// VPSUBWYrm
-    811657382U,	// VPSUBWYrr
-    811903142U,	// VPSUBWrm
-    811657382U,	// VPSUBWrr
-    934177U,	// VPTESTMDZrm
-    811647265U,	// VPTESTMDZrr
-    935684U,	// VPTESTMQZrm
-    811648772U,	// VPTESTMQZrr
-    934156U,	// VPTESTNMDZrm
-    811647244U,	// VPTESTNMDZrr
-    935663U,	// VPTESTNMQZrm
-    811648751U,	// VPTESTNMQZrr
-    976809U,	// VPTESTYrm
-    8546217U,	// VPTESTYrr
-    583593U,	// VPTESTrm
-    8546217U,	// VPTESTrr
-    1190002U,	// VPUNPCKHBWYrm
-    811657330U,	// VPUNPCKHBWYrr
-    811903090U,	// VPUNPCKHBWrm
-    811657330U,	// VPUNPCKHBWrr
-    1187166U,	// VPUNPCKHDQYrm
-    811654494U,	// VPUNPCKHDQYrr
-    812795385U,	// VPUNPCKHDQZrm
-    811648505U,	// VPUNPCKHDQZrr
-    811900254U,	// VPUNPCKHDQrm
-    811654494U,	// VPUNPCKHDQrr
-    1187233U,	// VPUNPCKHQDQYrm
-    811654561U,	// VPUNPCKHQDQYrr
-    812795430U,	// VPUNPCKHQDQZrm
-    811648550U,	// VPUNPCKHQDQZrr
-    811900321U,	// VPUNPCKHQDQrm
-    811654561U,	// VPUNPCKHQDQrr
-    1185067U,	// VPUNPCKHWDYrm
-    811652395U,	// VPUNPCKHWDYrr
-    811898155U,	// VPUNPCKHWDrm
-    811652395U,	// VPUNPCKHWDrr
-    1190014U,	// VPUNPCKLBWYrm
-    811657342U,	// VPUNPCKLBWYrr
-    811903102U,	// VPUNPCKLBWrm
-    811657342U,	// VPUNPCKLBWrr
-    1187185U,	// VPUNPCKLDQYrm
-    811654513U,	// VPUNPCKLDQYrr
-    812795398U,	// VPUNPCKLDQZrm
-    811648518U,	// VPUNPCKLDQZrr
-    811900273U,	// VPUNPCKLDQrm
-    811654513U,	// VPUNPCKLDQrr
-    1187246U,	// VPUNPCKLQDQYrm
-    811654574U,	// VPUNPCKLQDQYrr
-    812795444U,	// VPUNPCKLQDQZrm
-    811648564U,	// VPUNPCKLQDQZrr
-    811900334U,	// VPUNPCKLQDQrm
-    811654574U,	// VPUNPCKLQDQrr
-    1185079U,	// VPUNPCKLWDYrm
-    811652407U,	// VPUNPCKLWDYrr
-    811898167U,	// VPUNPCKLWDrm
-    811652407U,	// VPUNPCKLWDrr
-    812794743U,	// VPXORDZrm
-    352404343U,	// VPXORDZrmb
-    811647863U,	// VPXORDZrr
-    812795729U,	// VPXORQZrm
-    350324561U,	// VPXORQZrmb
-    811648849U,	// VPXORQZrr
-    1188327U,	// VPXORYrm
-    811655655U,	// VPXORYrr
-    811901415U,	// VPXORrm
-    811655655U,	// VPXORrr
-    1032757U,	// VRCP14PDZm
-    8536629U,	// VRCP14PDZr
-    1034489U,	// VRCP14PSZm
-    8538361U,	// VRCP14PSZr
-    283313082U,	// VRCP14SDrm
-    811647930U,	// VRCP14SDrr
-    283331110U,	// VRCP14SSrm
-    811649574U,	// VRCP14SSrr
-    1032781U,	// VRCP28PDZm
-    8536653U,	// VRCP28PDZr
-    8548314U,	// VRCP28PDZrb
-    1034513U,	// VRCP28PSZm
-    8538385U,	// VRCP28PSZr
-    8548390U,	// VRCP28PSZrb
-    283313106U,	// VRCP28SDrm
-    811647954U,	// VRCP28SDrr
-    811659264U,	// VRCP28SDrrb
-    283331134U,	// VRCP28SSrm
-    811649598U,	// VRCP28SSrr
-    811659340U,	// VRCP28SSrrb
-    1025246U,	// VRCPPSYm
-    1025246U,	// VRCPPSYm_Int
-    8545502U,	// VRCPPSYr
-    8545502U,	// VRCPPSYr_Int
-    582878U,	// VRCPPSm
-    582878U,	// VRCPPSm_Int
-    8545502U,	// VRCPPSr
-    8545502U,	// VRCPPSr_Int
-    283338452U,	// VRCPSSm
-    283338452U,	// VRCPSSm_Int
-    811656916U,	// VRCPSSr
-    136643228U,	// VRNDSCALEPDZm
-    811647644U,	// VRNDSCALEPDZr
-    136644960U,	// VRNDSCALEPSZm
-    811649376U,	// VRNDSCALEPSZr
-    283313139U,	// VRNDSCALESDm
-    811647987U,	// VRNDSCALESDr
-    283331158U,	// VRNDSCALESSm
-    811649622U,	// VRNDSCALESSr
-    77926902U,	// VROUNDPDm
-    811651574U,	// VROUNDPDr
-    77931578U,	// VROUNDPSm
-    811656250U,	// VROUNDPSr
-    312824851U,	// VROUNDSDm
-    839226387U,	// VROUNDSDr
-    839226387U,	// VROUNDSDr_Int
-    317023911U,	// VROUNDSSm
-    839231143U,	// VROUNDSSr
-    839231143U,	// VROUNDSSr_Int
-    130355702U,	// VROUNDYPDm
-    811651574U,	// VROUNDYPDr
-    130360378U,	// VROUNDYPSm
-    811656250U,	// VROUNDYPSr
-    1032768U,	// VRSQRT14PDZm
-    8536640U,	// VRSQRT14PDZr
-    1034500U,	// VRSQRT14PSZm
-    8538372U,	// VRSQRT14PSZr
-    283313093U,	// VRSQRT14SDrm
-    811647941U,	// VRSQRT14SDrr
-    283331121U,	// VRSQRT14SSrm
-    811649585U,	// VRSQRT14SSrr
-    1032792U,	// VRSQRT28PDZm
-    8536664U,	// VRSQRT28PDZr
-    8548332U,	// VRSQRT28PDZrb
-    1034524U,	// VRSQRT28PSZm
-    8538396U,	// VRSQRT28PSZr
-    8548408U,	// VRSQRT28PSZrb
-    283313117U,	// VRSQRT28SDrm
-    811647965U,	// VRSQRT28SDrr
-    811659282U,	// VRSQRT28SDrrb
-    283331145U,	// VRSQRT28SSrm
-    811649609U,	// VRSQRT28SSrr
-    811659358U,	// VRSQRT28SSrrb
-    1025329U,	// VRSQRTPSYm
-    1025329U,	// VRSQRTPSYm_Int
-    8545585U,	// VRSQRTPSYr
-    8545585U,	// VRSQRTPSYr_Int
-    582961U,	// VRSQRTPSm
-    582961U,	// VRSQRTPSm_Int
-    8545585U,	// VRSQRTPSr
-    8545585U,	// VRSQRTPSr_Int
-    283338477U,	// VRSQRTSSm
-    283338477U,	// VRSQRTSSm_Int
-    811656941U,	// VRSQRTSSr
-    2714059406U,	// VSCATTERDPDZmr
-    2711963986U,	// VSCATTERDPSZmr
-    2714059518U,	// VSCATTERQPDZmr
-    2714061250U,	// VSCATTERQPSZmr
-    88429068U,	// VSHUFPDYrmi
-    839225868U,	// VSHUFPDYrri
-    92619434U,	// VSHUFPDZrmi
-    839221930U,	// VSHUFPDZrri
-    300241420U,	// VSHUFPDrmi
-    839225868U,	// VSHUFPDrri
-    88433744U,	// VSHUFPSYrmi
-    839230544U,	// VSHUFPSYrri
-    92621166U,	// VSHUFPSZrmi
-    839223662U,	// VSHUFPSZrri
-    300246096U,	// VSHUFPSrmi
-    839230544U,	// VSHUFPSrri
-    1020593U,	// VSQRTPDYm
-    8540849U,	// VSQRTPDYr
-    1036977U,	// VSQRTPDZm_Int
-    8540849U,	// VSQRTPDZr_Int
-    1036977U,	// VSQRTPDZrm
-    8540849U,	// VSQRTPDZrr
-    578225U,	// VSQRTPDm
-    8540849U,	// VSQRTPDr
-    1025339U,	// VSQRTPSYm
-    8545595U,	// VSQRTPSYr
-    1041723U,	// VSQRTPSZm_Int
-    8545595U,	// VSQRTPSZr_Int
-    1041723U,	// VSQRTPSZrm
-    8545595U,	// VSQRTPSZrr
-    582971U,	// VSQRTPSm
-    8545595U,	// VSQRTPSr
-    283317338U,	// VSQRTSDZm
-    283317338U,	// VSQRTSDZm_Int
-    811652186U,	// VSQRTSDZr
-    811652186U,	// VSQRTSDZr_Int
-    283317338U,	// VSQRTSDm
-    283317338U,	// VSQRTSDm_Int
-    811652186U,	// VSQRTSDr
-    283338487U,	// VSQRTSSZm
-    283338487U,	// VSQRTSSZm_Int
-    811656951U,	// VSQRTSSZr
-    811656951U,	// VSQRTSSZr_Int
-    283338487U,	// VSQRTSSm
-    283338487U,	// VSQRTSSm_Int
-    811656951U,	// VSQRTSSr
-    287230U,	// VSTMXCSR
-    922025U,	// VSUBPDYrm
-    811651497U,	// VSUBPDYrr
-    934511U,	// VSUBPDZrm
-    350421615U,	// VSUBPDZrmb
-    811647599U,	// VSUBPDZrr
-    811782569U,	// VSUBPDrm
-    811651497U,	// VSUBPDrr
-    926701U,	// VSUBPSYrm
-    811656173U,	// VSUBPSYrr
-    936243U,	// VSUBPSZrm
-    352536883U,	// VSUBPSZrmb
-    811649331U,	// VSUBPSZrr
-    811787245U,	// VSUBPSrm
-    811656173U,	// VSUBPSrr
-    283317230U,	// VSUBSDZrm
-    811652078U,	// VSUBSDZrr
-    283317230U,	// VSUBSDrm
-    283317230U,	// VSUBSDrm_Int
-    811652078U,	// VSUBSDrr
-    811652078U,	// VSUBSDrr_Int
-    283338370U,	// VSUBSSZrm
-    811656834U,	// VSUBSSZrr
-    283338370U,	// VSUBSSrm
-    283338370U,	// VSUBSSrm_Int
-    811656834U,	// VSUBSSrr
-    811656834U,	// VSUBSSrr_Int
-    1020602U,	// VTESTPDYrm
-    8540858U,	// VTESTPDYrr
-    578234U,	// VTESTPDrm
-    8540858U,	// VTESTPDrr
-    1025348U,	// VTESTPSYrm
-    8545604U,	// VTESTPSYrr
-    582980U,	// VTESTPSrm
-    8545604U,	// VTESTPSrr
-    594973U,	// VUCOMISDZrm
-    8541213U,	// VUCOMISDZrr
-    594973U,	// VUCOMISDrm
-    8541213U,	// VUCOMISDrr
-    616113U,	// VUCOMISSZrm
-    8545969U,	// VUCOMISSZrr
-    616113U,	// VUCOMISSrm
-    8545969U,	// VUCOMISSrr
-    922133U,	// VUNPCKHPDYrm
-    811651605U,	// VUNPCKHPDYrr
-    938517U,	// VUNPCKHPDZrm
-    811651605U,	// VUNPCKHPDZrr
-    811782677U,	// VUNPCKHPDrm
-    811651605U,	// VUNPCKHPDrr
-    926809U,	// VUNPCKHPSYrm
-    811656281U,	// VUNPCKHPSYrr
-    943193U,	// VUNPCKHPSZrm
-    811656281U,	// VUNPCKHPSZrr
-    811787353U,	// VUNPCKHPSrm
-    811656281U,	// VUNPCKHPSrr
-    922175U,	// VUNPCKLPDYrm
-    811651647U,	// VUNPCKLPDYrr
-    938559U,	// VUNPCKLPDZrm
-    811651647U,	// VUNPCKLPDZrr
-    811782719U,	// VUNPCKLPDrm
-    811651647U,	// VUNPCKLPDrr
-    926871U,	// VUNPCKLPSYrm
-    811656343U,	// VUNPCKLPSYrr
-    943255U,	// VUNPCKLPSZrm
-    811656343U,	// VUNPCKLPSZrr
-    811787415U,	// VUNPCKLPSrm
-    811656343U,	// VUNPCKLPSrr
-    922271U,	// VXORPDYrm
-    811651743U,	// VXORPDYrr
-    811782815U,	// VXORPDrm
-    811651743U,	// VXORPDrr
-    926984U,	// VXORPSYrm
-    811656456U,	// VXORPSYrr
-    811787528U,	// VXORPSrm
-    811656456U,	// VXORPSrr
-    14505U,	// VZEROALL
-    14778U,	// VZEROUPPER
+    25501018U,	// VPCMPISTRM128rm
+    811654490U,	// VPCMPISTRM128rr
+    3347724367U,	// VPCMPQZrmi
+    354771271U,	// VPCMPQZrmi_alt
+    124870983U,	// VPCMPQZrmik_alt
+    1468692559U,	// VPCMPQZrri
+    839229767U,	// VPCMPQZrri_alt
+    839508295U,	// VPCMPQZrrik_alt
+    3349821519U,	// VPCMPUDZrmi
+    354768549U,	// VPCMPUDZrmi_alt
+    124868261U,	// VPCMPUDZrmik_alt
+    1470789711U,	// VPCMPUDZrri
+    839227045U,	// VPCMPUDZrri_alt
+    839505573U,	// VPCMPUDZrrik_alt
+    3351918671U,	// VPCMPUQZrmi
+    354771678U,	// VPCMPUQZrmi_alt
+    124871390U,	// VPCMPUQZrmik_alt
+    1472886863U,	// VPCMPUQZrri
+    839230174U,	// VPCMPUQZrri_alt
+    839508702U,	// VPCMPUQZrrik_alt
+    342183761U,	// VPCOMBmi
+    839225169U,	// VPCOMBri
+    342184421U,	// VPCOMDmi
+    839225829U,	// VPCOMDri
+    342188304U,	// VPCOMQmi
+    839229712U,	// VPCOMQri
+    342184024U,	// VPCOMUBmi
+    839225432U,	// VPCOMUBri
+    342185619U,	// VPCOMUDmi
+    839227027U,	// VPCOMUDri
+    342188757U,	// VPCOMUQmi
+    839230165U,	// VPCOMUQri
+    342191654U,	// VPCOMUWmi
+    839233062U,	// VPCOMUWri
+    342191131U,	// VPCOMWmi
+    839232539U,	// VPCOMWri
+    1033483U,	// VPCONFLICTDrm
+    620889355U,	// VPCONFLICTDrmb
+    814941451U,	// VPCONFLICTDrmbk
+    620840203U,	// VPCONFLICTDrmbkz
+    814908683U,	// VPCONFLICTDrmk
+    814925067U,	// VPCONFLICTDrmkz
+    3498198283U,	// VPCONFLICTDrr
+    814056715U,	// VPCONFLICTDrrk
+    813745419U,	// VPCONFLICTDrrkz
+    1034420U,	// VPCONFLICTQrm
+    618825908U,	// VPCONFLICTQrmb
+    814975156U,	// VPCONFLICTQrmbk
+    618760372U,	// VPCONFLICTQrmbkz
+    814909620U,	// VPCONFLICTQrmk
+    814926004U,	// VPCONFLICTQrmkz
+    3498199220U,	// VPCONFLICTQrr
+    814057652U,	// VPCONFLICTQrrk
+    813746356U,	// VPCONFLICTQrrkz
+    90525185U,	// VPERM2F128rm
+    839224833U,	// VPERM2F128rr
+    90525240U,	// VPERM2I128rm
+    839224888U,	// VPERM2I128rr
+    812601837U,	// VPERMDYrm
+    811651565U,	// VPERMDYrr
+    812826981U,	// VPERMDZrm
+    811647333U,	// VPERMDZrr
+    840073376U,	// VPERMI2Drm
+    120799392U,	// VPERMI2Drmk
+    133382304U,	// VPERMI2Drmkz
+    839221408U,	// VPERMI2Drr
+    814334112U,	// VPERMI2Drrk
+    814334112U,	// VPERMI2Drrkz
+    840073714U,	// VPERMI2PDrm
+    120799730U,	// VPERMI2PDrmk
+    133382642U,	// VPERMI2PDrmkz
+    839221746U,	// VPERMI2PDrr
+    814334450U,	// VPERMI2PDrrk
+    814334450U,	// VPERMI2PDrrkz
+    840075689U,	// VPERMI2PSrm
+    120801705U,	// VPERMI2PSrmk
+    133384617U,	// VPERMI2PSrmkz
+    839223721U,	// VPERMI2PSrr
+    814336425U,	// VPERMI2PSrrk
+    814336425U,	// VPERMI2PSrrkz
+    840074871U,	// VPERMI2Qrm
+    120800887U,	// VPERMI2Qrmk
+    133383799U,	// VPERMI2Qrmkz
+    839222903U,	// VPERMI2Qrr
+    814335607U,	// VPERMI2Qrrk
+    814335607U,	// VPERMI2Qrrkz
+    1209094850U,	// VPERMIL2PDmr
+    1209094850U,	// VPERMIL2PDmrY
+    137450178U,	// VPERMIL2PDrm
+    139547330U,	// VPERMIL2PDrmY
+    839504578U,	// VPERMIL2PDrr
+    839504578U,	// VPERMIL2PDrrY
+    1209099545U,	// VPERMIL2PSmr
+    1209099545U,	// VPERMIL2PSmrY
+    137454873U,	// VPERMIL2PSrm
+    139552025U,	// VPERMIL2PSrmY
+    839509273U,	// VPERMIL2PSrr
+    839509273U,	// VPERMIL2PSrrY
+    140841996U,	// VPERMILPDYmi
+    811652108U,	// VPERMILPDYri
+    812602380U,	// VPERMILPDYrm
+    811652108U,	// VPERMILPDYrr
+    142934850U,	// VPERMILPDZmi
+    811647810U,	// VPERMILPDZri
+    77927436U,	// VPERMILPDmi
+    811652108U,	// VPERMILPDri
+    811897868U,	// VPERMILPDrm
+    811652108U,	// VPERMILPDrr
+    140846692U,	// VPERMILPSYmi
+    811656804U,	// VPERMILPSYri
+    812607076U,	// VPERMILPSYrm
+    811656804U,	// VPERMILPSYrr
+    142936813U,	// VPERMILPSZmi
+    811649773U,	// VPERMILPSZri
+    77932132U,	// VPERMILPSmi
+    811656804U,	// VPERMILPSri
+    811902564U,	// VPERMILPSrm
+    811656804U,	// VPERMILPSrr
+    145036347U,	// VPERMPDYmi
+    811652155U,	// VPERMPDYri
+    147129187U,	// VPERMPDZmi
+    811647843U,	// VPERMPDZri
+    812532579U,	// VPERMPDZrm
+    811647843U,	// VPERMPDZrr
+    812607132U,	// VPERMPSYrm
+    811656860U,	// VPERMPSYrr
+    812534542U,	// VPERMPSZrm
+    811649806U,	// VPERMPSZrr
+    145039640U,	// VPERMQYmi
+    811655448U,	// VPERMQYri
+    142936060U,	// VPERMQZmi
+    811649020U,	// VPERMQZri
+    812828668U,	// VPERMQZrm
+    811649020U,	// VPERMQZrr
+    840073387U,	// VPERMT2Drm
+    120799403U,	// VPERMT2Drmk
+    133382315U,	// VPERMT2Drmkz
+    839221419U,	// VPERMT2Drr
+    814334123U,	// VPERMT2Drrk
+    814334123U,	// VPERMT2Drrkz
+    840073763U,	// VPERMT2PDrm
+    120799779U,	// VPERMT2PDrmk
+    133382691U,	// VPERMT2PDrmkz
+    839221795U,	// VPERMT2PDrr
+    814334499U,	// VPERMT2PDrrk
+    814334499U,	// VPERMT2PDrrkz
+    840075726U,	// VPERMT2PSrm
+    120801742U,	// VPERMT2PSrmk
+    133384654U,	// VPERMT2PSrmkz
+    839223758U,	// VPERMT2PSrr
+    814336462U,	// VPERMT2PSrrk
+    814336462U,	// VPERMT2PSrrkz
+    840074882U,	// VPERMT2Qrm
+    120800898U,	// VPERMT2Qrmk
+    133383810U,	// VPERMT2Qrmkz
+    839222914U,	// VPERMT2Qrr
+    814335618U,	// VPERMT2Qrrk
+    814335618U,	// VPERMT2Qrrkz
+    3808759719U,	// VPEXTRBmr
+    811650983U,	// VPEXTRBrr
+    4077196531U,	// VPEXTRDmr
+    811652339U,	// VPEXTRDrr
+    50667988U,	// VPEXTRQmr
+    811655636U,	// VPEXTRQrr
+    319106250U,	// VPEXTRWmr
+    811658442U,	// VPEXTRWri
+    811658442U,	// VPEXTRWrr_REV
+    108089702U,	// VPGATHERDDYrm
+    552714508U,	// VPGATHERDDZrm
+    108089702U,	// VPGATHERDDrm
+    105996191U,	// VPGATHERDQYrm
+    552699715U,	// VPGATHERDQZrm
+    105996191U,	// VPGATHERDQrm
+    108090590U,	// VPGATHERQDYrm
+    552698873U,	// VPGATHERQDZrm
+    108090590U,	// VPGATHERQDrm
+    105996656U,	// VPGATHERQQYrm
+    552699960U,	// VPGATHERQQZrm
+    105996656U,	// VPGATHERQQrm
+    315656U,	// VPHADDBDrm
+    8540424U,	// VPHADDBDrr
+    319079U,	// VPHADDBQrm
+    8543847U,	// VPHADDBQrr
+    322112U,	// VPHADDBWrm
+    8546880U,	// VPHADDBWrr
+    319267U,	// VPHADDDQrm
+    8544035U,	// VPHADDDQrr
+    812601675U,	// VPHADDDYrm
+    811651403U,	// VPHADDDYrr
+    811897163U,	// VPHADDDrm
+    811651403U,	// VPHADDDrr
+    811904266U,	// VPHADDSWrm128
+    812608778U,	// VPHADDSWrm256
+    811658506U,	// VPHADDSWrr128
+    811658506U,	// VPHADDSWrr256
+    315666U,	// VPHADDUBDrm
+    8540434U,	// VPHADDUBDrr
+    319097U,	// VPHADDUBQrm
+    8543865U,	// VPHADDUBQrr
+    322154U,	// VPHADDUBWrm
+    8546922U,	// VPHADDUBWrr
+    319445U,	// VPHADDUDQrm
+    8544213U,	// VPHADDUDQrr
+    317255U,	// VPHADDUWDrm
+    8542023U,	// VPHADDUWDrr
+    320300U,	// VPHADDUWQrm
+    8545068U,	// VPHADDUWQrr
+    317167U,	// VPHADDWDrm
+    8541935U,	// VPHADDWDrr
+    320275U,	// VPHADDWQrm
+    8545043U,	// VPHADDWQrr
+    812608211U,	// VPHADDWYrm
+    811657939U,	// VPHADDWYrr
+    811903699U,	// VPHADDWrm
+    811657939U,	// VPHADDWrr
+    323128U,	// VPHMINPOSUWrm128
+    8547896U,	// VPHMINPOSUWrr128
+    322083U,	// VPHSUBBWrm
+    8546851U,	// VPHSUBBWrr
+    319242U,	// VPHSUBDQrm
+    8544010U,	// VPHSUBDQrr
+    812601629U,	// VPHSUBDYrm
+    811651357U,	// VPHSUBDYrr
+    811897117U,	// VPHSUBDrm
+    811651357U,	// VPHSUBDrr
+    811904247U,	// VPHSUBSWrm128
+    812608759U,	// VPHSUBSWrm256
+    811658487U,	// VPHSUBSWrr128
+    811658487U,	// VPHSUBSWrr256
+    317157U,	// VPHSUBWDrm
+    8541925U,	// VPHSUBWDrr
+    812608117U,	// VPHSUBWYrm
+    811657845U,	// VPHSUBWYrr
+    811903605U,	// VPHSUBWrm
+    811657845U,	// VPHSUBWrr
+    866471838U,	// VPINSRBrm
+    839225246U,	// VPINSRBrr
+    862278890U,	// VPINSRDrm
+    839226602U,	// VPINSRDrr
+    864379319U,	// VPINSRQrm
+    839229879U,	// VPINSRQrr
+    860187823U,	// VPINSRWrmi
+    839232687U,	// VPINSRWrri
+    1033508U,	// VPLZCNTDrm
+    620889380U,	// VPLZCNTDrmb
+    814941476U,	// VPLZCNTDrmbk
+    620840228U,	// VPLZCNTDrmbkz
+    814908708U,	// VPLZCNTDrmk
+    814925092U,	// VPLZCNTDrmkz
+    3498198308U,	// VPLZCNTDrr
+    814056740U,	// VPLZCNTDrrk
+    813745444U,	// VPLZCNTDrrkz
+    1034445U,	// VPLZCNTQrm
+    618825933U,	// VPLZCNTQrmb
+    814975181U,	// VPLZCNTQrmbk
+    618760397U,	// VPLZCNTQrmbkz
+    814909645U,	// VPLZCNTQrmk
+    814926029U,	// VPLZCNTQrmkz
+    3498199245U,	// VPLZCNTQrr
+    814057677U,	// VPLZCNTQrrk
+    813746381U,	// VPLZCNTQrrkz
+    342184306U,	// VPMACSDDrm
+    839225714U,	// VPMACSDDrr
+    342186041U,	// VPMACSDQHrm
+    839227449U,	// VPMACSDQHrr
+    342186864U,	// VPMACSDQLrm
+    839228272U,	// VPMACSDQLrr
+    342184316U,	// VPMACSSDDrm
+    839225724U,	// VPMACSSDDrr
+    342186052U,	// VPMACSSDQHrm
+    839227460U,	// VPMACSSDQHrr
+    342186875U,	// VPMACSSDQLrm
+    839228283U,	// VPMACSSDQLrr
+    342185776U,	// VPMACSSWDrm
+    839227184U,	// VPMACSSWDrr
+    342191731U,	// VPMACSSWWrm
+    839233139U,	// VPMACSSWWrr
+    342185755U,	// VPMACSWDrm
+    839227163U,	// VPMACSWDrr
+    342191707U,	// VPMACSWWrm
+    839233115U,	// VPMACSWWrr
+    342185787U,	// VPMADCSSWDrm
+    839227195U,	// VPMADCSSWDrr
+    342185765U,	// VPMADCSWDrm
+    839227173U,	// VPMADCSWDrr
+    811904235U,	// VPMADDUBSWrm128
+    812608747U,	// VPMADDUBSWrm256
+    811658475U,	// VPMADDUBSWrr128
+    811658475U,	// VPMADDUBSWrr256
+    812603129U,	// VPMADDWDYrm
+    811652857U,	// VPMADDWDYrr
+    811898617U,	// VPMADDWDrm
+    811652857U,	// VPMADDWDrr
+    2735019730U,	// VPMASKMOVDYmr
+    812603090U,	// VPMASKMOVDYrm
+    2466584274U,	// VPMASKMOVDmr
+    811898578U,	// VPMASKMOVDrm
+    2735022848U,	// VPMASKMOVQYmr
+    812606208U,	// VPMASKMOVQYrm
+    2466587392U,	// VPMASKMOVQmr
+    811901696U,	// VPMASKMOVQrm
+    812601372U,	// VPMAXSBYrm
+    811651100U,	// VPMAXSBYrr
+    811896860U,	// VPMAXSBrm
+    811651100U,	// VPMAXSBrr
+    812602969U,	// VPMAXSDYrm
+    811652697U,	// VPMAXSDYrr
+    812827905U,	// VPMAXSDZrm
+    352404737U,	// VPMAXSDZrmb
+    3037840641U,	// VPMAXSDZrmbk
+    840107265U,	// VPMAXSDZrmbkz
+    120800513U,	// VPMAXSDZrmk
+    840074497U,	// VPMAXSDZrmkz
+    811648257U,	// VPMAXSDZrr
+    814335233U,	// VPMAXSDZrrk
+    839222529U,	// VPMAXSDZrrkz
+    811898457U,	// VPMAXSDrm
+    811652697U,	// VPMAXSDrr
+    812828842U,	// VPMAXSQZrm
+    350324906U,	// VPMAXSQZrmb
+    3035728042U,	// VPMAXSQZrmbk
+    840140970U,	// VPMAXSQZrmbkz
+    120801450U,	// VPMAXSQZrmk
+    840075434U,	// VPMAXSQZrmkz
+    811649194U,	// VPMAXSQZrr
+    814336170U,	// VPMAXSQZrrk
+    839223466U,	// VPMAXSQZrrkz
+    812608919U,	// VPMAXSWYrm
+    811658647U,	// VPMAXSWYrr
+    811904407U,	// VPMAXSWrm
+    811658647U,	// VPMAXSWrr
+    812601457U,	// VPMAXUBYrm
+    811651185U,	// VPMAXUBYrr
+    811896945U,	// VPMAXUBrm
+    811651185U,	// VPMAXUBrr
+    812603054U,	// VPMAXUDYrm
+    811652782U,	// VPMAXUDYrr
+    812827984U,	// VPMAXUDZrm
+    352404816U,	// VPMAXUDZrmb
+    3037840720U,	// VPMAXUDZrmbk
+    840107344U,	// VPMAXUDZrmbkz
+    120800592U,	// VPMAXUDZrmk
+    840074576U,	// VPMAXUDZrmkz
+    811648336U,	// VPMAXUDZrr
+    814335312U,	// VPMAXUDZrrk
+    839222608U,	// VPMAXUDZrrkz
+    811898542U,	// VPMAXUDrm
+    811652782U,	// VPMAXUDrr
+    812828921U,	// VPMAXUQZrm
+    350324985U,	// VPMAXUQZrmb
+    3035728121U,	// VPMAXUQZrmbk
+    840141049U,	// VPMAXUQZrmbkz
+    120801529U,	// VPMAXUQZrmk
+    840075513U,	// VPMAXUQZrmkz
+    811649273U,	// VPMAXUQZrr
+    814336249U,	// VPMAXUQZrrk
+    839223545U,	// VPMAXUQZrrkz
+    812609093U,	// VPMAXUWYrm
+    811658821U,	// VPMAXUWYrr
+    811904581U,	// VPMAXUWrm
+    811658821U,	// VPMAXUWrr
+    812601313U,	// VPMINSBYrm
+    811651041U,	// VPMINSBYrr
+    811896801U,	// VPMINSBrm
+    811651041U,	// VPMINSBrr
+    812602896U,	// VPMINSDYrm
+    811652624U,	// VPMINSDYrr
+    812827871U,	// VPMINSDZrm
+    352404703U,	// VPMINSDZrmb
+    3037840607U,	// VPMINSDZrmbk
+    840107231U,	// VPMINSDZrmbkz
+    120800479U,	// VPMINSDZrmk
+    840074463U,	// VPMINSDZrmkz
+    811648223U,	// VPMINSDZrr
+    814335199U,	// VPMINSDZrrk
+    839222495U,	// VPMINSDZrrkz
+    811898384U,	// VPMINSDrm
+    811652624U,	// VPMINSDrr
+    812828805U,	// VPMINSQZrm
+    350324869U,	// VPMINSQZrmb
+    3035728005U,	// VPMINSQZrmbk
+    840140933U,	// VPMINSQZrmbkz
+    120801413U,	// VPMINSQZrmk
+    840075397U,	// VPMINSQZrmkz
+    811649157U,	// VPMINSQZrr
+    814336133U,	// VPMINSQZrrk
+    839223429U,	// VPMINSQZrrkz
+    812608828U,	// VPMINSWYrm
+    811658556U,	// VPMINSWYrr
+    811904316U,	// VPMINSWrm
+    811658556U,	// VPMINSWrr
+    812601441U,	// VPMINUBYrm
+    811651169U,	// VPMINUBYrr
+    811896929U,	// VPMINUBrm
+    811651169U,	// VPMINUBrr
+    812603036U,	// VPMINUDYrm
+    811652764U,	// VPMINUDYrr
+    812827974U,	// VPMINUDZrm
+    352404806U,	// VPMINUDZrmb
+    3037840710U,	// VPMINUDZrmbk
+    840107334U,	// VPMINUDZrmbkz
+    120800582U,	// VPMINUDZrmk
+    840074566U,	// VPMINUDZrmkz
+    811648326U,	// VPMINUDZrr
+    814335302U,	// VPMINUDZrrk
+    839222598U,	// VPMINUDZrrkz
+    811898524U,	// VPMINUDrm
+    811652764U,	// VPMINUDrr
+    812828911U,	// VPMINUQZrm
+    350324975U,	// VPMINUQZrmb
+    3035728111U,	// VPMINUQZrmbk
+    840141039U,	// VPMINUQZrmbkz
+    120801519U,	// VPMINUQZrmk
+    840075503U,	// VPMINUQZrmkz
+    811649263U,	// VPMINUQZrr
+    814336239U,	// VPMINUQZrrk
+    839223535U,	// VPMINUQZrrkz
+    812609071U,	// VPMINUWYrm
+    811658799U,	// VPMINUWYrr
+    811904559U,	// VPMINUWrm
+    811658799U,	// VPMINUWrr
+    67141700U,	// VPMOVDBmr
+    872742980U,	// VPMOVDBmrk
+    8536132U,	// VPMOVDBrr
+    813744196U,	// VPMOVDBrrk
+    813744196U,	// VPMOVDBrrkz
+    115379283U,	// VPMOVDWmr
+    920980563U,	// VPMOVDWmrk
+    8539219U,	// VPMOVDWrr
+    813747283U,	// VPMOVDWrrk
+    813747283U,	// VPMOVDWrrkz
+    8539941U,	// VPMOVMSKBYrr
+    8539941U,	// VPMOVMSKBrr
+    67141742U,	// VPMOVQBmr
+    872743022U,	// VPMOVQBmrk
+    8536174U,	// VPMOVQBrr
+    813744238U,	// VPMOVQBrrk
+    813744238U,	// VPMOVQBrrkz
+    115377195U,	// VPMOVQDmr
+    920978475U,	// VPMOVQDmrk
+    8537131U,	// VPMOVQDrr
+    813745195U,	// VPMOVQDrrk
+    813745195U,	// VPMOVQDrrkz
+    67144840U,	// VPMOVQWmr
+    872746120U,	// VPMOVQWmrk
+    8539272U,	// VPMOVQWrr
+    813747336U,	// VPMOVQWrrk
+    813747336U,	// VPMOVQWrrkz
+    67141689U,	// VPMOVSDBmr
+    872742969U,	// VPMOVSDBmrk
+    8536121U,	// VPMOVSDBrr
+    813744185U,	// VPMOVSDBrrk
+    813744185U,	// VPMOVSDBrrkz
+    115379272U,	// VPMOVSDWmr
+    920980552U,	// VPMOVSDWmrk
+    8539208U,	// VPMOVSDWrr
+    813747272U,	// VPMOVSDWrrk
+    813747272U,	// VPMOVSDWrrkz
+    67141731U,	// VPMOVSQBmr
+    872743011U,	// VPMOVSQBmrk
+    8536163U,	// VPMOVSQBrr
+    813744227U,	// VPMOVSQBrrk
+    813744227U,	// VPMOVSQBrrkz
+    115377184U,	// VPMOVSQDmr
+    920978464U,	// VPMOVSQDmrk
+    8537120U,	// VPMOVSQDrr
+    813745184U,	// VPMOVSQDrrk
+    813745184U,	// VPMOVSQDrrkz
+    67144829U,	// VPMOVSQWmr
+    872746109U,	// VPMOVSQWmrk
+    8539261U,	// VPMOVSQWrr
+    813747325U,	// VPMOVSQWrrk
+    813747325U,	// VPMOVSQWrrkz
+    551686446U,	// VPMOVSXBDYrm
+    8540462U,	// VPMOVSXBDYrr
+    311514U,	// VPMOVSXBDZrm
+    813990106U,	// VPMOVSXBDZrmk
+    813990106U,	// VPMOVSXBDZrmkz
+    8536282U,	// VPMOVSXBDZrr
+    813744346U,	// VPMOVSXBDZrrk
+    813744346U,	// VPMOVSXBDZrrkz
+    551686446U,	// VPMOVSXBDrm
+    8540462U,	// VPMOVSXBDrr
+    384660U,	// VPMOVSXBQYrm
+    8543892U,	// VPMOVSXBQYrr
+    312991U,	// VPMOVSXBQZrm
+    813991583U,	// VPMOVSXBQZrmk
+    813991583U,	// VPMOVSXBQZrmkz
+    8537759U,	// VPMOVSXBQZrr
+    813745823U,	// VPMOVSXBQZrrk
+    813745823U,	// VPMOVSXBQZrrkz
+    384660U,	// VPMOVSXBQrm
+    8543892U,	// VPMOVSXBQrr
+    322190U,	// VPMOVSXBWYrm
+    8546958U,	// VPMOVSXBWYrr
+    551725710U,	// VPMOVSXBWrm
+    8546958U,	// VPMOVSXBWrr
+    319466U,	// VPMOVSXDQYrm
+    8544234U,	// VPMOVSXDQYrr
+    1017786U,	// VPMOVSXDQZrm
+    814696378U,	// VPMOVSXDQZrmk
+    814696378U,	// VPMOVSXDQZrmkz
+    8538042U,	// VPMOVSXDQZrr
+    813746106U,	// VPMOVSXDQZrrk
+    813746106U,	// VPMOVSXDQZrrkz
+    551722986U,	// VPMOVSXDQrm
+    8544234U,	// VPMOVSXDQrr
+    317266U,	// VPMOVSXWDYrm
+    8542034U,	// VPMOVSXWDYrr
+    1017216U,	// VPMOVSXWDZrm
+    814695808U,	// VPMOVSXWDZrmk
+    814695808U,	// VPMOVSXWDZrmkz
+    8537472U,	// VPMOVSXWDZrr
+    813745536U,	// VPMOVSXWDZrrk
+    813745536U,	// VPMOVSXWDZrrkz
+    551720786U,	// VPMOVSXWDrm
+    8542034U,	// VPMOVSXWDrr
+    551691063U,	// VPMOVSXWQYrm
+    8545079U,	// VPMOVSXWQYrr
+    313641U,	// VPMOVSXWQZrm
+    813992233U,	// VPMOVSXWQZrmk
+    813992233U,	// VPMOVSXWQZrmkz
+    8538409U,	// VPMOVSXWQZrr
+    813746473U,	// VPMOVSXWQZrrk
+    813746473U,	// VPMOVSXWQZrrkz
+    551691063U,	// VPMOVSXWQrm
+    8545079U,	// VPMOVSXWQrr
+    67141677U,	// VPMOVUSDBmr
+    872742957U,	// VPMOVUSDBmrk
+    8536109U,	// VPMOVUSDBrr
+    813744173U,	// VPMOVUSDBrrk
+    813744173U,	// VPMOVUSDBrrkz
+    115379260U,	// VPMOVUSDWmr
+    920980540U,	// VPMOVUSDWmrk
+    8539196U,	// VPMOVUSDWrr
+    813747260U,	// VPMOVUSDWrrk
+    813747260U,	// VPMOVUSDWrrkz
+    67141719U,	// VPMOVUSQBmr
+    872742999U,	// VPMOVUSQBmrk
+    8536151U,	// VPMOVUSQBrr
+    813744215U,	// VPMOVUSQBrrk
+    813744215U,	// VPMOVUSQBrrkz
+    115377172U,	// VPMOVUSQDmr
+    920978452U,	// VPMOVUSQDmrk
+    8537108U,	// VPMOVUSQDrr
+    813745172U,	// VPMOVUSQDrrk
+    813745172U,	// VPMOVUSQDrrkz
+    67144817U,	// VPMOVUSQWmr
+    872746097U,	// VPMOVUSQWmrk
+    8539249U,	// VPMOVUSQWrr
+    813747313U,	// VPMOVUSQWrrk
+    813747313U,	// VPMOVUSQWrrkz
+    551686457U,	// VPMOVZXBDYrm
+    8540473U,	// VPMOVZXBDYrr
+    311526U,	// VPMOVZXBDZrm
+    813990118U,	// VPMOVZXBDZrmk
+    813990118U,	// VPMOVZXBDZrmkz
+    8536294U,	// VPMOVZXBDZrr
+    813744358U,	// VPMOVZXBDZrrk
+    813744358U,	// VPMOVZXBDZrrkz
+    551686457U,	// VPMOVZXBDrm
+    8540473U,	// VPMOVZXBDrr
+    384671U,	// VPMOVZXBQYrm
+    8543903U,	// VPMOVZXBQYrr
+    313003U,	// VPMOVZXBQZrm
+    813991595U,	// VPMOVZXBQZrmk
+    813991595U,	// VPMOVZXBQZrmkz
+    8537771U,	// VPMOVZXBQZrr
+    813745835U,	// VPMOVZXBQZrrk
+    813745835U,	// VPMOVZXBQZrrkz
+    384671U,	// VPMOVZXBQrm
+    8543903U,	// VPMOVZXBQrr
+    322201U,	// VPMOVZXBWYrm
+    8546969U,	// VPMOVZXBWYrr
+    551725721U,	// VPMOVZXBWrm
+    8546969U,	// VPMOVZXBWrr
+    319477U,	// VPMOVZXDQYrm
+    8544245U,	// VPMOVZXDQYrr
+    1017798U,	// VPMOVZXDQZrm
+    814696390U,	// VPMOVZXDQZrmk
+    814696390U,	// VPMOVZXDQZrmkz
+    8538054U,	// VPMOVZXDQZrr
+    813746118U,	// VPMOVZXDQZrrk
+    813746118U,	// VPMOVZXDQZrrkz
+    551722997U,	// VPMOVZXDQrm
+    8544245U,	// VPMOVZXDQrr
+    317277U,	// VPMOVZXWDYrm
+    8542045U,	// VPMOVZXWDYrr
+    1017228U,	// VPMOVZXWDZrm
+    814695820U,	// VPMOVZXWDZrmk
+    814695820U,	// VPMOVZXWDZrmkz
+    8537484U,	// VPMOVZXWDZrr
+    813745548U,	// VPMOVZXWDZrrk
+    813745548U,	// VPMOVZXWDZrrkz
+    551720797U,	// VPMOVZXWDrm
+    8542045U,	// VPMOVZXWDrr
+    551691074U,	// VPMOVZXWQYrm
+    8545090U,	// VPMOVZXWQYrr
+    313653U,	// VPMOVZXWQZrm
+    813992245U,	// VPMOVZXWQZrmk
+    813992245U,	// VPMOVZXWQZrmkz
+    8538421U,	// VPMOVZXWQZrr
+    813746485U,	// VPMOVZXWQZrrk
+    813746485U,	// VPMOVZXWQZrrkz
+    551691074U,	// VPMOVZXWQrm
+    8545090U,	// VPMOVZXWQrr
+    812605287U,	// VPMULDQYrm
+    811655015U,	// VPMULDQYrr
+    812828428U,	// VPMULDQZrm
+    350324492U,	// VPMULDQZrmb
+    840140556U,	// VPMULDQZrmbk
+    840140556U,	// VPMULDQZrmbkz
+    840075020U,	// VPMULDQZrmk
+    840075020U,	// VPMULDQZrmkz
+    811648780U,	// VPMULDQZrr
+    839223052U,	// VPMULDQZrrk
+    839223052U,	// VPMULDQZrrkz
+    811900775U,	// VPMULDQrm
+    811655015U,	// VPMULDQrr
+    811904341U,	// VPMULHRSWrm128
+    812608853U,	// VPMULHRSWrm256
+    811658581U,	// VPMULHRSWrr128
+    811658581U,	// VPMULHRSWrr256
+    812609052U,	// VPMULHUWYrm
+    811658780U,	// VPMULHUWYrr
+    811904540U,	// VPMULHUWrm
+    811658780U,	// VPMULHUWrr
+    812608426U,	// VPMULHWYrm
+    811658154U,	// VPMULHWYrr
+    811903914U,	// VPMULHWrm
+    811658154U,	// VPMULHWrr
+    812601803U,	// VPMULLDYrm
+    811651531U,	// VPMULLDYrr
+    812826938U,	// VPMULLDZrm
+    352403770U,	// VPMULLDZrmb
+    3037839674U,	// VPMULLDZrmbk
+    840106298U,	// VPMULLDZrmbkz
+    120799546U,	// VPMULLDZrmk
+    840073530U,	// VPMULLDZrmkz
+    811647290U,	// VPMULLDZrr
+    814334266U,	// VPMULLDZrrk
+    839221562U,	// VPMULLDZrrkz
+    811897291U,	// VPMULLDrm
+    811651531U,	// VPMULLDrr
+    812608495U,	// VPMULLWYrm
+    811658223U,	// VPMULLWYrr
+    811903983U,	// VPMULLWrm
+    811658223U,	// VPMULLWrr
+    812605408U,	// VPMULUDQYrm
+    811655136U,	// VPMULUDQYrr
+    812828591U,	// VPMULUDQZrm
+    350324655U,	// VPMULUDQZrmb
+    840140719U,	// VPMULUDQZrmbk
+    840140719U,	// VPMULUDQZrmbkz
+    840075183U,	// VPMULUDQZrmk
+    840075183U,	// VPMULUDQZrmkz
+    811648943U,	// VPMULUDQZrr
+    839223215U,	// VPMULUDQZrrk
+    839223215U,	// VPMULUDQZrrkz
+    811900896U,	// VPMULUDQrm
+    811655136U,	// VPMULUDQrr
+    812827717U,	// VPORDZrm
+    352404549U,	// VPORDZrmb
+    3037840453U,	// VPORDZrmbk
+    840107077U,	// VPORDZrmbkz
+    120800325U,	// VPORDZrmk
+    840074309U,	// VPORDZrmkz
+    811648069U,	// VPORDZrr
+    814335045U,	// VPORDZrrk
+    839222341U,	// VPORDZrrkz
+    812828771U,	// VPORQZrm
+    350324835U,	// VPORQZrmb
+    3035727971U,	// VPORQZrmbk
+    840140899U,	// VPORQZrmbkz
+    120801379U,	// VPORQZrmk
+    840075363U,	// VPORQZrmkz
+    811649123U,	// VPORQZrr
+    814336099U,	// VPORQZrrk
+    839223395U,	// VPORQZrrkz
+    812606376U,	// VPORYrm
+    811656104U,	// VPORYrr
+    811901864U,	// VPORrm
+    811656104U,	// VPORrr
+    342187334U,	// VPPERMmr
+    840047942U,	// VPPERMrm
+    839228742U,	// VPPERMrr
+    25497659U,	// VPROTBmi
+    25497659U,	// VPROTBmr
+    811651131U,	// VPROTBri
+    811896891U,	// VPROTBrm
+    811651131U,	// VPROTBrr
+    25499261U,	// VPROTDmi
+    25499261U,	// VPROTDmr
+    811652733U,	// VPROTDri
+    811898493U,	// VPROTDrm
+    811652733U,	// VPROTDrr
+    25502365U,	// VPROTQmi
+    25502365U,	// VPROTQmr
+    811655837U,	// VPROTQri
+    811901597U,	// VPROTQrm
+    811655837U,	// VPROTQrr
+    25505279U,	// VPROTWmi
+    25505279U,	// VPROTWmr
+    811658751U,	// VPROTWri
+    811904511U,	// VPROTWrm
+    811658751U,	// VPROTWrr
+    812608055U,	// VPSADBWYrm
+    811657783U,	// VPSADBWYrr
+    811903543U,	// VPSADBWrm
+    811657783U,	// VPSADBWrr
+    832913689U,	// VPSCATTERDDZmr
+    835012432U,	// VPSCATTERDQZmr
+    835011590U,	// VPSCATTERQDZmr
+    835012677U,	// VPSCATTERQQZmr
+    25497283U,	// VPSHABmr
+    811896515U,	// VPSHABrm
+    811650755U,	// VPSHABrr
+    25497848U,	// VPSHADmr
+    811897080U,	// VPSHADrm
+    811651320U,	// VPSHADrr
+    25501265U,	// VPSHAQmr
+    811900497U,	// VPSHAQrm
+    811654737U,	// VPSHAQrr
+    25504261U,	// VPSHAWmr
+    811903493U,	// VPSHAWrm
+    811657733U,	// VPSHAWrr
+    25497404U,	// VPSHLBmr
+    811896636U,	// VPSHLBrm
+    811650876U,	// VPSHLBrr
+    25498043U,	// VPSHLDmr
+    811897275U,	// VPSHLDrm
+    811651515U,	// VPSHLDrr
+    25501902U,	// VPSHLQmr
+    811901134U,	// VPSHLQrm
+    811655374U,	// VPSHLQrr
+    25504727U,	// VPSHLWmr
+    811903959U,	// VPSHLWrm
+    811658199U,	// VPSHLWrr
+    812601088U,	// VPSHUFBYrm
+    811650816U,	// VPSHUFBYrr
+    811896576U,	// VPSHUFBrm
+    811650816U,	// VPSHUFBrr
+    145035662U,	// VPSHUFDYmi
+    811651470U,	// VPSHUFDYri
+    142934311U,	// VPSHUFDZmi
+    811647271U,	// VPSHUFDZri
+    25497998U,	// VPSHUFDmi
+    811651470U,	// VPSHUFDri
+    145042336U,	// VPSHUFHWYmi
+    811658144U,	// VPSHUFHWYri
+    25504672U,	// VPSHUFHWmi
+    811658144U,	// VPSHUFHWri
+    145042381U,	// VPSHUFLWYmi
+    811658189U,	// VPSHUFLWYri
+    25504717U,	// VPSHUFLWmi
+    811658189U,	// VPSHUFLWri
+    812601177U,	// VPSIGNBYrm
+    811650905U,	// VPSIGNBYrr
+    811896665U,	// VPSIGNBrm
+    811650905U,	// VPSIGNBrr
+    812601852U,	// VPSIGNDYrm
+    811651580U,	// VPSIGNDYrr
+    811897340U,	// VPSIGNDrm
+    811651580U,	// VPSIGNDrr
+    812608547U,	// VPSIGNWYrm
+    811658275U,	// VPSIGNWYrr
+    811904035U,	// VPSIGNWrm
+    811658275U,	// VPSIGNWrr
+    811654997U,	// VPSLLDQYri
+    811654997U,	// VPSLLDQri
+    811651523U,	// VPSLLDYri
+    811897283U,	// VPSLLDYrm
+    811651523U,	// VPSLLDYrr
+    142934321U,	// VPSLLDZmi
+    623198513U,	// VPSLLDZmik
+    811647281U,	// VPSLLDZri
+    839221553U,	// VPSLLDZrik
+    811893041U,	// VPSLLDZrm
+    840040753U,	// VPSLLDZrmk
+    811647281U,	// VPSLLDZrr
+    839221553U,	// VPSLLDZrrk
+    811651523U,	// VPSLLDri
+    811897283U,	// VPSLLDrm
+    811651523U,	// VPSLLDrr
+    811655389U,	// VPSLLQYri
+    811901149U,	// VPSLLQYrm
+    811655389U,	// VPSLLQYrr
+    142936018U,	// VPSLLQZmi
+    623200210U,	// VPSLLQZmik
+    811648978U,	// VPSLLQZri
+    839223250U,	// VPSLLQZrik
+    811894738U,	// VPSLLQZrm
+    840042450U,	// VPSLLQZrmk
+    811648978U,	// VPSLLQZrr
+    839223250U,	// VPSLLQZrrk
+    811655389U,	// VPSLLQri
+    811901149U,	// VPSLLQrm
+    811655389U,	// VPSLLQrr
+    812603072U,	// VPSLLVDYrm
+    811652800U,	// VPSLLVDYrr
+    812828004U,	// VPSLLVDZrm
+    811648356U,	// VPSLLVDZrr
+    811898560U,	// VPSLLVDrm
+    811652800U,	// VPSLLVDrr
+    812606190U,	// VPSLLVQYrm
+    811655918U,	// VPSLLVQYrr
+    812828941U,	// VPSLLVQZrm
+    811649293U,	// VPSLLVQZrr
+    811901678U,	// VPSLLVQrm
+    811655918U,	// VPSLLVQrr
+    811658215U,	// VPSLLWYri
+    811903975U,	// VPSLLWYrm
+    811658215U,	// VPSLLWYrr
+    811658215U,	// VPSLLWri
+    811903975U,	// VPSLLWrm
+    811658215U,	// VPSLLWrr
+    811651328U,	// VPSRADYri
+    811897088U,	// VPSRADYrm
+    811651328U,	// VPSRADYrr
+    142934216U,	// VPSRADZmi
+    623198408U,	// VPSRADZmik
+    811647176U,	// VPSRADZri
+    839221448U,	// VPSRADZrik
+    811892936U,	// VPSRADZrm
+    840040648U,	// VPSRADZrmk
+    811647176U,	// VPSRADZrr
+    839221448U,	// VPSRADZrrk
+    811651328U,	// VPSRADri
+    811897088U,	// VPSRADrm
+    811651328U,	// VPSRADrr
+    142935693U,	// VPSRAQZmi
+    623199885U,	// VPSRAQZmik
+    811648653U,	// VPSRAQZri
+    839222925U,	// VPSRAQZrik
+    811894413U,	// VPSRAQZrm
+    840042125U,	// VPSRAQZrmk
+    811648653U,	// VPSRAQZrr
+    839222925U,	// VPSRAQZrrk
+    812603063U,	// VPSRAVDYrm
+    811652791U,	// VPSRAVDYrr
+    812827994U,	// VPSRAVDZrm
+    811648346U,	// VPSRAVDZrr
+    811898551U,	// VPSRAVDrm
+    811652791U,	// VPSRAVDrr
+    812828931U,	// VPSRAVQZrm
+    811649283U,	// VPSRAVQZrr
+    811657741U,	// VPSRAWYri
+    811903501U,	// VPSRAWYrm
+    811657741U,	// VPSRAWYrr
+    811657741U,	// VPSRAWri
+    811903501U,	// VPSRAWrm
+    811657741U,	// VPSRAWrr
+    811655006U,	// VPSRLDQYri
+    811655006U,	// VPSRLDQri
+    811651540U,	// VPSRLDYri
+    811897300U,	// VPSRLDYrm
+    811651540U,	// VPSRLDYrr
+    142934340U,	// VPSRLDZmi
+    623198532U,	// VPSRLDZmik
+    811647300U,	// VPSRLDZri
+    839221572U,	// VPSRLDZrik
+    811893060U,	// VPSRLDZrm
+    840040772U,	// VPSRLDZrmk
+    811647300U,	// VPSRLDZrr
+    839221572U,	// VPSRLDZrrk
+    811651540U,	// VPSRLDri
+    811897300U,	// VPSRLDrm
+    811651540U,	// VPSRLDrr
+    811655403U,	// VPSRLQYri
+    811901163U,	// VPSRLQYrm
+    811655403U,	// VPSRLQYrr
+    142936027U,	// VPSRLQZmi
+    623200219U,	// VPSRLQZmik
+    811648987U,	// VPSRLQZri
+    839223259U,	// VPSRLQZrik
+    811894747U,	// VPSRLQZrm
+    840042459U,	// VPSRLQZrmk
+    811648987U,	// VPSRLQZrr
+    839223259U,	// VPSRLQZrrk
+    811655403U,	// VPSRLQri
+    811901163U,	// VPSRLQrm
+    811655403U,	// VPSRLQrr
+    812603081U,	// VPSRLVDYrm
+    811652809U,	// VPSRLVDYrr
+    812828014U,	// VPSRLVDZrm
+    811648366U,	// VPSRLVDZrr
+    811898569U,	// VPSRLVDrm
+    811652809U,	// VPSRLVDrr
+    812606199U,	// VPSRLVQYrm
+    811655927U,	// VPSRLVQYrr
+    812828951U,	// VPSRLVQZrm
+    811649303U,	// VPSRLVQZrr
+    811901687U,	// VPSRLVQrm
+    811655927U,	// VPSRLVQrr
+    811658238U,	// VPSRLWYri
+    811903998U,	// VPSRLWYrm
+    811658238U,	// VPSRLWYrr
+    811658238U,	// VPSRLWri
+    811903998U,	// VPSRLWrm
+    811658238U,	// VPSRLWrr
+    812601041U,	// VPSUBBYrm
+    811650769U,	// VPSUBBYrr
+    811896529U,	// VPSUBBrm
+    811650769U,	// VPSUBBrr
+    812601638U,	// VPSUBDYrm
+    811651366U,	// VPSUBDYrr
+    812826833U,	// VPSUBDZrm
+    352403665U,	// VPSUBDZrmb
+    3037839569U,	// VPSUBDZrmbk
+    840106193U,	// VPSUBDZrmbkz
+    120799441U,	// VPSUBDZrmk
+    840073425U,	// VPSUBDZrmkz
+    811647185U,	// VPSUBDZrr
+    814334161U,	// VPSUBDZrrk
+    839221457U,	// VPSUBDZrrkz
+    811897126U,	// VPSUBDrm
+    811651366U,	// VPSUBDrr
+    812605060U,	// VPSUBQYrm
+    811654788U,	// VPSUBQYrr
+    812828310U,	// VPSUBQZrm
+    350324374U,	// VPSUBQZrmb
+    3035727510U,	// VPSUBQZrmbk
+    840140438U,	// VPSUBQZrmbkz
+    120800918U,	// VPSUBQZrmk
+    840074902U,	// VPSUBQZrmkz
+    811648662U,	// VPSUBQZrr
+    814335638U,	// VPSUBQZrrk
+    839222934U,	// VPSUBQZrrkz
+    811900548U,	// VPSUBQrm
+    811654788U,	// VPSUBQrr
+    812601288U,	// VPSUBSBYrm
+    811651016U,	// VPSUBSBYrr
+    811896776U,	// VPSUBSBrm
+    811651016U,	// VPSUBSBrr
+    812608769U,	// VPSUBSWYrm
+    811658497U,	// VPSUBSWYrr
+    811904257U,	// VPSUBSWrm
+    811658497U,	// VPSUBSWrr
+    812601336U,	// VPSUBUSBYrm
+    811651064U,	// VPSUBUSBYrr
+    811896824U,	// VPSUBUSBrm
+    811651064U,	// VPSUBUSBrr
+    812608891U,	// VPSUBUSWYrm
+    811658619U,	// VPSUBUSWYrr
+    811904379U,	// VPSUBUSWrm
+    811658619U,	// VPSUBUSWrr
+    812608126U,	// VPSUBWYrm
+    811657854U,	// VPSUBWYrr
+    811903614U,	// VPSUBWrm
+    811657854U,	// VPSUBWrr
+    812532078U,	// VPTESTMDZrm
+    811647342U,	// VPTESTMDZrr
+    812533765U,	// VPTESTMQZrm
+    811649029U,	// VPTESTMQZrr
+    812532057U,	// VPTESTNMDZrm
+    811647321U,	// VPTESTNMDZrr
+    812533744U,	// VPTESTNMQZrm
+    811649008U,	// VPTESTNMQZrr
+    1026433U,	// VPTESTYrm
+    8546689U,	// VPTESTYrr
+    584065U,	// VPTESTrm
+    8546689U,	// VPTESTrr
+    812608074U,	// VPUNPCKHBWYrm
+    811657802U,	// VPUNPCKHBWYrr
+    811903562U,	// VPUNPCKHBWrm
+    811657802U,	// VPUNPCKHBWrr
+    812605238U,	// VPUNPCKHDQYrm
+    811654966U,	// VPUNPCKHDQYrr
+    812828402U,	// VPUNPCKHDQZrm
+    811648754U,	// VPUNPCKHDQZrr
+    811900726U,	// VPUNPCKHDQrm
+    811654966U,	// VPUNPCKHDQrr
+    812605305U,	// VPUNPCKHQDQYrm
+    811655033U,	// VPUNPCKHQDQYrr
+    812828455U,	// VPUNPCKHQDQZrm
+    811648807U,	// VPUNPCKHQDQZrr
+    811900793U,	// VPUNPCKHQDQrm
+    811655033U,	// VPUNPCKHQDQrr
+    812603139U,	// VPUNPCKHWDYrm
+    811652867U,	// VPUNPCKHWDYrr
+    811898627U,	// VPUNPCKHWDrm
+    811652867U,	// VPUNPCKHWDrr
+    812608086U,	// VPUNPCKLBWYrm
+    811657814U,	// VPUNPCKLBWYrr
+    811903574U,	// VPUNPCKLBWrm
+    811657814U,	// VPUNPCKLBWrr
+    812605257U,	// VPUNPCKLDQYrm
+    811654985U,	// VPUNPCKLDQYrr
+    812828415U,	// VPUNPCKLDQZrm
+    811648767U,	// VPUNPCKLDQZrr
+    811900745U,	// VPUNPCKLDQrm
+    811654985U,	// VPUNPCKLDQrr
+    812605318U,	// VPUNPCKLQDQYrm
+    811655046U,	// VPUNPCKLQDQYrr
+    812828469U,	// VPUNPCKLQDQZrm
+    811648821U,	// VPUNPCKLQDQZrr
+    811900806U,	// VPUNPCKLQDQrm
+    811655046U,	// VPUNPCKLQDQrr
+    812603151U,	// VPUNPCKLWDYrm
+    811652879U,	// VPUNPCKLWDYrr
+    811898639U,	// VPUNPCKLWDrm
+    811652879U,	// VPUNPCKLWDrr
+    812827733U,	// VPXORDZrm
+    352404565U,	// VPXORDZrmb
+    3037840469U,	// VPXORDZrmbk
+    840107093U,	// VPXORDZrmbkz
+    120800341U,	// VPXORDZrmk
+    840074325U,	// VPXORDZrmkz
+    811648085U,	// VPXORDZrr
+    814335061U,	// VPXORDZrrk
+    839222357U,	// VPXORDZrrkz
+    812828787U,	// VPXORQZrm
+    350324851U,	// VPXORQZrmb
+    3035727987U,	// VPXORQZrmbk
+    840140915U,	// VPXORQZrmbkz
+    120801395U,	// VPXORQZrmk
+    840075379U,	// VPXORQZrmkz
+    811649139U,	// VPXORQZrr
+    814336115U,	// VPXORQZrrk
+    839223411U,	// VPXORQZrrkz
+    812606399U,	// VPXORYrm
+    811656127U,	// VPXORYrr
+    811901887U,	// VPXORrm
+    811656127U,	// VPXORrr
+    1081995U,	// VRCP14PDZm
+    8536715U,	// VRCP14PDZr
+    1083958U,	// VRCP14PSZm
+    8538678U,	// VRCP14PSZr
+    283313304U,	// VRCP14SDrm
+    811648152U,	// VRCP14SDrr
+    283331539U,	// VRCP14SSrm
+    811650003U,	// VRCP14SSrr
+    1082019U,	// VRCP28PDZm
+    8536739U,	// VRCP28PDZr
+    8548786U,	// VRCP28PDZrb
+    1083982U,	// VRCP28PSZm
+    8538702U,	// VRCP28PSZr
+    8548862U,	// VRCP28PSZrb
+    283313328U,	// VRCP28SDrm
+    811648176U,	// VRCP28SDrr
+    811659736U,	// VRCP28SDrrb
+    283331563U,	// VRCP28SSrm
+    811650027U,	// VRCP28SSrr
+    811659812U,	// VRCP28SSrrb
+    1074870U,	// VRCPPSYm
+    1074870U,	// VRCPPSYm_Int
+    8545974U,	// VRCPPSYr
+    8545974U,	// VRCPPSYr_Int
+    583350U,	// VRCPPSm
+    583350U,	// VRCPPSm_Int
+    8545974U,	// VRCPPSr
+    8545974U,	// VRCPPSr_Int
+    283338924U,	// VRCPSSm
+    283338924U,	// VRCPSSm_Int
+    811657388U,	// VRCPSSr
+    147129130U,	// VRNDSCALEPDZm
+    811647786U,	// VRNDSCALEPDZr
+    147131093U,	// VRNDSCALEPSZm
+    811649749U,	// VRNDSCALEPSZr
+    283313361U,	// VRNDSCALESDm
+    811648209U,	// VRNDSCALESDr
+    283331587U,	// VRNDSCALESSm
+    811650051U,	// VRNDSCALESSr
+    77927374U,	// VROUNDPDm
+    811652046U,	// VROUNDPDr
+    77932050U,	// VROUNDPSm
+    811656722U,	// VROUNDPSr
+    312825323U,	// VROUNDSDm
+    839226859U,	// VROUNDSDr
+    839226859U,	// VROUNDSDr_Int
+    317024383U,	// VROUNDSSm
+    839231615U,	// VROUNDSSr
+    839231615U,	// VROUNDSSr_Int
+    140841934U,	// VROUNDYPDm
+    811652046U,	// VROUNDYPDr
+    140846610U,	// VROUNDYPSm
+    811656722U,	// VROUNDYPSr
+    1082006U,	// VRSQRT14PDZm
+    8536726U,	// VRSQRT14PDZr
+    1083969U,	// VRSQRT14PSZm
+    8538689U,	// VRSQRT14PSZr
+    283313315U,	// VRSQRT14SDrm
+    811648163U,	// VRSQRT14SDrr
+    283331550U,	// VRSQRT14SSrm
+    811650014U,	// VRSQRT14SSrr
+    1082030U,	// VRSQRT28PDZm
+    8536750U,	// VRSQRT28PDZr
+    8548804U,	// VRSQRT28PDZrb
+    1083993U,	// VRSQRT28PSZm
+    8538713U,	// VRSQRT28PSZr
+    8548880U,	// VRSQRT28PSZrb
+    283313339U,	// VRSQRT28SDrm
+    811648187U,	// VRSQRT28SDrr
+    811659754U,	// VRSQRT28SDrrb
+    283331574U,	// VRSQRT28SSrm
+    811650038U,	// VRSQRT28SSrr
+    811659830U,	// VRSQRT28SSrrb
+    1074953U,	// VRSQRTPSYm
+    1074953U,	// VRSQRTPSYm_Int
+    8546057U,	// VRSQRTPSYr
+    8546057U,	// VRSQRTPSYr_Int
+    583433U,	// VRSQRTPSm
+    583433U,	// VRSQRTPSm_Int
+    8546057U,	// VRSQRTPSr
+    8546057U,	// VRSQRTPSr_Int
+    283338949U,	// VRSQRTSSm
+    283338949U,	// VRSQRTSSm_Int
+    811657413U,	// VRSQRTSSr
+    835011356U,	// VSCATTERDPDZmr
+    832916167U,	// VSCATTERDPSZmr
+    109183700U,	// VSCATTERPF0DPDm
+    109185663U,	// VSCATTERPF0DPSm
+    109216655U,	// VSCATTERPF0QPDm
+    109218618U,	// VSCATTERPF0QPSm
+    109183733U,	// VSCATTERPF1DPDm
+    109185696U,	// VSCATTERPF1DPSm
+    109216688U,	// VSCATTERPF1QPDm
+    109218651U,	// VSCATTERPF1QPSm
+    835011534U,	// VSCATTERQPDZmr
+    835013497U,	// VSCATTERQPSZmr
+    90526692U,	// VSHUFPDYrmi
+    839226340U,	// VSHUFPDYrri
+    94716728U,	// VSHUFPDZrmi
+    839222072U,	// VSHUFPDZrri
+    300241892U,	// VSHUFPDrmi
+    839226340U,	// VSHUFPDrri
+    90531368U,	// VSHUFPSYrmi
+    839231016U,	// VSHUFPSYrri
+    94718691U,	// VSHUFPSZrmi
+    839224035U,	// VSHUFPSZrri
+    300246568U,	// VSHUFPSrmi
+    839231016U,	// VSHUFPSrri
+    1070217U,	// VSQRTPDYm
+    8541321U,	// VSQRTPDYr
+    1086601U,	// VSQRTPDZrm
+    8541321U,	// VSQRTPDZrr
+    578697U,	// VSQRTPDm
+    8541321U,	// VSQRTPDr
+    1074963U,	// VSQRTPSYm
+    8546067U,	// VSQRTPSYr
+    1091347U,	// VSQRTPSZrm
+    8546067U,	// VSQRTPSZrr
+    583443U,	// VSQRTPSm
+    8546067U,	// VSQRTPSr
+    283317810U,	// VSQRTSDZm
+    283317810U,	// VSQRTSDZm_Int
+    811652658U,	// VSQRTSDZr
+    811652658U,	// VSQRTSDZr_Int
+    283317810U,	// VSQRTSDm
+    283317810U,	// VSQRTSDm_Int
+    811652658U,	// VSQRTSDr
+    283338959U,	// VSQRTSSZm
+    283338959U,	// VSQRTSSZm_Int
+    811657423U,	// VSQRTSSZr
+    811657423U,	// VSQRTSSZr_Int
+    283338959U,	// VSQRTSSm
+    283338959U,	// VSQRTSSm_Int
+    811657423U,	// VSQRTSSr
+    287702U,	// VSTMXCSR
+    812520321U,	// VSUBPDYrm
+    811651969U,	// VSUBPDYrr
+    812532411U,	// VSUBPDZrm
+    350421691U,	// VSUBPDZrmb
+    1424917179U,	// VSUBPDZrmbk
+    1424917179U,	// VSUBPDZrmbkz
+    839832449U,	// VSUBPDZrmk
+    839832449U,	// VSUBPDZrmkz
+    811647675U,	// VSUBPDZrr
+    839221947U,	// VSUBPDZrrk
+    839221947U,	// VSUBPDZrrkz
+    811783041U,	// VSUBPDrm
+    811651969U,	// VSUBPDrr
+    812524997U,	// VSUBPSYrm
+    811656645U,	// VSUBPSYrr
+    812534374U,	// VSUBPSZrm
+    352537190U,	// VSUBPSZrmb
+    1427049062U,	// VSUBPSZrmbk
+    1427049062U,	// VSUBPSZrmbkz
+    839837125U,	// VSUBPSZrmk
+    839837125U,	// VSUBPSZrmkz
+    811649638U,	// VSUBPSZrr
+    839223910U,	// VSUBPSZrrk
+    839223910U,	// VSUBPSZrrkz
+    811787717U,	// VSUBPSrm
+    811656645U,	// VSUBPSrr
+    283317702U,	// VSUBSDZrm
+    811652550U,	// VSUBSDZrr
+    283317702U,	// VSUBSDrm
+    283317702U,	// VSUBSDrm_Int
+    811652550U,	// VSUBSDrr
+    811652550U,	// VSUBSDrr_Int
+    283338842U,	// VSUBSSZrm
+    811657306U,	// VSUBSSZrr
+    283338842U,	// VSUBSSrm
+    283338842U,	// VSUBSSrm_Int
+    811657306U,	// VSUBSSrr
+    811657306U,	// VSUBSSrr_Int
+    1070226U,	// VTESTPDYrm
+    8541330U,	// VTESTPDYrr
+    578706U,	// VTESTPDrm
+    8541330U,	// VTESTPDrr
+    1074972U,	// VTESTPSYrm
+    8546076U,	// VTESTPSYrr
+    583452U,	// VTESTPSrm
+    8546076U,	// VTESTPSrr
+    595445U,	// VUCOMISDZrm
+    8541685U,	// VUCOMISDZrr
+    595445U,	// VUCOMISDrm
+    8541685U,	// VUCOMISDrr
+    616585U,	// VUCOMISSZrm
+    8546441U,	// VUCOMISSZrr
+    616585U,	// VUCOMISSrm
+    8546441U,	// VUCOMISSrr
+    812520429U,	// VUNPCKHPDYrm
+    811652077U,	// VUNPCKHPDYrr
+    812536813U,	// VUNPCKHPDZrm
+    811652077U,	// VUNPCKHPDZrr
+    811783149U,	// VUNPCKHPDrm
+    811652077U,	// VUNPCKHPDrr
+    812525105U,	// VUNPCKHPSYrm
+    811656753U,	// VUNPCKHPSYrr
+    812541489U,	// VUNPCKHPSZrm
+    811656753U,	// VUNPCKHPSZrr
+    811787825U,	// VUNPCKHPSrm
+    811656753U,	// VUNPCKHPSrr
+    812520471U,	// VUNPCKLPDYrm
+    811652119U,	// VUNPCKLPDYrr
+    812536855U,	// VUNPCKLPDZrm
+    811652119U,	// VUNPCKLPDZrr
+    811783191U,	// VUNPCKLPDrm
+    811652119U,	// VUNPCKLPDrr
+    812525167U,	// VUNPCKLPSYrm
+    811656815U,	// VUNPCKLPSYrr
+    812541551U,	// VUNPCKLPSZrm
+    811656815U,	// VUNPCKLPSZrr
+    811787887U,	// VUNPCKLPSrm
+    811656815U,	// VUNPCKLPSrr
+    812520567U,	// VXORPDYrm
+    811652215U,	// VXORPDYrr
+    811783287U,	// VXORPDrm
+    811652215U,	// VXORPDrr
+    812525280U,	// VXORPSYrm
+    811656928U,	// VXORPSYrr
+    811788000U,	// VXORPSrm
+    811656928U,	// VXORPSrr
+    14256U,	// VZEROALL
+    14529U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    417534U,	// W64ALLOCA
-    15186U,	// WAIT
-    14279U,	// WBINVD
-    14616U,	// WIN_ALLOCA
-    14423U,	// WIN_FTOL_32
-    14423U,	// WIN_FTOL_64
-    22558U,	// WRFSBASE
-    24169U,	// WRFSBASE64
-    22580U,	// WRGSBASE
-    24191U,	// WRGSBASE64
-    14812U,	// WRMSR
-    26494U,	// XABORT
-    14336U,	// XACQUIRE_PREFIX
-    4237580U,	// XADD16rm
-    8546572U,	// XADD16rr
-    12621721U,	// XADD32rm
-    8542105U,	// XADD32rr
-    18914628U,	// XADD64rm
-    8543556U,	// XADD64rr
-    23104795U,	// XADD8rm
-    8539419U,	// XADD8rr
-    14048U,	// XBEGIN
-    416662U,	// XBEGIN_4
-    2124198U,	// XCHG16ar
-    54684070U,	// XCHG16rm
-    33712550U,	// XCHG16rr
-    10508384U,	// XCHG32ar
-    10508384U,	// XCHG32ar64
-    56776800U,	// XCHG32rm
-    33708128U,	// XCHG32rr
-    16801460U,	// XCHG64ar
-    58875572U,	// XCHG64rm
-    33709748U,	// XCHG64rr
-    60968250U,	// XCHG8rm
-    33705274U,	// XCHG8rr
-    22096U,	// XCH_F
-    14197U,	// XCRYPTCBC
-    14141U,	// XCRYPTCFB
-    14818U,	// XCRYPTCTR
-    14131U,	// XCRYPTECB
-    14151U,	// XCRYPTOFB
-    14260U,	// XEND
-    15227U,	// XGETBV
-    14181U,	// XLAT
-    2124491U,	// XOR16i16
-    4238027U,	// XOR16mi
-    4238027U,	// XOR16mi8
-    4238027U,	// XOR16mr
-    6351563U,	// XOR16ri
-    6351563U,	// XOR16ri8
-    6367947U,	// XOR16rm
-    6351563U,	// XOR16rr
-    8448715U,	// XOR16rr_REV
-    10508766U,	// XOR32i32
-    12622302U,	// XOR32mi
-    12622302U,	// XOR32mi8
-    12622302U,	// XOR32mr
-    6347230U,	// XOR32ri
-    6347230U,	// XOR32ri8
-    283204062U,	// XOR32rm
-    6347230U,	// XOR32rr
-    8444382U,	// XOR32rr_REV
-    16801740U,	// XOR64i32
-    18915276U,	// XOR64mi32
-    18915276U,	// XOR64mi8
-    18915276U,	// XOR64mr
-    6348748U,	// XOR64ri32
-    6348748U,	// XOR64ri8
-    283221964U,	// XOR64rm
-    6348748U,	// XOR64rr
-    8445900U,	// XOR64rr_REV
-    20991424U,	// XOR8i8
-    23104960U,	// XOR8mi
-    23104960U,	// XOR8mr
-    6344128U,	// XOR8ri
-    6344128U,	// XOR8ri8
-    118208U,	// XOR8rm
-    6344128U,	// XOR8rr
-    8441280U,	// XOR8rr_REV
-    8573600U,	// XORPDrm
-    8442528U,	// XORPDrr
-    8578313U,	// XORPSrm
-    8447241U,	// XORPSrr
-    14352U,	// XRELEASE_PREFIX
-    631263U,	// XRSTOR
-    630723U,	// XRSTOR64
-    628261U,	// XSAVE
-    630421U,	// XSAVE64
-    632685U,	// XSAVEOPT
-    630989U,	// XSAVEOPT64
-    15234U,	// XSETBV
-    13775U,	// XSHA1
-    14010U,	// XSHA256
-    14345U,	// XSTORE
-    15216U,	// XTEST
+    418006U,	// W64ALLOCA
+    14943U,	// WAIT
+    13999U,	// WBINVD
+    14367U,	// WIN_ALLOCA
+    14174U,	// WIN_FTOL_32
+    14174U,	// WIN_FTOL_64
+    23030U,	// WRFSBASE
+    24641U,	// WRFSBASE64
+    23052U,	// WRGSBASE
+    24663U,	// WRGSBASE64
+    14563U,	// WRMSR
+    26966U,	// XABORT
+    14056U,	// XACQUIRE_PREFIX
+    4238052U,	// XADD16rm
+    8547044U,	// XADD16rr
+    12622193U,	// XADD32rm
+    8542577U,	// XADD32rr
+    18915100U,	// XADD64rm
+    8544028U,	// XADD64rr
+    23105267U,	// XADD8rm
+    8539891U,	// XADD8rr
+    13768U,	// XBEGIN
+    417134U,	// XBEGIN_4
+    2124670U,	// XCHG16ar
+    54684542U,	// XCHG16rm
+    33713022U,	// XCHG16rr
+    10508856U,	// XCHG32ar
+    10508856U,	// XCHG32ar64
+    56777272U,	// XCHG32rm
+    33708600U,	// XCHG32rr
+    16801932U,	// XCHG64ar
+    58876044U,	// XCHG64rm
+    33710220U,	// XCHG64rr
+    60968722U,	// XCHG8rm
+    33705746U,	// XCHG8rr
+    22568U,	// XCH_F
+    13917U,	// XCRYPTCBC
+    13861U,	// XCRYPTCFB
+    14569U,	// XCRYPTCTR
+    13851U,	// XCRYPTECB
+    13871U,	// XCRYPTOFB
+    13980U,	// XEND
+    14990U,	// XGETBV
+    13901U,	// XLAT
+    2124963U,	// XOR16i16
+    4238499U,	// XOR16mi
+    4238499U,	// XOR16mi8
+    4238499U,	// XOR16mr
+    6352035U,	// XOR16ri
+    6352035U,	// XOR16ri8
+    6368419U,	// XOR16rm
+    6352035U,	// XOR16rr
+    8449187U,	// XOR16rr_REV
+    10509238U,	// XOR32i32
+    12622774U,	// XOR32mi
+    12622774U,	// XOR32mi8
+    12622774U,	// XOR32mr
+    6347702U,	// XOR32ri
+    6347702U,	// XOR32ri8
+    283204534U,	// XOR32rm
+    6347702U,	// XOR32rr
+    8444854U,	// XOR32rr_REV
+    16802212U,	// XOR64i32
+    18915748U,	// XOR64mi32
+    18915748U,	// XOR64mi8
+    18915748U,	// XOR64mr
+    6349220U,	// XOR64ri32
+    6349220U,	// XOR64ri8
+    283222436U,	// XOR64rm
+    6349220U,	// XOR64rr
+    8446372U,	// XOR64rr_REV
+    20991896U,	// XOR8i8
+    23105432U,	// XOR8mi
+    23105432U,	// XOR8mr
+    6344600U,	// XOR8ri
+    6344600U,	// XOR8ri8
+    118680U,	// XOR8rm
+    6344600U,	// XOR8rr
+    8441752U,	// XOR8rr_REV
+    8574072U,	// XORPDrm
+    8443000U,	// XORPDrr
+    8578785U,	// XORPSrm
+    8447713U,	// XORPSrr
+    14072U,	// XRELEASE_PREFIX
+    631735U,	// XRSTOR
+    631195U,	// XRSTOR64
+    628733U,	// XSAVE
+    630893U,	// XSAVE64
+    633157U,	// XSAVEOPT
+    631461U,	// XSAVEOPT64
+    14997U,	// XSETBV
+    13495U,	// XSHA1
+    13730U,	// XSHA256
+    14065U,	// XSTORE
+    14973U,	// XTEST
     0U
   };
 
-  static const uint8_t OpInfo2[] = {
+  static const uint16_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -5487,6 +6158,7 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// AAA
     0U,	// AAD8i8
     0U,	// AAM8i8
@@ -5687,49 +6359,6 @@
     0U,	// ANDPSrr
     0U,	// ARPL16mr
     0U,	// ARPL16rr
-    0U,	// ATOMADD6432
-    0U,	// ATOMAND16
-    0U,	// ATOMAND32
-    0U,	// ATOMAND64
-    0U,	// ATOMAND6432
-    0U,	// ATOMAND8
-    0U,	// ATOMMAX16
-    0U,	// ATOMMAX32
-    0U,	// ATOMMAX64
-    0U,	// ATOMMAX6432
-    0U,	// ATOMMAX8
-    0U,	// ATOMMIN16
-    0U,	// ATOMMIN32
-    0U,	// ATOMMIN64
-    0U,	// ATOMMIN6432
-    0U,	// ATOMMIN8
-    0U,	// ATOMNAND16
-    0U,	// ATOMNAND32
-    0U,	// ATOMNAND64
-    0U,	// ATOMNAND6432
-    0U,	// ATOMNAND8
-    0U,	// ATOMOR16
-    0U,	// ATOMOR32
-    0U,	// ATOMOR64
-    0U,	// ATOMOR6432
-    0U,	// ATOMOR8
-    0U,	// ATOMSUB6432
-    0U,	// ATOMSWAP6432
-    0U,	// ATOMUMAX16
-    0U,	// ATOMUMAX32
-    0U,	// ATOMUMAX64
-    0U,	// ATOMUMAX6432
-    0U,	// ATOMUMAX8
-    0U,	// ATOMUMIN16
-    0U,	// ATOMUMIN32
-    0U,	// ATOMUMIN64
-    0U,	// ATOMUMIN6432
-    0U,	// ATOMUMIN8
-    0U,	// ATOMXOR16
-    0U,	// ATOMXOR32
-    0U,	// ATOMXOR64
-    0U,	// ATOMXOR6432
-    0U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
@@ -6068,18 +6697,18 @@
     0U,	// CMPPSrmi_alt
     2U,	// CMPPSrri
     2U,	// CMPPSrri_alt
-    0U,	// CMPS16
-    0U,	// CMPS32
-    0U,	// CMPS64
-    0U,	// CMPS8
+    0U,	// CMPSB
     2U,	// CMPSDrm
     0U,	// CMPSDrm_alt
     2U,	// CMPSDrr
     2U,	// CMPSDrr_alt
+    0U,	// CMPSL
+    0U,	// CMPSQ
     2U,	// CMPSSrm
     0U,	// CMPSSrm_alt
     2U,	// CMPSSrr
     2U,	// CMPSSrr_alt
+    0U,	// CMPSW
     0U,	// CMPXCHG16B
     0U,	// CMPXCHG16rm
     0U,	// CMPXCHG16rr
@@ -6250,6 +6879,8 @@
     0U,	// EH_SjLj_SetJmp32
     0U,	// EH_SjLj_SetJmp64
     0U,	// EH_SjLj_Setup
+    0U,	// ENCLS
+    0U,	// ENCLU
     0U,	// ENTER
     0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
@@ -6324,7 +6955,6 @@
     0U,	// FXTRACT
     0U,	// FYL2X
     0U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
     0U,	// FsANDNPDrm
     0U,	// FsANDNPDrr
     0U,	// FsANDNPSrm
@@ -6404,13 +7034,10 @@
     2U,	// IMUL64rri8
     0U,	// IMUL8m
     0U,	// IMUL8r
-    0U,	// IN16
     0U,	// IN16ri
     0U,	// IN16rr
-    0U,	// IN32
     0U,	// IN32ri
     0U,	// IN32rr
-    0U,	// IN8
     0U,	// IN8ri
     0U,	// IN8rr
     0U,	// INC16m
@@ -6427,10 +7054,13 @@
     0U,	// INC64r
     0U,	// INC8m
     0U,	// INC8r
+    0U,	// INSB
     0U,	// INSERTPSrm
     2U,	// INSERTPSrr
     0U,	// INSERTQ
     6U,	// INSERTQI
+    0U,	// INSL
+    0U,	// INSW
     0U,	// INT
     0U,	// INT1
     0U,	// INT3
@@ -6640,14 +7270,41 @@
     0U,	// JS_1
     0U,	// JS_2
     0U,	// JS_4
+    2U,	// KANDBrr
+    2U,	// KANDDrr
+    2U,	// KANDNBrr
+    2U,	// KANDNDrr
+    2U,	// KANDNQrr
     2U,	// KANDNWrr
+    2U,	// KANDQrr
     2U,	// KANDWrr
+    0U,	// KMOVBkk
+    0U,	// KMOVBkm
+    0U,	// KMOVBkr
+    0U,	// KMOVBmk
+    0U,	// KMOVBrk
+    0U,	// KMOVDkk
+    0U,	// KMOVDkm
+    0U,	// KMOVDkr
+    0U,	// KMOVDmk
+    0U,	// KMOVDrk
+    0U,	// KMOVQkk
+    0U,	// KMOVQkm
+    0U,	// KMOVQkr
+    0U,	// KMOVQmk
+    0U,	// KMOVQrk
     0U,	// KMOVWkk
     0U,	// KMOVWkm
     0U,	// KMOVWkr
     0U,	// KMOVWmk
     0U,	// KMOVWrk
+    0U,	// KNOTBrr
+    0U,	// KNOTDrr
+    0U,	// KNOTQrr
     0U,	// KNOTWrr
+    2U,	// KORBrr
+    2U,	// KORDrr
+    2U,	// KORQrr
     0U,	// KORTESTWrr
     2U,	// KORWrr
     0U,	// KSET0B
@@ -6657,7 +7314,13 @@
     2U,	// KSHIFTLWri
     2U,	// KSHIFTRWri
     2U,	// KUNPCKBWrr
+    2U,	// KXNORBrr
+    2U,	// KXNORDrr
+    2U,	// KXNORQrr
     2U,	// KXNORWrr
+    2U,	// KXORBrr
+    2U,	// KXORDrr
+    2U,	// KXORQrr
     2U,	// KXORWrr
     0U,	// LAHF
     0U,	// LAR16rm
@@ -6889,6 +7552,7 @@
     0U,	// MMX_MOVQ64mr
     0U,	// MMX_MOVQ64rm
     0U,	// MMX_MOVQ64rr
+    0U,	// MMX_MOVQ64rr_REV
     0U,	// MMX_PABSBrm64
     0U,	// MMX_PABSBrr64
     0U,	// MMX_PABSDrm64
@@ -8023,12 +8687,20 @@
     0U,	// SBB8rm
     0U,	// SBB8rr
     0U,	// SBB8rr_REV
-    0U,	// SCAS16
-    0U,	// SCAS32
-    0U,	// SCAS64
-    0U,	// SCAS8
+    0U,	// SCASB
+    0U,	// SCASL
+    0U,	// SCASQ
+    0U,	// SCASW
     0U,	// SEG_ALLOCA_32
     0U,	// SEG_ALLOCA_64
+    0U,	// SEH_EndPrologue
+    0U,	// SEH_Epilogue
+    0U,	// SEH_PushFrame
+    0U,	// SEH_PushReg
+    0U,	// SEH_SaveReg
+    0U,	// SEH_SaveXMM
+    0U,	// SEH_SetFrame
+    0U,	// SEH_StackAlloc
     0U,	// SETAEm
     0U,	// SETAEr
     0U,	// SETAm
@@ -8419,18 +9091,30 @@
     0U,	// UNPCKLPSrm
     0U,	// UNPCKLPSrr
     1U,	// VAARG_64
-    0U,	// VADDPDYrm
+    2U,	// VADDPDYrm
     2U,	// VADDPDYrr
-    0U,	// VADDPDZrm
+    2U,	// VADDPDZrm
     20U,	// VADDPDZrmb
+    50U,	// VADDPDZrmbk
+    306U,	// VADDPDZrmbkz
+    50U,	// VADDPDZrmk
+    306U,	// VADDPDZrmkz
     2U,	// VADDPDZrr
+    562U,	// VADDPDZrrk
+    306U,	// VADDPDZrrkz
     2U,	// VADDPDrm
     2U,	// VADDPDrr
-    0U,	// VADDPSYrm
+    2U,	// VADDPSYrm
     2U,	// VADDPSYrr
-    0U,	// VADDPSZrm
+    2U,	// VADDPSZrm
     20U,	// VADDPSZrmb
+    50U,	// VADDPSZrmbk
+    306U,	// VADDPSZrmbkz
+    50U,	// VADDPSZrmk
+    306U,	// VADDPSZrmkz
     2U,	// VADDPSZrr
+    562U,	// VADDPSZrrk
+    306U,	// VADDPSZrrkz
     2U,	// VADDPSrm
     2U,	// VADDPSrr
     20U,	// VADDSDZrm
@@ -8445,11 +9129,11 @@
     20U,	// VADDSSrm_Int
     2U,	// VADDSSrr
     2U,	// VADDSSrr_Int
-    0U,	// VADDSUBPDYrm
+    2U,	// VADDSUBPDYrm
     2U,	// VADDSUBPDYrr
     2U,	// VADDSUBPDrm
     2U,	// VADDSUBPDrr
-    0U,	// VADDSUBPSYrm
+    2U,	// VADDSUBPSYrm
     2U,	// VADDSUBPSYrr
     2U,	// VADDSUBPSrm
     2U,	// VADDSUBPSrr
@@ -8467,29 +9151,33 @@
     2U,	// VAESKEYGENASSIST128rr
     20U,	// VALIGNDrmi
     38U,	// VALIGNDrri
+    0U,	// VALIGNDrrik
+    306U,	// VALIGNDrrikz
     20U,	// VALIGNQrmi
     38U,	// VALIGNQrri
-    0U,	// VANDNPDYrm
+    0U,	// VALIGNQrrik
+    306U,	// VALIGNQrrikz
+    2U,	// VANDNPDYrm
     2U,	// VANDNPDYrr
     2U,	// VANDNPDrm
     2U,	// VANDNPDrr
-    0U,	// VANDNPSYrm
+    2U,	// VANDNPSYrm
     2U,	// VANDNPSYrr
     2U,	// VANDNPSrm
     2U,	// VANDNPSrr
-    0U,	// VANDPDYrm
+    2U,	// VANDPDYrm
     2U,	// VANDPDYrr
     2U,	// VANDPDrm
     2U,	// VANDPDrr
-    0U,	// VANDPSYrm
+    2U,	// VANDPSYrm
     2U,	// VANDPSYrr
     2U,	// VANDPSrm
     2U,	// VANDPSrr
-    52U,	// VASTART_SAVE_XMM_REGS
-    66U,	// VBLENDMPDZrm
-    66U,	// VBLENDMPDZrr
-    66U,	// VBLENDMPSZrm
-    66U,	// VBLENDMPSZrr
+    68U,	// VASTART_SAVE_XMM_REGS
+    50U,	// VBLENDMPDZrm
+    50U,	// VBLENDMPDZrr
+    50U,	// VBLENDMPSZrm
+    50U,	// VBLENDMPSZrr
     0U,	// VBLENDPDYrmi
     38U,	// VBLENDPDYrri
     20U,	// VBLENDPDrmi
@@ -8508,6 +9196,10 @@
     38U,	// VBLENDVPSrr
     0U,	// VBROADCASTF128
     0U,	// VBROADCASTI128
+    87U,	// VBROADCASTI32X4krm
+    0U,	// VBROADCASTI32X4rm
+    87U,	// VBROADCASTI64X4krm
+    0U,	// VBROADCASTI64X4rm
     0U,	// VBROADCASTSDYrm
     0U,	// VBROADCASTSDYrr
     0U,	// VBROADCASTSDZrm
@@ -8727,18 +9419,30 @@
     2U,	// VCVTUSI642SDZrr
     20U,	// VCVTUSI642SSZrm
     2U,	// VCVTUSI642SSZrr
-    0U,	// VDIVPDYrm
+    2U,	// VDIVPDYrm
     2U,	// VDIVPDYrr
-    0U,	// VDIVPDZrm
+    2U,	// VDIVPDZrm
     20U,	// VDIVPDZrmb
+    50U,	// VDIVPDZrmbk
+    306U,	// VDIVPDZrmbkz
+    50U,	// VDIVPDZrmk
+    306U,	// VDIVPDZrmkz
     2U,	// VDIVPDZrr
+    562U,	// VDIVPDZrrk
+    306U,	// VDIVPDZrrkz
     2U,	// VDIVPDrm
     2U,	// VDIVPDrr
-    0U,	// VDIVPSYrm
+    2U,	// VDIVPSYrm
     2U,	// VDIVPSYrr
-    0U,	// VDIVPSZrm
+    2U,	// VDIVPSZrm
     20U,	// VDIVPSZrmb
+    50U,	// VDIVPSZrmbk
+    306U,	// VDIVPSZrmbkz
+    50U,	// VDIVPSZrmk
+    306U,	// VDIVPSZrmkz
     2U,	// VDIVPSZrr
+    562U,	// VDIVPSZrrk
+    306U,	// VDIVPSZrrkz
     2U,	// VDIVPSrm
     2U,	// VDIVPSrr
     20U,	// VDIVSDZrm
@@ -8791,42 +9495,42 @@
     2U,	// VFMADD213PSZr
     20U,	// VFMADDPD4mr
     0U,	// VFMADDPD4mrY
-    2U,	// VFMADDPD4rm
-    2U,	// VFMADDPD4rmY
+    38U,	// VFMADDPD4rm
+    38U,	// VFMADDPD4rmY
     38U,	// VFMADDPD4rr
     38U,	// VFMADDPD4rrY
     38U,	// VFMADDPD4rrY_REV
     38U,	// VFMADDPD4rr_REV
-    0U,	// VFMADDPDr132m
-    0U,	// VFMADDPDr132mY
+    2U,	// VFMADDPDr132m
+    2U,	// VFMADDPDr132mY
     2U,	// VFMADDPDr132r
     2U,	// VFMADDPDr132rY
-    0U,	// VFMADDPDr213m
-    0U,	// VFMADDPDr213mY
+    2U,	// VFMADDPDr213m
+    2U,	// VFMADDPDr213mY
     2U,	// VFMADDPDr213r
     2U,	// VFMADDPDr213rY
-    0U,	// VFMADDPDr231m
-    0U,	// VFMADDPDr231mY
+    2U,	// VFMADDPDr231m
+    2U,	// VFMADDPDr231mY
     2U,	// VFMADDPDr231r
     2U,	// VFMADDPDr231rY
     20U,	// VFMADDPS4mr
     0U,	// VFMADDPS4mrY
-    2U,	// VFMADDPS4rm
-    2U,	// VFMADDPS4rmY
+    38U,	// VFMADDPS4rm
+    38U,	// VFMADDPS4rmY
     38U,	// VFMADDPS4rr
     38U,	// VFMADDPS4rrY
     38U,	// VFMADDPS4rrY_REV
     38U,	// VFMADDPS4rr_REV
-    0U,	// VFMADDPSr132m
-    0U,	// VFMADDPSr132mY
+    2U,	// VFMADDPSr132m
+    2U,	// VFMADDPSr132mY
     2U,	// VFMADDPSr132r
     2U,	// VFMADDPSr132rY
-    0U,	// VFMADDPSr213m
-    0U,	// VFMADDPSr213mY
+    2U,	// VFMADDPSr213m
+    2U,	// VFMADDPSr213mY
     2U,	// VFMADDPSr213r
     2U,	// VFMADDPSr213rY
-    0U,	// VFMADDPSr231m
-    0U,	// VFMADDPSr231mY
+    2U,	// VFMADDPSr231m
+    2U,	// VFMADDPSr231mY
     2U,	// VFMADDPSr231r
     2U,	// VFMADDPSr231rY
     20U,	// VFMADDSD4mr
@@ -8836,7 +9540,7 @@
     38U,	// VFMADDSD4rr
     38U,	// VFMADDSD4rr_Int
     38U,	// VFMADDSD4rr_REV
-    0U,	// VFMADDSDZm
+    2U,	// VFMADDSDZm
     2U,	// VFMADDSDZr
     2U,	// VFMADDSDr132m
     2U,	// VFMADDSDr132r
@@ -8851,7 +9555,7 @@
     38U,	// VFMADDSS4rr
     38U,	// VFMADDSS4rr_Int
     38U,	// VFMADDSS4rr_REV
-    0U,	// VFMADDSSZm
+    2U,	// VFMADDSSZm
     2U,	// VFMADDSSZr
     2U,	// VFMADDSSr132m
     2U,	// VFMADDSSr132r
@@ -8871,42 +9575,42 @@
     2U,	// VFMADDSUB213PSZr
     20U,	// VFMADDSUBPD4mr
     0U,	// VFMADDSUBPD4mrY
-    2U,	// VFMADDSUBPD4rm
-    2U,	// VFMADDSUBPD4rmY
+    38U,	// VFMADDSUBPD4rm
+    38U,	// VFMADDSUBPD4rmY
     38U,	// VFMADDSUBPD4rr
     38U,	// VFMADDSUBPD4rrY
     38U,	// VFMADDSUBPD4rrY_REV
     38U,	// VFMADDSUBPD4rr_REV
-    0U,	// VFMADDSUBPDr132m
-    0U,	// VFMADDSUBPDr132mY
+    2U,	// VFMADDSUBPDr132m
+    2U,	// VFMADDSUBPDr132mY
     2U,	// VFMADDSUBPDr132r
     2U,	// VFMADDSUBPDr132rY
-    0U,	// VFMADDSUBPDr213m
-    0U,	// VFMADDSUBPDr213mY
+    2U,	// VFMADDSUBPDr213m
+    2U,	// VFMADDSUBPDr213mY
     2U,	// VFMADDSUBPDr213r
     2U,	// VFMADDSUBPDr213rY
-    0U,	// VFMADDSUBPDr231m
-    0U,	// VFMADDSUBPDr231mY
+    2U,	// VFMADDSUBPDr231m
+    2U,	// VFMADDSUBPDr231mY
     2U,	// VFMADDSUBPDr231r
     2U,	// VFMADDSUBPDr231rY
     20U,	// VFMADDSUBPS4mr
     0U,	// VFMADDSUBPS4mrY
-    2U,	// VFMADDSUBPS4rm
-    2U,	// VFMADDSUBPS4rmY
+    38U,	// VFMADDSUBPS4rm
+    38U,	// VFMADDSUBPS4rmY
     38U,	// VFMADDSUBPS4rr
     38U,	// VFMADDSUBPS4rrY
     38U,	// VFMADDSUBPS4rrY_REV
     38U,	// VFMADDSUBPS4rr_REV
-    0U,	// VFMADDSUBPSr132m
-    0U,	// VFMADDSUBPSr132mY
+    2U,	// VFMADDSUBPSr132m
+    2U,	// VFMADDSUBPSr132mY
     2U,	// VFMADDSUBPSr132r
     2U,	// VFMADDSUBPSr132rY
-    0U,	// VFMADDSUBPSr213m
-    0U,	// VFMADDSUBPSr213mY
+    2U,	// VFMADDSUBPSr213m
+    2U,	// VFMADDSUBPSr213mY
     2U,	// VFMADDSUBPSr213r
     2U,	// VFMADDSUBPSr213rY
-    0U,	// VFMADDSUBPSr231m
-    0U,	// VFMADDSUBPSr231mY
+    2U,	// VFMADDSUBPSr231m
+    2U,	// VFMADDSUBPSr231mY
     2U,	// VFMADDSUBPSr231r
     2U,	// VFMADDSUBPSr231rY
     2U,	// VFMSUB132PDZm
@@ -8931,82 +9635,82 @@
     2U,	// VFMSUBADD213PSZr
     20U,	// VFMSUBADDPD4mr
     0U,	// VFMSUBADDPD4mrY
-    2U,	// VFMSUBADDPD4rm
-    2U,	// VFMSUBADDPD4rmY
+    38U,	// VFMSUBADDPD4rm
+    38U,	// VFMSUBADDPD4rmY
     38U,	// VFMSUBADDPD4rr
     38U,	// VFMSUBADDPD4rrY
     38U,	// VFMSUBADDPD4rrY_REV
     38U,	// VFMSUBADDPD4rr_REV
-    0U,	// VFMSUBADDPDr132m
-    0U,	// VFMSUBADDPDr132mY
+    2U,	// VFMSUBADDPDr132m
+    2U,	// VFMSUBADDPDr132mY
     2U,	// VFMSUBADDPDr132r
     2U,	// VFMSUBADDPDr132rY
-    0U,	// VFMSUBADDPDr213m
-    0U,	// VFMSUBADDPDr213mY
+    2U,	// VFMSUBADDPDr213m
+    2U,	// VFMSUBADDPDr213mY
     2U,	// VFMSUBADDPDr213r
     2U,	// VFMSUBADDPDr213rY
-    0U,	// VFMSUBADDPDr231m
-    0U,	// VFMSUBADDPDr231mY
+    2U,	// VFMSUBADDPDr231m
+    2U,	// VFMSUBADDPDr231mY
     2U,	// VFMSUBADDPDr231r
     2U,	// VFMSUBADDPDr231rY
     20U,	// VFMSUBADDPS4mr
     0U,	// VFMSUBADDPS4mrY
-    2U,	// VFMSUBADDPS4rm
-    2U,	// VFMSUBADDPS4rmY
+    38U,	// VFMSUBADDPS4rm
+    38U,	// VFMSUBADDPS4rmY
     38U,	// VFMSUBADDPS4rr
     38U,	// VFMSUBADDPS4rrY
     38U,	// VFMSUBADDPS4rrY_REV
     38U,	// VFMSUBADDPS4rr_REV
-    0U,	// VFMSUBADDPSr132m
-    0U,	// VFMSUBADDPSr132mY
+    2U,	// VFMSUBADDPSr132m
+    2U,	// VFMSUBADDPSr132mY
     2U,	// VFMSUBADDPSr132r
     2U,	// VFMSUBADDPSr132rY
-    0U,	// VFMSUBADDPSr213m
-    0U,	// VFMSUBADDPSr213mY
+    2U,	// VFMSUBADDPSr213m
+    2U,	// VFMSUBADDPSr213mY
     2U,	// VFMSUBADDPSr213r
     2U,	// VFMSUBADDPSr213rY
-    0U,	// VFMSUBADDPSr231m
-    0U,	// VFMSUBADDPSr231mY
+    2U,	// VFMSUBADDPSr231m
+    2U,	// VFMSUBADDPSr231mY
     2U,	// VFMSUBADDPSr231r
     2U,	// VFMSUBADDPSr231rY
     20U,	// VFMSUBPD4mr
     0U,	// VFMSUBPD4mrY
-    2U,	// VFMSUBPD4rm
-    2U,	// VFMSUBPD4rmY
+    38U,	// VFMSUBPD4rm
+    38U,	// VFMSUBPD4rmY
     38U,	// VFMSUBPD4rr
     38U,	// VFMSUBPD4rrY
     38U,	// VFMSUBPD4rrY_REV
     38U,	// VFMSUBPD4rr_REV
-    0U,	// VFMSUBPDr132m
-    0U,	// VFMSUBPDr132mY
+    2U,	// VFMSUBPDr132m
+    2U,	// VFMSUBPDr132mY
     2U,	// VFMSUBPDr132r
     2U,	// VFMSUBPDr132rY
-    0U,	// VFMSUBPDr213m
-    0U,	// VFMSUBPDr213mY
+    2U,	// VFMSUBPDr213m
+    2U,	// VFMSUBPDr213mY
     2U,	// VFMSUBPDr213r
     2U,	// VFMSUBPDr213rY
-    0U,	// VFMSUBPDr231m
-    0U,	// VFMSUBPDr231mY
+    2U,	// VFMSUBPDr231m
+    2U,	// VFMSUBPDr231mY
     2U,	// VFMSUBPDr231r
     2U,	// VFMSUBPDr231rY
     20U,	// VFMSUBPS4mr
     0U,	// VFMSUBPS4mrY
-    2U,	// VFMSUBPS4rm
-    2U,	// VFMSUBPS4rmY
+    38U,	// VFMSUBPS4rm
+    38U,	// VFMSUBPS4rmY
     38U,	// VFMSUBPS4rr
     38U,	// VFMSUBPS4rrY
     38U,	// VFMSUBPS4rrY_REV
     38U,	// VFMSUBPS4rr_REV
-    0U,	// VFMSUBPSr132m
-    0U,	// VFMSUBPSr132mY
+    2U,	// VFMSUBPSr132m
+    2U,	// VFMSUBPSr132mY
     2U,	// VFMSUBPSr132r
     2U,	// VFMSUBPSr132rY
-    0U,	// VFMSUBPSr213m
-    0U,	// VFMSUBPSr213mY
+    2U,	// VFMSUBPSr213m
+    2U,	// VFMSUBPSr213mY
     2U,	// VFMSUBPSr213r
     2U,	// VFMSUBPSr213rY
-    0U,	// VFMSUBPSr231m
-    0U,	// VFMSUBPSr231mY
+    2U,	// VFMSUBPSr231m
+    2U,	// VFMSUBPSr231mY
     2U,	// VFMSUBPSr231r
     2U,	// VFMSUBPSr231rY
     20U,	// VFMSUBSD4mr
@@ -9016,7 +9720,7 @@
     38U,	// VFMSUBSD4rr
     38U,	// VFMSUBSD4rr_Int
     38U,	// VFMSUBSD4rr_REV
-    0U,	// VFMSUBSDZm
+    2U,	// VFMSUBSDZm
     2U,	// VFMSUBSDZr
     2U,	// VFMSUBSDr132m
     2U,	// VFMSUBSDr132r
@@ -9031,7 +9735,7 @@
     38U,	// VFMSUBSS4rr
     38U,	// VFMSUBSS4rr_Int
     38U,	// VFMSUBSS4rr_REV
-    0U,	// VFMSUBSSZm
+    2U,	// VFMSUBSSZm
     2U,	// VFMSUBSSZr
     2U,	// VFMSUBSSr132m
     2U,	// VFMSUBSSr132r
@@ -9051,42 +9755,42 @@
     2U,	// VFNMADD213PSZr
     20U,	// VFNMADDPD4mr
     0U,	// VFNMADDPD4mrY
-    2U,	// VFNMADDPD4rm
-    2U,	// VFNMADDPD4rmY
+    38U,	// VFNMADDPD4rm
+    38U,	// VFNMADDPD4rmY
     38U,	// VFNMADDPD4rr
     38U,	// VFNMADDPD4rrY
     38U,	// VFNMADDPD4rrY_REV
     38U,	// VFNMADDPD4rr_REV
-    0U,	// VFNMADDPDr132m
-    0U,	// VFNMADDPDr132mY
+    2U,	// VFNMADDPDr132m
+    2U,	// VFNMADDPDr132mY
     2U,	// VFNMADDPDr132r
     2U,	// VFNMADDPDr132rY
-    0U,	// VFNMADDPDr213m
-    0U,	// VFNMADDPDr213mY
+    2U,	// VFNMADDPDr213m
+    2U,	// VFNMADDPDr213mY
     2U,	// VFNMADDPDr213r
     2U,	// VFNMADDPDr213rY
-    0U,	// VFNMADDPDr231m
-    0U,	// VFNMADDPDr231mY
+    2U,	// VFNMADDPDr231m
+    2U,	// VFNMADDPDr231mY
     2U,	// VFNMADDPDr231r
     2U,	// VFNMADDPDr231rY
     20U,	// VFNMADDPS4mr
     0U,	// VFNMADDPS4mrY
-    2U,	// VFNMADDPS4rm
-    2U,	// VFNMADDPS4rmY
+    38U,	// VFNMADDPS4rm
+    38U,	// VFNMADDPS4rmY
     38U,	// VFNMADDPS4rr
     38U,	// VFNMADDPS4rrY
     38U,	// VFNMADDPS4rrY_REV
     38U,	// VFNMADDPS4rr_REV
-    0U,	// VFNMADDPSr132m
-    0U,	// VFNMADDPSr132mY
+    2U,	// VFNMADDPSr132m
+    2U,	// VFNMADDPSr132mY
     2U,	// VFNMADDPSr132r
     2U,	// VFNMADDPSr132rY
-    0U,	// VFNMADDPSr213m
-    0U,	// VFNMADDPSr213mY
+    2U,	// VFNMADDPSr213m
+    2U,	// VFNMADDPSr213mY
     2U,	// VFNMADDPSr213r
     2U,	// VFNMADDPSr213rY
-    0U,	// VFNMADDPSr231m
-    0U,	// VFNMADDPSr231mY
+    2U,	// VFNMADDPSr231m
+    2U,	// VFNMADDPSr231mY
     2U,	// VFNMADDPSr231r
     2U,	// VFNMADDPSr231rY
     20U,	// VFNMADDSD4mr
@@ -9096,7 +9800,7 @@
     38U,	// VFNMADDSD4rr
     38U,	// VFNMADDSD4rr_Int
     38U,	// VFNMADDSD4rr_REV
-    0U,	// VFNMADDSDZm
+    2U,	// VFNMADDSDZm
     2U,	// VFNMADDSDZr
     2U,	// VFNMADDSDr132m
     2U,	// VFNMADDSDr132r
@@ -9111,7 +9815,7 @@
     38U,	// VFNMADDSS4rr
     38U,	// VFNMADDSS4rr_Int
     38U,	// VFNMADDSS4rr_REV
-    0U,	// VFNMADDSSZm
+    2U,	// VFNMADDSSZm
     2U,	// VFNMADDSSZr
     2U,	// VFNMADDSSr132m
     2U,	// VFNMADDSSr132r
@@ -9131,42 +9835,42 @@
     2U,	// VFNMSUB213PSZr
     20U,	// VFNMSUBPD4mr
     0U,	// VFNMSUBPD4mrY
-    2U,	// VFNMSUBPD4rm
-    2U,	// VFNMSUBPD4rmY
+    38U,	// VFNMSUBPD4rm
+    38U,	// VFNMSUBPD4rmY
     38U,	// VFNMSUBPD4rr
     38U,	// VFNMSUBPD4rrY
     38U,	// VFNMSUBPD4rrY_REV
     38U,	// VFNMSUBPD4rr_REV
-    0U,	// VFNMSUBPDr132m
-    0U,	// VFNMSUBPDr132mY
+    2U,	// VFNMSUBPDr132m
+    2U,	// VFNMSUBPDr132mY
     2U,	// VFNMSUBPDr132r
     2U,	// VFNMSUBPDr132rY
-    0U,	// VFNMSUBPDr213m
-    0U,	// VFNMSUBPDr213mY
+    2U,	// VFNMSUBPDr213m
+    2U,	// VFNMSUBPDr213mY
     2U,	// VFNMSUBPDr213r
     2U,	// VFNMSUBPDr213rY
-    0U,	// VFNMSUBPDr231m
-    0U,	// VFNMSUBPDr231mY
+    2U,	// VFNMSUBPDr231m
+    2U,	// VFNMSUBPDr231mY
     2U,	// VFNMSUBPDr231r
     2U,	// VFNMSUBPDr231rY
     20U,	// VFNMSUBPS4mr
     0U,	// VFNMSUBPS4mrY
-    2U,	// VFNMSUBPS4rm
-    2U,	// VFNMSUBPS4rmY
+    38U,	// VFNMSUBPS4rm
+    38U,	// VFNMSUBPS4rmY
     38U,	// VFNMSUBPS4rr
     38U,	// VFNMSUBPS4rrY
     38U,	// VFNMSUBPS4rrY_REV
     38U,	// VFNMSUBPS4rr_REV
-    0U,	// VFNMSUBPSr132m
-    0U,	// VFNMSUBPSr132mY
+    2U,	// VFNMSUBPSr132m
+    2U,	// VFNMSUBPSr132mY
     2U,	// VFNMSUBPSr132r
     2U,	// VFNMSUBPSr132rY
-    0U,	// VFNMSUBPSr213m
-    0U,	// VFNMSUBPSr213mY
+    2U,	// VFNMSUBPSr213m
+    2U,	// VFNMSUBPSr213mY
     2U,	// VFNMSUBPSr213r
     2U,	// VFNMSUBPSr213rY
-    0U,	// VFNMSUBPSr231m
-    0U,	// VFNMSUBPSr231mY
+    2U,	// VFNMSUBPSr231m
+    2U,	// VFNMSUBPSr231mY
     2U,	// VFNMSUBPSr231r
     2U,	// VFNMSUBPSr231rY
     20U,	// VFNMSUBSD4mr
@@ -9176,7 +9880,7 @@
     38U,	// VFNMSUBSD4rr
     38U,	// VFNMSUBSD4rr_Int
     38U,	// VFNMSUBSD4rr_REV
-    0U,	// VFNMSUBSDZm
+    2U,	// VFNMSUBSDZm
     2U,	// VFNMSUBSDZr
     2U,	// VFNMSUBSDr132m
     2U,	// VFNMSUBSDr132r
@@ -9191,7 +9895,7 @@
     38U,	// VFNMSUBSS4rr
     38U,	// VFNMSUBSS4rr_Int
     38U,	// VFNMSUBSS4rr_REV
-    0U,	// VFNMSUBSSZm
+    2U,	// VFNMSUBSSZm
     2U,	// VFNMSUBSSZr
     2U,	// VFNMSUBSSr132m
     2U,	// VFNMSUBSSr132r
@@ -9228,30 +9932,38 @@
     2U,	// VFsXORPSrm
     2U,	// VFsXORPSrr
     0U,	// VGATHERDPDYrm
-    0U,	// VGATHERDPDZrm
+    104U,	// VGATHERDPDZrm
     0U,	// VGATHERDPDrm
     0U,	// VGATHERDPSYrm
-    0U,	// VGATHERDPSZrm
+    104U,	// VGATHERDPSZrm
     0U,	// VGATHERDPSrm
+    0U,	// VGATHERPF0DPDm
+    0U,	// VGATHERPF0DPSm
+    0U,	// VGATHERPF0QPDm
+    0U,	// VGATHERPF0QPSm
+    0U,	// VGATHERPF1DPDm
+    0U,	// VGATHERPF1DPSm
+    0U,	// VGATHERPF1QPDm
+    0U,	// VGATHERPF1QPSm
     0U,	// VGATHERQPDYrm
-    0U,	// VGATHERQPDZrm
+    104U,	// VGATHERQPDZrm
     0U,	// VGATHERQPDrm
     0U,	// VGATHERQPSYrm
-    0U,	// VGATHERQPSZrm
+    104U,	// VGATHERQPSZrm
     0U,	// VGATHERQPSrm
-    0U,	// VHADDPDYrm
+    2U,	// VHADDPDYrm
     2U,	// VHADDPDYrr
     2U,	// VHADDPDrm
     2U,	// VHADDPDrr
-    0U,	// VHADDPSYrm
+    2U,	// VHADDPSYrm
     2U,	// VHADDPSYrr
     2U,	// VHADDPSrm
     2U,	// VHADDPSrr
-    0U,	// VHSUBPDYrm
+    2U,	// VHSUBPDYrm
     2U,	// VHSUBPDYrr
     2U,	// VHSUBPDrm
     2U,	// VHSUBPDrr
-    0U,	// VHSUBPSYrm
+    2U,	// VHSUBPSYrm
     2U,	// VHSUBPSYrr
     2U,	// VHSUBPSrm
     2U,	// VHSUBPSrr
@@ -9277,18 +9989,18 @@
     0U,	// VMASKMOVDQU
     0U,	// VMASKMOVDQU64
     1U,	// VMASKMOVPDYmr
-    0U,	// VMASKMOVPDYrm
+    2U,	// VMASKMOVPDYrm
     1U,	// VMASKMOVPDmr
     2U,	// VMASKMOVPDrm
     1U,	// VMASKMOVPSYmr
-    0U,	// VMASKMOVPSYrm
+    2U,	// VMASKMOVPSYrm
     1U,	// VMASKMOVPSmr
     2U,	// VMASKMOVPSrm
-    0U,	// VMAXCPDYrm
+    2U,	// VMAXCPDYrm
     2U,	// VMAXCPDYrr
     2U,	// VMAXCPDrm
     2U,	// VMAXCPDrr
-    0U,	// VMAXCPSYrm
+    2U,	// VMAXCPSYrm
     2U,	// VMAXCPSYrr
     2U,	// VMAXCPSrm
     2U,	// VMAXCPSrr
@@ -9296,18 +10008,30 @@
     2U,	// VMAXCSDrr
     20U,	// VMAXCSSrm
     2U,	// VMAXCSSrr
-    0U,	// VMAXPDYrm
+    2U,	// VMAXPDYrm
     2U,	// VMAXPDYrr
-    0U,	// VMAXPDZrm
+    2U,	// VMAXPDZrm
     20U,	// VMAXPDZrmb
+    50U,	// VMAXPDZrmbk
+    306U,	// VMAXPDZrmbkz
+    50U,	// VMAXPDZrmk
+    306U,	// VMAXPDZrmkz
     2U,	// VMAXPDZrr
+    562U,	// VMAXPDZrrk
+    306U,	// VMAXPDZrrkz
     2U,	// VMAXPDrm
     2U,	// VMAXPDrr
-    0U,	// VMAXPSYrm
+    2U,	// VMAXPSYrm
     2U,	// VMAXPSYrr
-    0U,	// VMAXPSZrm
+    2U,	// VMAXPSZrm
     20U,	// VMAXPSZrmb
+    50U,	// VMAXPSZrmbk
+    306U,	// VMAXPSZrmbkz
+    50U,	// VMAXPSZrmk
+    306U,	// VMAXPSZrmkz
     2U,	// VMAXPSZrr
+    562U,	// VMAXPSZrrk
+    306U,	// VMAXPSZrrkz
     2U,	// VMAXPSrm
     2U,	// VMAXPSrr
     20U,	// VMAXSDZrm
@@ -9325,11 +10049,11 @@
     0U,	// VMCALL
     0U,	// VMCLEARm
     0U,	// VMFUNC
-    0U,	// VMINCPDYrm
+    2U,	// VMINCPDYrm
     2U,	// VMINCPDYrr
     2U,	// VMINCPDrm
     2U,	// VMINCPDrr
-    0U,	// VMINCPSYrm
+    2U,	// VMINCPSYrm
     2U,	// VMINCPSYrr
     2U,	// VMINCPSrm
     2U,	// VMINCPSrr
@@ -9337,18 +10061,30 @@
     2U,	// VMINCSDrr
     20U,	// VMINCSSrm
     2U,	// VMINCSSrr
-    0U,	// VMINPDYrm
+    2U,	// VMINPDYrm
     2U,	// VMINPDYrr
-    0U,	// VMINPDZrm
+    2U,	// VMINPDZrm
     20U,	// VMINPDZrmb
+    50U,	// VMINPDZrmbk
+    306U,	// VMINPDZrmbkz
+    50U,	// VMINPDZrmk
+    306U,	// VMINPDZrmkz
     2U,	// VMINPDZrr
+    562U,	// VMINPDZrrk
+    306U,	// VMINPDZrrkz
     2U,	// VMINPDrm
     2U,	// VMINPDrr
-    0U,	// VMINPSYrm
+    2U,	// VMINPSYrm
     2U,	// VMINPSYrr
-    0U,	// VMINPSZrm
+    2U,	// VMINPSZrm
     20U,	// VMINPSZrmb
+    50U,	// VMINPSZrmbk
+    306U,	// VMINPSZrmbkz
+    50U,	// VMINPSZrmk
+    306U,	// VMINPSZrmkz
     2U,	// VMINPSZrr
+    562U,	// VMINPSZrrk
+    306U,	// VMINPSZrrkz
     2U,	// VMINPSrm
     2U,	// VMINPSrr
     20U,	// VMINSDZrm
@@ -9376,11 +10112,39 @@
     0U,	// VMOVAPDYrm
     0U,	// VMOVAPDYrr
     0U,	// VMOVAPDYrr_REV
+    0U,	// VMOVAPDZ128mr
+    11U,	// VMOVAPDZ128mrk
+    0U,	// VMOVAPDZ128rm
+    125U,	// VMOVAPDZ128rmk
+    87U,	// VMOVAPDZ128rmkz
+    0U,	// VMOVAPDZ128rr
+    0U,	// VMOVAPDZ128rr_alt
+    125U,	// VMOVAPDZ128rrk
+    125U,	// VMOVAPDZ128rrk_alt
+    87U,	// VMOVAPDZ128rrkz
+    87U,	// VMOVAPDZ128rrkz_alt
+    0U,	// VMOVAPDZ256mr
+    11U,	// VMOVAPDZ256mrk
+    0U,	// VMOVAPDZ256rm
+    125U,	// VMOVAPDZ256rmk
+    87U,	// VMOVAPDZ256rmkz
+    0U,	// VMOVAPDZ256rr
+    0U,	// VMOVAPDZ256rr_alt
+    125U,	// VMOVAPDZ256rrk
+    125U,	// VMOVAPDZ256rrk_alt
+    87U,	// VMOVAPDZ256rrkz
+    87U,	// VMOVAPDZ256rrkz_alt
     0U,	// VMOVAPDZmr
+    11U,	// VMOVAPDZmrk
     0U,	// VMOVAPDZrm
-    9U,	// VMOVAPDZrmk
+    125U,	// VMOVAPDZrmk
+    87U,	// VMOVAPDZrmkz
     0U,	// VMOVAPDZrr
-    9U,	// VMOVAPDZrrk
+    0U,	// VMOVAPDZrr_alt
+    125U,	// VMOVAPDZrrk
+    125U,	// VMOVAPDZrrk_alt
+    87U,	// VMOVAPDZrrkz
+    87U,	// VMOVAPDZrrkz_alt
     0U,	// VMOVAPDmr
     0U,	// VMOVAPDrm
     0U,	// VMOVAPDrr
@@ -9389,11 +10153,39 @@
     0U,	// VMOVAPSYrm
     0U,	// VMOVAPSYrr
     0U,	// VMOVAPSYrr_REV
+    0U,	// VMOVAPSZ128mr
+    11U,	// VMOVAPSZ128mrk
+    0U,	// VMOVAPSZ128rm
+    125U,	// VMOVAPSZ128rmk
+    87U,	// VMOVAPSZ128rmkz
+    0U,	// VMOVAPSZ128rr
+    0U,	// VMOVAPSZ128rr_alt
+    125U,	// VMOVAPSZ128rrk
+    125U,	// VMOVAPSZ128rrk_alt
+    87U,	// VMOVAPSZ128rrkz
+    87U,	// VMOVAPSZ128rrkz_alt
+    0U,	// VMOVAPSZ256mr
+    11U,	// VMOVAPSZ256mrk
+    0U,	// VMOVAPSZ256rm
+    125U,	// VMOVAPSZ256rmk
+    87U,	// VMOVAPSZ256rmkz
+    0U,	// VMOVAPSZ256rr
+    0U,	// VMOVAPSZ256rr_alt
+    125U,	// VMOVAPSZ256rrk
+    125U,	// VMOVAPSZ256rrk_alt
+    87U,	// VMOVAPSZ256rrkz
+    87U,	// VMOVAPSZ256rrkz_alt
     0U,	// VMOVAPSZmr
+    11U,	// VMOVAPSZmrk
     0U,	// VMOVAPSZrm
-    9U,	// VMOVAPSZrmk
+    125U,	// VMOVAPSZrmk
+    87U,	// VMOVAPSZrmkz
     0U,	// VMOVAPSZrr
-    9U,	// VMOVAPSZrrk
+    0U,	// VMOVAPSZrr_alt
+    125U,	// VMOVAPSZrrk
+    125U,	// VMOVAPSZrrk_alt
+    87U,	// VMOVAPSZrrkz
+    87U,	// VMOVAPSZrrkz_alt
     0U,	// VMOVAPSmr
     0U,	// VMOVAPSrm
     0U,	// VMOVAPSrr
@@ -9412,12 +10204,72 @@
     0U,	// VMOVDI2SSZrr
     0U,	// VMOVDI2SSrm
     0U,	// VMOVDI2SSrr
-    0U,	// VMOVDQA32mr
-    0U,	// VMOVDQA32rm
-    0U,	// VMOVDQA32rr
-    0U,	// VMOVDQA64mr
-    0U,	// VMOVDQA64rm
-    0U,	// VMOVDQA64rr
+    0U,	// VMOVDQA32Z128mr
+    11U,	// VMOVDQA32Z128mrk
+    0U,	// VMOVDQA32Z128rm
+    125U,	// VMOVDQA32Z128rmk
+    87U,	// VMOVDQA32Z128rmkz
+    0U,	// VMOVDQA32Z128rr
+    0U,	// VMOVDQA32Z128rr_alt
+    125U,	// VMOVDQA32Z128rrk
+    125U,	// VMOVDQA32Z128rrk_alt
+    87U,	// VMOVDQA32Z128rrkz
+    87U,	// VMOVDQA32Z128rrkz_alt
+    0U,	// VMOVDQA32Z256mr
+    11U,	// VMOVDQA32Z256mrk
+    0U,	// VMOVDQA32Z256rm
+    125U,	// VMOVDQA32Z256rmk
+    87U,	// VMOVDQA32Z256rmkz
+    0U,	// VMOVDQA32Z256rr
+    0U,	// VMOVDQA32Z256rr_alt
+    125U,	// VMOVDQA32Z256rrk
+    125U,	// VMOVDQA32Z256rrk_alt
+    87U,	// VMOVDQA32Z256rrkz
+    87U,	// VMOVDQA32Z256rrkz_alt
+    0U,	// VMOVDQA32Zmr
+    11U,	// VMOVDQA32Zmrk
+    0U,	// VMOVDQA32Zrm
+    125U,	// VMOVDQA32Zrmk
+    87U,	// VMOVDQA32Zrmkz
+    0U,	// VMOVDQA32Zrr
+    0U,	// VMOVDQA32Zrr_alt
+    125U,	// VMOVDQA32Zrrk
+    125U,	// VMOVDQA32Zrrk_alt
+    87U,	// VMOVDQA32Zrrkz
+    87U,	// VMOVDQA32Zrrkz_alt
+    0U,	// VMOVDQA64Z128mr
+    11U,	// VMOVDQA64Z128mrk
+    0U,	// VMOVDQA64Z128rm
+    125U,	// VMOVDQA64Z128rmk
+    87U,	// VMOVDQA64Z128rmkz
+    0U,	// VMOVDQA64Z128rr
+    0U,	// VMOVDQA64Z128rr_alt
+    125U,	// VMOVDQA64Z128rrk
+    125U,	// VMOVDQA64Z128rrk_alt
+    87U,	// VMOVDQA64Z128rrkz
+    87U,	// VMOVDQA64Z128rrkz_alt
+    0U,	// VMOVDQA64Z256mr
+    11U,	// VMOVDQA64Z256mrk
+    0U,	// VMOVDQA64Z256rm
+    125U,	// VMOVDQA64Z256rmk
+    87U,	// VMOVDQA64Z256rmkz
+    0U,	// VMOVDQA64Z256rr
+    0U,	// VMOVDQA64Z256rr_alt
+    125U,	// VMOVDQA64Z256rrk
+    125U,	// VMOVDQA64Z256rrk_alt
+    87U,	// VMOVDQA64Z256rrkz
+    87U,	// VMOVDQA64Z256rrkz_alt
+    0U,	// VMOVDQA64Zmr
+    11U,	// VMOVDQA64Zmrk
+    0U,	// VMOVDQA64Zrm
+    125U,	// VMOVDQA64Zrmk
+    87U,	// VMOVDQA64Zrmkz
+    0U,	// VMOVDQA64Zrr
+    0U,	// VMOVDQA64Zrr_alt
+    125U,	// VMOVDQA64Zrrk
+    125U,	// VMOVDQA64Zrrk_alt
+    87U,	// VMOVDQA64Zrrkz
+    87U,	// VMOVDQA64Zrrkz_alt
     0U,	// VMOVDQAYmr
     0U,	// VMOVDQAYrm
     0U,	// VMOVDQAYrr
@@ -9426,18 +10278,138 @@
     0U,	// VMOVDQArm
     0U,	// VMOVDQArr
     0U,	// VMOVDQArr_REV
-    0U,	// VMOVDQU32mr
-    0U,	// VMOVDQU32rm
-    9U,	// VMOVDQU32rmk
-    0U,	// VMOVDQU32rr
-    9U,	// VMOVDQU32rrk
-    87U,	// VMOVDQU32rrkz
-    0U,	// VMOVDQU64mr
-    0U,	// VMOVDQU64rm
-    9U,	// VMOVDQU64rmk
-    0U,	// VMOVDQU64rr
-    9U,	// VMOVDQU64rrk
-    87U,	// VMOVDQU64rrkz
+    0U,	// VMOVDQU16Z128mr
+    11U,	// VMOVDQU16Z128mrk
+    0U,	// VMOVDQU16Z128rm
+    125U,	// VMOVDQU16Z128rmk
+    87U,	// VMOVDQU16Z128rmkz
+    0U,	// VMOVDQU16Z128rr
+    0U,	// VMOVDQU16Z128rr_alt
+    125U,	// VMOVDQU16Z128rrk
+    125U,	// VMOVDQU16Z128rrk_alt
+    87U,	// VMOVDQU16Z128rrkz
+    87U,	// VMOVDQU16Z128rrkz_alt
+    0U,	// VMOVDQU16Z256mr
+    11U,	// VMOVDQU16Z256mrk
+    0U,	// VMOVDQU16Z256rm
+    125U,	// VMOVDQU16Z256rmk
+    87U,	// VMOVDQU16Z256rmkz
+    0U,	// VMOVDQU16Z256rr
+    0U,	// VMOVDQU16Z256rr_alt
+    125U,	// VMOVDQU16Z256rrk
+    125U,	// VMOVDQU16Z256rrk_alt
+    87U,	// VMOVDQU16Z256rrkz
+    87U,	// VMOVDQU16Z256rrkz_alt
+    0U,	// VMOVDQU16Zmr
+    11U,	// VMOVDQU16Zmrk
+    0U,	// VMOVDQU16Zrm
+    125U,	// VMOVDQU16Zrmk
+    87U,	// VMOVDQU16Zrmkz
+    0U,	// VMOVDQU16Zrr
+    0U,	// VMOVDQU16Zrr_alt
+    125U,	// VMOVDQU16Zrrk
+    125U,	// VMOVDQU16Zrrk_alt
+    87U,	// VMOVDQU16Zrrkz
+    87U,	// VMOVDQU16Zrrkz_alt
+    0U,	// VMOVDQU32Z128mr
+    11U,	// VMOVDQU32Z128mrk
+    0U,	// VMOVDQU32Z128rm
+    125U,	// VMOVDQU32Z128rmk
+    87U,	// VMOVDQU32Z128rmkz
+    0U,	// VMOVDQU32Z128rr
+    0U,	// VMOVDQU32Z128rr_alt
+    125U,	// VMOVDQU32Z128rrk
+    125U,	// VMOVDQU32Z128rrk_alt
+    87U,	// VMOVDQU32Z128rrkz
+    87U,	// VMOVDQU32Z128rrkz_alt
+    0U,	// VMOVDQU32Z256mr
+    11U,	// VMOVDQU32Z256mrk
+    0U,	// VMOVDQU32Z256rm
+    125U,	// VMOVDQU32Z256rmk
+    87U,	// VMOVDQU32Z256rmkz
+    0U,	// VMOVDQU32Z256rr
+    0U,	// VMOVDQU32Z256rr_alt
+    125U,	// VMOVDQU32Z256rrk
+    125U,	// VMOVDQU32Z256rrk_alt
+    87U,	// VMOVDQU32Z256rrkz
+    87U,	// VMOVDQU32Z256rrkz_alt
+    0U,	// VMOVDQU32Zmr
+    11U,	// VMOVDQU32Zmrk
+    0U,	// VMOVDQU32Zrm
+    125U,	// VMOVDQU32Zrmk
+    87U,	// VMOVDQU32Zrmkz
+    0U,	// VMOVDQU32Zrr
+    0U,	// VMOVDQU32Zrr_alt
+    125U,	// VMOVDQU32Zrrk
+    125U,	// VMOVDQU32Zrrk_alt
+    87U,	// VMOVDQU32Zrrkz
+    87U,	// VMOVDQU32Zrrkz_alt
+    0U,	// VMOVDQU64Z128mr
+    11U,	// VMOVDQU64Z128mrk
+    0U,	// VMOVDQU64Z128rm
+    125U,	// VMOVDQU64Z128rmk
+    87U,	// VMOVDQU64Z128rmkz
+    0U,	// VMOVDQU64Z128rr
+    0U,	// VMOVDQU64Z128rr_alt
+    125U,	// VMOVDQU64Z128rrk
+    125U,	// VMOVDQU64Z128rrk_alt
+    87U,	// VMOVDQU64Z128rrkz
+    87U,	// VMOVDQU64Z128rrkz_alt
+    0U,	// VMOVDQU64Z256mr
+    11U,	// VMOVDQU64Z256mrk
+    0U,	// VMOVDQU64Z256rm
+    125U,	// VMOVDQU64Z256rmk
+    87U,	// VMOVDQU64Z256rmkz
+    0U,	// VMOVDQU64Z256rr
+    0U,	// VMOVDQU64Z256rr_alt
+    125U,	// VMOVDQU64Z256rrk
+    125U,	// VMOVDQU64Z256rrk_alt
+    87U,	// VMOVDQU64Z256rrkz
+    87U,	// VMOVDQU64Z256rrkz_alt
+    0U,	// VMOVDQU64Zmr
+    11U,	// VMOVDQU64Zmrk
+    0U,	// VMOVDQU64Zrm
+    125U,	// VMOVDQU64Zrmk
+    87U,	// VMOVDQU64Zrmkz
+    0U,	// VMOVDQU64Zrr
+    0U,	// VMOVDQU64Zrr_alt
+    125U,	// VMOVDQU64Zrrk
+    125U,	// VMOVDQU64Zrrk_alt
+    87U,	// VMOVDQU64Zrrkz
+    87U,	// VMOVDQU64Zrrkz_alt
+    0U,	// VMOVDQU8Z128mr
+    11U,	// VMOVDQU8Z128mrk
+    0U,	// VMOVDQU8Z128rm
+    125U,	// VMOVDQU8Z128rmk
+    87U,	// VMOVDQU8Z128rmkz
+    0U,	// VMOVDQU8Z128rr
+    0U,	// VMOVDQU8Z128rr_alt
+    125U,	// VMOVDQU8Z128rrk
+    125U,	// VMOVDQU8Z128rrk_alt
+    87U,	// VMOVDQU8Z128rrkz
+    87U,	// VMOVDQU8Z128rrkz_alt
+    0U,	// VMOVDQU8Z256mr
+    11U,	// VMOVDQU8Z256mrk
+    0U,	// VMOVDQU8Z256rm
+    125U,	// VMOVDQU8Z256rmk
+    87U,	// VMOVDQU8Z256rmkz
+    0U,	// VMOVDQU8Z256rr
+    0U,	// VMOVDQU8Z256rr_alt
+    125U,	// VMOVDQU8Z256rrk
+    125U,	// VMOVDQU8Z256rrk_alt
+    87U,	// VMOVDQU8Z256rrkz
+    87U,	// VMOVDQU8Z256rrkz_alt
+    0U,	// VMOVDQU8Zmr
+    11U,	// VMOVDQU8Zmrk
+    0U,	// VMOVDQU8Zrm
+    125U,	// VMOVDQU8Zrmk
+    87U,	// VMOVDQU8Zrmkz
+    0U,	// VMOVDQU8Zrr
+    0U,	// VMOVDQU8Zrr_alt
+    125U,	// VMOVDQU8Zrrk
+    125U,	// VMOVDQU8Zrrk_alt
+    87U,	// VMOVDQU8Zrrkz
+    87U,	// VMOVDQU8Zrrkz_alt
     0U,	// VMOVDQUYmr
     0U,	// VMOVDQUYrm
     0U,	// VMOVDQUYrr
@@ -9463,12 +10435,16 @@
     0U,	// VMOVMSKPSYrr
     0U,	// VMOVMSKPSrr
     0U,	// VMOVNTDQAYrm
+    0U,	// VMOVNTDQAZrm
     0U,	// VMOVNTDQArm
     0U,	// VMOVNTDQYmr
+    0U,	// VMOVNTDQZmr
     0U,	// VMOVNTDQmr
     0U,	// VMOVNTPDYmr
+    0U,	// VMOVNTPDZmr
     0U,	// VMOVNTPDmr
     0U,	// VMOVNTPSYmr
+    0U,	// VMOVNTPSZmr
     0U,	// VMOVNTPSmr
     0U,	// VMOVPDI2DIZmr
     0U,	// VMOVPDI2DIZrr
@@ -9485,7 +10461,7 @@
     0U,	// VMOVSDZrm
     2U,	// VMOVSDZrr
     2U,	// VMOVSDZrr_REV
-    9U,	// VMOVSDZrrk
+    125U,	// VMOVSDZrrk
     0U,	// VMOVSDmr
     0U,	// VMOVSDrm
     2U,	// VMOVSDrr
@@ -9514,7 +10490,7 @@
     0U,	// VMOVSSZrm
     2U,	// VMOVSSZrr
     2U,	// VMOVSSZrr_REV
-    9U,	// VMOVSSZrrk
+    125U,	// VMOVSSZrrk
     0U,	// VMOVSSmr
     0U,	// VMOVSSrm
     2U,	// VMOVSSrr
@@ -9523,11 +10499,39 @@
     0U,	// VMOVUPDYrm
     0U,	// VMOVUPDYrr
     0U,	// VMOVUPDYrr_REV
+    0U,	// VMOVUPDZ128mr
+    11U,	// VMOVUPDZ128mrk
+    0U,	// VMOVUPDZ128rm
+    125U,	// VMOVUPDZ128rmk
+    87U,	// VMOVUPDZ128rmkz
+    0U,	// VMOVUPDZ128rr
+    0U,	// VMOVUPDZ128rr_alt
+    125U,	// VMOVUPDZ128rrk
+    125U,	// VMOVUPDZ128rrk_alt
+    87U,	// VMOVUPDZ128rrkz
+    87U,	// VMOVUPDZ128rrkz_alt
+    0U,	// VMOVUPDZ256mr
+    11U,	// VMOVUPDZ256mrk
+    0U,	// VMOVUPDZ256rm
+    125U,	// VMOVUPDZ256rmk
+    87U,	// VMOVUPDZ256rmkz
+    0U,	// VMOVUPDZ256rr
+    0U,	// VMOVUPDZ256rr_alt
+    125U,	// VMOVUPDZ256rrk
+    125U,	// VMOVUPDZ256rrk_alt
+    87U,	// VMOVUPDZ256rrkz
+    87U,	// VMOVUPDZ256rrkz_alt
     0U,	// VMOVUPDZmr
+    11U,	// VMOVUPDZmrk
     0U,	// VMOVUPDZrm
-    9U,	// VMOVUPDZrmk
+    125U,	// VMOVUPDZrmk
+    87U,	// VMOVUPDZrmkz
     0U,	// VMOVUPDZrr
-    9U,	// VMOVUPDZrrk
+    0U,	// VMOVUPDZrr_alt
+    125U,	// VMOVUPDZrrk
+    125U,	// VMOVUPDZrrk_alt
+    87U,	// VMOVUPDZrrkz
+    87U,	// VMOVUPDZrrkz_alt
     0U,	// VMOVUPDmr
     0U,	// VMOVUPDrm
     0U,	// VMOVUPDrr
@@ -9536,11 +10540,39 @@
     0U,	// VMOVUPSYrm
     0U,	// VMOVUPSYrr
     0U,	// VMOVUPSYrr_REV
+    0U,	// VMOVUPSZ128mr
+    11U,	// VMOVUPSZ128mrk
+    0U,	// VMOVUPSZ128rm
+    125U,	// VMOVUPSZ128rmk
+    87U,	// VMOVUPSZ128rmkz
+    0U,	// VMOVUPSZ128rr
+    0U,	// VMOVUPSZ128rr_alt
+    125U,	// VMOVUPSZ128rrk
+    125U,	// VMOVUPSZ128rrk_alt
+    87U,	// VMOVUPSZ128rrkz
+    87U,	// VMOVUPSZ128rrkz_alt
+    0U,	// VMOVUPSZ256mr
+    11U,	// VMOVUPSZ256mrk
+    0U,	// VMOVUPSZ256rm
+    125U,	// VMOVUPSZ256rmk
+    87U,	// VMOVUPSZ256rmkz
+    0U,	// VMOVUPSZ256rr
+    0U,	// VMOVUPSZ256rr_alt
+    125U,	// VMOVUPSZ256rrk
+    125U,	// VMOVUPSZ256rrk_alt
+    87U,	// VMOVUPSZ256rrkz
+    87U,	// VMOVUPSZ256rrkz_alt
     0U,	// VMOVUPSZmr
+    11U,	// VMOVUPSZmrk
     0U,	// VMOVUPSZrm
-    9U,	// VMOVUPSZrmk
+    125U,	// VMOVUPSZrmk
+    87U,	// VMOVUPSZrmkz
     0U,	// VMOVUPSZrr
-    9U,	// VMOVUPSZrrk
+    0U,	// VMOVUPSZrr_alt
+    125U,	// VMOVUPSZrrk
+    125U,	// VMOVUPSZrrk_alt
+    87U,	// VMOVUPSZrrkz
+    87U,	// VMOVUPSZrrkz_alt
     0U,	// VMOVUPSmr
     0U,	// VMOVUPSrm
     0U,	// VMOVUPSrr
@@ -9566,18 +10598,30 @@
     0U,	// VMRUN64
     0U,	// VMSAVE32
     0U,	// VMSAVE64
-    0U,	// VMULPDYrm
+    2U,	// VMULPDYrm
     2U,	// VMULPDYrr
-    0U,	// VMULPDZrm
+    2U,	// VMULPDZrm
     20U,	// VMULPDZrmb
+    50U,	// VMULPDZrmbk
+    306U,	// VMULPDZrmbkz
+    50U,	// VMULPDZrmk
+    306U,	// VMULPDZrmkz
     2U,	// VMULPDZrr
+    562U,	// VMULPDZrrk
+    306U,	// VMULPDZrrkz
     2U,	// VMULPDrm
     2U,	// VMULPDrr
-    0U,	// VMULPSYrm
+    2U,	// VMULPSYrm
     2U,	// VMULPSYrr
-    0U,	// VMULPSZrm
+    2U,	// VMULPSZrm
     20U,	// VMULPSZrmb
+    50U,	// VMULPSZrmbk
+    306U,	// VMULPSZrmbkz
+    50U,	// VMULPSZrmk
+    306U,	// VMULPSZrmkz
     2U,	// VMULPSZrr
+    562U,	// VMULPSZrrk
+    306U,	// VMULPSZrrkz
     2U,	// VMULPSrm
     2U,	// VMULPSrr
     20U,	// VMULSDZrm
@@ -9598,11 +10642,11 @@
     0U,	// VMWRITE64rr
     0U,	// VMXOFF
     0U,	// VMXON
-    0U,	// VORPDYrm
+    2U,	// VORPDYrm
     2U,	// VORPDYrr
     2U,	// VORPDrm
     2U,	// VORPDrr
-    0U,	// VORPSYrm
+    2U,	// VORPSYrm
     2U,	// VORPSYrr
     2U,	// VORPSrm
     2U,	// VORPSrr
@@ -9610,69 +10654,95 @@
     0U,	// VPABSBrm256
     0U,	// VPABSBrr128
     0U,	// VPABSBrr256
-    0U,	// VPABSDrm
+    0U,	// VPABSDZrm
+    0U,	// VPABSDZrmb
+    136U,	// VPABSDZrmbk
+    392U,	// VPABSDZrmbkz
+    119U,	// VPABSDZrmk
+    87U,	// VPABSDZrmkz
+    0U,	// VPABSDZrr
+    119U,	// VPABSDZrrk
+    87U,	// VPABSDZrrkz
     0U,	// VPABSDrm128
     0U,	// VPABSDrm256
-    0U,	// VPABSDrr
     0U,	// VPABSDrr128
     0U,	// VPABSDrr256
-    0U,	// VPABSQrm
-    0U,	// VPABSQrr
+    0U,	// VPABSQZrm
+    0U,	// VPABSQZrmb
+    136U,	// VPABSQZrmbk
+    392U,	// VPABSQZrmbkz
+    119U,	// VPABSQZrmk
+    87U,	// VPABSQZrmkz
+    0U,	// VPABSQZrr
+    119U,	// VPABSQZrrk
+    87U,	// VPABSQZrrkz
     0U,	// VPABSWrm128
     0U,	// VPABSWrm256
     0U,	// VPABSWrr128
     0U,	// VPABSWrr256
-    0U,	// VPACKSSDWYrm
+    2U,	// VPACKSSDWYrm
     2U,	// VPACKSSDWYrr
     2U,	// VPACKSSDWrm
     2U,	// VPACKSSDWrr
-    0U,	// VPACKSSWBYrm
+    2U,	// VPACKSSWBYrm
     2U,	// VPACKSSWBYrr
     2U,	// VPACKSSWBrm
     2U,	// VPACKSSWBrr
-    0U,	// VPACKUSDWYrm
+    2U,	// VPACKUSDWYrm
     2U,	// VPACKUSDWYrr
     2U,	// VPACKUSDWrm
     2U,	// VPACKUSDWrr
-    0U,	// VPACKUSWBYrm
+    2U,	// VPACKUSWBYrm
     2U,	// VPACKUSWBYrr
     2U,	// VPACKUSWBrm
     2U,	// VPACKUSWBrr
-    0U,	// VPADDBYrm
+    2U,	// VPADDBYrm
     2U,	// VPADDBYrr
     2U,	// VPADDBrm
     2U,	// VPADDBrr
-    0U,	// VPADDDYrm
+    2U,	// VPADDDYrm
     2U,	// VPADDDYrr
     2U,	// VPADDDZrm
     20U,	// VPADDDZrmb
+    1U,	// VPADDDZrmbk
+    306U,	// VPADDDZrmbkz
+    0U,	// VPADDDZrmk
+    306U,	// VPADDDZrmkz
     2U,	// VPADDDZrr
+    125U,	// VPADDDZrrk
+    306U,	// VPADDDZrrkz
     2U,	// VPADDDrm
     2U,	// VPADDDrr
-    0U,	// VPADDQYrm
+    2U,	// VPADDQYrm
     2U,	// VPADDQYrr
     2U,	// VPADDQZrm
     20U,	// VPADDQZrmb
+    1U,	// VPADDQZrmbk
+    306U,	// VPADDQZrmbkz
+    0U,	// VPADDQZrmk
+    306U,	// VPADDQZrmkz
     2U,	// VPADDQZrr
+    125U,	// VPADDQZrrk
+    306U,	// VPADDQZrrkz
     2U,	// VPADDQrm
     2U,	// VPADDQrr
-    0U,	// VPADDSBYrm
+    2U,	// VPADDSBYrm
     2U,	// VPADDSBYrr
     2U,	// VPADDSBrm
     2U,	// VPADDSBrr
-    0U,	// VPADDSWYrm
+    2U,	// VPADDSWYrm
     2U,	// VPADDSWYrr
     2U,	// VPADDSWrm
     2U,	// VPADDSWrr
-    0U,	// VPADDUSBYrm
+    2U,	// VPADDUSBYrm
     2U,	// VPADDUSBYrr
     2U,	// VPADDUSBrm
     2U,	// VPADDUSBrr
-    0U,	// VPADDUSWYrm
+    2U,	// VPADDUSWYrm
     2U,	// VPADDUSWYrr
     2U,	// VPADDUSWrm
     2U,	// VPADDUSWrr
-    0U,	// VPADDWYrm
+    2U,	// VPADDWYrm
     2U,	// VPADDWYrr
     2U,	// VPADDWrm
     2U,	// VPADDWrr
@@ -9682,29 +10752,53 @@
     38U,	// VPALIGNR256rr
     2U,	// VPANDDZrm
     20U,	// VPANDDZrmb
+    1U,	// VPANDDZrmbk
+    306U,	// VPANDDZrmbkz
+    0U,	// VPANDDZrmk
+    306U,	// VPANDDZrmkz
     2U,	// VPANDDZrr
+    125U,	// VPANDDZrrk
+    306U,	// VPANDDZrrkz
     2U,	// VPANDNDZrm
     20U,	// VPANDNDZrmb
+    1U,	// VPANDNDZrmbk
+    306U,	// VPANDNDZrmbkz
+    0U,	// VPANDNDZrmk
+    306U,	// VPANDNDZrmkz
     2U,	// VPANDNDZrr
+    125U,	// VPANDNDZrrk
+    306U,	// VPANDNDZrrkz
     2U,	// VPANDNQZrm
     20U,	// VPANDNQZrmb
+    1U,	// VPANDNQZrmbk
+    306U,	// VPANDNQZrmbkz
+    0U,	// VPANDNQZrmk
+    306U,	// VPANDNQZrmkz
     2U,	// VPANDNQZrr
-    0U,	// VPANDNYrm
+    125U,	// VPANDNQZrrk
+    306U,	// VPANDNQZrrkz
+    2U,	// VPANDNYrm
     2U,	// VPANDNYrr
     2U,	// VPANDNrm
     2U,	// VPANDNrr
     2U,	// VPANDQZrm
     20U,	// VPANDQZrmb
+    1U,	// VPANDQZrmbk
+    306U,	// VPANDQZrmbkz
+    0U,	// VPANDQZrmk
+    306U,	// VPANDQZrmkz
     2U,	// VPANDQZrr
-    0U,	// VPANDYrm
+    125U,	// VPANDQZrrk
+    306U,	// VPANDQZrrkz
+    2U,	// VPANDYrm
     2U,	// VPANDYrr
     2U,	// VPANDrm
     2U,	// VPANDrr
-    0U,	// VPAVGBYrm
+    2U,	// VPAVGBYrm
     2U,	// VPAVGBYrr
     2U,	// VPAVGBrm
     2U,	// VPAVGBrr
-    0U,	// VPAVGWYrm
+    2U,	// VPAVGWYrm
     2U,	// VPAVGWYrr
     2U,	// VPAVGWrm
     2U,	// VPAVGWrr
@@ -9712,10 +10806,10 @@
     38U,	// VPBLENDDYrri
     20U,	// VPBLENDDrmi
     38U,	// VPBLENDDrri
-    66U,	// VPBLENDMDZrm
-    66U,	// VPBLENDMDZrr
-    66U,	// VPBLENDMQZrm
-    66U,	// VPBLENDMQZrr
+    50U,	// VPBLENDMDZrm
+    50U,	// VPBLENDMDZrr
+    50U,	// VPBLENDMQZrm
+    50U,	// VPBLENDMQZrr
     0U,	// VPBLENDVBYrm
     38U,	// VPBLENDVBYrr
     20U,	// VPBLENDVBrm
@@ -9730,11 +10824,11 @@
     0U,	// VPBROADCASTBrr
     0U,	// VPBROADCASTDYrm
     0U,	// VPBROADCASTDYrr
-    106U,	// VPBROADCASTDZkrm
-    103U,	// VPBROADCASTDZkrr
+    392U,	// VPBROADCASTDZkrm
+    87U,	// VPBROADCASTDZkrr
     0U,	// VPBROADCASTDZrm
     0U,	// VPBROADCASTDZrr
-    103U,	// VPBROADCASTDrZkrr
+    87U,	// VPBROADCASTDrZkrr
     0U,	// VPBROADCASTDrZrr
     0U,	// VPBROADCASTDrm
     0U,	// VPBROADCASTDrr
@@ -9742,11 +10836,11 @@
     0U,	// VPBROADCASTMW2Drr
     0U,	// VPBROADCASTQYrm
     0U,	// VPBROADCASTQYrr
-    106U,	// VPBROADCASTQZkrm
-    103U,	// VPBROADCASTQZkrr
+    392U,	// VPBROADCASTQZkrm
+    87U,	// VPBROADCASTQZkrr
     0U,	// VPBROADCASTQZrm
     0U,	// VPBROADCASTQZrr
-    103U,	// VPBROADCASTQrZkrr
+    87U,	// VPBROADCASTQrZkrr
     0U,	// VPBROADCASTQrZrr
     0U,	// VPBROADCASTQrm
     0U,	// VPBROADCASTQrr
@@ -9758,31 +10852,33 @@
     38U,	// VPCLMULQDQrr
     20U,	// VPCMOVmr
     0U,	// VPCMOVmrY
-    2U,	// VPCMOVrm
-    0U,	// VPCMOVrmY
+    38U,	// VPCMOVrm
+    38U,	// VPCMOVrmY
     38U,	// VPCMOVrr
     38U,	// VPCMOVrrY
     1U,	// VPCMPDZrmi
     20U,	// VPCMPDZrmi_alt
+    0U,	// VPCMPDZrmik_alt
     38U,	// VPCMPDZrri
     38U,	// VPCMPDZrri_alt
-    0U,	// VPCMPEQBYrm
+    50U,	// VPCMPDZrrik_alt
+    2U,	// VPCMPEQBYrm
     2U,	// VPCMPEQBYrr
     2U,	// VPCMPEQBrm
     2U,	// VPCMPEQBrr
-    0U,	// VPCMPEQDYrm
+    2U,	// VPCMPEQDYrm
     2U,	// VPCMPEQDYrr
     2U,	// VPCMPEQDZrm
     2U,	// VPCMPEQDZrr
     2U,	// VPCMPEQDrm
     2U,	// VPCMPEQDrr
-    0U,	// VPCMPEQQYrm
+    2U,	// VPCMPEQQYrm
     2U,	// VPCMPEQQYrr
     2U,	// VPCMPEQQZrm
     2U,	// VPCMPEQQZrr
     2U,	// VPCMPEQQrm
     2U,	// VPCMPEQQrr
-    0U,	// VPCMPEQWYrm
+    2U,	// VPCMPEQWYrm
     2U,	// VPCMPEQWYrr
     2U,	// VPCMPEQWrm
     2U,	// VPCMPEQWrr
@@ -9794,23 +10890,23 @@
     0U,	// VPCMPESTRM128REG
     0U,	// VPCMPESTRM128rm
     2U,	// VPCMPESTRM128rr
-    0U,	// VPCMPGTBYrm
+    2U,	// VPCMPGTBYrm
     2U,	// VPCMPGTBYrr
     2U,	// VPCMPGTBrm
     2U,	// VPCMPGTBrr
-    0U,	// VPCMPGTDYrm
+    2U,	// VPCMPGTDYrm
     2U,	// VPCMPGTDYrr
     2U,	// VPCMPGTDZrm
     2U,	// VPCMPGTDZrr
     2U,	// VPCMPGTDrm
     2U,	// VPCMPGTDrr
-    0U,	// VPCMPGTQYrm
+    2U,	// VPCMPGTQYrm
     2U,	// VPCMPGTQYrr
     2U,	// VPCMPGTQZrm
     2U,	// VPCMPGTQZrr
     2U,	// VPCMPGTQrm
     2U,	// VPCMPGTQrr
-    0U,	// VPCMPGTWYrm
+    2U,	// VPCMPGTWYrm
     2U,	// VPCMPGTWYrr
     2U,	// VPCMPGTWrm
     2U,	// VPCMPGTWrr
@@ -9824,16 +10920,22 @@
     2U,	// VPCMPISTRM128rr
     1U,	// VPCMPQZrmi
     20U,	// VPCMPQZrmi_alt
+    0U,	// VPCMPQZrmik_alt
     38U,	// VPCMPQZrri
     38U,	// VPCMPQZrri_alt
+    50U,	// VPCMPQZrrik_alt
     1U,	// VPCMPUDZrmi
     20U,	// VPCMPUDZrmi_alt
+    0U,	// VPCMPUDZrmik_alt
     38U,	// VPCMPUDZrri
     38U,	// VPCMPUDZrri_alt
+    50U,	// VPCMPUDZrrik_alt
     1U,	// VPCMPUQZrmi
     20U,	// VPCMPUQZrmi_alt
+    0U,	// VPCMPUQZrmik_alt
     38U,	// VPCMPUQZrri
     38U,	// VPCMPUQZrri_alt
+    50U,	// VPCMPUQZrrik_alt
     20U,	// VPCOMBmi
     38U,	// VPCOMBri
     20U,	// VPCOMDmi
@@ -9852,38 +10954,54 @@
     38U,	// VPCOMWri
     0U,	// VPCONFLICTDrm
     0U,	// VPCONFLICTDrmb
-    0U,	// VPCONFLICTDrmbk
-    106U,	// VPCONFLICTDrmbkz
-    9U,	// VPCONFLICTDrmk
-    103U,	// VPCONFLICTDrmkz
+    125U,	// VPCONFLICTDrmbk
+    392U,	// VPCONFLICTDrmbkz
+    125U,	// VPCONFLICTDrmk
+    87U,	// VPCONFLICTDrmkz
     1U,	// VPCONFLICTDrr
-    9U,	// VPCONFLICTDrrk
-    103U,	// VPCONFLICTDrrkz
+    125U,	// VPCONFLICTDrrk
+    87U,	// VPCONFLICTDrrkz
     0U,	// VPCONFLICTQrm
     0U,	// VPCONFLICTQrmb
-    0U,	// VPCONFLICTQrmbk
-    106U,	// VPCONFLICTQrmbkz
-    9U,	// VPCONFLICTQrmk
-    103U,	// VPCONFLICTQrmkz
+    125U,	// VPCONFLICTQrmbk
+    392U,	// VPCONFLICTQrmbkz
+    125U,	// VPCONFLICTQrmk
+    87U,	// VPCONFLICTQrmkz
     1U,	// VPCONFLICTQrr
-    9U,	// VPCONFLICTQrrk
-    103U,	// VPCONFLICTQrrkz
+    125U,	// VPCONFLICTQrrk
+    87U,	// VPCONFLICTQrrkz
     0U,	// VPERM2F128rm
     38U,	// VPERM2F128rr
     0U,	// VPERM2I128rm
     38U,	// VPERM2I128rr
-    0U,	// VPERMDYrm
+    2U,	// VPERMDYrm
     2U,	// VPERMDYrr
     2U,	// VPERMDZrm
     2U,	// VPERMDZrr
     2U,	// VPERMI2Drm
+    0U,	// VPERMI2Drmk
+    0U,	// VPERMI2Drmkz
     2U,	// VPERMI2Drr
+    125U,	// VPERMI2Drrk
+    157U,	// VPERMI2Drrkz
     2U,	// VPERMI2PDrm
+    0U,	// VPERMI2PDrmk
+    0U,	// VPERMI2PDrmkz
     2U,	// VPERMI2PDrr
+    125U,	// VPERMI2PDrrk
+    157U,	// VPERMI2PDrrkz
     2U,	// VPERMI2PSrm
+    0U,	// VPERMI2PSrmk
+    0U,	// VPERMI2PSrmkz
     2U,	// VPERMI2PSrr
+    125U,	// VPERMI2PSrrk
+    157U,	// VPERMI2PSrrkz
     2U,	// VPERMI2Qrm
+    0U,	// VPERMI2Qrmk
+    0U,	// VPERMI2Qrmkz
     2U,	// VPERMI2Qrr
+    125U,	// VPERMI2Qrrk
+    157U,	// VPERMI2Qrrkz
     38U,	// VPERMIL2PDmr
     1U,	// VPERMIL2PDmrY
     0U,	// VPERMIL2PDrm
@@ -9898,7 +11016,7 @@
     38U,	// VPERMIL2PSrrY
     0U,	// VPERMILPDYmi
     2U,	// VPERMILPDYri
-    0U,	// VPERMILPDYrm
+    2U,	// VPERMILPDYrm
     2U,	// VPERMILPDYrr
     0U,	// VPERMILPDZmi
     2U,	// VPERMILPDZri
@@ -9908,7 +11026,7 @@
     2U,	// VPERMILPDrr
     0U,	// VPERMILPSYmi
     2U,	// VPERMILPSYri
-    0U,	// VPERMILPSYrm
+    2U,	// VPERMILPSYrm
     2U,	// VPERMILPSYrr
     0U,	// VPERMILPSZmi
     2U,	// VPERMILPSZri
@@ -9920,11 +11038,11 @@
     2U,	// VPERMPDYri
     0U,	// VPERMPDZmi
     2U,	// VPERMPDZri
-    0U,	// VPERMPDZrm
+    2U,	// VPERMPDZrm
     2U,	// VPERMPDZrr
-    0U,	// VPERMPSYrm
+    2U,	// VPERMPSYrm
     2U,	// VPERMPSYrr
-    0U,	// VPERMPSZrm
+    2U,	// VPERMPSZrm
     2U,	// VPERMPSZrr
     0U,	// VPERMQYmi
     2U,	// VPERMQYri
@@ -9933,13 +11051,29 @@
     2U,	// VPERMQZrm
     2U,	// VPERMQZrr
     2U,	// VPERMT2Drm
+    0U,	// VPERMT2Drmk
+    0U,	// VPERMT2Drmkz
     2U,	// VPERMT2Drr
+    125U,	// VPERMT2Drrk
+    157U,	// VPERMT2Drrkz
     2U,	// VPERMT2PDrm
+    0U,	// VPERMT2PDrmk
+    0U,	// VPERMT2PDrmkz
     2U,	// VPERMT2PDrr
+    125U,	// VPERMT2PDrrk
+    157U,	// VPERMT2PDrrkz
     2U,	// VPERMT2PSrm
+    0U,	// VPERMT2PSrmk
+    0U,	// VPERMT2PSrmkz
     2U,	// VPERMT2PSrr
+    125U,	// VPERMT2PSrrk
+    157U,	// VPERMT2PSrrkz
     2U,	// VPERMT2Qrm
+    0U,	// VPERMT2Qrmk
+    0U,	// VPERMT2Qrmkz
     2U,	// VPERMT2Qrr
+    125U,	// VPERMT2Qrrk
+    157U,	// VPERMT2Qrrkz
     0U,	// VPEXTRBmr
     2U,	// VPEXTRBrr
     0U,	// VPEXTRDmr
@@ -9950,16 +11084,16 @@
     2U,	// VPEXTRWri
     2U,	// VPEXTRWrr_REV
     0U,	// VPGATHERDDYrm
-    0U,	// VPGATHERDDZrm
+    104U,	// VPGATHERDDZrm
     0U,	// VPGATHERDDrm
     0U,	// VPGATHERDQYrm
-    0U,	// VPGATHERDQZrm
+    104U,	// VPGATHERDQZrm
     0U,	// VPGATHERDQrm
     0U,	// VPGATHERQDYrm
-    0U,	// VPGATHERQDZrm
+    104U,	// VPGATHERQDZrm
     0U,	// VPGATHERQDrm
     0U,	// VPGATHERQQYrm
-    0U,	// VPGATHERQQZrm
+    104U,	// VPGATHERQQZrm
     0U,	// VPGATHERQQrm
     0U,	// VPHADDBDrm
     0U,	// VPHADDBDrr
@@ -9969,12 +11103,12 @@
     0U,	// VPHADDBWrr
     0U,	// VPHADDDQrm
     0U,	// VPHADDDQrr
-    0U,	// VPHADDDYrm
+    2U,	// VPHADDDYrm
     2U,	// VPHADDDYrr
     2U,	// VPHADDDrm
     2U,	// VPHADDDrr
     2U,	// VPHADDSWrm128
-    0U,	// VPHADDSWrm256
+    2U,	// VPHADDSWrm256
     2U,	// VPHADDSWrr128
     2U,	// VPHADDSWrr256
     0U,	// VPHADDUBDrm
@@ -9993,7 +11127,7 @@
     0U,	// VPHADDWDrr
     0U,	// VPHADDWQrm
     0U,	// VPHADDWQrr
-    0U,	// VPHADDWYrm
+    2U,	// VPHADDWYrm
     2U,	// VPHADDWYrr
     2U,	// VPHADDWrm
     2U,	// VPHADDWrr
@@ -10003,17 +11137,17 @@
     0U,	// VPHSUBBWrr
     0U,	// VPHSUBDQrm
     0U,	// VPHSUBDQrr
-    0U,	// VPHSUBDYrm
+    2U,	// VPHSUBDYrm
     2U,	// VPHSUBDYrr
     2U,	// VPHSUBDrm
     2U,	// VPHSUBDrr
     2U,	// VPHSUBSWrm128
-    0U,	// VPHSUBSWrm256
+    2U,	// VPHSUBSWrm256
     2U,	// VPHSUBSWrr128
     2U,	// VPHSUBSWrr256
     0U,	// VPHSUBWDrm
     0U,	// VPHSUBWDrr
-    0U,	// VPHSUBWYrm
+    2U,	// VPHSUBWYrm
     2U,	// VPHSUBWYrr
     2U,	// VPHSUBWrm
     2U,	// VPHSUBWrr
@@ -10025,6 +11159,24 @@
     38U,	// VPINSRQrr
     38U,	// VPINSRWrmi
     38U,	// VPINSRWrri
+    0U,	// VPLZCNTDrm
+    0U,	// VPLZCNTDrmb
+    125U,	// VPLZCNTDrmbk
+    392U,	// VPLZCNTDrmbkz
+    125U,	// VPLZCNTDrmk
+    87U,	// VPLZCNTDrmkz
+    1U,	// VPLZCNTDrr
+    125U,	// VPLZCNTDrrk
+    87U,	// VPLZCNTDrrkz
+    0U,	// VPLZCNTQrm
+    0U,	// VPLZCNTQrmb
+    125U,	// VPLZCNTQrmbk
+    392U,	// VPLZCNTQrmbkz
+    125U,	// VPLZCNTQrmk
+    87U,	// VPLZCNTQrmkz
+    1U,	// VPLZCNTQrr
+    125U,	// VPLZCNTQrrk
+    87U,	// VPLZCNTQrrkz
     20U,	// VPMACSDDrm
     38U,	// VPMACSDDrr
     20U,	// VPMACSDQHrm
@@ -10050,135 +11202,211 @@
     20U,	// VPMADCSWDrm
     38U,	// VPMADCSWDrr
     2U,	// VPMADDUBSWrm128
-    0U,	// VPMADDUBSWrm256
+    2U,	// VPMADDUBSWrm256
     2U,	// VPMADDUBSWrr128
     2U,	// VPMADDUBSWrr256
-    0U,	// VPMADDWDYrm
+    2U,	// VPMADDWDYrm
     2U,	// VPMADDWDYrr
     2U,	// VPMADDWDrm
     2U,	// VPMADDWDrr
     1U,	// VPMASKMOVDYmr
-    0U,	// VPMASKMOVDYrm
+    2U,	// VPMASKMOVDYrm
     1U,	// VPMASKMOVDmr
     2U,	// VPMASKMOVDrm
     1U,	// VPMASKMOVQYmr
-    0U,	// VPMASKMOVQYrm
+    2U,	// VPMASKMOVQYrm
     1U,	// VPMASKMOVQmr
     2U,	// VPMASKMOVQrm
-    0U,	// VPMAXSBYrm
+    2U,	// VPMAXSBYrm
     2U,	// VPMAXSBYrr
     2U,	// VPMAXSBrm
     2U,	// VPMAXSBrr
-    0U,	// VPMAXSDYrm
+    2U,	// VPMAXSDYrm
     2U,	// VPMAXSDYrr
     2U,	// VPMAXSDZrm
     20U,	// VPMAXSDZrmb
+    1U,	// VPMAXSDZrmbk
+    306U,	// VPMAXSDZrmbkz
+    0U,	// VPMAXSDZrmk
+    306U,	// VPMAXSDZrmkz
     2U,	// VPMAXSDZrr
+    125U,	// VPMAXSDZrrk
+    306U,	// VPMAXSDZrrkz
     2U,	// VPMAXSDrm
     2U,	// VPMAXSDrr
     2U,	// VPMAXSQZrm
     20U,	// VPMAXSQZrmb
+    1U,	// VPMAXSQZrmbk
+    306U,	// VPMAXSQZrmbkz
+    0U,	// VPMAXSQZrmk
+    306U,	// VPMAXSQZrmkz
     2U,	// VPMAXSQZrr
-    0U,	// VPMAXSWYrm
+    125U,	// VPMAXSQZrrk
+    306U,	// VPMAXSQZrrkz
+    2U,	// VPMAXSWYrm
     2U,	// VPMAXSWYrr
     2U,	// VPMAXSWrm
     2U,	// VPMAXSWrr
-    0U,	// VPMAXUBYrm
+    2U,	// VPMAXUBYrm
     2U,	// VPMAXUBYrr
     2U,	// VPMAXUBrm
     2U,	// VPMAXUBrr
-    0U,	// VPMAXUDYrm
+    2U,	// VPMAXUDYrm
     2U,	// VPMAXUDYrr
     2U,	// VPMAXUDZrm
     20U,	// VPMAXUDZrmb
+    1U,	// VPMAXUDZrmbk
+    306U,	// VPMAXUDZrmbkz
+    0U,	// VPMAXUDZrmk
+    306U,	// VPMAXUDZrmkz
     2U,	// VPMAXUDZrr
+    125U,	// VPMAXUDZrrk
+    306U,	// VPMAXUDZrrkz
     2U,	// VPMAXUDrm
     2U,	// VPMAXUDrr
     2U,	// VPMAXUQZrm
     20U,	// VPMAXUQZrmb
+    1U,	// VPMAXUQZrmbk
+    306U,	// VPMAXUQZrmbkz
+    0U,	// VPMAXUQZrmk
+    306U,	// VPMAXUQZrmkz
     2U,	// VPMAXUQZrr
-    0U,	// VPMAXUWYrm
+    125U,	// VPMAXUQZrrk
+    306U,	// VPMAXUQZrrkz
+    2U,	// VPMAXUWYrm
     2U,	// VPMAXUWYrr
     2U,	// VPMAXUWrm
     2U,	// VPMAXUWrr
-    0U,	// VPMINSBYrm
+    2U,	// VPMINSBYrm
     2U,	// VPMINSBYrr
     2U,	// VPMINSBrm
     2U,	// VPMINSBrr
-    0U,	// VPMINSDYrm
+    2U,	// VPMINSDYrm
     2U,	// VPMINSDYrr
     2U,	// VPMINSDZrm
     20U,	// VPMINSDZrmb
+    1U,	// VPMINSDZrmbk
+    306U,	// VPMINSDZrmbkz
+    0U,	// VPMINSDZrmk
+    306U,	// VPMINSDZrmkz
     2U,	// VPMINSDZrr
+    125U,	// VPMINSDZrrk
+    306U,	// VPMINSDZrrkz
     2U,	// VPMINSDrm
     2U,	// VPMINSDrr
     2U,	// VPMINSQZrm
     20U,	// VPMINSQZrmb
+    1U,	// VPMINSQZrmbk
+    306U,	// VPMINSQZrmbkz
+    0U,	// VPMINSQZrmk
+    306U,	// VPMINSQZrmkz
     2U,	// VPMINSQZrr
-    0U,	// VPMINSWYrm
+    125U,	// VPMINSQZrrk
+    306U,	// VPMINSQZrrkz
+    2U,	// VPMINSWYrm
     2U,	// VPMINSWYrr
     2U,	// VPMINSWrm
     2U,	// VPMINSWrr
-    0U,	// VPMINUBYrm
+    2U,	// VPMINUBYrm
     2U,	// VPMINUBYrr
     2U,	// VPMINUBrm
     2U,	// VPMINUBrr
-    0U,	// VPMINUDYrm
+    2U,	// VPMINUDYrm
     2U,	// VPMINUDYrr
     2U,	// VPMINUDZrm
     20U,	// VPMINUDZrmb
+    1U,	// VPMINUDZrmbk
+    306U,	// VPMINUDZrmbkz
+    0U,	// VPMINUDZrmk
+    306U,	// VPMINUDZrmkz
     2U,	// VPMINUDZrr
+    125U,	// VPMINUDZrrk
+    306U,	// VPMINUDZrrkz
     2U,	// VPMINUDrm
     2U,	// VPMINUDrr
     2U,	// VPMINUQZrm
     20U,	// VPMINUQZrmb
+    1U,	// VPMINUQZrmbk
+    306U,	// VPMINUQZrmbkz
+    0U,	// VPMINUQZrmk
+    306U,	// VPMINUQZrmkz
     2U,	// VPMINUQZrr
-    0U,	// VPMINUWYrm
+    125U,	// VPMINUQZrrk
+    306U,	// VPMINUQZrrkz
+    2U,	// VPMINUWYrm
     2U,	// VPMINUWYrr
     2U,	// VPMINUWrm
     2U,	// VPMINUWrr
-    103U,	// VPMOVDBkrr
     0U,	// VPMOVDBmr
+    11U,	// VPMOVDBmrk
     0U,	// VPMOVDBrr
-    103U,	// VPMOVDWkrr
+    119U,	// VPMOVDBrrk
+    87U,	// VPMOVDBrrkz
     0U,	// VPMOVDWmr
+    11U,	// VPMOVDWmrk
     0U,	// VPMOVDWrr
+    119U,	// VPMOVDWrrk
+    87U,	// VPMOVDWrrkz
     0U,	// VPMOVMSKBYrr
     0U,	// VPMOVMSKBrr
-    103U,	// VPMOVQBkrr
     0U,	// VPMOVQBmr
+    11U,	// VPMOVQBmrk
     0U,	// VPMOVQBrr
-    103U,	// VPMOVQDkrr
+    119U,	// VPMOVQBrrk
+    87U,	// VPMOVQBrrkz
     0U,	// VPMOVQDmr
+    11U,	// VPMOVQDmrk
     0U,	// VPMOVQDrr
-    103U,	// VPMOVQWkrr
+    119U,	// VPMOVQDrrk
+    87U,	// VPMOVQDrrkz
     0U,	// VPMOVQWmr
+    11U,	// VPMOVQWmrk
     0U,	// VPMOVQWrr
-    103U,	// VPMOVSDBkrr
+    119U,	// VPMOVQWrrk
+    87U,	// VPMOVQWrrkz
     0U,	// VPMOVSDBmr
+    11U,	// VPMOVSDBmrk
     0U,	// VPMOVSDBrr
-    103U,	// VPMOVSDWkrr
+    119U,	// VPMOVSDBrrk
+    87U,	// VPMOVSDBrrkz
     0U,	// VPMOVSDWmr
+    11U,	// VPMOVSDWmrk
     0U,	// VPMOVSDWrr
-    103U,	// VPMOVSQBkrr
+    119U,	// VPMOVSDWrrk
+    87U,	// VPMOVSDWrrkz
     0U,	// VPMOVSQBmr
+    11U,	// VPMOVSQBmrk
     0U,	// VPMOVSQBrr
-    103U,	// VPMOVSQDkrr
+    119U,	// VPMOVSQBrrk
+    87U,	// VPMOVSQBrrkz
     0U,	// VPMOVSQDmr
+    11U,	// VPMOVSQDmrk
     0U,	// VPMOVSQDrr
-    103U,	// VPMOVSQWkrr
+    119U,	// VPMOVSQDrrk
+    87U,	// VPMOVSQDrrkz
     0U,	// VPMOVSQWmr
+    11U,	// VPMOVSQWmrk
     0U,	// VPMOVSQWrr
+    119U,	// VPMOVSQWrrk
+    87U,	// VPMOVSQWrrkz
     0U,	// VPMOVSXBDYrm
     0U,	// VPMOVSXBDYrr
     0U,	// VPMOVSXBDZrm
+    167U,	// VPMOVSXBDZrmk
+    87U,	// VPMOVSXBDZrmkz
     0U,	// VPMOVSXBDZrr
+    167U,	// VPMOVSXBDZrrk
+    87U,	// VPMOVSXBDZrrkz
     0U,	// VPMOVSXBDrm
     0U,	// VPMOVSXBDrr
     0U,	// VPMOVSXBQYrm
     0U,	// VPMOVSXBQYrr
     0U,	// VPMOVSXBQZrm
+    167U,	// VPMOVSXBQZrmk
+    87U,	// VPMOVSXBQZrmkz
     0U,	// VPMOVSXBQZrr
+    167U,	// VPMOVSXBQZrrk
+    87U,	// VPMOVSXBQZrrkz
     0U,	// VPMOVSXBQrm
     0U,	// VPMOVSXBQrr
     0U,	// VPMOVSXBWYrm
@@ -10188,46 +11416,76 @@
     0U,	// VPMOVSXDQYrm
     0U,	// VPMOVSXDQYrr
     0U,	// VPMOVSXDQZrm
+    167U,	// VPMOVSXDQZrmk
+    87U,	// VPMOVSXDQZrmkz
     0U,	// VPMOVSXDQZrr
+    167U,	// VPMOVSXDQZrrk
+    87U,	// VPMOVSXDQZrrkz
     0U,	// VPMOVSXDQrm
     0U,	// VPMOVSXDQrr
     0U,	// VPMOVSXWDYrm
     0U,	// VPMOVSXWDYrr
     0U,	// VPMOVSXWDZrm
+    167U,	// VPMOVSXWDZrmk
+    87U,	// VPMOVSXWDZrmkz
     0U,	// VPMOVSXWDZrr
+    167U,	// VPMOVSXWDZrrk
+    87U,	// VPMOVSXWDZrrkz
     0U,	// VPMOVSXWDrm
     0U,	// VPMOVSXWDrr
     0U,	// VPMOVSXWQYrm
     0U,	// VPMOVSXWQYrr
     0U,	// VPMOVSXWQZrm
+    167U,	// VPMOVSXWQZrmk
+    87U,	// VPMOVSXWQZrmkz
     0U,	// VPMOVSXWQZrr
+    167U,	// VPMOVSXWQZrrk
+    87U,	// VPMOVSXWQZrrkz
     0U,	// VPMOVSXWQrm
     0U,	// VPMOVSXWQrr
-    103U,	// VPMOVUSDBkrr
     0U,	// VPMOVUSDBmr
+    11U,	// VPMOVUSDBmrk
     0U,	// VPMOVUSDBrr
-    103U,	// VPMOVUSDWkrr
+    119U,	// VPMOVUSDBrrk
+    87U,	// VPMOVUSDBrrkz
     0U,	// VPMOVUSDWmr
+    11U,	// VPMOVUSDWmrk
     0U,	// VPMOVUSDWrr
-    103U,	// VPMOVUSQBkrr
+    119U,	// VPMOVUSDWrrk
+    87U,	// VPMOVUSDWrrkz
     0U,	// VPMOVUSQBmr
+    11U,	// VPMOVUSQBmrk
     0U,	// VPMOVUSQBrr
-    103U,	// VPMOVUSQDkrr
+    119U,	// VPMOVUSQBrrk
+    87U,	// VPMOVUSQBrrkz
     0U,	// VPMOVUSQDmr
+    11U,	// VPMOVUSQDmrk
     0U,	// VPMOVUSQDrr
-    103U,	// VPMOVUSQWkrr
+    119U,	// VPMOVUSQDrrk
+    87U,	// VPMOVUSQDrrkz
     0U,	// VPMOVUSQWmr
+    11U,	// VPMOVUSQWmrk
     0U,	// VPMOVUSQWrr
+    119U,	// VPMOVUSQWrrk
+    87U,	// VPMOVUSQWrrkz
     0U,	// VPMOVZXBDYrm
     0U,	// VPMOVZXBDYrr
     0U,	// VPMOVZXBDZrm
+    167U,	// VPMOVZXBDZrmk
+    87U,	// VPMOVZXBDZrmkz
     0U,	// VPMOVZXBDZrr
+    167U,	// VPMOVZXBDZrrk
+    87U,	// VPMOVZXBDZrrkz
     0U,	// VPMOVZXBDrm
     0U,	// VPMOVZXBDrr
     0U,	// VPMOVZXBQYrm
     0U,	// VPMOVZXBQYrr
     0U,	// VPMOVZXBQZrm
+    167U,	// VPMOVZXBQZrmk
+    87U,	// VPMOVZXBQZrmkz
     0U,	// VPMOVZXBQZrr
+    167U,	// VPMOVZXBQZrrk
+    87U,	// VPMOVZXBQZrrkz
     0U,	// VPMOVZXBQrm
     0U,	// VPMOVZXBQrr
     0U,	// VPMOVZXBWYrm
@@ -10237,68 +11495,112 @@
     0U,	// VPMOVZXDQYrm
     0U,	// VPMOVZXDQYrr
     0U,	// VPMOVZXDQZrm
+    167U,	// VPMOVZXDQZrmk
+    87U,	// VPMOVZXDQZrmkz
     0U,	// VPMOVZXDQZrr
+    167U,	// VPMOVZXDQZrrk
+    87U,	// VPMOVZXDQZrrkz
     0U,	// VPMOVZXDQrm
     0U,	// VPMOVZXDQrr
     0U,	// VPMOVZXWDYrm
     0U,	// VPMOVZXWDYrr
     0U,	// VPMOVZXWDZrm
+    167U,	// VPMOVZXWDZrmk
+    87U,	// VPMOVZXWDZrmkz
     0U,	// VPMOVZXWDZrr
+    167U,	// VPMOVZXWDZrrk
+    87U,	// VPMOVZXWDZrrkz
     0U,	// VPMOVZXWDrm
     0U,	// VPMOVZXWDrr
     0U,	// VPMOVZXWQYrm
     0U,	// VPMOVZXWQYrr
     0U,	// VPMOVZXWQZrm
+    167U,	// VPMOVZXWQZrmk
+    87U,	// VPMOVZXWQZrmkz
     0U,	// VPMOVZXWQZrr
+    167U,	// VPMOVZXWQZrrk
+    87U,	// VPMOVZXWQZrrkz
     0U,	// VPMOVZXWQrm
     0U,	// VPMOVZXWQrr
-    0U,	// VPMULDQYrm
+    2U,	// VPMULDQYrm
     2U,	// VPMULDQYrr
     2U,	// VPMULDQZrm
+    20U,	// VPMULDQZrmb
+    50U,	// VPMULDQZrmbk
+    306U,	// VPMULDQZrmbkz
+    50U,	// VPMULDQZrmk
+    306U,	// VPMULDQZrmkz
     2U,	// VPMULDQZrr
+    50U,	// VPMULDQZrrk
+    306U,	// VPMULDQZrrkz
     2U,	// VPMULDQrm
     2U,	// VPMULDQrr
     2U,	// VPMULHRSWrm128
-    0U,	// VPMULHRSWrm256
+    2U,	// VPMULHRSWrm256
     2U,	// VPMULHRSWrr128
     2U,	// VPMULHRSWrr256
-    0U,	// VPMULHUWYrm
+    2U,	// VPMULHUWYrm
     2U,	// VPMULHUWYrr
     2U,	// VPMULHUWrm
     2U,	// VPMULHUWrr
-    0U,	// VPMULHWYrm
+    2U,	// VPMULHWYrm
     2U,	// VPMULHWYrr
     2U,	// VPMULHWrm
     2U,	// VPMULHWrr
-    0U,	// VPMULLDYrm
+    2U,	// VPMULLDYrm
     2U,	// VPMULLDYrr
     2U,	// VPMULLDZrm
     20U,	// VPMULLDZrmb
+    1U,	// VPMULLDZrmbk
+    306U,	// VPMULLDZrmbkz
+    0U,	// VPMULLDZrmk
+    306U,	// VPMULLDZrmkz
     2U,	// VPMULLDZrr
+    125U,	// VPMULLDZrrk
+    306U,	// VPMULLDZrrkz
     2U,	// VPMULLDrm
     2U,	// VPMULLDrr
-    0U,	// VPMULLWYrm
+    2U,	// VPMULLWYrm
     2U,	// VPMULLWYrr
     2U,	// VPMULLWrm
     2U,	// VPMULLWrr
-    0U,	// VPMULUDQYrm
+    2U,	// VPMULUDQYrm
     2U,	// VPMULUDQYrr
     2U,	// VPMULUDQZrm
+    20U,	// VPMULUDQZrmb
+    50U,	// VPMULUDQZrmbk
+    306U,	// VPMULUDQZrmbkz
+    50U,	// VPMULUDQZrmk
+    306U,	// VPMULUDQZrmkz
     2U,	// VPMULUDQZrr
+    50U,	// VPMULUDQZrrk
+    306U,	// VPMULUDQZrrkz
     2U,	// VPMULUDQrm
     2U,	// VPMULUDQrr
     2U,	// VPORDZrm
     20U,	// VPORDZrmb
+    1U,	// VPORDZrmbk
+    306U,	// VPORDZrmbkz
+    0U,	// VPORDZrmk
+    306U,	// VPORDZrmkz
     2U,	// VPORDZrr
+    125U,	// VPORDZrrk
+    306U,	// VPORDZrrkz
     2U,	// VPORQZrm
     20U,	// VPORQZrmb
+    1U,	// VPORQZrmbk
+    306U,	// VPORQZrmbkz
+    0U,	// VPORQZrmk
+    306U,	// VPORQZrmkz
     2U,	// VPORQZrr
-    0U,	// VPORYrm
+    125U,	// VPORQZrrk
+    306U,	// VPORQZrrkz
+    2U,	// VPORYrm
     2U,	// VPORYrr
     2U,	// VPORrm
     2U,	// VPORrr
     20U,	// VPPERMmr
-    2U,	// VPPERMrm
+    38U,	// VPPERMrm
     38U,	// VPPERMrr
     0U,	// VPROTBmi
     0U,	// VPROTBmr
@@ -10320,14 +11622,14 @@
     2U,	// VPROTWri
     2U,	// VPROTWrm
     2U,	// VPROTWrr
-    0U,	// VPSADBWYrm
+    2U,	// VPSADBWYrm
     2U,	// VPSADBWYrr
     2U,	// VPSADBWrm
     2U,	// VPSADBWrr
-    13U,	// VPSCATTERDDZmr
-    13U,	// VPSCATTERDQZmr
-    13U,	// VPSCATTERQDZmr
-    13U,	// VPSCATTERQQZmr
+    15U,	// VPSCATTERDDZmr
+    15U,	// VPSCATTERDQZmr
+    15U,	// VPSCATTERQDZmr
+    15U,	// VPSCATTERQQZmr
     0U,	// VPSHABmr
     2U,	// VPSHABrm
     2U,	// VPSHABrr
@@ -10352,7 +11654,7 @@
     0U,	// VPSHLWmr
     2U,	// VPSHLWrm
     2U,	// VPSHLWrr
-    0U,	// VPSHUFBYrm
+    2U,	// VPSHUFBYrm
     2U,	// VPSHUFBYrr
     2U,	// VPSHUFBrm
     2U,	// VPSHUFBrr
@@ -10370,15 +11672,15 @@
     2U,	// VPSHUFLWYri
     0U,	// VPSHUFLWmi
     2U,	// VPSHUFLWri
-    0U,	// VPSIGNBYrm
+    2U,	// VPSIGNBYrm
     2U,	// VPSIGNBYrr
     2U,	// VPSIGNBrm
     2U,	// VPSIGNBrr
-    0U,	// VPSIGNDYrm
+    2U,	// VPSIGNDYrm
     2U,	// VPSIGNDYrr
     2U,	// VPSIGNDrm
     2U,	// VPSIGNDrr
-    0U,	// VPSIGNWYrm
+    2U,	// VPSIGNWYrm
     2U,	// VPSIGNWYrr
     2U,	// VPSIGNWrm
     2U,	// VPSIGNWrr
@@ -10388,13 +11690,13 @@
     2U,	// VPSLLDYrm
     2U,	// VPSLLDYrr
     0U,	// VPSLLDZmi
-    122U,	// VPSLLDZmik
+    136U,	// VPSLLDZmik
     2U,	// VPSLLDZri
-    66U,	// VPSLLDZrik
+    50U,	// VPSLLDZrik
     2U,	// VPSLLDZrm
-    119U,	// VPSLLDZrmk
+    50U,	// VPSLLDZrmk
     2U,	// VPSLLDZrr
-    66U,	// VPSLLDZrrk
+    50U,	// VPSLLDZrrk
     2U,	// VPSLLDri
     2U,	// VPSLLDrm
     2U,	// VPSLLDrr
@@ -10402,23 +11704,23 @@
     2U,	// VPSLLQYrm
     2U,	// VPSLLQYrr
     0U,	// VPSLLQZmi
-    122U,	// VPSLLQZmik
+    136U,	// VPSLLQZmik
     2U,	// VPSLLQZri
-    66U,	// VPSLLQZrik
+    50U,	// VPSLLQZrik
     2U,	// VPSLLQZrm
-    119U,	// VPSLLQZrmk
+    50U,	// VPSLLQZrmk
     2U,	// VPSLLQZrr
-    66U,	// VPSLLQZrrk
+    50U,	// VPSLLQZrrk
     2U,	// VPSLLQri
     2U,	// VPSLLQrm
     2U,	// VPSLLQrr
-    0U,	// VPSLLVDYrm
+    2U,	// VPSLLVDYrm
     2U,	// VPSLLVDYrr
     2U,	// VPSLLVDZrm
     2U,	// VPSLLVDZrr
     2U,	// VPSLLVDrm
     2U,	// VPSLLVDrr
-    0U,	// VPSLLVQYrm
+    2U,	// VPSLLVQYrm
     2U,	// VPSLLVQYrr
     2U,	// VPSLLVQZrm
     2U,	// VPSLLVQZrr
@@ -10434,25 +11736,25 @@
     2U,	// VPSRADYrm
     2U,	// VPSRADYrr
     0U,	// VPSRADZmi
-    122U,	// VPSRADZmik
+    136U,	// VPSRADZmik
     2U,	// VPSRADZri
-    66U,	// VPSRADZrik
+    50U,	// VPSRADZrik
     2U,	// VPSRADZrm
-    119U,	// VPSRADZrmk
+    50U,	// VPSRADZrmk
     2U,	// VPSRADZrr
-    66U,	// VPSRADZrrk
+    50U,	// VPSRADZrrk
     2U,	// VPSRADri
     2U,	// VPSRADrm
     2U,	// VPSRADrr
     0U,	// VPSRAQZmi
-    122U,	// VPSRAQZmik
+    136U,	// VPSRAQZmik
     2U,	// VPSRAQZri
-    66U,	// VPSRAQZrik
+    50U,	// VPSRAQZrik
     2U,	// VPSRAQZrm
-    119U,	// VPSRAQZrmk
+    50U,	// VPSRAQZrmk
     2U,	// VPSRAQZrr
-    66U,	// VPSRAQZrrk
-    0U,	// VPSRAVDYrm
+    50U,	// VPSRAQZrrk
+    2U,	// VPSRAVDYrm
     2U,	// VPSRAVDYrr
     2U,	// VPSRAVDZrm
     2U,	// VPSRAVDZrr
@@ -10472,13 +11774,13 @@
     2U,	// VPSRLDYrm
     2U,	// VPSRLDYrr
     0U,	// VPSRLDZmi
-    122U,	// VPSRLDZmik
+    136U,	// VPSRLDZmik
     2U,	// VPSRLDZri
-    66U,	// VPSRLDZrik
+    50U,	// VPSRLDZrik
     2U,	// VPSRLDZrm
-    119U,	// VPSRLDZrmk
+    50U,	// VPSRLDZrmk
     2U,	// VPSRLDZrr
-    66U,	// VPSRLDZrrk
+    50U,	// VPSRLDZrrk
     2U,	// VPSRLDri
     2U,	// VPSRLDrm
     2U,	// VPSRLDrr
@@ -10486,23 +11788,23 @@
     2U,	// VPSRLQYrm
     2U,	// VPSRLQYrr
     0U,	// VPSRLQZmi
-    122U,	// VPSRLQZmik
+    136U,	// VPSRLQZmik
     2U,	// VPSRLQZri
-    66U,	// VPSRLQZrik
+    50U,	// VPSRLQZrik
     2U,	// VPSRLQZrm
-    119U,	// VPSRLQZrmk
+    50U,	// VPSRLQZrmk
     2U,	// VPSRLQZrr
-    66U,	// VPSRLQZrrk
+    50U,	// VPSRLQZrrk
     2U,	// VPSRLQri
     2U,	// VPSRLQrm
     2U,	// VPSRLQrr
-    0U,	// VPSRLVDYrm
+    2U,	// VPSRLVDYrm
     2U,	// VPSRLVDYrr
     2U,	// VPSRLVDZrm
     2U,	// VPSRLVDZrr
     2U,	// VPSRLVDrm
     2U,	// VPSRLVDrr
-    0U,	// VPSRLVQYrm
+    2U,	// VPSRLVQYrm
     2U,	// VPSRLVQYrr
     2U,	// VPSRLVQZrm
     2U,	// VPSRLVQZrr
@@ -10514,103 +11816,127 @@
     2U,	// VPSRLWri
     2U,	// VPSRLWrm
     2U,	// VPSRLWrr
-    0U,	// VPSUBBYrm
+    2U,	// VPSUBBYrm
     2U,	// VPSUBBYrr
     2U,	// VPSUBBrm
     2U,	// VPSUBBrr
-    0U,	// VPSUBDYrm
+    2U,	// VPSUBDYrm
     2U,	// VPSUBDYrr
     2U,	// VPSUBDZrm
     20U,	// VPSUBDZrmb
+    1U,	// VPSUBDZrmbk
+    306U,	// VPSUBDZrmbkz
+    0U,	// VPSUBDZrmk
+    306U,	// VPSUBDZrmkz
     2U,	// VPSUBDZrr
+    125U,	// VPSUBDZrrk
+    306U,	// VPSUBDZrrkz
     2U,	// VPSUBDrm
     2U,	// VPSUBDrr
-    0U,	// VPSUBQYrm
+    2U,	// VPSUBQYrm
     2U,	// VPSUBQYrr
     2U,	// VPSUBQZrm
     20U,	// VPSUBQZrmb
+    1U,	// VPSUBQZrmbk
+    306U,	// VPSUBQZrmbkz
+    0U,	// VPSUBQZrmk
+    306U,	// VPSUBQZrmkz
     2U,	// VPSUBQZrr
+    125U,	// VPSUBQZrrk
+    306U,	// VPSUBQZrrkz
     2U,	// VPSUBQrm
     2U,	// VPSUBQrr
-    0U,	// VPSUBSBYrm
+    2U,	// VPSUBSBYrm
     2U,	// VPSUBSBYrr
     2U,	// VPSUBSBrm
     2U,	// VPSUBSBrr
-    0U,	// VPSUBSWYrm
+    2U,	// VPSUBSWYrm
     2U,	// VPSUBSWYrr
     2U,	// VPSUBSWrm
     2U,	// VPSUBSWrr
-    0U,	// VPSUBUSBYrm
+    2U,	// VPSUBUSBYrm
     2U,	// VPSUBUSBYrr
     2U,	// VPSUBUSBrm
     2U,	// VPSUBUSBrr
-    0U,	// VPSUBUSWYrm
+    2U,	// VPSUBUSWYrm
     2U,	// VPSUBUSWYrr
     2U,	// VPSUBUSWrm
     2U,	// VPSUBUSWrr
-    0U,	// VPSUBWYrm
+    2U,	// VPSUBWYrm
     2U,	// VPSUBWYrr
     2U,	// VPSUBWrm
     2U,	// VPSUBWrr
-    0U,	// VPTESTMDZrm
+    2U,	// VPTESTMDZrm
     2U,	// VPTESTMDZrr
-    0U,	// VPTESTMQZrm
+    2U,	// VPTESTMQZrm
     2U,	// VPTESTMQZrr
-    0U,	// VPTESTNMDZrm
+    2U,	// VPTESTNMDZrm
     2U,	// VPTESTNMDZrr
-    0U,	// VPTESTNMQZrm
+    2U,	// VPTESTNMQZrm
     2U,	// VPTESTNMQZrr
     0U,	// VPTESTYrm
     0U,	// VPTESTYrr
     0U,	// VPTESTrm
     0U,	// VPTESTrr
-    0U,	// VPUNPCKHBWYrm
+    2U,	// VPUNPCKHBWYrm
     2U,	// VPUNPCKHBWYrr
     2U,	// VPUNPCKHBWrm
     2U,	// VPUNPCKHBWrr
-    0U,	// VPUNPCKHDQYrm
+    2U,	// VPUNPCKHDQYrm
     2U,	// VPUNPCKHDQYrr
     2U,	// VPUNPCKHDQZrm
     2U,	// VPUNPCKHDQZrr
     2U,	// VPUNPCKHDQrm
     2U,	// VPUNPCKHDQrr
-    0U,	// VPUNPCKHQDQYrm
+    2U,	// VPUNPCKHQDQYrm
     2U,	// VPUNPCKHQDQYrr
     2U,	// VPUNPCKHQDQZrm
     2U,	// VPUNPCKHQDQZrr
     2U,	// VPUNPCKHQDQrm
     2U,	// VPUNPCKHQDQrr
-    0U,	// VPUNPCKHWDYrm
+    2U,	// VPUNPCKHWDYrm
     2U,	// VPUNPCKHWDYrr
     2U,	// VPUNPCKHWDrm
     2U,	// VPUNPCKHWDrr
-    0U,	// VPUNPCKLBWYrm
+    2U,	// VPUNPCKLBWYrm
     2U,	// VPUNPCKLBWYrr
     2U,	// VPUNPCKLBWrm
     2U,	// VPUNPCKLBWrr
-    0U,	// VPUNPCKLDQYrm
+    2U,	// VPUNPCKLDQYrm
     2U,	// VPUNPCKLDQYrr
     2U,	// VPUNPCKLDQZrm
     2U,	// VPUNPCKLDQZrr
     2U,	// VPUNPCKLDQrm
     2U,	// VPUNPCKLDQrr
-    0U,	// VPUNPCKLQDQYrm
+    2U,	// VPUNPCKLQDQYrm
     2U,	// VPUNPCKLQDQYrr
     2U,	// VPUNPCKLQDQZrm
     2U,	// VPUNPCKLQDQZrr
     2U,	// VPUNPCKLQDQrm
     2U,	// VPUNPCKLQDQrr
-    0U,	// VPUNPCKLWDYrm
+    2U,	// VPUNPCKLWDYrm
     2U,	// VPUNPCKLWDYrr
     2U,	// VPUNPCKLWDrm
     2U,	// VPUNPCKLWDrr
     2U,	// VPXORDZrm
     20U,	// VPXORDZrmb
+    1U,	// VPXORDZrmbk
+    306U,	// VPXORDZrmbkz
+    0U,	// VPXORDZrmk
+    306U,	// VPXORDZrmkz
     2U,	// VPXORDZrr
+    125U,	// VPXORDZrrk
+    306U,	// VPXORDZrrkz
     2U,	// VPXORQZrm
     20U,	// VPXORQZrmb
+    1U,	// VPXORQZrmbk
+    306U,	// VPXORQZrmbkz
+    0U,	// VPXORQZrmk
+    306U,	// VPXORQZrmkz
     2U,	// VPXORQZrr
-    0U,	// VPXORYrm
+    125U,	// VPXORQZrrk
+    306U,	// VPXORQZrrkz
+    2U,	// VPXORYrm
     2U,	// VPXORYrr
     2U,	// VPXORrm
     2U,	// VPXORrr
@@ -10698,10 +12024,18 @@
     20U,	// VRSQRTSSm
     20U,	// VRSQRTSSm_Int
     2U,	// VRSQRTSSr
-    13U,	// VSCATTERDPDZmr
-    13U,	// VSCATTERDPSZmr
-    13U,	// VSCATTERQPDZmr
-    13U,	// VSCATTERQPSZmr
+    15U,	// VSCATTERDPDZmr
+    15U,	// VSCATTERDPSZmr
+    0U,	// VSCATTERPF0DPDm
+    0U,	// VSCATTERPF0DPSm
+    0U,	// VSCATTERPF0QPDm
+    0U,	// VSCATTERPF0QPSm
+    0U,	// VSCATTERPF1DPDm
+    0U,	// VSCATTERPF1DPSm
+    0U,	// VSCATTERPF1QPDm
+    0U,	// VSCATTERPF1QPSm
+    15U,	// VSCATTERQPDZmr
+    15U,	// VSCATTERQPSZmr
     0U,	// VSHUFPDYrmi
     38U,	// VSHUFPDYrri
     0U,	// VSHUFPDZrmi
@@ -10716,16 +12050,12 @@
     38U,	// VSHUFPSrri
     0U,	// VSQRTPDYm
     0U,	// VSQRTPDYr
-    0U,	// VSQRTPDZm_Int
-    0U,	// VSQRTPDZr_Int
     0U,	// VSQRTPDZrm
     0U,	// VSQRTPDZrr
     0U,	// VSQRTPDm
     0U,	// VSQRTPDr
     0U,	// VSQRTPSYm
     0U,	// VSQRTPSYr
-    0U,	// VSQRTPSZm_Int
-    0U,	// VSQRTPSZr_Int
     0U,	// VSQRTPSZrm
     0U,	// VSQRTPSZrr
     0U,	// VSQRTPSm
@@ -10745,18 +12075,30 @@
     20U,	// VSQRTSSm_Int
     2U,	// VSQRTSSr
     0U,	// VSTMXCSR
-    0U,	// VSUBPDYrm
+    2U,	// VSUBPDYrm
     2U,	// VSUBPDYrr
-    0U,	// VSUBPDZrm
+    2U,	// VSUBPDZrm
     20U,	// VSUBPDZrmb
+    50U,	// VSUBPDZrmbk
+    306U,	// VSUBPDZrmbkz
+    50U,	// VSUBPDZrmk
+    306U,	// VSUBPDZrmkz
     2U,	// VSUBPDZrr
+    562U,	// VSUBPDZrrk
+    306U,	// VSUBPDZrrkz
     2U,	// VSUBPDrm
     2U,	// VSUBPDrr
-    0U,	// VSUBPSYrm
+    2U,	// VSUBPSYrm
     2U,	// VSUBPSYrr
-    0U,	// VSUBPSZrm
+    2U,	// VSUBPSZrm
     20U,	// VSUBPSZrmb
+    50U,	// VSUBPSZrmbk
+    306U,	// VSUBPSZrmbkz
+    50U,	// VSUBPSZrmk
+    306U,	// VSUBPSZrmkz
     2U,	// VSUBPSZrr
+    562U,	// VSUBPSZrrk
+    306U,	// VSUBPSZrrkz
     2U,	// VSUBPSrm
     2U,	// VSUBPSrr
     20U,	// VSUBSDZrm
@@ -10787,35 +12129,35 @@
     0U,	// VUCOMISSZrr
     0U,	// VUCOMISSrm
     0U,	// VUCOMISSrr
-    0U,	// VUNPCKHPDYrm
+    2U,	// VUNPCKHPDYrm
     2U,	// VUNPCKHPDYrr
-    0U,	// VUNPCKHPDZrm
+    2U,	// VUNPCKHPDZrm
     2U,	// VUNPCKHPDZrr
     2U,	// VUNPCKHPDrm
     2U,	// VUNPCKHPDrr
-    0U,	// VUNPCKHPSYrm
+    2U,	// VUNPCKHPSYrm
     2U,	// VUNPCKHPSYrr
-    0U,	// VUNPCKHPSZrm
+    2U,	// VUNPCKHPSZrm
     2U,	// VUNPCKHPSZrr
     2U,	// VUNPCKHPSrm
     2U,	// VUNPCKHPSrr
-    0U,	// VUNPCKLPDYrm
+    2U,	// VUNPCKLPDYrm
     2U,	// VUNPCKLPDYrr
-    0U,	// VUNPCKLPDZrm
+    2U,	// VUNPCKLPDZrm
     2U,	// VUNPCKLPDZrr
     2U,	// VUNPCKLPDrm
     2U,	// VUNPCKLPDrr
-    0U,	// VUNPCKLPSYrm
+    2U,	// VUNPCKLPSYrm
     2U,	// VUNPCKLPSYrr
-    0U,	// VUNPCKLPSZrm
+    2U,	// VUNPCKLPSZrm
     2U,	// VUNPCKLPSZrr
     2U,	// VUNPCKLPSrm
     2U,	// VUNPCKLPSrr
-    0U,	// VXORPDYrm
+    2U,	// VXORPDYrm
     2U,	// VXORPDYrr
     2U,	// VXORPDrm
     2U,	// VXORPDrr
-    0U,	// VXORPSYrm
+    2U,	// VXORPSYrm
     2U,	// VXORPSYrr
     2U,	// VXORPSrm
     2U,	// VXORPSrr
@@ -10923,1572 +12265,1578 @@
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
-  /* 0 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 32, 9, 0,
-  /* 12 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 32, 9, 0,
-  /* 24 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
-  /* 36 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
-  /* 47 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
-  /* 57 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
-  /* 69 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
-  /* 80 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
-  /* 90 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
-  /* 101 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
-  /* 112 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
-  /* 130 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
-  /* 139 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
-  /* 148 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
-  /* 160 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
-  /* 172 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
-  /* 181 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
-  /* 190 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 203 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 217 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
-  /* 227 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
-  /* 236 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
-  /* 246 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
-  /* 255 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
-  /* 267 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
-  /* 279 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
-  /* 288 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
-  /* 299 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
-  /* 309 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
-  /* 319 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 336 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 350 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 365 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 382 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 396 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 411 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
-  /* 423 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 435 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 448 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
-  /* 460 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
-  /* 472 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 503 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 518 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 535 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 549 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 564 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 575 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 588 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 599 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 612 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 32, 9, 0,
-  /* 622 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
-  /* 631 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
-  /* 640 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 653 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 667 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
-  /* 681 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
-  /* 691 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
-  /* 703 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
-  /* 712 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
-  /* 724 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
-  /* 734 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
-  /* 743 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
-  /* 752 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 765 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 779 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 32, 9, 0,
-  /* 789 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
-  /* 798 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
-  /* 807 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
-  /* 818 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 831 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 845 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
-  /* 857 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
-  /* 868 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
-  /* 878 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
-  /* 886 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 895 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 909 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 924 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 938 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 953 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
-  /* 964 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
-  /* 977 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
-  /* 988 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1001 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
-  /* 1010 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
-  /* 1024 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
-  /* 1034 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
-  /* 1049 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
-  /* 1058 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
-  /* 1068 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
-  /* 1082 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
-  /* 1093 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
-  /* 1108 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
-  /* 1118 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
-  /* 1128 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
-  /* 1138 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
-  /* 1148 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
-  /* 1158 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
-  /* 1170 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
-  /* 1182 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
-  /* 1194 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1207 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1219 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1232 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1244 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1258 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1271 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1285 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1298 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
-  /* 1311 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
-  /* 1325 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
-  /* 1338 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
-  /* 1352 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
-  /* 1363 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
-  /* 1375 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
-  /* 1387 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
-  /* 1405 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
-  /* 1416 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
-  /* 1427 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
-  /* 1436 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
-  /* 1445 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
-  /* 1457 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
-  /* 1469 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1482 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1494 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1507 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1519 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
-  /* 1528 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
-  /* 1541 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
-  /* 1554 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
-  /* 1564 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1573 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
-  /* 1587 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
-  /* 1601 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1614 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1628 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
-  /* 1641 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
-  /* 1655 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1669 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1682 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1696 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1709 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
-  /* 1720 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
-  /* 1732 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
-  /* 1744 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
-  /* 1753 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
-  /* 1762 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
-  /* 1774 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
-  /* 1786 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
-  /* 1795 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
-  /* 1806 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 1816 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
-  /* 1826 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
-  /* 1837 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1850 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1864 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
-  /* 1872 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 1881 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
-  /* 1890 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
-  /* 1900 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
-  /* 1913 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
-  /* 1927 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
-  /* 1937 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
-  /* 1951 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
-  /* 1962 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
-  /* 1977 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
-  /* 1987 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
-  /* 1997 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
-  /* 2007 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
-  /* 2017 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
-  /* 2027 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
-  /* 2039 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
-  /* 2051 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2068 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2082 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2097 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2114 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2128 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2143 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
-  /* 2155 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
-  /* 2167 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2179 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2192 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
-  /* 2204 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2221 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2235 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2250 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2267 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2281 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2296 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2307 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2320 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2331 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2344 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 32, 9, 0,
-  /* 2354 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
-  /* 2363 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
-  /* 2372 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2385 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2399 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
-  /* 2413 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
-  /* 2423 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
-  /* 2435 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
-  /* 2444 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
-  /* 2456 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
-  /* 2466 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
-  /* 2475 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
-  /* 2484 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2497 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2511 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 32, 9, 0,
-  /* 2521 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
-  /* 2530 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
-  /* 2539 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2553 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2568 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2582 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2597 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
-  /* 2608 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
-  /* 2621 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
-  /* 2632 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
-  /* 2645 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
-  /* 2659 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
-  /* 2674 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
-  /* 2683 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
-  /* 2694 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
-  /* 2702 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
-  /* 2714 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
-  /* 2725 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
-  /* 2735 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
-  /* 2746 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
-  /* 2755 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
-  /* 2767 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
-  /* 2778 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
-  /* 2788 */ 'k', 'o', 'r', 'w', 32, 9, 0,
-  /* 2795 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
-  /* 2804 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
-  /* 2812 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
-  /* 2823 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
-  /* 2831 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
-  /* 2842 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
-  /* 2850 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 2862 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 2872 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 2884 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 2896 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 2906 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 2916 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 2927 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 2937 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 2949 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 2962 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 2974 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 2984 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 2995 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 3006 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3021 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3035 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3050 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3064 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3079 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3093 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3108 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3122 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 3134 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3148 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3161 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3177 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 3189 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3203 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3216 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3232 */ 'j', 'a', 9, 0,
-  /* 3236 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 3247 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 3256 */ 's', 'e', 't', 'a', 9, 0,
-  /* 3262 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 3275 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
-  /* 3283 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 3295 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 3306 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 3314 */ 's', 'b', 'b', 'b', 9, 0,
-  /* 3320 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 3328 */ 'a', 'd', 'c', 'b', 9, 0,
-  /* 3334 */ 'd', 'e', 'c', 'b', 9, 0,
-  /* 3340 */ 'i', 'n', 'c', 'b', 9, 0,
-  /* 3346 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3354 */ 'x', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3361 */ 'a', 'n', 'd', 'b', 9, 0,
-  /* 3367 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 3376 */ 'n', 'e', 'g', 'b', 9, 0,
-  /* 3382 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'b', 9, 0,
-  /* 3392 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 3400 */ 'j', 'b', 9, 0,
-  /* 3404 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 3415 */ 's', 'a', 'l', 'b', 9, 0,
-  /* 3421 */ 'r', 'c', 'l', 'b', 9, 0,
-  /* 3427 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 3435 */ 'r', 'o', 'l', 'b', 9, 0,
-  /* 3441 */ 'i', 'm', 'u', 'l', 'b', 9, 0,
-  /* 3448 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 3456 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 3465 */ 'i', 'n', 'b', 9, 0,
-  /* 3470 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 0,
-  /* 3479 */ 'c', 'm', 'p', 'b', 9, 0,
-  /* 3485 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 3495 */ 's', 'a', 'r', 'b', 9, 0,
-  /* 3501 */ 'r', 'c', 'r', 'b', 9, 0,
-  /* 3507 */ 's', 'h', 'r', 'b', 9, 0,
-  /* 3513 */ 'r', 'o', 'r', 'b', 9, 0,
-  /* 3519 */ 'x', 'o', 'r', 'b', 9, 0,
-  /* 3525 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 3534 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 3543 */ 's', 'c', 'a', 's', 'b', 9, 0,
-  /* 3550 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 3558 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, 0,
-  /* 3567 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 3576 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 3585 */ 'l', 'o', 'd', 's', 'b', 9, 0,
-  /* 3592 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 3601 */ 'c', 'm', 'p', 's', 'b', 9, 0,
-  /* 3608 */ 'o', 'u', 't', 's', 'b', 9, 0,
-  /* 3615 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 3625 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 3635 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 3644 */ 'm', 'o', 'v', 's', 'b', 9, 0,
-  /* 3651 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 3660 */ 's', 'e', 't', 'b', 9, 0,
-  /* 3666 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 3676 */ 'n', 'o', 't', 'b', 9, 0,
-  /* 3682 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 3690 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 3704 */ 't', 'e', 's', 't', 'b', 9, 0,
-  /* 3711 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 3720 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 3729 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 3736 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 3745 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 3756 */ 'i', 'd', 'i', 'v', 'b', 9, 0,
-  /* 3763 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 3771 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 3782 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 3793 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 3800 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3808 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3817 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 3826 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 3833 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 3840 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 3848 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 3857 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 3866 */ 'a', 'a', 'd', 9, 0,
-  /* 3871 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 3879 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 3887 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 3897 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 3908 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 3917 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 3925 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 3936 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 3947 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 3954 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3963 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3971 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 3981 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 3993 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 4003 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 4014 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 4021 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 4030 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 4037 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 4046 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 4055 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 4061 */ 'f', 'l', 'd', 9, 0,
-  /* 4066 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 4074 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 4082 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 4091 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 4099 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 4108 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 4116 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 4124 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 4131 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 4140 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 4147 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4163 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4176 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4190 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4206 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4219 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4233 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4249 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4262 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4276 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4292 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4305 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4319 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 4329 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 4341 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 4352 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 4363 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4379 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4392 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4406 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4422 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4435 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4449 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 4458 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 4466 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4479 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4490 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4499 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4509 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4520 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4528 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4541 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4550 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4560 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4571 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4579 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4587 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4597 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4607 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 4619 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 4628 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 4639 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 4648 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 4659 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 4670 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 4681 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 4689 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 4698 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 4706 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 4715 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 4724 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 4732 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 4739 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 4747 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 4759 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4766 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4774 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 4784 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 4793 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 4802 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 4811 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 4822 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 4830 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 4842 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 4850 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 4859 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 4869 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 4881 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 4890 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 4899 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4912 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4926 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4939 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4953 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4966 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4980 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4993 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5007 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 5018 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5031 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5045 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5058 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5072 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 5080 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5090 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5101 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5109 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5119 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5130 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5138 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 5148 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5158 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5167 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 5175 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5184 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5192 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 5200 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 5209 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 5218 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 5232 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 5240 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 5248 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5257 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5265 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 5274 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 5284 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 5292 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 5306 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 5315 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 5324 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 5333 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 5342 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 5351 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 5360 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 5369 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5381 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5388 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 5398 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5408 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5418 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 5430 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 5442 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 5452 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 5463 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5474 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5486 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 5497 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 5508 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 5519 */ 'j', 'a', 'e', 9, 0,
-  /* 5524 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 5531 */ 'j', 'b', 'e', 9, 0,
-  /* 5536 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 0,
-  /* 5546 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 5553 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 5562 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 5569 */ 'j', 'g', 'e', 9, 0,
-  /* 5574 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 5583 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 5590 */ 'j', 'e', 9, 0,
-  /* 5594 */ 'j', 'l', 'e', 9, 0,
-  /* 5599 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 5606 */ 'j', 'n', 'e', 9, 0,
-  /* 5611 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 5619 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 5626 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 5635 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 5642 */ 's', 'e', 't', 'e', 9, 0,
-  /* 5648 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 5659 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 5667 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 5675 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 5683 */ 'j', 'g', 9, 0,
-  /* 5687 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 5695 */ 's', 'e', 't', 'g', 9, 0,
-  /* 5701 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 5711 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 5717 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 5728 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 5739 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 5751 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 5760 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 5766 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5773 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5781 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5792 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5802 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5813 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5823 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5831 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5840 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 5852 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 5864 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5876 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5887 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5899 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5910 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 5918 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 5925 */ 'c', 'r', 'c', '3', '2', 'l', 9, 0,
-  /* 5933 */ 'l', 'e', 'a', 'l', 9, 0,
-  /* 5939 */ 'c', 'm', 'o', 'v', 'a', 'l', 9, 0,
-  /* 5947 */ 's', 'b', 'b', 'l', 9, 0,
-  /* 5953 */ 'm', 'o', 'v', 's', 'b', 'l', 9, 0,
-  /* 5961 */ 'f', 's', 'u', 'b', 'l', 9, 0,
-  /* 5968 */ 'f', 'i', 's', 'u', 'b', 'l', 9, 0,
-  /* 5976 */ 'c', 'm', 'o', 'v', 'b', 'l', 9, 0,
-  /* 5984 */ 'm', 'o', 'v', 'z', 'b', 'l', 9, 0,
-  /* 5992 */ 'a', 'd', 'c', 'l', 9, 0,
-  /* 5998 */ 'd', 'e', 'c', 'l', 9, 0,
-  /* 6004 */ 'i', 'n', 'c', 'l', 9, 0,
-  /* 6010 */ 'b', 't', 'c', 'l', 9, 0,
-  /* 6016 */ 'v', 'm', 'r', 'e', 'a', 'd', 'l', 9, 0,
-  /* 6025 */ 'f', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6032 */ 'f', 'i', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6040 */ 'x', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6047 */ 'r', 'd', 's', 'e', 'e', 'd', 'l', 9, 0,
-  /* 6056 */ 'f', 'l', 'd', 'l', 9, 0,
-  /* 6062 */ 's', 'h', 'l', 'd', 'l', 9, 0,
-  /* 6069 */ 'f', 'i', 'l', 'd', 'l', 9, 0,
-  /* 6076 */ 'r', 'd', 'r', 'a', 'n', 'd', 'l', 9, 0,
-  /* 6085 */ 's', 'h', 'r', 'd', 'l', 9, 0,
-  /* 6092 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 9, 0,
-  /* 6104 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 9, 0,
-  /* 6117 */ 'c', 'm', 'o', 'v', 'a', 'e', 'l', 9, 0,
-  /* 6126 */ 'c', 'm', 'o', 'v', 'b', 'e', 'l', 9, 0,
-  /* 6135 */ 'c', 'm', 'o', 'v', 'g', 'e', 'l', 9, 0,
-  /* 6144 */ 'c', 'm', 'o', 'v', 'l', 'e', 'l', 9, 0,
-  /* 6153 */ 'c', 'm', 'o', 'v', 'n', 'e', 'l', 9, 0,
-  /* 6162 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6173 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6184 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6195 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6206 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'l', 9, 0,
-  /* 6216 */ 'c', 'm', 'o', 'v', 'e', 'l', 9, 0,
-  /* 6224 */ 'b', 's', 'f', 'l', 9, 0,
-  /* 6230 */ 'n', 'e', 'g', 'l', 9, 0,
-  /* 6236 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'l', 9, 0,
-  /* 6246 */ 'c', 'm', 'o', 'v', 'g', 'l', 9, 0,
-  /* 6254 */ 'p', 'u', 's', 'h', 'l', 9, 0,
-  /* 6261 */ 'b', 'z', 'h', 'i', 'l', 9, 0,
-  /* 6268 */ 'b', 'l', 's', 'i', 'l', 9, 0,
-  /* 6275 */ 'm', 'o', 'v', 'n', 't', 'i', 'l', 9, 0,
-  /* 6284 */ 'j', 'l', 9, 0,
-  /* 6288 */ 'b', 'l', 's', 'm', 's', 'k', 'l', 9, 0,
-  /* 6297 */ 's', 'a', 'l', 'l', 9, 0,
-  /* 6303 */ 'r', 'c', 'l', 'l', 9, 0,
-  /* 6309 */ 'f', 'i', 'l', 'd', 'l', 'l', 9, 0,
-  /* 6317 */ 's', 'h', 'l', 'l', 9, 0,
-  /* 6323 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6332 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6341 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, 0,
-  /* 6349 */ 'r', 'o', 'l', 'l', 9, 0,
-  /* 6355 */ 'f', 'i', 's', 't', 'p', 'l', 'l', 9, 0,
-  /* 6364 */ 'f', 'i', 's', 't', 't', 'p', 'l', 'l', 9, 0,
-  /* 6374 */ 'l', 's', 'l', 'l', 9, 0,
-  /* 6380 */ 'f', 'm', 'u', 'l', 'l', 9, 0,
-  /* 6387 */ 'f', 'i', 'm', 'u', 'l', 'l', 9, 0,
-  /* 6395 */ 'c', 'm', 'o', 'v', 'l', 'l', 9, 0,
-  /* 6403 */ 'f', 'c', 'o', 'm', 'l', 9, 0,
-  /* 6410 */ 'f', 'i', 'c', 'o', 'm', 'l', 9, 0,
-  /* 6418 */ 'a', 'n', 'd', 'n', 'l', 9, 0,
-  /* 6425 */ 'i', 'n', 'l', 9, 0,
-  /* 6430 */ 'c', 'm', 'o', 'v', 'n', 'o', 'l', 9, 0,
-  /* 6439 */ 'c', 'm', 'o', 'v', 'o', 'l', 9, 0,
-  /* 6447 */ 'b', 's', 'w', 'a', 'p', 'l', 9, 0,
-  /* 6455 */ 'p', 'd', 'e', 'p', 'l', 9, 0,
-  /* 6462 */ 'c', 'm', 'p', 'l', 9, 0,
-  /* 6468 */ 'l', 'j', 'm', 'p', 'l', 9, 0,
-  /* 6475 */ 'f', 'c', 'o', 'm', 'p', 'l', 9, 0,
-  /* 6483 */ 'f', 'i', 'c', 'o', 'm', 'p', 'l', 9, 0,
-  /* 6492 */ 'c', 'm', 'o', 'v', 'n', 'p', 'l', 9, 0,
-  /* 6501 */ 'n', 'o', 'p', 'l', 9, 0,
-  /* 6507 */ 'p', 'o', 'p', 'l', 9, 0,
-  /* 6513 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6519 */ 'f', 's', 't', 'p', 'l', 9, 0,
-  /* 6526 */ 'f', 'i', 's', 't', 'p', 'l', 9, 0,
-  /* 6534 */ 'f', 'i', 's', 't', 't', 'p', 'l', 9, 0,
-  /* 6543 */ 'c', 'm', 'o', 'v', 'p', 'l', 9, 0,
-  /* 6551 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 6562 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 6574 */ 'l', 'a', 'r', 'l', 9, 0,
-  /* 6580 */ 's', 'a', 'r', 'l', 9, 0,
-  /* 6586 */ 'f', 's', 'u', 'b', 'r', 'l', 9, 0,
-  /* 6594 */ 'f', 'i', 's', 'u', 'b', 'r', 'l', 9, 0,
-  /* 6603 */ 'r', 'c', 'r', 'l', 9, 0,
-  /* 6609 */ 's', 'h', 'r', 'l', 9, 0,
-  /* 6615 */ 'r', 'o', 'r', 'l', 9, 0,
-  /* 6621 */ 'x', 'o', 'r', 'l', 9, 0,
-  /* 6627 */ 'b', 's', 'r', 'l', 9, 0,
-  /* 6633 */ 'b', 'l', 's', 'r', 'l', 9, 0,
-  /* 6640 */ 'b', 't', 'r', 'l', 9, 0,
-  /* 6646 */ 's', 't', 'r', 'l', 9, 0,
-  /* 6652 */ 'b', 'e', 'x', 't', 'r', 'l', 9, 0,
-  /* 6660 */ 'f', 'd', 'i', 'v', 'r', 'l', 9, 0,
-  /* 6668 */ 'f', 'i', 'd', 'i', 'v', 'r', 'l', 9, 0,
-  /* 6677 */ 's', 'c', 'a', 's', 'l', 9, 0,
-  /* 6684 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, 0,
-  /* 6693 */ 'l', 'd', 's', 'l', 9, 0,
-  /* 6699 */ 'l', 'o', 'd', 's', 'l', 9, 0,
-  /* 6706 */ 'l', 'e', 's', 'l', 9, 0,
-  /* 6712 */ 'l', 'f', 's', 'l', 9, 0,
-  /* 6718 */ 'l', 'g', 's', 'l', 9, 0,
-  /* 6724 */ 'c', 'm', 'o', 'v', 'n', 's', 'l', 9, 0,
-  /* 6733 */ 'c', 'm', 'p', 's', 'l', 9, 0,
-  /* 6740 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 9, 0,
-  /* 6752 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 9, 0,
-  /* 6765 */ 'l', 's', 's', 'l', 9, 0,
-  /* 6771 */ 'b', 't', 's', 'l', 9, 0,
-  /* 6777 */ 'o', 'u', 't', 's', 'l', 9, 0,
-  /* 6784 */ 'c', 'm', 'o', 'v', 's', 'l', 9, 0,
-  /* 6792 */ 'b', 't', 'l', 9, 0,
-  /* 6797 */ 'l', 'g', 'd', 't', 'l', 9, 0,
-  /* 6804 */ 's', 'g', 'd', 't', 'l', 9, 0,
-  /* 6811 */ 'l', 'i', 'd', 't', 'l', 9, 0,
-  /* 6818 */ 's', 'i', 'd', 't', 'l', 9, 0,
-  /* 6825 */ 's', 'l', 'd', 't', 'l', 9, 0,
-  /* 6832 */ 'l', 'r', 'e', 't', 'l', 9, 0,
-  /* 6839 */ 's', 'e', 't', 'l', 9, 0,
-  /* 6845 */ 'p', 'o', 'p', 'c', 'n', 't', 'l', 9, 0,
-  /* 6854 */ 'l', 'z', 'c', 'n', 't', 'l', 9, 0,
-  /* 6862 */ 't', 'z', 'c', 'n', 't', 'l', 9, 0,
-  /* 6870 */ 'n', 'o', 't', 'l', 9, 0,
-  /* 6876 */ 't', 'e', 's', 't', 'l', 9, 0,
-  /* 6883 */ 'f', 's', 't', 'l', 9, 0,
-  /* 6889 */ 'f', 'i', 's', 't', 'l', 9, 0,
-  /* 6896 */ 'p', 'e', 'x', 't', 'l', 9, 0,
-  /* 6903 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 6910 */ 'f', 'd', 'i', 'v', 'l', 9, 0,
-  /* 6917 */ 'f', 'i', 'd', 'i', 'v', 'l', 9, 0,
-  /* 6925 */ 'm', 'o', 'v', 'l', 9, 0,
-  /* 6931 */ 's', 'm', 's', 'w', 'l', 9, 0,
-  /* 6938 */ 'm', 'o', 'v', 's', 'w', 'l', 9, 0,
-  /* 6946 */ 'm', 'o', 'v', 'z', 'w', 'l', 9, 0,
-  /* 6954 */ 'a', 'd', 'c', 'x', 'l', 9, 0,
-  /* 6961 */ 's', 'h', 'l', 'x', 'l', 9, 0,
-  /* 6968 */ 'm', 'u', 'l', 'x', 'l', 9, 0,
-  /* 6975 */ 'a', 'd', 'o', 'x', 'l', 9, 0,
-  /* 6982 */ 's', 'a', 'r', 'x', 'l', 9, 0,
-  /* 6989 */ 's', 'h', 'r', 'x', 'l', 9, 0,
-  /* 6996 */ 'r', 'o', 'r', 'x', 'l', 9, 0,
-  /* 7003 */ 'a', 'a', 'm', 9, 0,
-  /* 7008 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 7014 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 7021 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 7029 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 7041 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 7053 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 7061 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 7069 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 7076 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 7083 */ 'j', 'o', 9, 0,
-  /* 7087 */ 'j', 'n', 'o', 9, 0,
-  /* 7092 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 7099 */ 's', 'e', 't', 'o', 9, 0,
-  /* 7105 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 7112 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 7119 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 7126 */ 'j', 'p', 9, 0,
-  /* 7130 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 7137 */ 'j', 'm', 'p', 9, 0,
-  /* 7142 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 7149 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 7157 */ 'j', 'n', 'p', 9, 0,
-  /* 7162 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 7169 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 7175 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 7183 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 7191 */ 's', 'e', 't', 'p', 9, 0,
-  /* 7197 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 7204 */ 'f', 's', 't', 'p', 9, 0,
-  /* 7210 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 7220 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 7231 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 7242 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 7258 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 7265 */ 'c', 'r', 'c', '3', '2', 'q', 9, 0,
-  /* 7273 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 7282 */ 'l', 'e', 'a', 'q', 9, 0,
-  /* 7288 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 7296 */ 'c', 'm', 'o', 'v', 'a', 'q', 9, 0,
-  /* 7304 */ 's', 'b', 'b', 'q', 9, 0,
-  /* 7310 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 7320 */ 'm', 'o', 'v', 's', 'b', 'q', 9, 0,
-  /* 7328 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 7339 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 7347 */ 'c', 'm', 'o', 'v', 'b', 'q', 9, 0,
-  /* 7355 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 7366 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 7377 */ 'm', 'o', 'v', 'z', 'b', 'q', 9, 0,
-  /* 7385 */ 'a', 'd', 'c', 'q', 9, 0,
-  /* 7391 */ 'd', 'e', 'c', 'q', 9, 0,
-  /* 7397 */ 'i', 'n', 'c', 'q', 9, 0,
-  /* 7403 */ 'b', 't', 'c', 'q', 9, 0,
-  /* 7409 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 7421 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 7432 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 7441 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 7453 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 7464 */ 'v', 'm', 'r', 'e', 'a', 'd', 'q', 9, 0,
-  /* 7473 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 7483 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 7491 */ 'x', 'a', 'd', 'd', 'q', 9, 0,
-  /* 7498 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 7508 */ 'r', 'd', 's', 'e', 'e', 'd', 'q', 9, 0,
-  /* 7517 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 7529 */ 's', 'h', 'l', 'd', 'q', 9, 0,
-  /* 7536 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 7548 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 7557 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 7566 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 7575 */ 'r', 'd', 'r', 'a', 'n', 'd', 'q', 9, 0,
-  /* 7584 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 7597 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 7610 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 7622 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 7634 */ 's', 'h', 'r', 'd', 'q', 9, 0,
-  /* 7641 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 9, 0,
-  /* 7653 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 9, 0,
-  /* 7666 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 7676 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 7687 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 7697 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 7708 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 7719 */ 'c', 'm', 'o', 'v', 'a', 'e', 'q', 9, 0,
-  /* 7728 */ 'c', 'm', 'o', 'v', 'b', 'e', 'q', 9, 0,
-  /* 7737 */ 'c', 'm', 'o', 'v', 'g', 'e', 'q', 9, 0,
-  /* 7746 */ 'c', 'm', 'o', 'v', 'l', 'e', 'q', 9, 0,
-  /* 7755 */ 'c', 'm', 'o', 'v', 'n', 'e', 'q', 9, 0,
-  /* 7764 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 7773 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7784 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7795 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7806 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7817 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'q', 9, 0,
-  /* 7827 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
-  /* 7836 */ 'c', 'm', 'o', 'v', 'e', 'q', 9, 0,
-  /* 7844 */ 'b', 's', 'f', 'q', 9, 0,
-  /* 7850 */ 'n', 'e', 'g', 'q', 9, 0,
-  /* 7856 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'q', 9, 0,
-  /* 7866 */ 'c', 'm', 'o', 'v', 'g', 'q', 9, 0,
-  /* 7874 */ 'p', 'u', 's', 'h', 'q', 9, 0,
-  /* 7881 */ 'b', 'z', 'h', 'i', 'q', 9, 0,
-  /* 7888 */ 'b', 'l', 's', 'i', 'q', 9, 0,
-  /* 7895 */ 'm', 'o', 'v', 'n', 't', 'i', 'q', 9, 0,
-  /* 7904 */ 'b', 'l', 's', 'm', 's', 'k', 'q', 9, 0,
-  /* 7913 */ 's', 'a', 'l', 'q', 9, 0,
-  /* 7919 */ 'r', 'c', 'l', 'q', 9, 0,
-  /* 7925 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 7933 */ 'c', 'a', 'l', 'l', 'q', 9, 0,
-  /* 7940 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 7948 */ 'r', 'o', 'l', 'q', 9, 0,
-  /* 7954 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 7962 */ 'l', 's', 'l', 'q', 9, 0,
-  /* 7968 */ 'm', 'o', 'v', 's', 'l', 'q', 9, 0,
-  /* 7976 */ 'i', 'm', 'u', 'l', 'q', 9, 0,
-  /* 7983 */ 'c', 'm', 'o', 'v', 'l', 'q', 9, 0,
-  /* 7991 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 7999 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 8007 */ 'a', 'n', 'd', 'n', 'q', 9, 0,
-  /* 8014 */ 'c', 'm', 'o', 'v', 'n', 'o', 'q', 9, 0,
-  /* 8023 */ 'c', 'm', 'o', 'v', 'o', 'q', 9, 0,
-  /* 8031 */ 'b', 's', 'w', 'a', 'p', 'q', 9, 0,
-  /* 8039 */ 'p', 'd', 'e', 'p', 'q', 9, 0,
-  /* 8046 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 8054 */ 'c', 'm', 'o', 'v', 'n', 'p', 'q', 9, 0,
-  /* 8063 */ 'p', 'o', 'p', 'q', 9, 0,
-  /* 8069 */ 'c', 'm', 'o', 'v', 'p', 'q', 9, 0,
-  /* 8077 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 8087 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 8099 */ 'l', 'a', 'r', 'q', 9, 0,
-  /* 8105 */ 's', 'a', 'r', 'q', 9, 0,
-  /* 8111 */ 'r', 'c', 'r', 'q', 9, 0,
-  /* 8117 */ 's', 'h', 'r', 'q', 9, 0,
-  /* 8123 */ 'r', 'o', 'r', 'q', 9, 0,
-  /* 8129 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
-  /* 8139 */ 'x', 'o', 'r', 'q', 9, 0,
-  /* 8145 */ 'b', 's', 'r', 'q', 9, 0,
-  /* 8151 */ 'b', 'l', 's', 'r', 'q', 9, 0,
-  /* 8158 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 8167 */ 'b', 't', 'r', 'q', 9, 0,
-  /* 8173 */ 's', 't', 'r', 'q', 9, 0,
-  /* 8179 */ 'b', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 8187 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 8196 */ 's', 'c', 'a', 's', 'q', 9, 0,
-  /* 8203 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, 0,
-  /* 8212 */ 'l', 'o', 'd', 's', 'q', 9, 0,
-  /* 8219 */ 'l', 'f', 's', 'q', 9, 0,
-  /* 8225 */ 'l', 'g', 's', 'q', 9, 0,
-  /* 8231 */ 'c', 'm', 'o', 'v', 'n', 's', 'q', 9, 0,
-  /* 8240 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 8247 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 9, 0,
-  /* 8259 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 9, 0,
-  /* 8272 */ 'l', 's', 's', 'q', 9, 0,
-  /* 8278 */ 'b', 't', 's', 'q', 9, 0,
-  /* 8284 */ 'c', 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 8292 */ 'b', 't', 'q', 9, 0,
-  /* 8297 */ 'l', 'g', 'd', 't', 'q', 9, 0,
-  /* 8304 */ 's', 'g', 'd', 't', 'q', 9, 0,
-  /* 8311 */ 'l', 'i', 'd', 't', 'q', 9, 0,
-  /* 8318 */ 's', 'i', 'd', 't', 'q', 9, 0,
-  /* 8325 */ 's', 'l', 'd', 't', 'q', 9, 0,
-  /* 8332 */ 'l', 'r', 'e', 't', 'q', 9, 0,
-  /* 8339 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 8349 */ 'p', 'o', 'p', 'c', 'n', 't', 'q', 9, 0,
-  /* 8358 */ 'l', 'z', 'c', 'n', 't', 'q', 9, 0,
-  /* 8366 */ 't', 'z', 'c', 'n', 't', 'q', 9, 0,
-  /* 8374 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 8382 */ 'n', 'o', 't', 'q', 9, 0,
-  /* 8388 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 8396 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 'q', 9, 0,
-  /* 8407 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 8416 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 8430 */ 't', 'e', 's', 't', 'q', 9, 0,
-  /* 8437 */ 'p', 'e', 'x', 't', 'q', 9, 0,
-  /* 8444 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 8453 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 8462 */ 'i', 'd', 'i', 'v', 'q', 9, 0,
-  /* 8469 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 8478 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 8487 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 8499 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 8506 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 8516 */ 's', 'm', 's', 'w', 'q', 9, 0,
-  /* 8523 */ 'm', 'o', 'v', 's', 'w', 'q', 9, 0,
-  /* 8531 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 8542 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 8553 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 8564 */ 'm', 'o', 'v', 'z', 'w', 'q', 9, 0,
-  /* 8572 */ 'a', 'd', 'c', 'x', 'q', 9, 0,
-  /* 8579 */ 's', 'h', 'l', 'x', 'q', 9, 0,
-  /* 8586 */ 'm', 'u', 'l', 'x', 'q', 9, 0,
-  /* 8593 */ 'a', 'd', 'o', 'x', 'q', 9, 0,
-  /* 8600 */ 's', 'a', 'r', 'x', 'q', 9, 0,
-  /* 8607 */ 's', 'h', 'r', 'x', 'q', 9, 0,
-  /* 8614 */ 'r', 'o', 'r', 'x', 'q', 9, 0,
-  /* 8621 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 8630 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 8638 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 8645 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 8655 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 8661 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 8669 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 8678 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 8685 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 8691 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 8701 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 8711 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 8718 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 8725 */ 'f', 's', 'u', 'b', 's', 9, 0,
-  /* 8732 */ 'f', 'i', 's', 'u', 'b', 's', 9, 0,
-  /* 8740 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 8746 */ 'f', 'a', 'd', 'd', 's', 9, 0,
-  /* 8753 */ 'f', 'i', 'a', 'd', 'd', 's', 9, 0,
-  /* 8761 */ 'f', 'l', 'd', 's', 9, 0,
-  /* 8767 */ 'f', 'i', 'l', 'd', 's', 9, 0,
-  /* 8774 */ 'j', 's', 9, 0,
-  /* 8778 */ 'f', 'm', 'u', 'l', 's', 9, 0,
-  /* 8785 */ 'f', 'i', 'm', 'u', 'l', 's', 9, 0,
-  /* 8793 */ 'f', 'c', 'o', 'm', 's', 9, 0,
-  /* 8800 */ 'f', 'i', 'c', 'o', 'm', 's', 9, 0,
-  /* 8808 */ 'j', 'n', 's', 9, 0,
-  /* 8813 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 8820 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8836 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8849 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8863 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8879 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8892 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8906 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8922 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8935 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8949 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8965 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8978 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8992 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 9003 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 9014 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 9024 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 9036 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 9047 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9063 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9076 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9090 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9106 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9119 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9133 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 9142 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9155 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9166 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9175 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9185 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9196 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9204 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9217 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9226 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9236 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9247 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9255 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 9263 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 9273 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 9283 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 9295 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 9304 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 9315 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 9325 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 9334 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 9345 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 9355 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 9366 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 9377 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 9385 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 9394 */ 'f', 'c', 'o', 'm', 'p', 's', 9, 0,
-  /* 9402 */ 'f', 'i', 'c', 'o', 'm', 'p', 's', 9, 0,
-  /* 9411 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 9420 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 9429 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 9437 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 9445 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 9452 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 9460 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 9472 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 9479 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 9487 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 9499 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 9509 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 9520 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 9530 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 9539 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 9548 */ 'f', 's', 't', 'p', 's', 9, 0,
-  /* 9555 */ 'f', 'i', 's', 't', 'p', 's', 9, 0,
-  /* 9563 */ 'f', 'i', 's', 't', 't', 'p', 's', 9, 0,
-  /* 9572 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 9581 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 9592 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 9600 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 9612 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 9620 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 9629 */ 'f', 's', 'u', 'b', 'r', 's', 9, 0,
-  /* 9637 */ 'f', 'i', 's', 'u', 'b', 'r', 's', 9, 0,
-  /* 9646 */ 'f', 'd', 'i', 'v', 'r', 's', 9, 0,
-  /* 9654 */ 'f', 'i', 'd', 'i', 'v', 'r', 's', 9, 0,
-  /* 9663 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 9676 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 9690 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 9703 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 9717 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 9730 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 9744 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 9757 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 9771 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 9782 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 9795 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 9809 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 9822 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 9836 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 9846 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 9857 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 9865 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 9875 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 9886 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 9894 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 9904 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 9914 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 9923 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 9931 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 9939 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 9947 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 9955 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 9964 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 9974 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 9983 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 9997 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 10005 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 10013 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 10021 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 10030 */ 's', 'e', 't', 's', 9, 0,
-  /* 10036 */ 'f', 's', 't', 's', 9, 0,
-  /* 10042 */ 'f', 'i', 's', 't', 's', 9, 0,
-  /* 10049 */ 'f', 'd', 'i', 'v', 's', 9, 0,
-  /* 10056 */ 'f', 'i', 'd', 'i', 'v', 's', 9, 0,
-  /* 10064 */ 'f', 'l', 'd', 't', 9, 0,
-  /* 10070 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 10079 */ 'i', 'n', 't', 9, 0,
-  /* 10084 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 10092 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 10102 */ 'f', 's', 't', 'p', 't', 9, 0,
-  /* 10109 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 10117 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 10126 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 10139 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 10152 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 10160 */ 'f', 's', 't', 9, 0,
-  /* 10165 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 10183 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 10192 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 0,
-  /* 10201 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 10209 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 10222 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 10231 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 0,
-  /* 10239 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 10245 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 10253 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 10262 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 10270 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
-  /* 10278 */ 'l', 'e', 'a', 'w', 9, 0,
-  /* 10284 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 10292 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 10300 */ 'c', 'm', 'o', 'v', 'a', 'w', 9, 0,
-  /* 10308 */ 's', 'b', 'b', 'w', 9, 0,
-  /* 10314 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 10324 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 10334 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 10343 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 10353 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 10365 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 10377 */ 'm', 'o', 'v', 's', 'b', 'w', 9, 0,
-  /* 10385 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 10396 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 10405 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 10413 */ 'c', 'm', 'o', 'v', 'b', 'w', 9, 0,
-  /* 10421 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 10432 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 10443 */ 'm', 'o', 'v', 'z', 'b', 'w', 9, 0,
-  /* 10451 */ 'a', 'd', 'c', 'w', 9, 0,
-  /* 10457 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 10464 */ 'd', 'e', 'c', 'w', 9, 0,
-  /* 10470 */ 'i', 'n', 'c', 'w', 9, 0,
-  /* 10476 */ 'b', 't', 'c', 'w', 9, 0,
-  /* 10482 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 10490 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10499 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10507 */ 'x', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10514 */ 'r', 'd', 's', 'e', 'e', 'd', 'w', 9, 0,
-  /* 10523 */ 's', 'h', 'l', 'd', 'w', 9, 0,
-  /* 10530 */ 'r', 'd', 'r', 'a', 'n', 'd', 'w', 9, 0,
-  /* 10539 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 10549 */ 's', 'h', 'r', 'd', 'w', 9, 0,
-  /* 10556 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 10567 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 10578 */ 'c', 'm', 'o', 'v', 'a', 'e', 'w', 9, 0,
-  /* 10587 */ 'c', 'm', 'o', 'v', 'b', 'e', 'w', 9, 0,
-  /* 10596 */ 'c', 'm', 'o', 'v', 'g', 'e', 'w', 9, 0,
-  /* 10605 */ 'c', 'm', 'o', 'v', 'l', 'e', 'w', 9, 0,
-  /* 10614 */ 'c', 'm', 'o', 'v', 'n', 'e', 'w', 9, 0,
-  /* 10623 */ 'c', 'm', 'o', 'v', 'e', 'w', 9, 0,
-  /* 10631 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 10638 */ 'b', 's', 'f', 'w', 9, 0,
-  /* 10644 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 10652 */ 'n', 'e', 'g', 'w', 9, 0,
-  /* 10658 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'w', 9, 0,
-  /* 10668 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 10676 */ 'c', 'm', 'o', 'v', 'g', 'w', 9, 0,
-  /* 10684 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 10695 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 10705 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 10714 */ 'p', 'u', 's', 'h', 'w', 9, 0,
-  /* 10721 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 10728 */ 's', 'a', 'l', 'w', 9, 0,
-  /* 10734 */ 'r', 'c', 'l', 'w', 9, 0,
-  /* 10740 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 10750 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 10758 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, 0,
-  /* 10766 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 10774 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 10783 */ 'r', 'o', 'l', 'w', 9, 0,
-  /* 10789 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 10797 */ 'l', 's', 'l', 'w', 9, 0,
-  /* 10803 */ 'i', 'm', 'u', 'l', 'w', 9, 0,
-  /* 10810 */ 'c', 'm', 'o', 'v', 'l', 'w', 9, 0,
-  /* 10818 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 10826 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 10835 */ 'i', 'n', 'w', 9, 0,
-  /* 10840 */ 'c', 'm', 'o', 'v', 'n', 'o', 'w', 9, 0,
-  /* 10849 */ 'c', 'm', 'o', 'v', 'o', 'w', 9, 0,
-  /* 10857 */ 'c', 'm', 'p', 'w', 9, 0,
-  /* 10863 */ 'l', 'j', 'm', 'p', 'w', 9, 0,
-  /* 10870 */ 'c', 'm', 'o', 'v', 'n', 'p', 'w', 9, 0,
-  /* 10879 */ 'n', 'o', 'p', 'w', 9, 0,
-  /* 10885 */ 'p', 'o', 'p', 'w', 9, 0,
-  /* 10891 */ 'c', 'm', 'o', 'v', 'p', 'w', 9, 0,
-  /* 10899 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 10909 */ 'l', 'a', 'r', 'w', 9, 0,
-  /* 10915 */ 's', 'a', 'r', 'w', 9, 0,
-  /* 10921 */ 'r', 'c', 'r', 'w', 9, 0,
-  /* 10927 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 10933 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 10942 */ 's', 'h', 'r', 'w', 9, 0,
-  /* 10948 */ 'r', 'o', 'r', 'w', 9, 0,
-  /* 10954 */ 'x', 'o', 'r', 'w', 9, 0,
-  /* 10960 */ 'b', 's', 'r', 'w', 9, 0,
-  /* 10966 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 10975 */ 'b', 't', 'r', 'w', 9, 0,
-  /* 10981 */ 'l', 't', 'r', 'w', 9, 0,
-  /* 10987 */ 's', 't', 'r', 'w', 9, 0,
-  /* 10993 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 11002 */ 's', 'c', 'a', 's', 'w', 9, 0,
-  /* 11009 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 11017 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, 0,
-  /* 11026 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 11038 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 11048 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 11057 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 11067 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 11076 */ 'l', 'd', 's', 'w', 9, 0,
-  /* 11082 */ 'l', 'o', 'd', 's', 'w', 9, 0,
-  /* 11089 */ 'l', 'e', 's', 'w', 9, 0,
-  /* 11095 */ 'l', 'f', 's', 'w', 9, 0,
-  /* 11101 */ 'l', 'g', 's', 'w', 9, 0,
-  /* 11107 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 11116 */ 'c', 'm', 'o', 'v', 'n', 's', 'w', 9, 0,
-  /* 11125 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 11132 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 11143 */ 'l', 's', 's', 'w', 9, 0,
-  /* 11149 */ 'b', 't', 's', 'w', 9, 0,
-  /* 11155 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 11163 */ 'o', 'u', 't', 's', 'w', 9, 0,
-  /* 11170 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 11180 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 11190 */ 'c', 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 11198 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 11207 */ 'b', 't', 'w', 9, 0,
-  /* 11212 */ 'l', 'g', 'd', 't', 'w', 9, 0,
-  /* 11219 */ 's', 'g', 'd', 't', 'w', 9, 0,
-  /* 11226 */ 'l', 'i', 'd', 't', 'w', 9, 0,
-  /* 11233 */ 's', 'i', 'd', 't', 'w', 9, 0,
-  /* 11240 */ 'l', 'l', 'd', 't', 'w', 9, 0,
-  /* 11247 */ 's', 'l', 'd', 't', 'w', 9, 0,
-  /* 11254 */ 'l', 'r', 'e', 't', 'w', 9, 0,
-  /* 11261 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 11271 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 9, 0,
-  /* 11280 */ 'l', 'z', 'c', 'n', 't', 'w', 9, 0,
-  /* 11288 */ 't', 'z', 'c', 'n', 't', 'w', 9, 0,
-  /* 11296 */ 'n', 'o', 't', 'w', 9, 0,
-  /* 11302 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 11310 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 11324 */ 't', 'e', 's', 't', 'w', 9, 0,
-  /* 11331 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 11341 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 11350 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 11359 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 11372 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 11381 */ 'i', 'd', 'i', 'v', 'w', 9, 0,
-  /* 11388 */ 'm', 'o', 'v', 'w', 9, 0,
-  /* 11394 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 11404 */ 'l', 'm', 's', 'w', 'w', 9, 0,
-  /* 11411 */ 's', 'm', 's', 'w', 'w', 9, 0,
-  /* 11418 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 11429 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 11436 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 11449 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 11461 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 11473 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
-  /* 11486 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
-  /* 11498 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'y', 9, 0,
-  /* 11510 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 11517 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 11523 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 11530 */ 'f', 's', 'u', 'b', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11544 */ 'f', 'a', 'd', 'd', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11558 */ 'f', 'm', 'u', 'l', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11572 */ 'f', 's', 'u', 'b', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11587 */ 'f', 'd', 'i', 'v', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11602 */ 'f', 'd', 'i', 'v', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11616 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11630 */ 's', 't', 'o', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11642 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11653 */ 'm', 'o', 'v', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11664 */ 's', 'a', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11675 */ 'r', 'c', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11686 */ 's', 'h', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11697 */ 'r', 'o', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11708 */ 's', 'a', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11719 */ 'r', 'c', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11730 */ 's', 'h', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11741 */ 'r', 'o', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11752 */ 's', 'h', 'l', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11764 */ 's', 'h', 'r', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11776 */ 's', 'a', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11787 */ 'r', 'c', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11798 */ 's', 'h', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11809 */ 'r', 'o', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11820 */ 's', 'a', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11831 */ 'r', 'c', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11842 */ 's', 'h', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11853 */ 'r', 'o', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11864 */ 's', 'h', 'l', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11876 */ 's', 'h', 'r', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11888 */ 's', 'a', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11899 */ 'r', 'c', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11910 */ 's', 'h', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11921 */ 'r', 'o', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11932 */ 's', 'a', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11943 */ 'r', 'c', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11954 */ 's', 'h', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11965 */ 'r', 'o', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11976 */ 's', 'h', 'l', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11988 */ 's', 'h', 'r', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12000 */ 's', 'a', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12011 */ 'r', 'c', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12022 */ 's', 'h', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12033 */ 'r', 'o', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12044 */ 's', 'a', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12055 */ 'r', 'c', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12066 */ 's', 'h', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12077 */ 'r', 'o', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12088 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12102 */ 's', 't', 'o', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12114 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12125 */ 'm', 'o', 'v', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12136 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12151 */ 's', 't', 'o', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12164 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12176 */ 'm', 'o', 'v', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12188 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
-  /* 12203 */ 's', 't', 'o', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
-  /* 12216 */ 'i', 'n', 's', 'b', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12227 */ 'i', 'n', 's', 'l', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12238 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12249 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12267 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12287 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12305 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12325 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12343 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12363 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12381 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12401 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 12412 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 12435 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 12459 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12479 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12499 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12519 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12540 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12561 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12582 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12604 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12625 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12647 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12669 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12689 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12710 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12731 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12753 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12772 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12792 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12812 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12833 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12853 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12872 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12892 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12912 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12931 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12949 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12968 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12987 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13007 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13026 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13046 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13066 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13086 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13106 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13126 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13146 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13166 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13185 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13205 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13225 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13244 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13262 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13281 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13300 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13320 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13339 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13359 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13378 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13398 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13416 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13435 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13454 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13474 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13492 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13511 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13529 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13548 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13566 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13583 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13601 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13619 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13638 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13659 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13680 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '0', ')', 0,
-  /* 13703 */ 'f', 's', 't', 'p', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '7', ')', 0,
-  /* 13723 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
-  /* 13732 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
-  /* 13740 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
-  /* 13749 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
-  /* 13757 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
-  /* 13766 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
-  /* 13774 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 13780 */ 'f', 'l', 'd', '1', 0,
-  /* 13785 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 13792 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 13798 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 13806 */ 'i', 'n', 't', '1', 0,
-  /* 13811 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 13830 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 13848 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 13861 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 13874 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 13892 */ 'u', 'd', '2', 0,
-  /* 13896 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 13903 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 13910 */ 'i', 'n', 't', '3', 0,
-  /* 13915 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 13934 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 13952 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 13965 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 13978 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 13996 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 14002 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 14009 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 14017 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 14030 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 14037 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 14047 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 14056 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 14074 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 14090 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 14102 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 14117 */ 'a', 'a', 'a', 0,
-  /* 14121 */ 'd', 'a', 'a', 0,
-  /* 14125 */ 'u', 'd', '2', 'b', 0,
-  /* 14130 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 14140 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 14150 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 14160 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
-  /* 14170 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
-  /* 14180 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 14186 */ 'c', 'l', 'a', 'c', 0,
-  /* 14191 */ 's', 't', 'a', 'c', 0,
-  /* 14196 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 14206 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 14213 */ 's', 'a', 'l', 'c', 0,
-  /* 14218 */ 'c', 'l', 'c', 0,
-  /* 14222 */ 'c', 'm', 'c', 0,
-  /* 14226 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 14232 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 14239 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 14245 */ 's', 't', 'c', 0,
-  /* 14249 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 14255 */ 'c', 'l', 'd', 0,
-  /* 14259 */ 'x', 'e', 'n', 'd', 0,
-  /* 14264 */ 'c', 'l', 't', 'd', 0,
-  /* 14269 */ 's', 't', 'd', 0,
-  /* 14273 */ 'c', 'w', 't', 'd', 0,
-  /* 14278 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 14285 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 14292 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14299 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14306 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14313 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 14320 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 14329 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 14335 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 14344 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 14351 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 14360 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 14366 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 14372 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 14379 */ 'l', 'a', 'h', 'f', 0,
-  /* 14384 */ 's', 'a', 'h', 'f', 0,
-  /* 14389 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 14398 */ 'c', 'l', 'g', 'i', 0,
-  /* 14403 */ 's', 't', 'g', 'i', 0,
-  /* 14408 */ 'c', 'l', 'i', 0,
-  /* 14412 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 14418 */ 's', 't', 'i', 0,
-  /* 14422 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 14437 */ 'l', 'o', 'c', 'k', 0,
-  /* 14442 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
-  /* 14455 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 14462 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 14468 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
-  /* 14475 */ 'p', 'o', 'p', 'f', 'l', 0,
-  /* 14481 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 14489 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 14496 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 14504 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 14513 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
-  /* 14523 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
-  /* 14533 */ 'i', 'r', 'e', 't', 'l', 0,
-  /* 14539 */ 'l', 'r', 'e', 't', 'l', 0,
-  /* 14545 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
-  /* 14553 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
-  /* 14562 */ 'c', 'w', 't', 'l', 0,
-  /* 14567 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 14575 */ 'f', 'x', 'a', 'm', 0,
-  /* 14580 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 14586 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 14593 */ 'r', 's', 'm', 0,
-  /* 14597 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 14604 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 14610 */ 'f', 's', 'i', 'n', 0,
-  /* 14615 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 14642 */ 'i', 'n', 't', 'o', 0,
-  /* 14647 */ 'c', 'q', 't', 'o', 0,
-  /* 14652 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 14659 */ 'r', 'e', 'p', 0,
-  /* 14663 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 14669 */ 'v', 'c', 'm', 'p', 0,
-  /* 14674 */ 'f', 'n', 'o', 'p', 0,
-  /* 14679 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 14686 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 14694 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 14702 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 14710 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 14717 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 14723 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
-  /* 14733 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
-  /* 14743 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 14749 */ 'l', 'r', 'e', 't', 'q', 0,
-  /* 14755 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
-  /* 14763 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
-  /* 14772 */ 'c', 'l', 't', 'q', 0,
-  /* 14777 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 14788 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 14797 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 14805 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 14811 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 14817 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 14827 */ 'a', 'a', 's', 0,
-  /* 14831 */ 'd', 'a', 's', 0,
-  /* 14835 */ 'f', 'a', 'b', 's', 0,
-  /* 14840 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
-  /* 14850 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
-  /* 14860 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
-  /* 14870 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
-  /* 14879 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
-  /* 14889 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
-  /* 14898 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
-  /* 14908 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
-  /* 14917 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
-  /* 14927 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
-  /* 14936 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
-  /* 14946 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
-  /* 14955 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
-  /* 14965 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
-  /* 14974 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
-  /* 14984 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
-  /* 14993 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
-  /* 15003 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
-  /* 15012 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
-  /* 15022 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
-  /* 15031 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
-  /* 15041 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
-  /* 15050 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 15057 */ 'f', 'c', 'h', 's', 0,
-  /* 15062 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 15107 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 15113 */ 'f', 'c', 'o', 's', 0,
-  /* 15118 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 15126 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
-  /* 15136 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
-  /* 15145 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
-  /* 15155 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
-  /* 15164 */ 'c', 'l', 't', 's', 0,
-  /* 15169 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 15176 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 15184 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 15190 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 15197 */ 'h', 'l', 't', 0,
-  /* 15201 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 15209 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 15215 */ 'x', 't', 'e', 's', 't', 0,
-  /* 15221 */ 'f', 't', 's', 't', 0,
-  /* 15226 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 15233 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 15240 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 15247 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 15253 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
-  /* 15260 */ 'p', 'o', 'p', 'f', 'w', 0,
-  /* 15266 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
-  /* 15276 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
-  /* 15286 */ 'c', 'b', 't', 'w', 0,
-  /* 15291 */ 'i', 'r', 'e', 't', 'w', 0,
-  /* 15297 */ 'l', 'r', 'e', 't', 'w', 0,
-  /* 15303 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 15309 */ 'f', 'n', 's', 't', 's', 'w', 9, '%', 'a', 'x', 0,
-  /* 15320 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
-  /* 15333 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
-  /* 15345 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
-  /* 15357 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
-  /* 15368 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
-  /* 15380 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 15399 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 15413 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
-  /* 15425 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
-  /* 15437 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
-  /* 15448 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
-  /* 15467 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
-  /* 15481 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 15495 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 15510 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 15517 */ 'f', 'l', 'd', 'z', 0,
+  /* 0 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '3', '2', 'x', '4', 32, 9, 0,
+  /* 18 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '6', '4', 'x', '4', 32, 9, 0,
+  /* 36 */ 'k', 'a', 'n', 'd', 'b', 32, 9, 0,
+  /* 44 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
+  /* 56 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
+  /* 67 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
+  /* 77 */ 'k', 'a', 'n', 'd', 'n', 'b', 32, 9, 0,
+  /* 86 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
+  /* 98 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
+  /* 109 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
+  /* 119 */ 'k', 'o', 'r', 'b', 32, 9, 0,
+  /* 126 */ 'k', 'x', 'n', 'o', 'r', 'b', 32, 9, 0,
+  /* 135 */ 'k', 'x', 'o', 'r', 'b', 32, 9, 0,
+  /* 143 */ 'k', 'n', 'o', 't', 'b', 32, 9, 0,
+  /* 151 */ 'k', 'm', 'o', 'v', 'b', 32, 9, 0,
+  /* 159 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
+  /* 170 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
+  /* 181 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
+  /* 199 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
+  /* 208 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
+  /* 217 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
+  /* 229 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
+  /* 241 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
+  /* 250 */ 'k', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 258 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 267 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 280 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 294 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
+  /* 304 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
+  /* 313 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
+  /* 323 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
+  /* 332 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
+  /* 344 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
+  /* 356 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
+  /* 365 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
+  /* 376 */ 'k', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 385 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 395 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
+  /* 405 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 422 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 436 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 451 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 468 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 482 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 497 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
+  /* 509 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 521 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 534 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
+  /* 546 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
+  /* 558 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 575 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 589 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 604 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 621 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 635 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 650 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 661 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 674 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 685 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 698 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
+  /* 707 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, 0,
+  /* 723 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, 0,
+  /* 740 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, 0,
+  /* 756 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, 0,
+  /* 773 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
+  /* 782 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 795 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 809 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
+  /* 823 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
+  /* 833 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
+  /* 845 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
+  /* 854 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
+  /* 866 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
+  /* 876 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
+  /* 885 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
+  /* 894 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, 0,
+  /* 910 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, 0,
+  /* 927 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, 0,
+  /* 943 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, 0,
+  /* 960 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 973 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 987 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
+  /* 996 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
+  /* 1005 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
+  /* 1016 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 1029 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 1043 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
+  /* 1055 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
+  /* 1066 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
+  /* 1076 */ 'k', 'o', 'r', 'd', 32, 9, 0,
+  /* 1083 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
+  /* 1092 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
+  /* 1100 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 1108 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 1117 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1131 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1146 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1160 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1175 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1186 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1199 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1210 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1223 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
+  /* 1232 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
+  /* 1246 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
+  /* 1256 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
+  /* 1271 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
+  /* 1280 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
+  /* 1290 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
+  /* 1304 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
+  /* 1315 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
+  /* 1326 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
+  /* 1334 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
+  /* 1349 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
+  /* 1359 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
+  /* 1369 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
+  /* 1379 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
+  /* 1389 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
+  /* 1399 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
+  /* 1407 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
+  /* 1419 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
+  /* 1431 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
+  /* 1443 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1456 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1468 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1481 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1493 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1507 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1520 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1534 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1547 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
+  /* 1560 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
+  /* 1574 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
+  /* 1587 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
+  /* 1601 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
+  /* 1612 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
+  /* 1624 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
+  /* 1636 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
+  /* 1654 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
+  /* 1665 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
+  /* 1676 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
+  /* 1685 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
+  /* 1694 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
+  /* 1706 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
+  /* 1718 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1731 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1743 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1756 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1768 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
+  /* 1777 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
+  /* 1790 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
+  /* 1803 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
+  /* 1813 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1821 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1830 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
+  /* 1844 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
+  /* 1858 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1871 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1885 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
+  /* 1898 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
+  /* 1912 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1926 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1939 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1953 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1966 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
+  /* 1977 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
+  /* 1989 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
+  /* 2001 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
+  /* 2010 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
+  /* 2019 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
+  /* 2031 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
+  /* 2043 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
+  /* 2052 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
+  /* 2063 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 2072 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 2082 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
+  /* 2092 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
+  /* 2103 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 2116 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 2130 */ 'k', 'o', 'r', 'q', 32, 9, 0,
+  /* 2137 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
+  /* 2146 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
+  /* 2154 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 2162 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 2171 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
+  /* 2180 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
+  /* 2190 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
+  /* 2203 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
+  /* 2217 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
+  /* 2227 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
+  /* 2241 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
+  /* 2252 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
+  /* 2263 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
+  /* 2271 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
+  /* 2286 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
+  /* 2296 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
+  /* 2306 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
+  /* 2316 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
+  /* 2326 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
+  /* 2336 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
+  /* 2344 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
+  /* 2356 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
+  /* 2368 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2385 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2399 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2414 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2431 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2445 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2460 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
+  /* 2472 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
+  /* 2484 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2496 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2509 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
+  /* 2521 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2538 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2552 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2567 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2584 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2598 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2613 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2624 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2637 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2648 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2661 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
+  /* 2670 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
+  /* 2686 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
+  /* 2703 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
+  /* 2719 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
+  /* 2736 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
+  /* 2745 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2758 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2772 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
+  /* 2786 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
+  /* 2796 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
+  /* 2808 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
+  /* 2817 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
+  /* 2829 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
+  /* 2839 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
+  /* 2848 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
+  /* 2857 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
+  /* 2873 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
+  /* 2890 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
+  /* 2906 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
+  /* 2923 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2936 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2950 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
+  /* 2959 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
+  /* 2968 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2982 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2997 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 3011 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 3026 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
+  /* 3037 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
+  /* 3050 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
+  /* 3061 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
+  /* 3074 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
+  /* 3088 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
+  /* 3103 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
+  /* 3112 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 3123 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 3131 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 3143 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 3154 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 3164 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 3175 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 3184 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 3196 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 3207 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 3217 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 3224 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 3233 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 3241 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 3252 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 3260 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 3271 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 3279 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 3291 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 3301 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 3313 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 3325 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 3335 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 3345 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 3356 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
+  /* 3367 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 3377 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 3389 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 3402 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 3414 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 3424 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 3435 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
+  /* 3446 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 3457 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3472 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3486 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3501 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3515 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3530 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3544 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3559 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3573 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
+  /* 3584 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3596 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3610 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3623 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3639 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3651 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3665 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3678 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3694 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
+  /* 3704 */ 'j', 'a', 9, 0,
+  /* 3708 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3719 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3728 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3734 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3747 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
+  /* 3755 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3767 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3778 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3786 */ 's', 'b', 'b', 'b', 9, 0,
+  /* 3792 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3800 */ 'a', 'd', 'c', 'b', 9, 0,
+  /* 3806 */ 'd', 'e', 'c', 'b', 9, 0,
+  /* 3812 */ 'i', 'n', 'c', 'b', 9, 0,
+  /* 3818 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3826 */ 'x', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3833 */ 'a', 'n', 'd', 'b', 9, 0,
+  /* 3839 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3848 */ 'n', 'e', 'g', 'b', 9, 0,
+  /* 3854 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'b', 9, 0,
+  /* 3864 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3872 */ 'j', 'b', 9, 0,
+  /* 3876 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3887 */ 's', 'a', 'l', 'b', 9, 0,
+  /* 3893 */ 'r', 'c', 'l', 'b', 9, 0,
+  /* 3899 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3907 */ 'r', 'o', 'l', 'b', 9, 0,
+  /* 3913 */ 'i', 'm', 'u', 'l', 'b', 9, 0,
+  /* 3920 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3928 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3937 */ 'i', 'n', 'b', 9, 0,
+  /* 3942 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 0,
+  /* 3951 */ 'c', 'm', 'p', 'b', 9, 0,
+  /* 3957 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3967 */ 's', 'a', 'r', 'b', 9, 0,
+  /* 3973 */ 'r', 'c', 'r', 'b', 9, 0,
+  /* 3979 */ 's', 'h', 'r', 'b', 9, 0,
+  /* 3985 */ 'r', 'o', 'r', 'b', 9, 0,
+  /* 3991 */ 'x', 'o', 'r', 'b', 9, 0,
+  /* 3997 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 4006 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 4015 */ 's', 'c', 'a', 's', 'b', 9, 0,
+  /* 4022 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 4030 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, 0,
+  /* 4039 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 4048 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 4057 */ 'l', 'o', 'd', 's', 'b', 9, 0,
+  /* 4064 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 4073 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 4080 */ 'o', 'u', 't', 's', 'b', 9, 0,
+  /* 4087 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 4097 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 4107 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 4116 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 4123 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 4132 */ 's', 'e', 't', 'b', 9, 0,
+  /* 4138 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 4148 */ 'n', 'o', 't', 'b', 9, 0,
+  /* 4154 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 4162 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 4176 */ 't', 'e', 's', 't', 'b', 9, 0,
+  /* 4183 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 4192 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 4201 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 4208 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 4217 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 4228 */ 'i', 'd', 'i', 'v', 'b', 9, 0,
+  /* 4235 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 4243 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 4254 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 4265 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 4272 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 4280 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 4289 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 4298 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 4305 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 4312 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 4320 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 4329 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 4338 */ 'a', 'a', 'd', 9, 0,
+  /* 4343 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 4351 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 4359 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 4369 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 4380 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 4389 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 4397 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 4408 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 4419 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 4426 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4435 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4443 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 4453 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 4465 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 4475 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 4486 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 4493 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 4502 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 4509 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 4518 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 4527 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 4533 */ 'f', 'l', 'd', 9, 0,
+  /* 4538 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 4546 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 4554 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 4563 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 4571 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 4580 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 4588 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4596 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4603 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4612 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4619 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4635 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4648 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4662 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4678 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4691 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4705 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4721 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4734 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4748 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4764 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4777 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4791 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4801 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4813 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4824 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4835 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4851 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4864 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4878 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4894 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4907 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4921 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4930 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4938 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4951 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4962 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4971 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4981 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4992 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 5000 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 5013 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 5022 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 5032 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 5043 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 5051 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5059 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5069 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5079 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 5091 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 5100 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 5111 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 5120 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 5131 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 5142 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 5153 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 5161 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 5170 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 5178 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 5187 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 5196 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 5204 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 5211 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 5219 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 5231 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 5238 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 5246 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 5256 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 5265 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 5274 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 5283 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 5294 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 5302 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 5314 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 5322 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 5331 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 5341 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 5353 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 5362 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 5371 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5384 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5398 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5411 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5425 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5438 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5452 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5465 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5479 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 5490 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5503 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5517 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5530 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5544 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 5552 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5562 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5573 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5581 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5591 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5602 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5610 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5620 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5630 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5639 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5647 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5656 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5664 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5672 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5681 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5690 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5704 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5712 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5720 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5729 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5737 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5746 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5756 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5764 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5778 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5787 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5796 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5805 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5814 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5823 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5832 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5841 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5853 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5860 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5870 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5880 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5890 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5902 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5914 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5924 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5935 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5946 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5958 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5969 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5980 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5991 */ 'j', 'a', 'e', 9, 0,
+  /* 5996 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 6003 */ 'j', 'b', 'e', 9, 0,
+  /* 6008 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 0,
+  /* 6018 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 6025 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 6034 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 6041 */ 'j', 'g', 'e', 9, 0,
+  /* 6046 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 6055 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 6062 */ 'j', 'e', 9, 0,
+  /* 6066 */ 'j', 'l', 'e', 9, 0,
+  /* 6071 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 6078 */ 'j', 'n', 'e', 9, 0,
+  /* 6083 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 6091 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 6098 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 6107 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 6114 */ 's', 'e', 't', 'e', 9, 0,
+  /* 6120 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 6131 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 6139 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 6147 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 6155 */ 'j', 'g', 9, 0,
+  /* 6159 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 6167 */ 's', 'e', 't', 'g', 9, 0,
+  /* 6173 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 6183 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 6189 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 6200 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 6211 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 6223 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 6232 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 6238 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6245 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6253 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6264 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6274 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6285 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6295 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6303 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6312 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 6324 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 6336 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6348 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6359 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6371 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6382 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 6390 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 6397 */ 'c', 'r', 'c', '3', '2', 'l', 9, 0,
+  /* 6405 */ 'l', 'e', 'a', 'l', 9, 0,
+  /* 6411 */ 'c', 'm', 'o', 'v', 'a', 'l', 9, 0,
+  /* 6419 */ 's', 'b', 'b', 'l', 9, 0,
+  /* 6425 */ 'm', 'o', 'v', 's', 'b', 'l', 9, 0,
+  /* 6433 */ 'f', 's', 'u', 'b', 'l', 9, 0,
+  /* 6440 */ 'f', 'i', 's', 'u', 'b', 'l', 9, 0,
+  /* 6448 */ 'c', 'm', 'o', 'v', 'b', 'l', 9, 0,
+  /* 6456 */ 'm', 'o', 'v', 'z', 'b', 'l', 9, 0,
+  /* 6464 */ 'a', 'd', 'c', 'l', 9, 0,
+  /* 6470 */ 'd', 'e', 'c', 'l', 9, 0,
+  /* 6476 */ 'i', 'n', 'c', 'l', 9, 0,
+  /* 6482 */ 'b', 't', 'c', 'l', 9, 0,
+  /* 6488 */ 'v', 'm', 'r', 'e', 'a', 'd', 'l', 9, 0,
+  /* 6497 */ 'f', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6504 */ 'f', 'i', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6512 */ 'x', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6519 */ 'r', 'd', 's', 'e', 'e', 'd', 'l', 9, 0,
+  /* 6528 */ 'f', 'l', 'd', 'l', 9, 0,
+  /* 6534 */ 's', 'h', 'l', 'd', 'l', 9, 0,
+  /* 6541 */ 'f', 'i', 'l', 'd', 'l', 9, 0,
+  /* 6548 */ 'r', 'd', 'r', 'a', 'n', 'd', 'l', 9, 0,
+  /* 6557 */ 's', 'h', 'r', 'd', 'l', 9, 0,
+  /* 6564 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 9, 0,
+  /* 6576 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 9, 0,
+  /* 6589 */ 'c', 'm', 'o', 'v', 'a', 'e', 'l', 9, 0,
+  /* 6598 */ 'c', 'm', 'o', 'v', 'b', 'e', 'l', 9, 0,
+  /* 6607 */ 'c', 'm', 'o', 'v', 'g', 'e', 'l', 9, 0,
+  /* 6616 */ 'c', 'm', 'o', 'v', 'l', 'e', 'l', 9, 0,
+  /* 6625 */ 'c', 'm', 'o', 'v', 'n', 'e', 'l', 9, 0,
+  /* 6634 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6645 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6656 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6667 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6678 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'l', 9, 0,
+  /* 6688 */ 'c', 'm', 'o', 'v', 'e', 'l', 9, 0,
+  /* 6696 */ 'b', 's', 'f', 'l', 9, 0,
+  /* 6702 */ 'n', 'e', 'g', 'l', 9, 0,
+  /* 6708 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'l', 9, 0,
+  /* 6718 */ 'c', 'm', 'o', 'v', 'g', 'l', 9, 0,
+  /* 6726 */ 'p', 'u', 's', 'h', 'l', 9, 0,
+  /* 6733 */ 'b', 'z', 'h', 'i', 'l', 9, 0,
+  /* 6740 */ 'b', 'l', 's', 'i', 'l', 9, 0,
+  /* 6747 */ 'm', 'o', 'v', 'n', 't', 'i', 'l', 9, 0,
+  /* 6756 */ 'j', 'l', 9, 0,
+  /* 6760 */ 'b', 'l', 's', 'm', 's', 'k', 'l', 9, 0,
+  /* 6769 */ 's', 'a', 'l', 'l', 9, 0,
+  /* 6775 */ 'r', 'c', 'l', 'l', 9, 0,
+  /* 6781 */ 'f', 'i', 'l', 'd', 'l', 'l', 9, 0,
+  /* 6789 */ 's', 'h', 'l', 'l', 9, 0,
+  /* 6795 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6804 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6813 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, 0,
+  /* 6821 */ 'r', 'o', 'l', 'l', 9, 0,
+  /* 6827 */ 'f', 'i', 's', 't', 'p', 'l', 'l', 9, 0,
+  /* 6836 */ 'f', 'i', 's', 't', 't', 'p', 'l', 'l', 9, 0,
+  /* 6846 */ 'l', 's', 'l', 'l', 9, 0,
+  /* 6852 */ 'f', 'm', 'u', 'l', 'l', 9, 0,
+  /* 6859 */ 'f', 'i', 'm', 'u', 'l', 'l', 9, 0,
+  /* 6867 */ 'c', 'm', 'o', 'v', 'l', 'l', 9, 0,
+  /* 6875 */ 'f', 'c', 'o', 'm', 'l', 9, 0,
+  /* 6882 */ 'f', 'i', 'c', 'o', 'm', 'l', 9, 0,
+  /* 6890 */ 'a', 'n', 'd', 'n', 'l', 9, 0,
+  /* 6897 */ 'i', 'n', 'l', 9, 0,
+  /* 6902 */ 'c', 'm', 'o', 'v', 'n', 'o', 'l', 9, 0,
+  /* 6911 */ 'c', 'm', 'o', 'v', 'o', 'l', 9, 0,
+  /* 6919 */ 'b', 's', 'w', 'a', 'p', 'l', 9, 0,
+  /* 6927 */ 'p', 'd', 'e', 'p', 'l', 9, 0,
+  /* 6934 */ 'c', 'm', 'p', 'l', 9, 0,
+  /* 6940 */ 'l', 'j', 'm', 'p', 'l', 9, 0,
+  /* 6947 */ 'f', 'c', 'o', 'm', 'p', 'l', 9, 0,
+  /* 6955 */ 'f', 'i', 'c', 'o', 'm', 'p', 'l', 9, 0,
+  /* 6964 */ 'c', 'm', 'o', 'v', 'n', 'p', 'l', 9, 0,
+  /* 6973 */ 'n', 'o', 'p', 'l', 9, 0,
+  /* 6979 */ 'p', 'o', 'p', 'l', 9, 0,
+  /* 6985 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6991 */ 'f', 's', 't', 'p', 'l', 9, 0,
+  /* 6998 */ 'f', 'i', 's', 't', 'p', 'l', 9, 0,
+  /* 7006 */ 'f', 'i', 's', 't', 't', 'p', 'l', 9, 0,
+  /* 7015 */ 'c', 'm', 'o', 'v', 'p', 'l', 9, 0,
+  /* 7023 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 7034 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 7046 */ 'l', 'a', 'r', 'l', 9, 0,
+  /* 7052 */ 's', 'a', 'r', 'l', 9, 0,
+  /* 7058 */ 'f', 's', 'u', 'b', 'r', 'l', 9, 0,
+  /* 7066 */ 'f', 'i', 's', 'u', 'b', 'r', 'l', 9, 0,
+  /* 7075 */ 'r', 'c', 'r', 'l', 9, 0,
+  /* 7081 */ 's', 'h', 'r', 'l', 9, 0,
+  /* 7087 */ 'r', 'o', 'r', 'l', 9, 0,
+  /* 7093 */ 'x', 'o', 'r', 'l', 9, 0,
+  /* 7099 */ 'b', 's', 'r', 'l', 9, 0,
+  /* 7105 */ 'b', 'l', 's', 'r', 'l', 9, 0,
+  /* 7112 */ 'b', 't', 'r', 'l', 9, 0,
+  /* 7118 */ 's', 't', 'r', 'l', 9, 0,
+  /* 7124 */ 'b', 'e', 'x', 't', 'r', 'l', 9, 0,
+  /* 7132 */ 'f', 'd', 'i', 'v', 'r', 'l', 9, 0,
+  /* 7140 */ 'f', 'i', 'd', 'i', 'v', 'r', 'l', 9, 0,
+  /* 7149 */ 's', 'c', 'a', 's', 'l', 9, 0,
+  /* 7156 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, 0,
+  /* 7165 */ 'l', 'd', 's', 'l', 9, 0,
+  /* 7171 */ 'l', 'o', 'd', 's', 'l', 9, 0,
+  /* 7178 */ 'l', 'e', 's', 'l', 9, 0,
+  /* 7184 */ 'l', 'f', 's', 'l', 9, 0,
+  /* 7190 */ 'l', 'g', 's', 'l', 9, 0,
+  /* 7196 */ 'c', 'm', 'o', 'v', 'n', 's', 'l', 9, 0,
+  /* 7205 */ 'c', 'm', 'p', 's', 'l', 9, 0,
+  /* 7212 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 9, 0,
+  /* 7224 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 9, 0,
+  /* 7237 */ 'l', 's', 's', 'l', 9, 0,
+  /* 7243 */ 'b', 't', 's', 'l', 9, 0,
+  /* 7249 */ 'o', 'u', 't', 's', 'l', 9, 0,
+  /* 7256 */ 'c', 'm', 'o', 'v', 's', 'l', 9, 0,
+  /* 7264 */ 'b', 't', 'l', 9, 0,
+  /* 7269 */ 'l', 'g', 'd', 't', 'l', 9, 0,
+  /* 7276 */ 's', 'g', 'd', 't', 'l', 9, 0,
+  /* 7283 */ 'l', 'i', 'd', 't', 'l', 9, 0,
+  /* 7290 */ 's', 'i', 'd', 't', 'l', 9, 0,
+  /* 7297 */ 's', 'l', 'd', 't', 'l', 9, 0,
+  /* 7304 */ 'l', 'r', 'e', 't', 'l', 9, 0,
+  /* 7311 */ 's', 'e', 't', 'l', 9, 0,
+  /* 7317 */ 'p', 'o', 'p', 'c', 'n', 't', 'l', 9, 0,
+  /* 7326 */ 'l', 'z', 'c', 'n', 't', 'l', 9, 0,
+  /* 7334 */ 't', 'z', 'c', 'n', 't', 'l', 9, 0,
+  /* 7342 */ 'n', 'o', 't', 'l', 9, 0,
+  /* 7348 */ 't', 'e', 's', 't', 'l', 9, 0,
+  /* 7355 */ 'f', 's', 't', 'l', 9, 0,
+  /* 7361 */ 'f', 'i', 's', 't', 'l', 9, 0,
+  /* 7368 */ 'p', 'e', 'x', 't', 'l', 9, 0,
+  /* 7375 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 7382 */ 'f', 'd', 'i', 'v', 'l', 9, 0,
+  /* 7389 */ 'f', 'i', 'd', 'i', 'v', 'l', 9, 0,
+  /* 7397 */ 'm', 'o', 'v', 'l', 9, 0,
+  /* 7403 */ 's', 'm', 's', 'w', 'l', 9, 0,
+  /* 7410 */ 'm', 'o', 'v', 's', 'w', 'l', 9, 0,
+  /* 7418 */ 'm', 'o', 'v', 'z', 'w', 'l', 9, 0,
+  /* 7426 */ 'a', 'd', 'c', 'x', 'l', 9, 0,
+  /* 7433 */ 's', 'h', 'l', 'x', 'l', 9, 0,
+  /* 7440 */ 'm', 'u', 'l', 'x', 'l', 9, 0,
+  /* 7447 */ 'a', 'd', 'o', 'x', 'l', 9, 0,
+  /* 7454 */ 's', 'a', 'r', 'x', 'l', 9, 0,
+  /* 7461 */ 's', 'h', 'r', 'x', 'l', 9, 0,
+  /* 7468 */ 'r', 'o', 'r', 'x', 'l', 9, 0,
+  /* 7475 */ 'a', 'a', 'm', 9, 0,
+  /* 7480 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 7486 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 7493 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 7501 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 7513 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 7525 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 7533 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 7541 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 7548 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 7555 */ 'j', 'o', 9, 0,
+  /* 7559 */ 'j', 'n', 'o', 9, 0,
+  /* 7564 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 7571 */ 's', 'e', 't', 'o', 9, 0,
+  /* 7577 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 7584 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 7591 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 7598 */ 'j', 'p', 9, 0,
+  /* 7602 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 7609 */ 'j', 'm', 'p', 9, 0,
+  /* 7614 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 7621 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 7629 */ 'j', 'n', 'p', 9, 0,
+  /* 7634 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 7641 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 7647 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 7655 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 7663 */ 's', 'e', 't', 'p', 9, 0,
+  /* 7669 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 7676 */ 'f', 's', 't', 'p', 9, 0,
+  /* 7682 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 7692 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 7703 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 7714 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 7730 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 7737 */ 'c', 'r', 'c', '3', '2', 'q', 9, 0,
+  /* 7745 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 7754 */ 'l', 'e', 'a', 'q', 9, 0,
+  /* 7760 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 7768 */ 'c', 'm', 'o', 'v', 'a', 'q', 9, 0,
+  /* 7776 */ 's', 'b', 'b', 'q', 9, 0,
+  /* 7782 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 7792 */ 'm', 'o', 'v', 's', 'b', 'q', 9, 0,
+  /* 7800 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 7811 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 7819 */ 'c', 'm', 'o', 'v', 'b', 'q', 9, 0,
+  /* 7827 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 7838 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 7849 */ 'm', 'o', 'v', 'z', 'b', 'q', 9, 0,
+  /* 7857 */ 'a', 'd', 'c', 'q', 9, 0,
+  /* 7863 */ 'd', 'e', 'c', 'q', 9, 0,
+  /* 7869 */ 'i', 'n', 'c', 'q', 9, 0,
+  /* 7875 */ 'b', 't', 'c', 'q', 9, 0,
+  /* 7881 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 7893 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 7904 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 7913 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 7925 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 7936 */ 'v', 'm', 'r', 'e', 'a', 'd', 'q', 9, 0,
+  /* 7945 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 7955 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 7963 */ 'x', 'a', 'd', 'd', 'q', 9, 0,
+  /* 7970 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 7980 */ 'r', 'd', 's', 'e', 'e', 'd', 'q', 9, 0,
+  /* 7989 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 8001 */ 's', 'h', 'l', 'd', 'q', 9, 0,
+  /* 8008 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 8020 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 8029 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 8038 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 8047 */ 'r', 'd', 'r', 'a', 'n', 'd', 'q', 9, 0,
+  /* 8056 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 8069 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 8082 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 8094 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 8106 */ 's', 'h', 'r', 'd', 'q', 9, 0,
+  /* 8113 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 9, 0,
+  /* 8125 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 9, 0,
+  /* 8138 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 8148 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 8159 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 8169 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 8180 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 8191 */ 'c', 'm', 'o', 'v', 'a', 'e', 'q', 9, 0,
+  /* 8200 */ 'c', 'm', 'o', 'v', 'b', 'e', 'q', 9, 0,
+  /* 8209 */ 'c', 'm', 'o', 'v', 'g', 'e', 'q', 9, 0,
+  /* 8218 */ 'c', 'm', 'o', 'v', 'l', 'e', 'q', 9, 0,
+  /* 8227 */ 'c', 'm', 'o', 'v', 'n', 'e', 'q', 9, 0,
+  /* 8236 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 8245 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8256 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8267 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8278 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8289 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'q', 9, 0,
+  /* 8299 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
+  /* 8308 */ 'c', 'm', 'o', 'v', 'e', 'q', 9, 0,
+  /* 8316 */ 'b', 's', 'f', 'q', 9, 0,
+  /* 8322 */ 'n', 'e', 'g', 'q', 9, 0,
+  /* 8328 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'q', 9, 0,
+  /* 8338 */ 'c', 'm', 'o', 'v', 'g', 'q', 9, 0,
+  /* 8346 */ 'p', 'u', 's', 'h', 'q', 9, 0,
+  /* 8353 */ 'b', 'z', 'h', 'i', 'q', 9, 0,
+  /* 8360 */ 'b', 'l', 's', 'i', 'q', 9, 0,
+  /* 8367 */ 'm', 'o', 'v', 'n', 't', 'i', 'q', 9, 0,
+  /* 8376 */ 'b', 'l', 's', 'm', 's', 'k', 'q', 9, 0,
+  /* 8385 */ 's', 'a', 'l', 'q', 9, 0,
+  /* 8391 */ 'r', 'c', 'l', 'q', 9, 0,
+  /* 8397 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 8405 */ 'c', 'a', 'l', 'l', 'q', 9, 0,
+  /* 8412 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 8420 */ 'r', 'o', 'l', 'q', 9, 0,
+  /* 8426 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 8434 */ 'l', 's', 'l', 'q', 9, 0,
+  /* 8440 */ 'm', 'o', 'v', 's', 'l', 'q', 9, 0,
+  /* 8448 */ 'i', 'm', 'u', 'l', 'q', 9, 0,
+  /* 8455 */ 'c', 'm', 'o', 'v', 'l', 'q', 9, 0,
+  /* 8463 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 8471 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 8479 */ 'a', 'n', 'd', 'n', 'q', 9, 0,
+  /* 8486 */ 'c', 'm', 'o', 'v', 'n', 'o', 'q', 9, 0,
+  /* 8495 */ 'c', 'm', 'o', 'v', 'o', 'q', 9, 0,
+  /* 8503 */ 'b', 's', 'w', 'a', 'p', 'q', 9, 0,
+  /* 8511 */ 'p', 'd', 'e', 'p', 'q', 9, 0,
+  /* 8518 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 8526 */ 'c', 'm', 'o', 'v', 'n', 'p', 'q', 9, 0,
+  /* 8535 */ 'p', 'o', 'p', 'q', 9, 0,
+  /* 8541 */ 'c', 'm', 'o', 'v', 'p', 'q', 9, 0,
+  /* 8549 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 8559 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 8571 */ 'l', 'a', 'r', 'q', 9, 0,
+  /* 8577 */ 's', 'a', 'r', 'q', 9, 0,
+  /* 8583 */ 'r', 'c', 'r', 'q', 9, 0,
+  /* 8589 */ 's', 'h', 'r', 'q', 9, 0,
+  /* 8595 */ 'r', 'o', 'r', 'q', 9, 0,
+  /* 8601 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
+  /* 8611 */ 'x', 'o', 'r', 'q', 9, 0,
+  /* 8617 */ 'b', 's', 'r', 'q', 9, 0,
+  /* 8623 */ 'b', 'l', 's', 'r', 'q', 9, 0,
+  /* 8630 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 8639 */ 'b', 't', 'r', 'q', 9, 0,
+  /* 8645 */ 's', 't', 'r', 'q', 9, 0,
+  /* 8651 */ 'b', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 8659 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 8668 */ 's', 'c', 'a', 's', 'q', 9, 0,
+  /* 8675 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, 0,
+  /* 8684 */ 'l', 'o', 'd', 's', 'q', 9, 0,
+  /* 8691 */ 'l', 'f', 's', 'q', 9, 0,
+  /* 8697 */ 'l', 'g', 's', 'q', 9, 0,
+  /* 8703 */ 'c', 'm', 'o', 'v', 'n', 's', 'q', 9, 0,
+  /* 8712 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 8719 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 9, 0,
+  /* 8731 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 9, 0,
+  /* 8744 */ 'l', 's', 's', 'q', 9, 0,
+  /* 8750 */ 'b', 't', 's', 'q', 9, 0,
+  /* 8756 */ 'c', 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 8764 */ 'b', 't', 'q', 9, 0,
+  /* 8769 */ 'l', 'g', 'd', 't', 'q', 9, 0,
+  /* 8776 */ 's', 'g', 'd', 't', 'q', 9, 0,
+  /* 8783 */ 'l', 'i', 'd', 't', 'q', 9, 0,
+  /* 8790 */ 's', 'i', 'd', 't', 'q', 9, 0,
+  /* 8797 */ 's', 'l', 'd', 't', 'q', 9, 0,
+  /* 8804 */ 'l', 'r', 'e', 't', 'q', 9, 0,
+  /* 8811 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 8821 */ 'p', 'o', 'p', 'c', 'n', 't', 'q', 9, 0,
+  /* 8830 */ 'l', 'z', 'c', 'n', 't', 'q', 9, 0,
+  /* 8838 */ 't', 'z', 'c', 'n', 't', 'q', 9, 0,
+  /* 8846 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 8854 */ 'n', 'o', 't', 'q', 9, 0,
+  /* 8860 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 8868 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 'q', 9, 0,
+  /* 8879 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 8888 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 8902 */ 't', 'e', 's', 't', 'q', 9, 0,
+  /* 8909 */ 'p', 'e', 'x', 't', 'q', 9, 0,
+  /* 8916 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 8925 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 8934 */ 'i', 'd', 'i', 'v', 'q', 9, 0,
+  /* 8941 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 8950 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 8959 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 8971 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 8978 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 8988 */ 's', 'm', 's', 'w', 'q', 9, 0,
+  /* 8995 */ 'm', 'o', 'v', 's', 'w', 'q', 9, 0,
+  /* 9003 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 9014 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 9025 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 9036 */ 'm', 'o', 'v', 'z', 'w', 'q', 9, 0,
+  /* 9044 */ 'a', 'd', 'c', 'x', 'q', 9, 0,
+  /* 9051 */ 's', 'h', 'l', 'x', 'q', 9, 0,
+  /* 9058 */ 'm', 'u', 'l', 'x', 'q', 9, 0,
+  /* 9065 */ 'a', 'd', 'o', 'x', 'q', 9, 0,
+  /* 9072 */ 's', 'a', 'r', 'x', 'q', 9, 0,
+  /* 9079 */ 's', 'h', 'r', 'x', 'q', 9, 0,
+  /* 9086 */ 'r', 'o', 'r', 'x', 'q', 9, 0,
+  /* 9093 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 9102 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 9110 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 9117 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 9127 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 9133 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 9141 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 9150 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 9157 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 9163 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 9173 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 9183 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 9190 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 9197 */ 'f', 's', 'u', 'b', 's', 9, 0,
+  /* 9204 */ 'f', 'i', 's', 'u', 'b', 's', 9, 0,
+  /* 9212 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 9218 */ 'f', 'a', 'd', 'd', 's', 9, 0,
+  /* 9225 */ 'f', 'i', 'a', 'd', 'd', 's', 9, 0,
+  /* 9233 */ 'f', 'l', 'd', 's', 9, 0,
+  /* 9239 */ 'f', 'i', 'l', 'd', 's', 9, 0,
+  /* 9246 */ 'j', 's', 9, 0,
+  /* 9250 */ 'f', 'm', 'u', 'l', 's', 9, 0,
+  /* 9257 */ 'f', 'i', 'm', 'u', 'l', 's', 9, 0,
+  /* 9265 */ 'f', 'c', 'o', 'm', 's', 9, 0,
+  /* 9272 */ 'f', 'i', 'c', 'o', 'm', 's', 9, 0,
+  /* 9280 */ 'j', 'n', 's', 9, 0,
+  /* 9285 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 9292 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9308 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9321 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9335 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9351 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9364 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9378 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9394 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9407 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9421 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9437 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9450 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9464 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 9475 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 9486 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 9496 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 9508 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 9519 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9535 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9548 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9562 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9578 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9591 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9605 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 9614 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9627 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9638 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9647 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9657 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9668 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9676 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9689 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9698 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9708 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9719 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9727 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 9735 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 9745 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 9755 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 9767 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 9776 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 9787 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 9797 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 9806 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 9817 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 9827 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 9838 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 9849 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 9857 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 9866 */ 'f', 'c', 'o', 'm', 'p', 's', 9, 0,
+  /* 9874 */ 'f', 'i', 'c', 'o', 'm', 'p', 's', 9, 0,
+  /* 9883 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 9892 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 9901 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 9909 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 9917 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 9924 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 9932 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 9944 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 9951 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 9959 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 9971 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 9981 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 9992 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 10002 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 10011 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 10020 */ 'f', 's', 't', 'p', 's', 9, 0,
+  /* 10027 */ 'f', 'i', 's', 't', 'p', 's', 9, 0,
+  /* 10035 */ 'f', 'i', 's', 't', 't', 'p', 's', 9, 0,
+  /* 10044 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 10053 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 10064 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 10072 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 10084 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 10092 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 10101 */ 'f', 's', 'u', 'b', 'r', 's', 9, 0,
+  /* 10109 */ 'f', 'i', 's', 'u', 'b', 'r', 's', 9, 0,
+  /* 10118 */ 'f', 'd', 'i', 'v', 'r', 's', 9, 0,
+  /* 10126 */ 'f', 'i', 'd', 'i', 'v', 'r', 's', 9, 0,
+  /* 10135 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 10148 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 10162 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 10175 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 10189 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 10202 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 10216 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 10229 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 10243 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 10254 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 10267 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 10281 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 10294 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 10308 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10318 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10329 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10337 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10347 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10358 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10366 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 10376 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 10386 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 10395 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 10403 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 10411 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 10419 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 10427 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 10436 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 10446 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 10455 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 10469 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 10477 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 10485 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 10493 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 10502 */ 's', 'e', 't', 's', 9, 0,
+  /* 10508 */ 'f', 's', 't', 's', 9, 0,
+  /* 10514 */ 'f', 'i', 's', 't', 's', 9, 0,
+  /* 10521 */ 'f', 'd', 'i', 'v', 's', 9, 0,
+  /* 10528 */ 'f', 'i', 'd', 'i', 'v', 's', 9, 0,
+  /* 10536 */ 'f', 'l', 'd', 't', 9, 0,
+  /* 10542 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 10551 */ 'i', 'n', 't', 9, 0,
+  /* 10556 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 10564 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 10574 */ 'f', 's', 't', 'p', 't', 9, 0,
+  /* 10581 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 10589 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 10598 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 10611 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 10624 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 10632 */ 'f', 's', 't', 9, 0,
+  /* 10637 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 10655 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 10664 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 0,
+  /* 10673 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 10681 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 10694 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 10703 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 0,
+  /* 10711 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 10717 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 10725 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 10734 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 10742 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
+  /* 10750 */ 'l', 'e', 'a', 'w', 9, 0,
+  /* 10756 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 10764 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 10772 */ 'c', 'm', 'o', 'v', 'a', 'w', 9, 0,
+  /* 10780 */ 's', 'b', 'b', 'w', 9, 0,
+  /* 10786 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 10796 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 10806 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 10815 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 10825 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 10837 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 10849 */ 'm', 'o', 'v', 's', 'b', 'w', 9, 0,
+  /* 10857 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 10868 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 10877 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 10885 */ 'c', 'm', 'o', 'v', 'b', 'w', 9, 0,
+  /* 10893 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 10904 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 10915 */ 'm', 'o', 'v', 'z', 'b', 'w', 9, 0,
+  /* 10923 */ 'a', 'd', 'c', 'w', 9, 0,
+  /* 10929 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 10936 */ 'd', 'e', 'c', 'w', 9, 0,
+  /* 10942 */ 'i', 'n', 'c', 'w', 9, 0,
+  /* 10948 */ 'b', 't', 'c', 'w', 9, 0,
+  /* 10954 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 10962 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10971 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10979 */ 'x', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10986 */ 'r', 'd', 's', 'e', 'e', 'd', 'w', 9, 0,
+  /* 10995 */ 's', 'h', 'l', 'd', 'w', 9, 0,
+  /* 11002 */ 'r', 'd', 'r', 'a', 'n', 'd', 'w', 9, 0,
+  /* 11011 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 11021 */ 's', 'h', 'r', 'd', 'w', 9, 0,
+  /* 11028 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 11039 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 11050 */ 'c', 'm', 'o', 'v', 'a', 'e', 'w', 9, 0,
+  /* 11059 */ 'c', 'm', 'o', 'v', 'b', 'e', 'w', 9, 0,
+  /* 11068 */ 'c', 'm', 'o', 'v', 'g', 'e', 'w', 9, 0,
+  /* 11077 */ 'c', 'm', 'o', 'v', 'l', 'e', 'w', 9, 0,
+  /* 11086 */ 'c', 'm', 'o', 'v', 'n', 'e', 'w', 9, 0,
+  /* 11095 */ 'c', 'm', 'o', 'v', 'e', 'w', 9, 0,
+  /* 11103 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 11110 */ 'b', 's', 'f', 'w', 9, 0,
+  /* 11116 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 11124 */ 'n', 'e', 'g', 'w', 9, 0,
+  /* 11130 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'w', 9, 0,
+  /* 11140 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 11148 */ 'c', 'm', 'o', 'v', 'g', 'w', 9, 0,
+  /* 11156 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 11167 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 11177 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 11186 */ 'p', 'u', 's', 'h', 'w', 9, 0,
+  /* 11193 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 11200 */ 's', 'a', 'l', 'w', 9, 0,
+  /* 11206 */ 'r', 'c', 'l', 'w', 9, 0,
+  /* 11212 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 11222 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 11230 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, 0,
+  /* 11238 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 11246 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 11255 */ 'r', 'o', 'l', 'w', 9, 0,
+  /* 11261 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 11269 */ 'l', 's', 'l', 'w', 9, 0,
+  /* 11275 */ 'i', 'm', 'u', 'l', 'w', 9, 0,
+  /* 11282 */ 'c', 'm', 'o', 'v', 'l', 'w', 9, 0,
+  /* 11290 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 11298 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 11307 */ 'i', 'n', 'w', 9, 0,
+  /* 11312 */ 'c', 'm', 'o', 'v', 'n', 'o', 'w', 9, 0,
+  /* 11321 */ 'c', 'm', 'o', 'v', 'o', 'w', 9, 0,
+  /* 11329 */ 'c', 'm', 'p', 'w', 9, 0,
+  /* 11335 */ 'l', 'j', 'm', 'p', 'w', 9, 0,
+  /* 11342 */ 'c', 'm', 'o', 'v', 'n', 'p', 'w', 9, 0,
+  /* 11351 */ 'n', 'o', 'p', 'w', 9, 0,
+  /* 11357 */ 'p', 'o', 'p', 'w', 9, 0,
+  /* 11363 */ 'c', 'm', 'o', 'v', 'p', 'w', 9, 0,
+  /* 11371 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 11381 */ 'l', 'a', 'r', 'w', 9, 0,
+  /* 11387 */ 's', 'a', 'r', 'w', 9, 0,
+  /* 11393 */ 'r', 'c', 'r', 'w', 9, 0,
+  /* 11399 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 11405 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 11414 */ 's', 'h', 'r', 'w', 9, 0,
+  /* 11420 */ 'r', 'o', 'r', 'w', 9, 0,
+  /* 11426 */ 'x', 'o', 'r', 'w', 9, 0,
+  /* 11432 */ 'b', 's', 'r', 'w', 9, 0,
+  /* 11438 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 11447 */ 'b', 't', 'r', 'w', 9, 0,
+  /* 11453 */ 'l', 't', 'r', 'w', 9, 0,
+  /* 11459 */ 's', 't', 'r', 'w', 9, 0,
+  /* 11465 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 11474 */ 's', 'c', 'a', 's', 'w', 9, 0,
+  /* 11481 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 11489 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, 0,
+  /* 11498 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 11510 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 11520 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 11529 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 11539 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 11548 */ 'l', 'd', 's', 'w', 9, 0,
+  /* 11554 */ 'l', 'o', 'd', 's', 'w', 9, 0,
+  /* 11561 */ 'l', 'e', 's', 'w', 9, 0,
+  /* 11567 */ 'l', 'f', 's', 'w', 9, 0,
+  /* 11573 */ 'l', 'g', 's', 'w', 9, 0,
+  /* 11579 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 11588 */ 'c', 'm', 'o', 'v', 'n', 's', 'w', 9, 0,
+  /* 11597 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 11604 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 11615 */ 'l', 's', 's', 'w', 9, 0,
+  /* 11621 */ 'b', 't', 's', 'w', 9, 0,
+  /* 11627 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 11635 */ 'o', 'u', 't', 's', 'w', 9, 0,
+  /* 11642 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 11652 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 11662 */ 'c', 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 11670 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 11679 */ 'b', 't', 'w', 9, 0,
+  /* 11684 */ 'l', 'g', 'd', 't', 'w', 9, 0,
+  /* 11691 */ 's', 'g', 'd', 't', 'w', 9, 0,
+  /* 11698 */ 'l', 'i', 'd', 't', 'w', 9, 0,
+  /* 11705 */ 's', 'i', 'd', 't', 'w', 9, 0,
+  /* 11712 */ 'l', 'l', 'd', 't', 'w', 9, 0,
+  /* 11719 */ 's', 'l', 'd', 't', 'w', 9, 0,
+  /* 11726 */ 'l', 'r', 'e', 't', 'w', 9, 0,
+  /* 11733 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 11743 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 9, 0,
+  /* 11752 */ 'l', 'z', 'c', 'n', 't', 'w', 9, 0,
+  /* 11760 */ 't', 'z', 'c', 'n', 't', 'w', 9, 0,
+  /* 11768 */ 'n', 'o', 't', 'w', 9, 0,
+  /* 11774 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 11782 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 11796 */ 't', 'e', 's', 't', 'w', 9, 0,
+  /* 11803 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 11813 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 11822 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 11831 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 11844 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 11853 */ 'i', 'd', 'i', 'v', 'w', 9, 0,
+  /* 11860 */ 'm', 'o', 'v', 'w', 9, 0,
+  /* 11866 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 11876 */ 'l', 'm', 's', 'w', 'w', 9, 0,
+  /* 11883 */ 's', 'm', 's', 'w', 'w', 9, 0,
+  /* 11890 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 11901 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 11908 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 11921 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 11933 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 11945 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
+  /* 11958 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
+  /* 11970 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'y', 9, 0,
+  /* 11982 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 11989 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 11995 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 12002 */ 'f', 's', 'u', 'b', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12016 */ 'f', 'a', 'd', 'd', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12030 */ 'f', 'm', 'u', 'l', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12044 */ 'f', 's', 'u', 'b', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12059 */ 'f', 'd', 'i', 'v', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12074 */ 'f', 'd', 'i', 'v', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12088 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12102 */ 's', 't', 'o', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12114 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12125 */ 'm', 'o', 'v', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12136 */ 's', 'a', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12147 */ 'r', 'c', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12158 */ 's', 'h', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12169 */ 'r', 'o', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12180 */ 's', 'a', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12191 */ 'r', 'c', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12202 */ 's', 'h', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12213 */ 'r', 'o', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12224 */ 's', 'h', 'l', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12236 */ 's', 'h', 'r', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12248 */ 's', 'a', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12259 */ 'r', 'c', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12270 */ 's', 'h', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12281 */ 'r', 'o', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12292 */ 's', 'a', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12303 */ 'r', 'c', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12314 */ 's', 'h', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12325 */ 'r', 'o', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12336 */ 's', 'h', 'l', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12348 */ 's', 'h', 'r', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12360 */ 's', 'a', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12371 */ 'r', 'c', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12382 */ 's', 'h', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12393 */ 'r', 'o', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12404 */ 's', 'a', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12415 */ 'r', 'c', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12426 */ 's', 'h', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12437 */ 'r', 'o', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12448 */ 's', 'h', 'l', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12460 */ 's', 'h', 'r', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12472 */ 's', 'a', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12483 */ 'r', 'c', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12494 */ 's', 'h', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12505 */ 'r', 'o', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12516 */ 's', 'a', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12527 */ 'r', 'c', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12538 */ 's', 'h', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12549 */ 'r', 'o', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12560 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12574 */ 's', 't', 'o', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12586 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12597 */ 'm', 'o', 'v', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12608 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12623 */ 's', 't', 'o', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12636 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12648 */ 'm', 'o', 'v', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12660 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
+  /* 12675 */ 's', 't', 'o', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
+  /* 12688 */ 'i', 'n', 's', 'b', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12699 */ 'i', 'n', 's', 'l', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12710 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12721 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12739 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12759 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12777 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12797 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12815 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12835 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12853 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12873 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 12884 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 12907 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 12921 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 12945 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 12962 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 12978 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 12993 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 13007 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 13021 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13041 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13061 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13081 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13101 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13122 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13142 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13162 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13181 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13201 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13221 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13241 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13261 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13281 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13301 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13321 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13340 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13358 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13379 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13400 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '0', ')', 0,
+  /* 13423 */ 'f', 's', 't', 'p', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '7', ')', 0,
+  /* 13443 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
+  /* 13452 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
+  /* 13460 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
+  /* 13469 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
+  /* 13477 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
+  /* 13486 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
+  /* 13494 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 13500 */ 'f', 'l', 'd', '1', 0,
+  /* 13505 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 13512 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 13518 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 13526 */ 'i', 'n', 't', '1', 0,
+  /* 13531 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 13550 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 13568 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 13581 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 13594 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 13612 */ 'u', 'd', '2', 0,
+  /* 13616 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 13623 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 13630 */ 'i', 'n', 't', '3', 0,
+  /* 13635 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 13654 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 13672 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 13685 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 13698 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 13716 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 13722 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 13729 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 13737 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 13750 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 13757 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 13767 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 13776 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 13794 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 13810 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 13822 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 13837 */ 'a', 'a', 'a', 0,
+  /* 13841 */ 'd', 'a', 'a', 0,
+  /* 13845 */ 'u', 'd', '2', 'b', 0,
+  /* 13850 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 13860 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 13870 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 13880 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
+  /* 13890 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
+  /* 13900 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 13906 */ 'c', 'l', 'a', 'c', 0,
+  /* 13911 */ 's', 't', 'a', 'c', 0,
+  /* 13916 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 13926 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 13933 */ 's', 'a', 'l', 'c', 0,
+  /* 13938 */ 'c', 'l', 'c', 0,
+  /* 13942 */ 'c', 'm', 'c', 0,
+  /* 13946 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 13952 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 13959 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 13965 */ 's', 't', 'c', 0,
+  /* 13969 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 13975 */ 'c', 'l', 'd', 0,
+  /* 13979 */ 'x', 'e', 'n', 'd', 0,
+  /* 13984 */ 'c', 'l', 't', 'd', 0,
+  /* 13989 */ 's', 't', 'd', 0,
+  /* 13993 */ 'c', 'w', 't', 'd', 0,
+  /* 13998 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 14005 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 14012 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 14019 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 14026 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 14033 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 14040 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 14049 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 14055 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 14064 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 14071 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 14080 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 14086 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14100 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14117 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 14123 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 14130 */ 'l', 'a', 'h', 'f', 0,
+  /* 14135 */ 's', 'a', 'h', 'f', 0,
+  /* 14140 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 14149 */ 'c', 'l', 'g', 'i', 0,
+  /* 14154 */ 's', 't', 'g', 'i', 0,
+  /* 14159 */ 'c', 'l', 'i', 0,
+  /* 14163 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 14169 */ 's', 't', 'i', 0,
+  /* 14173 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 14188 */ 'l', 'o', 'c', 'k', 0,
+  /* 14193 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
+  /* 14206 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 14213 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 14219 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
+  /* 14226 */ 'p', 'o', 'p', 'f', 'l', 0,
+  /* 14232 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 14240 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 14247 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 14255 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 14264 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
+  /* 14274 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
+  /* 14284 */ 'i', 'r', 'e', 't', 'l', 0,
+  /* 14290 */ 'l', 'r', 'e', 't', 'l', 0,
+  /* 14296 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
+  /* 14304 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
+  /* 14313 */ 'c', 'w', 't', 'l', 0,
+  /* 14318 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 14326 */ 'f', 'x', 'a', 'm', 0,
+  /* 14331 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 14337 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 14344 */ 'r', 's', 'm', 0,
+  /* 14348 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 14355 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 14361 */ 'f', 's', 'i', 'n', 0,
+  /* 14366 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 14393 */ 'i', 'n', 't', 'o', 0,
+  /* 14398 */ 'c', 'q', 't', 'o', 0,
+  /* 14403 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 14410 */ 'r', 'e', 'p', 0,
+  /* 14414 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 14420 */ 'v', 'c', 'm', 'p', 0,
+  /* 14425 */ 'f', 'n', 'o', 'p', 0,
+  /* 14430 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 14437 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 14445 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 14453 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 14461 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 14468 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 14474 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
+  /* 14484 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
+  /* 14494 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 14500 */ 'l', 'r', 'e', 't', 'q', 0,
+  /* 14506 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
+  /* 14514 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
+  /* 14523 */ 'c', 'l', 't', 'q', 0,
+  /* 14528 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 14539 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 14548 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 14556 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 14562 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 14568 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 14578 */ 'a', 'a', 's', 0,
+  /* 14582 */ 'd', 'a', 's', 0,
+  /* 14586 */ 'f', 'a', 'b', 's', 0,
+  /* 14591 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
+  /* 14601 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
+  /* 14611 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
+  /* 14621 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
+  /* 14630 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
+  /* 14640 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
+  /* 14649 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
+  /* 14659 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
+  /* 14668 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
+  /* 14678 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
+  /* 14687 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
+  /* 14697 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
+  /* 14706 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
+  /* 14716 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
+  /* 14725 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
+  /* 14735 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
+  /* 14744 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
+  /* 14754 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
+  /* 14763 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
+  /* 14773 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
+  /* 14782 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
+  /* 14792 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
+  /* 14801 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 14808 */ 'f', 'c', 'h', 's', 0,
+  /* 14813 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 14858 */ 'e', 'n', 'c', 'l', 's', 0,
+  /* 14864 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 14870 */ 'f', 'c', 'o', 's', 0,
+  /* 14875 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 14883 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
+  /* 14893 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
+  /* 14902 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
+  /* 14912 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
+  /* 14921 */ 'c', 'l', 't', 's', 0,
+  /* 14926 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 14933 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 14941 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 14947 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 14954 */ 'h', 'l', 't', 0,
+  /* 14958 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 14966 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 14972 */ 'x', 't', 'e', 's', 't', 0,
+  /* 14978 */ 'f', 't', 's', 't', 0,
+  /* 14983 */ 'e', 'n', 'c', 'l', 'u', 0,
+  /* 14989 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 14996 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 15003 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 15010 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 15016 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
+  /* 15023 */ 'p', 'o', 'p', 'f', 'w', 0,
+  /* 15029 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
+  /* 15039 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
+  /* 15049 */ 'c', 'b', 't', 'w', 0,
+  /* 15054 */ 'i', 'r', 'e', 't', 'w', 0,
+  /* 15060 */ 'l', 'r', 'e', 't', 'w', 0,
+  /* 15066 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 15072 */ 'f', 'n', 's', 't', 's', 'w', 9, '%', 'a', 'x', 0,
+  /* 15083 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
+  /* 15096 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
+  /* 15108 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
+  /* 15120 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
+  /* 15131 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
+  /* 15143 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 15162 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 15176 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
+  /* 15188 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
+  /* 15200 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
+  /* 15211 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
+  /* 15230 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
+  /* 15244 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 15258 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 15273 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 15280 */ 'f', 'l', 'd', 'z', 0,
   };
 #endif
 
@@ -12504,7 +13852,7 @@
 	;
 #endif
 
-  // Fragment 0 encoded into 7 bits for 78 unique commands.
+  // Fragment 0 encoded into 7 bits for 79 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 127);
   switch ((Bits >> 14) & 127) {
   default:   // unreachable.
@@ -12639,7 +13987,7 @@
     return;
     break;
   case 27:
-    // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
+    // CMP8rm, KMOVBkm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64...
     printi8mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -12653,20 +14001,20 @@
     printSSECC(MI, 3, O); 
     break;
   case 30:
-    // CMPS16, IN16, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // CMPSB, INSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 31:
-    // CMPS32, IN32, SCAS32, STOSL
+    // CMPSL, INSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 32:
-    // CMPS64, SCAS64, STOSQ
+    // CMPSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 33:
-    // CMPS8, IN8, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // CMPSW, INSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 34:
     // CMPXCHG16B, LCMPXCHG16B
@@ -12700,7 +14048,7 @@
     return;
     break;
   case 39:
-    // INSERTQI, VMOVSDZrrk, VMOVSSZrrk, VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL...
+    // INSERTQI, VALIGNDrrikz, VALIGNQrrikz, VMOVSDZrrk, VMOVSSZrrk, VPADDDZr...
     printOperand(MI, 4, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 3, O); 
@@ -12793,40 +14141,45 @@
     // VADDPDYrm, VADDPSYrm, VADDSUBPDYrm, VADDSUBPSYrm, VANDNPDYrm, VANDNPSY...
     printf256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 57:
     // VADDPDZrm, VADDPSZrm, VDIVPDZrm, VDIVPSZrm, VMAXPDZrm, VMAXPSZrm, VMIN...
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 58:
-    // VBLENDMPDZrm, VBLENDMPSZrm, VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZ...
+    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VFMADD132PDZmb, ...
+    printf64mem(MI, 3, O); 
+    break;
+  case 59:
+    // VADDPDZrmk, VADDPDZrmkz, VADDPSZrmk, VADDPSZrmkz, VBLENDMPDZrm, VBLEND...
     printf512mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 59:
-    // VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQAYrm, VMOVDQUYrm, VMOVNTD...
+  case 60:
+    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VFMADD132PSZmb, ...
+    printf32mem(MI, 3, O); 
+    break;
+  case 61:
+    // VBROADCASTI64X4krm, VMOVDQA32Z256rmkz, VMOVDQA64Z256rmkz, VMOVDQU16Z25...
+    printi256mem(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    break;
+  case 62:
+    // VBROADCASTI64X4rm, VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQA32Z25...
     printi256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 60:
-    // VCVTDQ2PSZrm, VMOVDQA32rm, VMOVDQA64rm, VMOVDQU32rm, VMOVDQU64rm, VPAB...
+  case 63:
+    // VCVTDQ2PSZrm, VMOVDQA32Zrm, VMOVDQA64Zrm, VMOVDQU16Zrm, VMOVDQU32Zrm, ...
     printi512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 61:
+  case 64:
     // VCVTDQ2PSZrrb, VCVTPD2DQZrrb, VCVTPD2PSZrrb, VCVTPD2UDQZrrb, VCVTPS2DQ...
     printRoundingControl(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -12835,130 +14188,89 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 62:
+  case 65:
     // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPH2PSZrm, VCVTPS2DQYrm, VCVTPS2PDZrm, ...
     printf256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 63:
+  case 66:
     // VCVTPD2DQZrm, VCVTPD2PSZrm, VCVTPD2UDQZrm, VCVTPS2DQZrm, VCVTPS2UDQZrm...
     printf512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 64:
-    // VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSD4rm, VFMADDSD4rm_Int, VFMADDSD...
-    printf64mem(MI, 3, O); 
-    break;
-  case 65:
-    // VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSS4rm, VFMADDSS4rm_Int, VFMADDSS...
-    printf32mem(MI, 3, O); 
-    break;
-  case 66:
+  case 67:
     // VFMADDPD4rm, VFMADDPDr132m, VFMADDPDr213m, VFMADDPDr231m, VFMADDPS4rm,...
     printf128mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
     break;
-  case 67:
+  case 68:
     // VFMADDPD4rmY, VFMADDPDr132mY, VFMADDPDr213mY, VFMADDPDr231mY, VFMADDPS...
     printf256mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
     break;
-  case 68:
+  case 69:
     // VGATHERDPDYrm, VGATHERDPDrm, VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPDYr...
     printOperand(MI, 8, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 69:
-    // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
-    printi64mem(MI, 4, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 3, O); 
-    SStream_concat0(O, "}"); 
-    return;
-    break;
   case 70:
-    // VGATHERDPSZrm, VPGATHERDDZrm
-    printi32mem(MI, 4, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 3, O); 
-    SStream_concat0(O, "}"); 
-    return;
+    // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPADDQZrmbk, VPANDNQZrmbk...
+    printi64mem(MI, 4, O); 
     break;
   case 71:
-    // VMOVDQU32rmk, VMOVDQU64rmk, VPCONFLICTDrmk, VPCONFLICTQrmk, VPERMI2Drm...
-    printi512mem(MI, 3, O); 
-    SStream_concat0(O, ", "); 
+    // VGATHERDPSZrm, VPADDDZrmbk, VPANDDZrmbk, VPANDNDZrmbk, VPGATHERDDZrm, ...
+    printi32mem(MI, 4, O); 
     break;
   case 72:
-    // VPACKSSDWYrm, VPACKSSWBYrm, VPACKUSDWYrm, VPACKUSWBYrm, VPADDBYrm, VPA...
-    printi256mem(MI, 2, O); 
+    // VMOVDQA32Z128rmk, VMOVDQA64Z128rmk, VMOVDQU16Z128rmk, VMOVDQU32Z128rmk...
+    printi128mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 73:
-    // VPADDDZrm, VPADDQZrm, VPANDDZrm, VPANDNDZrm, VPANDNQZrm, VPANDQZrm, VP...
-    printi512mem(MI, 2, O); 
+    // VMOVDQA32Z256rmk, VMOVDQA64Z256rmk, VMOVDQU16Z256rmk, VMOVDQU32Z256rmk...
+    printi256mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     break;
   case 74:
-    // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
-    printi128mem(MI, 3, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
+    // VMOVDQA32Zrmk, VMOVDQA64Zrmk, VMOVDQU16Zrmk, VMOVDQU32Zrmk, VMOVDQU64Z...
+    printi512mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     break;
   case 75:
-    // VPCMOVrmY
-    printi256mem(MI, 3, O); 
+    // VMOVDQA32Zrmkz, VMOVDQA64Zrmkz, VMOVDQU16Zrmkz, VMOVDQU32Zrmkz, VMOVDQ...
+    printi512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 76:
-    // VPCONFLICTDrmbk
+    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPCONFLICTDrmbk, VPLZCNTDrm...
     printi32mem(MI, 3, O); 
     SStream_concat0(O, "{1to16}, "); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
+    break;
+  case 77:
+    // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
+    printi512mem(MI, 4, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 3, O); 
+    SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     SStream_concat0(O, " {"); 
     printOperand(MI, 2, O); 
-    SStream_concat0(O, "}"); 
-    return;
     break;
-  case 77:
-    // VPCONFLICTQrmbk
+  case 78:
+    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPCONFLICTQrmbk, VPLZCNTQrm...
     printi64mem(MI, 3, O); 
     SStream_concat0(O, "{1to8}, "); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, "}"); 
-    return;
     break;
   }
 
 
-  // Fragment 1 encoded into 7 bits for 66 unique commands.
+  // Fragment 1 encoded into 7 bits for 71 unique commands.
   //printf("Frag-1: %"PRIu64"\n", (Bits >> 21) & 127);
   switch ((Bits >> 21) & 127) {
   default:   // unreachable.
@@ -13113,12 +14425,10 @@
   case 31:
     // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
     printf128mem(MI, 0, O); 
-    return;
     break;
   case 32:
-    // MOVDQAmr, MOVDQUmr, VMOVDQAmr, VMOVDQUmr, VPMOVDBmr, VPMOVQBmr, VPMOVQ...
+    // MOVDQAmr, MOVDQUmr, VMOVDQA32Z128mr, VMOVDQA32Z128mrk, VMOVDQA64Z128mr...
     printi128mem(MI, 0, O); 
-    return;
     break;
   case 33:
     // MOVHPDmr, MOVHPSmr, MOVLPDmr, MOVLPSmr, MOVNTSD, MOVSDmr, VMOVHPDmr, V...
@@ -13154,12 +14464,12 @@
     return;
     break;
   case 39:
-    // VADDPDZrmb, VDIVPDZrmb, VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSUB132PD...
+    // VADDPDZrmb, VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmb, VDIVPDZrmbk, VDIVPD...
     SStream_concat0(O, "{1to8}, "); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
     break;
   case 40:
-    // VADDPSZrmb, VDIVPSZrmb, VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSUB132PS...
+    // VADDPSZrmb, VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmb, VDIVPSZrmbk, VDIVPS...
     SStream_concat0(O, "{1to16}, "); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     break;
@@ -13169,6 +14479,18 @@
     SStream_concat0(O, ", "); 
     break;
   case 42:
+    // VALIGNDrrik, VALIGNQrrik
+    printOperand(MI, 4, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 3, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 43:
     // VBLENDPDYrmi, VBLENDPSYrmi, VBLENDVPDYrm, VBLENDVPSYrm, VCMPPDYrmi_alt...
     printf256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13177,11 +14499,11 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 43:
+  case 44:
     // VCMPPDZrmi, VCMPPDZrri
     SStream_concat0(O, "pd \t"); 
     break;
-  case 44:
+  case 45:
     // VCMPPDZrmi_alt, VCMPPSZrmi_alt, VSHUFPDZrmi, VSHUFPSZrmi
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13190,7 +14512,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 45:
+  case 46:
     // VCMPPDZrrib
     SStream_concat0(O, "pd \t{sae}, "); 
 	op_addAvxSae(MI);
@@ -13201,11 +14523,11 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 46:
+  case 47:
     // VCMPPSZrmi, VCMPPSZrri
     SStream_concat0(O, "ps \t"); 
     break;
-  case 47:
+  case 48:
     // VCMPPSZrrib
     SStream_concat0(O, "ps \t{sae}, "); 
 	op_addAvxSae(MI);
@@ -13216,7 +14538,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 48:
+  case 49:
     // VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMPSADBWYrmi, VPALIGNR256rm...
     printi256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13225,62 +14547,88 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 49:
+  case 50:
     // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
     printi64mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 50:
+  case 51:
     // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
     printi32mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 51:
-    // VMOVAPDYmr, VMOVAPSYmr, VMOVNTDQYmr, VMOVNTPDYmr, VMOVNTPSYmr, VMOVUPD...
-    printf256mem(MI, 0, O); 
-    return;
-    break;
   case 52:
-    // VMOVAPDZmr, VMOVAPSZmr, VMOVUPDZmr, VMOVUPSZmr
-    printf512mem(MI, 0, O); 
+    // VGATHERPF0DPDm, VGATHERPF0DPSm, VGATHERPF0QPDm, VGATHERPF0QPSm, VGATHE...
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, "}"); 
     return;
     break;
   case 53:
-    // VMOVDQA32mr, VMOVDQA64mr, VMOVDQU32mr, VMOVDQU64mr
-    printi512mem(MI, 0, O); 
-    return;
+    // VMOVAPDYmr, VMOVAPDZ256mr, VMOVAPDZ256mrk, VMOVAPSYmr, VMOVAPSZ256mr, ...
+    printf256mem(MI, 0, O); 
     break;
   case 54:
-    // VMOVDQAYmr, VMOVDQUYmr, VPMOVDWmr, VPMOVQDmr, VPMOVSDWmr, VPMOVSQDmr, ...
-    printi256mem(MI, 0, O); 
-    return;
+    // VMOVAPDZmr, VMOVAPDZmrk, VMOVAPSZmr, VMOVAPSZmrk, VMOVNTPDZmr, VMOVNTP...
+    printf512mem(MI, 0, O); 
     break;
   case 55:
+    // VMOVDQA32Z256mr, VMOVDQA32Z256mrk, VMOVDQA64Z256mr, VMOVDQA64Z256mrk, ...
+    printi256mem(MI, 0, O); 
+    break;
+  case 56:
+    // VMOVDQA32Zmr, VMOVDQA32Zmrk, VMOVDQA64Zmr, VMOVDQA64Zmrk, VMOVDQU16Zmr...
+    printi512mem(MI, 0, O); 
+    break;
+  case 57:
+    // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 58:
     // VPCMPDZrmi, VPCMPDZrri
     SStream_concat0(O, "d\t"); 
     break;
-  case 56:
+  case 59:
+    // VPCMPDZrmik_alt, VPCMPQZrmik_alt, VPCMPUDZrmik_alt, VPCMPUQZrmik_alt
+    printi512mem(MI, 3, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 60:
     // VPCMPQZrmi, VPCMPQZrri
     SStream_concat0(O, "q\t"); 
     break;
-  case 57:
+  case 61:
     // VPCMPUDZrmi, VPCMPUDZrri
     SStream_concat0(O, "ud\t"); 
     break;
-  case 58:
+  case 62:
     // VPCMPUQZrmi, VPCMPUQZrri
     SStream_concat0(O, "uq\t"); 
     break;
-  case 59:
+  case 63:
+    // VPERMI2Drmkz, VPERMI2PDrmkz, VPERMI2PSrmkz, VPERMI2Qrmkz, VPERMT2Drmkz...
+    SStream_concat0(O, "} {z}"); 
+	op_addAvxZeroOpmask(MI);
+    return;
+    break;
+  case 64:
     // VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PSmr, VPERMIL2PSmrY
     printOperand(MI, 7, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 60:
+  case 65:
     // VPERMIL2PDrm, VPERMIL2PSrm
     printf128mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
@@ -13291,7 +14639,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 61:
+  case 66:
     // VPERMIL2PDrmY, VPERMIL2PSrmY
     printf256mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
@@ -13302,28 +14650,28 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 62:
+  case 67:
     // VPERMILPDYmi, VPERMILPSYmi, VROUNDYPDm, VROUNDYPSm
     printf256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 63:
+  case 68:
     // VPERMILPDZmi, VPERMILPSZmi, VPERMQZmi, VPSHUFDZmi, VPSLLDZmi, VPSLLQZm...
     printi512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 64:
+  case 69:
     // VPERMPDYmi, VPERMQYmi, VPSHUFDYmi, VPSHUFHWYmi, VPSHUFLWYmi
     printi256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 65:
+  case 70:
     // VPERMPDZmi, VRNDSCALEPDZm, VRNDSCALEPSZm
     printf512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
@@ -13333,7 +14681,7 @@
   }
 
 
-  // Fragment 2 encoded into 5 bits for 29 unique commands.
+  // Fragment 2 encoded into 5 bits for 30 unique commands.
   //printf("Frag-2: %"PRIu64"\n", (Bits >> 28) & 31);
   switch ((Bits >> 28) & 31) {
   default:   // unreachable.
@@ -13364,35 +14712,35 @@
     SStream_concat0(O, ", "); 
     break;
   case 6:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
-    return;
-    break;
-  case 7:
-    // CMPS32
-    printSrcIdx32(MI, 1, O); 
-    return;
-    break;
-  case 8:
-    // CMPS64
-    printSrcIdx64(MI, 1, O); 
-    return;
-    break;
-  case 9:
-    // CMPS8
+    // CMPSB
     printSrcIdx8(MI, 1, O); 
     return;
     break;
-  case 10:
+  case 7:
     // CMPSDrm, Int_CMPSDrm, Int_VCMPSDrm, VCMPSDZrm, VCMPSDrm
     printf64mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 11:
+  case 8:
+    // CMPSL
+    printSrcIdx32(MI, 1, O); 
+    return;
+    break;
+  case 9:
+    // CMPSQ
+    printSrcIdx64(MI, 1, O); 
+    return;
+    break;
+  case 10:
     // CMPSSrm, Int_CMPSSrm, Int_VCMPSSrm, VCMPSSZrm, VCMPSSrm
     printf32mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
+  case 11:
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
+    return;
+    break;
   case 12:
     // EXTRACTPSmr, VEXTRACTPSmr, VEXTRACTPSzmr
     printf32mem(MI, 0, O); 
@@ -13435,6 +14783,10 @@
     return;
     break;
   case 19:
+    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VMOVAPDZ128mrk, VMOVAPDZ128rmk...
+    SStream_concat0(O, " {"); 
+    break;
+  case 20:
     // VCMPPDYrmi, VCMPPSYrmi, VPERMIL2PDmrY, VPERMIL2PSmrY
     printf256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13443,7 +14795,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 20:
+  case 21:
     // VCMPPDZrmi, VCMPPSZrmi
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13452,36 +14804,42 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 21:
+  case 22:
     // VCVTPS2PHYmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VMASKMOVPDmr, VMASKMOVP...
     printf128mem(MI, 0, O); 
     return;
     break;
-  case 22:
+  case 23:
     // VCVTPS2PHZmr, VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr
     printf256mem(MI, 0, O); 
     return;
     break;
-  case 23:
+  case 24:
     // VCVTPS2PHmr
     printf64mem(MI, 0, O); 
     return;
     break;
-  case 24:
+  case 25:
     // VEXTRACTI128mr, VEXTRACTI32x4mr, VPMASKMOVDmr, VPMASKMOVQmr
     printi128mem(MI, 0, O); 
     return;
     break;
-  case 25:
+  case 26:
     // VEXTRACTI64x4mr, VPMASKMOVDYmr, VPMASKMOVQYmr
     printi256mem(MI, 0, O); 
     return;
     break;
-  case 26:
-    // VMOVAPDZrmk, VMOVAPDZrrk, VMOVAPSZrmk, VMOVAPSZrrk, VMOVDQU32rmk, VMOV...
-    SStream_concat0(O, " {"); 
-    break;
   case 27:
+    // VPADDDZrmbk, VPADDQZrmbk, VPANDDZrmbk, VPANDNDZrmbk, VPANDNQZrmbk, VPA...
+    printOperand(MI, 3, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 28:
     // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
     printi512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13490,15 +14848,15 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 28:
-    // VPCONFLICTDrr, VPCONFLICTQrr
+  case 29:
+    // VPCONFLICTDrr, VPCONFLICTQrr, VPLZCNTDrr, VPLZCNTQrr
     SStream_concat0(O, " "); 
     return;
     break;
   }
 
 
-  // Fragment 3 encoded into 3 bits for 7 unique commands.
+  // Fragment 3 encoded into 3 bits for 8 unique commands.
   //printf("Frag-3: %"PRIu64"\n", (Bits >> 33) & 7);
   switch ((Bits >> 33) & 7) {
   default:   // unreachable.
@@ -13519,17 +14877,20 @@
     printOperand(MI, 1, O); 
     break;
   case 4:
-    // VMOVAPDZrmk, VMOVAPDZrrk, VMOVAPSZrmk, VMOVAPSZrrk, VMOVDQU32rmk, VMOV...
-    printOperand(MI, 2, O); 
+    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPABSDZrmb...
+    SStream_concat0(O, " {"); 
+    break;
+  case 5:
+    // VMOVAPDZ128mrk, VMOVAPDZ256mrk, VMOVAPDZmrk, VMOVAPSZ128mrk, VMOVAPSZ2...
+    printOperand(MI, 5, O); 
     SStream_concat0(O, "}"); 
     return;
     break;
-  case 5:
-    // VPBROADCASTDZkrm, VPBROADCASTQZkrm, VPCONFLICTDrmbkz, VPCONFLICTQrmbkz...
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 1, O); 
-    break;
   case 6:
+    // VMOVAPDZ128rmk, VMOVAPDZ128rrk, VMOVAPDZ128rrk_alt, VMOVAPDZ256rmk, VM...
+    printOperand(MI, 2, O); 
+    break;
+  case 7:
     // VPSCATTERDDZmr, VPSCATTERDQZmr, VPSCATTERQDZmr, VPSCATTERQQZmr, VSCATT...
     printOperand(MI, 6, O); 
     SStream_concat0(O, "}"); 
@@ -13538,9 +14899,9 @@
   }
 
 
-  // Fragment 4 encoded into 3 bits for 8 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 36) & 7);
-  switch ((Bits >> 36) & 7) {
+  // Fragment 4 encoded into 4 bits for 11 unique commands.
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 36) & 15);
+  switch ((Bits >> 36) & 15) {
   default:   // unreachable.
   case 0:
     // AESKEYGENASSIST128rr, ANDN32rr, ANDN64rr, BEXTR32rm, BEXTR32rr, BEXTR6...
@@ -13558,34 +14919,70 @@
     return;
     break;
   case 3:
+    // VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz, VADDPDZrrk, VADDPD...
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 1, O); 
+    break;
+  case 4:
     // VASTART_SAVE_XMM_REGS
     printOperand(MI, 2, O); 
     return;
     break;
-  case 4:
-    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VPBLENDMDZrm, ...
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "}"); 
-    return;
-    break;
   case 5:
-    // VMOVDQU32rrkz, VMOVDQU64rrkz
-    SStream_concat0(O, "}  {z}"); 
-	op_addAvxZeroOpmask(MI);
-    return;
-    break;
-  case 6:
-    // VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBROADCASTDrZkrr, VPBROADCASTQZkr...
+    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VMOVAPDZ128rmkz, VMOVAPDZ128rr...
     SStream_concat0(O, "} {z}"); 
 	op_addAvxZeroOpmask(MI);
     return;
     break;
-  case 7:
-    // VPSLLDZmik, VPSLLDZrmk, VPSLLQZmik, VPSLLQZrmk, VPSRADZmik, VPSRADZrmk...
+  case 6:
+    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
+    printOperand(MI, 3, O); 
     SStream_concat0(O, "}"); 
     return;
     break;
+  case 7:
+    // VMOVAPDZ128rmk, VMOVAPDZ128rrk, VMOVAPDZ128rrk_alt, VMOVAPDZ256rmk, VM...
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 8:
+    // VPABSDZrmbk, VPABSDZrmbkz, VPABSQZrmbk, VPABSQZrmbkz, VPBROADCASTDZkrm...
+    printOperand(MI, 1, O); 
+    break;
+  case 9:
+    // VPERMI2Drrkz, VPERMI2PDrrkz, VPERMI2PSrrkz, VPERMI2Qrrkz, VPERMT2Drrkz...
+    SStream_concat0(O, "} {z} "); 
+	op_addAvxZeroOpmask(MI);
+    return;
+    break;
+  case 10:
+    // VPMOVSXBDZrmk, VPMOVSXBDZrrk, VPMOVSXBQZrmk, VPMOVSXBQZrrk, VPMOVSXDQZ...
+    SStream_concat0(O, "} "); 
+    return;
+    break;
+  }
+
+
+  // Fragment 5 encoded into 2 bits for 3 unique commands.
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 3);
+  switch ((Bits >> 40) & 3) {
+  default:   // unreachable.
+  case 0:
+    // VADDPDZrmbk, VADDPDZrmk, VADDPSZrmbk, VADDPSZrmk, VBLENDMPDZrm, VBLEND...
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 1:
+    // VADDPDZrmbkz, VADDPDZrmkz, VADDPDZrrkz, VADDPSZrmbkz, VADDPSZrmkz, VAD...
+    SStream_concat0(O, "} {z}"); 
+	op_addAvxZeroOpmask(MI);
+    return;
+    break;
+  case 2:
+    // VADDPDZrrk, VADDPSZrrk, VDIVPDZrrk, VDIVPSZrrk, VMAXPDZrrk, VMAXPSZrrk...
+    SStream_concat0(O, "} "); 
+    return;
+    break;
   }
 }
 
@@ -13595,7 +14992,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -13720,111 +15117,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -13840,14 +15238,28 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+  switch (PrintMethodIdx) {
+  default:
+    // llvm_unreachable("Unknown PrintMethod kind");
+    break;
+  case 0:
+    printf64mem(MI, OpIdx, OS);
+    break;
+  }
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13856,7 +15268,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13865,14 +15277,89 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_CVTSD2SI64rm:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0)) {
+      // (CVTSD2SI64rm GR64:$dst, sdmem:$src)
+      AsmString = "cvtsd2siq	$\xFF\x02\x01, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
@@ -13888,7 +15375,14 @@
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c -1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
index a717b72..49259ad 100644
--- a/arch/X86/X86GenAsmWriter1.inc
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,130 +25,131 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    11697U,	// DBG_VALUE
+    11153U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    11690U,	// BUNDLE
-    11762U,	// LIFETIME_START
-    11677U,	// LIFETIME_END
+    11146U,	// BUNDLE
+    11218U,	// LIFETIME_START
+    11133U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    11777U,	// AAA
-    20092U,	// AAD8i8
-    22474U,	// AAM8i8
-    12458U,	// AAS
-    12466U,	// ABS_F
+    0U,	// LOAD_STACK_GUARD
+    11233U,	// AAA
+    20300U,	// AAD8i8
+    22682U,	// AAM8i8
+    11945U,	// AAS
+    11953U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    11353U,	// ACQUIRE_MOV16rm
-    11353U,	// ACQUIRE_MOV32rm
-    11353U,	// ACQUIRE_MOV64rm
-    11353U,	// ACQUIRE_MOV8rm
-    26074U,	// ADC16i16
-    1084988U,	// ADC16mi
-    1084988U,	// ADC16mi8
-    1084988U,	// ADC16mr
-    35704380U,	// ADC16ri
-    35704380U,	// ADC16ri8
-    3198524U,	// ADC16rm
-    35704380U,	// ADC16rr
-    68177468U,	// ADC16rr_REV
-    26210U,	// ADC32i32
-    1117756U,	// ADC32mi
-    1117756U,	// ADC32mi8
-    1117756U,	// ADC32mr
-    35704380U,	// ADC32ri
-    35704380U,	// ADC32ri8
-    4247100U,	// ADC32rm
-    35704380U,	// ADC32rr
-    68177468U,	// ADC32rr_REV
-    26358U,	// ADC64i32
-    1134140U,	// ADC64mi32
-    1134140U,	// ADC64mi8
-    1134140U,	// ADC64mr
-    35704380U,	// ADC64ri32
-    35704380U,	// ADC64ri8
-    5295676U,	// ADC64rm
-    35704380U,	// ADC64rr
-    68177468U,	// ADC64rr_REV
-    25972U,	// ADC8i8
-    1150524U,	// ADC8mi
-    1150524U,	// ADC8mr
-    35704380U,	// ADC8ri
-    6344252U,	// ADC8rm
-    35704380U,	// ADC8rr
-    68177468U,	// ADC8rr_REV
-    101737565U,	// ADCX32rm
-    135291997U,	// ADCX32rr
-    168846429U,	// ADCX64rm
-    135291997U,	// ADCX64rr
-    26083U,	// ADD16i16
-    1085143U,	// ADD16mi
-    1085143U,	// ADD16mi8
-    1085143U,	// ADD16mr
-    35704535U,	// ADD16ri
-    35704535U,	// ADD16ri8
+    10809U,	// ACQUIRE_MOV16rm
+    10809U,	// ACQUIRE_MOV32rm
+    10809U,	// ACQUIRE_MOV64rm
+    10809U,	// ACQUIRE_MOV8rm
+    26282U,	// ADC16i16
+    1085196U,	// ADC16mi
+    1085196U,	// ADC16mi8
+    1085196U,	// ADC16mr
+    35704588U,	// ADC16ri
+    35704588U,	// ADC16ri8
+    3198732U,	// ADC16rm
+    35704588U,	// ADC16rr
+    68177676U,	// ADC16rr_REV
+    26418U,	// ADC32i32
+    1117964U,	// ADC32mi
+    1117964U,	// ADC32mi8
+    1117964U,	// ADC32mr
+    35704588U,	// ADC32ri
+    35704588U,	// ADC32ri8
+    4247308U,	// ADC32rm
+    35704588U,	// ADC32rr
+    68177676U,	// ADC32rr_REV
+    26566U,	// ADC64i32
+    1134348U,	// ADC64mi32
+    1134348U,	// ADC64mi8
+    1134348U,	// ADC64mr
+    35704588U,	// ADC64ri32
+    35704588U,	// ADC64ri8
+    5295884U,	// ADC64rm
+    35704588U,	// ADC64rr
+    68177676U,	// ADC64rr_REV
+    26180U,	// ADC8i8
+    1150732U,	// ADC8mi
+    1150732U,	// ADC8mr
+    35704588U,	// ADC8ri
+    6344460U,	// ADC8rm
+    35704588U,	// ADC8rr
+    68177676U,	// ADC8rr_REV
+    101737773U,	// ADCX32rm
+    135292205U,	// ADCX32rr
+    168846637U,	// ADCX64rm
+    135292205U,	// ADCX64rr
+    26291U,	// ADD16i16
+    1085351U,	// ADD16mi
+    1085351U,	// ADD16mi8
+    1085351U,	// ADD16mr
+    35704743U,	// ADD16ri
+    35704743U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    3198679U,	// ADD16rm
-    35704535U,	// ADD16rr
+    3198887U,	// ADD16rm
+    35704743U,	// ADD16rr
     0U,	// ADD16rr_DB
-    68177623U,	// ADD16rr_REV
-    26220U,	// ADD32i32
-    1117911U,	// ADD32mi
-    1117911U,	// ADD32mi8
-    1117911U,	// ADD32mr
-    35704535U,	// ADD32ri
-    35704535U,	// ADD32ri8
+    68177831U,	// ADD16rr_REV
+    26428U,	// ADD32i32
+    1118119U,	// ADD32mi
+    1118119U,	// ADD32mi8
+    1118119U,	// ADD32mr
+    35704743U,	// ADD32ri
+    35704743U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    4247255U,	// ADD32rm
-    35704535U,	// ADD32rr
+    4247463U,	// ADD32rm
+    35704743U,	// ADD32rr
     0U,	// ADD32rr_DB
-    68177623U,	// ADD32rr_REV
-    26368U,	// ADD64i32
-    1134295U,	// ADD64mi32
-    1134295U,	// ADD64mi8
-    1134295U,	// ADD64mr
-    35704535U,	// ADD64ri32
+    68177831U,	// ADD32rr_REV
+    26576U,	// ADD64i32
+    1134503U,	// ADD64mi32
+    1134503U,	// ADD64mi8
+    1134503U,	// ADD64mr
+    35704743U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    35704535U,	// ADD64ri8
+    35704743U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    5295831U,	// ADD64rm
-    35704535U,	// ADD64rr
+    5296039U,	// ADD64rm
+    35704743U,	// ADD64rr
     0U,	// ADD64rr_DB
-    68177623U,	// ADD64rr_REV
-    25981U,	// ADD8i8
-    1150679U,	// ADD8mi
-    1150679U,	// ADD8mr
-    35704535U,	// ADD8ri
-    35704535U,	// ADD8ri8
-    6344407U,	// ADD8rm
-    35704535U,	// ADD8rr
-    68177623U,	// ADD8rr_REV
-    202395971U,	// ADDPDrm
-    68178243U,	// ADDPDrr
-    202399189U,	// ADDPSrm
-    68181461U,	// ADDPSrr
-    235951010U,	// ADDSDrm
-    235951010U,	// ADDSDrm_Int
-    68178850U,	// ADDSDrr
-    68178850U,	// ADDSDrr_Int
-    269508659U,	// ADDSSrm
-    269508659U,	// ADDSSrm_Int
-    68182067U,	// ADDSSrr
-    68182067U,	// ADDSSrr_Int
-    202395906U,	// ADDSUBPDrm
-    68178178U,	// ADDSUBPDrr
-    202399124U,	// ADDSUBPSrm
-    68181396U,	// ADDSUBPSrr
-    118486U,	// ADD_F32m
-    134870U,	// ADD_F64m
-    36572U,	// ADD_FI16m
-    69340U,	// ADD_FI32m
-    22619U,	// ADD_FPrST0
-    20182U,	// ADD_FST0r
+    68177831U,	// ADD64rr_REV
+    26189U,	// ADD8i8
+    1150887U,	// ADD8mi
+    1150887U,	// ADD8mr
+    35704743U,	// ADD8ri
+    35704743U,	// ADD8ri8
+    6344615U,	// ADD8rm
+    35704743U,	// ADD8rr
+    68177831U,	// ADD8rr_REV
+    202396179U,	// ADDPDrm
+    68178451U,	// ADDPDrr
+    202399397U,	// ADDPSrm
+    68181669U,	// ADDPSrr
+    235951218U,	// ADDSDrm
+    235951218U,	// ADDSDrm_Int
+    68179058U,	// ADDSDrr
+    68179058U,	// ADDSDrr_Int
+    269508867U,	// ADDSSrm
+    269508867U,	// ADDSSrm_Int
+    68182275U,	// ADDSSrr
+    68182275U,	// ADDSSrr_Int
+    202396114U,	// ADDSUBPDrm
+    68178386U,	// ADDSUBPDrr
+    202399332U,	// ADDSUBPSrm
+    68181604U,	// ADDSUBPSrr
+    118694U,	// ADD_F32m
+    135078U,	// ADD_F64m
+    36780U,	// ADD_FI16m
+    69548U,	// ADD_FI32m
+    22827U,	// ADD_FPrST0
+    20390U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -163,586 +164,543 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    7360214U,	// ADD_FrST0
-    11716U,	// ADJCALLSTACKDOWN32
-    11716U,	// ADJCALLSTACKDOWN64
-    11734U,	// ADJCALLSTACKUP32
-    11734U,	// ADJCALLSTACKUP64
-    101737583U,	// ADOX32rm
-    135292015U,	// ADOX32rr
-    168846447U,	// ADOX64rm
-    135292015U,	// ADOX64rr
-    303063393U,	// AESDECLASTrm
-    68182369U,	// AESDECLASTrr
-    303058498U,	// AESDECrm
-    68177474U,	// AESDECrr
-    303063406U,	// AESENCLASTrm
-    68182382U,	// AESENCLASTrr
-    303058538U,	// AESENCrm
-    68177514U,	// AESENCrr
-    336612961U,	// AESIMCrm
-    135286369U,	// AESIMCrr
-    2484101518U,	// AESKEYGENASSIST128rm
-    2282774926U,	// AESKEYGENASSIST128rr
-    26092U,	// AND16i16
-    1085347U,	// AND16mi
-    1085347U,	// AND16mi8
-    1085347U,	// AND16mr
-    35704739U,	// AND16ri
-    35704739U,	// AND16ri8
-    3198883U,	// AND16rm
-    35704739U,	// AND16rr
-    68177827U,	// AND16rr_REV
-    26230U,	// AND32i32
-    1118115U,	// AND32mi
-    1118115U,	// AND32mi8
-    1118115U,	// AND32mr
-    35704739U,	// AND32ri
-    35704739U,	// AND32ri8
-    4247459U,	// AND32rm
-    35704739U,	// AND32rr
-    68177827U,	// AND32rr_REV
-    26378U,	// AND64i32
-    1134499U,	// AND64mi32
-    1134499U,	// AND64mi8
-    1134499U,	// AND64mr
-    35704739U,	// AND64ri32
-    35704739U,	// AND64ri8
-    5296035U,	// AND64rm
-    35704739U,	// AND64rr
-    68177827U,	// AND64rr_REV
-    25990U,	// AND8i8
-    1150883U,	// AND8mi
-    1150883U,	// AND8mr
-    35704739U,	// AND8ri
-    35704739U,	// AND8ri8
-    6344611U,	// AND8rm
-    35704739U,	// AND8rr
-    68177827U,	// AND8rr_REV
-    2282772485U,	// ANDN32rm
-    2282772485U,	// ANDN32rr
-    2282772485U,	// ANDN64rm
-    2282772485U,	// ANDN64rr
-    202396153U,	// ANDNPDrm
-    68178425U,	// ANDNPDrr
-    202399383U,	// ANDNPSrm
-    68181655U,	// ANDNPSrr
-    202396017U,	// ANDPDrm
-    68178289U,	// ANDPDrr
-    202399235U,	// ANDPSrm
-    68181507U,	// ANDPSrr
-    1087373U,	// ARPL16mr
-    135288717U,	// ARPL16rr
-    10255U,	// ATOMADD6432
-    11035U,	// ATOMAND16
-    10468U,	// ATOMAND32
-    10722U,	// ATOMAND64
-    10276U,	// ATOMAND6432
-    11189U,	// ATOMAND8
-    11150U,	// ATOMMAX16
-    10683U,	// ATOMMAX32
-    10996U,	// ATOMMAX64
-    10425U,	// ATOMMAX6432
-    11316U,	// ATOMMAX8
-    11074U,	// ATOMMIN16
-    10568U,	// ATOMMIN32
-    10881U,	// ATOMMIN64
-    10319U,	// ATOMMIN6432
-    11226U,	// ATOMMIN8
-    11054U,	// ATOMNAND16
-    10487U,	// ATOMNAND32
-    10741U,	// ATOMNAND64
-    10297U,	// ATOMNAND6432
-    11207U,	// ATOMNAND8
-    11113U,	// ATOMOR16
-    10646U,	// ATOMOR32
-    10959U,	// ATOMOR64
-    10384U,	// ATOMOR6432
-    11281U,	// ATOMOR8
-    10234U,	// ATOMSUB6432
-    10362U,	// ATOMSWAP6432
-    11169U,	// ATOMUMAX16
-    10702U,	// ATOMUMAX32
-    11015U,	// ATOMUMAX64
-    10446U,	// ATOMUMAX6432
-    11334U,	// ATOMUMAX8
-    11093U,	// ATOMUMIN16
-    10587U,	// ATOMUMIN32
-    10900U,	// ATOMUMIN64
-    10340U,	// ATOMUMIN6432
-    11244U,	// ATOMUMIN8
-    11131U,	// ATOMXOR16
-    10664U,	// ATOMXOR32
-    10977U,	// ATOMXOR64
-    10404U,	// ATOMXOR6432
-    11298U,	// ATOMXOR8
+    7360422U,	// ADD_FrST0
+    11172U,	// ADJCALLSTACKDOWN32
+    11172U,	// ADJCALLSTACKDOWN64
+    11190U,	// ADJCALLSTACKUP32
+    11190U,	// ADJCALLSTACKUP64
+    101737791U,	// ADOX32rm
+    135292223U,	// ADOX32rr
+    168846655U,	// ADOX64rm
+    135292223U,	// ADOX64rr
+    303063601U,	// AESDECLASTrm
+    68182577U,	// AESDECLASTrr
+    303058706U,	// AESDECrm
+    68177682U,	// AESDECrr
+    303063614U,	// AESENCLASTrm
+    68182590U,	// AESENCLASTrr
+    303058746U,	// AESENCrm
+    68177722U,	// AESENCrr
+    336613169U,	// AESIMCrm
+    135286577U,	// AESIMCrr
+    2484101726U,	// AESKEYGENASSIST128rm
+    2282775134U,	// AESKEYGENASSIST128rr
+    26300U,	// AND16i16
+    1085555U,	// AND16mi
+    1085555U,	// AND16mi8
+    1085555U,	// AND16mr
+    35704947U,	// AND16ri
+    35704947U,	// AND16ri8
+    3199091U,	// AND16rm
+    35704947U,	// AND16rr
+    68178035U,	// AND16rr_REV
+    26438U,	// AND32i32
+    1118323U,	// AND32mi
+    1118323U,	// AND32mi8
+    1118323U,	// AND32mr
+    35704947U,	// AND32ri
+    35704947U,	// AND32ri8
+    4247667U,	// AND32rm
+    35704947U,	// AND32rr
+    68178035U,	// AND32rr_REV
+    26586U,	// AND64i32
+    1134707U,	// AND64mi32
+    1134707U,	// AND64mi8
+    1134707U,	// AND64mr
+    35704947U,	// AND64ri32
+    35704947U,	// AND64ri8
+    5296243U,	// AND64rm
+    35704947U,	// AND64rr
+    68178035U,	// AND64rr_REV
+    26198U,	// AND8i8
+    1151091U,	// AND8mi
+    1151091U,	// AND8mr
+    35704947U,	// AND8ri
+    35704947U,	// AND8ri8
+    6344819U,	// AND8rm
+    35704947U,	// AND8rr
+    68178035U,	// AND8rr_REV
+    2282772693U,	// ANDN32rm
+    2282772693U,	// ANDN32rr
+    2282772693U,	// ANDN64rm
+    2282772693U,	// ANDN64rr
+    202396361U,	// ANDNPDrm
+    68178633U,	// ANDNPDrr
+    202399591U,	// ANDNPSrm
+    68181863U,	// ANDNPSrr
+    202396225U,	// ANDPDrm
+    68178497U,	// ANDPDrr
+    202399443U,	// ANDPSrm
+    68181715U,	// ANDPSrr
+    1087581U,	// ARPL16mr
+    135288925U,	// ARPL16rr
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    2249219071U,	// BEXTR32rm
-    2282773503U,	// BEXTR32rr
-    2316327935U,	// BEXTR64rm
-    2282773503U,	// BEXTR64rr
-    2249219071U,	// BEXTRI32mi
-    2282773503U,	// BEXTRI32ri
-    2316327935U,	// BEXTRI64mi
-    2282773503U,	// BEXTRI64ri
-    101734262U,	// BLCFILL32rm
-    135288694U,	// BLCFILL32rr
-    168843126U,	// BLCFILL64rm
-    135288694U,	// BLCFILL64rr
-    101734043U,	// BLCI32rm
-    135288475U,	// BLCI32rr
-    168842907U,	// BLCI64rm
-    135288475U,	// BLCI64rr
-    101731914U,	// BLCIC32rm
-    135286346U,	// BLCIC32rr
-    168840778U,	// BLCIC64rm
-    135286346U,	// BLCIC64rr
-    101734213U,	// BLCMSK32rm
-    135288645U,	// BLCMSK32rr
-    168843077U,	// BLCMSK64rm
-    135288645U,	// BLCMSK64rr
-    101735453U,	// BLCS32rm
-    135289885U,	// BLCS32rr
-    168844317U,	// BLCS64rm
-    135289885U,	// BLCS64rr
-    2349879673U,	// BLENDPDrmi
-    2215661945U,	// BLENDPDrri
-    2349882891U,	// BLENDPSrmi
-    2215665163U,	// BLENDPSrri
-    202396249U,	// BLENDVPDrm0
-    68178521U,	// BLENDVPDrr0
-    202399520U,	// BLENDVPSrm0
-    68181792U,	// BLENDVPSrr0
-    101734271U,	// BLSFILL32rm
-    135288703U,	// BLSFILL32rr
-    168843135U,	// BLSFILL64rm
-    135288703U,	// BLSFILL64rr
-    101734199U,	// BLSI32rm
-    135288631U,	// BLSI32rr
-    168843063U,	// BLSI64rm
-    135288631U,	// BLSI64rr
-    101731921U,	// BLSIC32rm
-    135286353U,	// BLSIC32rr
-    168840785U,	// BLSIC64rm
-    135286353U,	// BLSIC64rr
-    101734221U,	// BLSMSK32rm
-    135288653U,	// BLSMSK32rr
-    168843085U,	// BLSMSK64rm
-    135288653U,	// BLSMSK64rr
-    101735402U,	// BLSR32rm
-    135289834U,	// BLSR32rr
-    168844266U,	// BLSR64rm
-    135289834U,	// BLSR64rr
-    370167737U,	// BOUNDS16rm
-    101732281U,	// BOUNDS32rm
-    370169384U,	// BSF16rm
-    135288360U,	// BSF16rr
-    101733928U,	// BSF32rm
-    135288360U,	// BSF32rr
-    168842792U,	// BSF64rm
-    135288360U,	// BSF64rr
-    370170833U,	// BSR16rm
-    135289809U,	// BSR16rr
-    101735377U,	// BSR32rm
-    135289809U,	// BSR32rr
-    168844241U,	// BSR64rm
-    135289809U,	// BSR64rr
-    22598U,	// BSWAP32r
-    22598U,	// BSWAP64r
-    1089767U,	// BT16mi8
-    1089767U,	// BT16mr
-    135291111U,	// BT16ri8
-    135291111U,	// BT16rr
-    1122535U,	// BT32mi8
-    1122535U,	// BT32mr
-    135291111U,	// BT32ri8
-    135291111U,	// BT32rr
-    1138919U,	// BT64mi8
-    1138919U,	// BT64mr
-    135291111U,	// BT64ri8
-    135291111U,	// BT64rr
-    1085047U,	// BTC16mi8
-    1085047U,	// BTC16mr
-    135286391U,	// BTC16ri8
-    135286391U,	// BTC16rr
-    1117815U,	// BTC32mi8
-    1117815U,	// BTC32mr
-    135286391U,	// BTC32ri8
-    135286391U,	// BTC32rr
-    1134199U,	// BTC64mi8
-    1134199U,	// BTC64mr
-    135286391U,	// BTC64ri8
-    135286391U,	// BTC64rr
-    1088496U,	// BTR16mi8
-    1088496U,	// BTR16mr
-    135289840U,	// BTR16ri8
-    135289840U,	// BTR16rr
-    1121264U,	// BTR32mi8
-    1121264U,	// BTR32mr
-    135289840U,	// BTR32ri8
-    135289840U,	// BTR32rr
-    1137648U,	// BTR64mi8
-    1137648U,	// BTR64mr
-    135289840U,	// BTR64ri8
-    135289840U,	// BTR64rr
-    1089749U,	// BTS16mi8
-    1089749U,	// BTS16mr
-    135291093U,	// BTS16ri8
-    135291093U,	// BTS16rr
-    1122517U,	// BTS32mi8
-    1122517U,	// BTS32mr
-    135291093U,	// BTS32ri8
-    135291093U,	// BTS32rr
-    1138901U,	// BTS64mi8
-    1138901U,	// BTS64mr
-    135291093U,	// BTS64ri8
-    135291093U,	// BTS64rr
-    2249217697U,	// BZHI32rm
-    2282772129U,	// BZHI32rr
-    2316326561U,	// BZHI64rm
-    2282772129U,	// BZHI64rr
-    38768U,	// CALL16m
-    22384U,	// CALL16r
-    71536U,	// CALL32m
-    22384U,	// CALL32r
-    87920U,	// CALL64m
-    153456U,	// CALL64pcrel32
-    22384U,	// CALL64r
-    153456U,	// CALLpcrel16
-    153456U,	// CALLpcrel32
-    12739U,	// CBW
-    12359U,	// CDQ
-    12033U,	// CDQE
-    12546U,	// CHS_F
+    2249219279U,	// BEXTR32rm
+    2282773711U,	// BEXTR32rr
+    2316328143U,	// BEXTR64rm
+    2282773711U,	// BEXTR64rr
+    2249219279U,	// BEXTRI32mi
+    2282773711U,	// BEXTRI32ri
+    2316328143U,	// BEXTRI64mi
+    2282773711U,	// BEXTRI64ri
+    101734470U,	// BLCFILL32rm
+    135288902U,	// BLCFILL32rr
+    168843334U,	// BLCFILL64rm
+    135288902U,	// BLCFILL64rr
+    101734251U,	// BLCI32rm
+    135288683U,	// BLCI32rr
+    168843115U,	// BLCI64rm
+    135288683U,	// BLCI64rr
+    101732122U,	// BLCIC32rm
+    135286554U,	// BLCIC32rr
+    168840986U,	// BLCIC64rm
+    135286554U,	// BLCIC64rr
+    101734421U,	// BLCMSK32rm
+    135288853U,	// BLCMSK32rr
+    168843285U,	// BLCMSK64rm
+    135288853U,	// BLCMSK64rr
+    101735661U,	// BLCS32rm
+    135290093U,	// BLCS32rr
+    168844525U,	// BLCS64rm
+    135290093U,	// BLCS64rr
+    2349879881U,	// BLENDPDrmi
+    2215662153U,	// BLENDPDrri
+    2349883099U,	// BLENDPSrmi
+    2215665371U,	// BLENDPSrri
+    202396457U,	// BLENDVPDrm0
+    68178729U,	// BLENDVPDrr0
+    202399728U,	// BLENDVPSrm0
+    68182000U,	// BLENDVPSrr0
+    101734479U,	// BLSFILL32rm
+    135288911U,	// BLSFILL32rr
+    168843343U,	// BLSFILL64rm
+    135288911U,	// BLSFILL64rr
+    101734407U,	// BLSI32rm
+    135288839U,	// BLSI32rr
+    168843271U,	// BLSI64rm
+    135288839U,	// BLSI64rr
+    101732129U,	// BLSIC32rm
+    135286561U,	// BLSIC32rr
+    168840993U,	// BLSIC64rm
+    135286561U,	// BLSIC64rr
+    101734429U,	// BLSMSK32rm
+    135288861U,	// BLSMSK32rr
+    168843293U,	// BLSMSK64rm
+    135288861U,	// BLSMSK64rr
+    101735610U,	// BLSR32rm
+    135290042U,	// BLSR32rr
+    168844474U,	// BLSR64rm
+    135290042U,	// BLSR64rr
+    370167945U,	// BOUNDS16rm
+    101732489U,	// BOUNDS32rm
+    370169592U,	// BSF16rm
+    135288568U,	// BSF16rr
+    101734136U,	// BSF32rm
+    135288568U,	// BSF32rr
+    168843000U,	// BSF64rm
+    135288568U,	// BSF64rr
+    370171041U,	// BSR16rm
+    135290017U,	// BSR16rr
+    101735585U,	// BSR32rm
+    135290017U,	// BSR32rr
+    168844449U,	// BSR64rm
+    135290017U,	// BSR64rr
+    22806U,	// BSWAP32r
+    22806U,	// BSWAP64r
+    1089975U,	// BT16mi8
+    1089975U,	// BT16mr
+    135291319U,	// BT16ri8
+    135291319U,	// BT16rr
+    1122743U,	// BT32mi8
+    1122743U,	// BT32mr
+    135291319U,	// BT32ri8
+    135291319U,	// BT32rr
+    1139127U,	// BT64mi8
+    1139127U,	// BT64mr
+    135291319U,	// BT64ri8
+    135291319U,	// BT64rr
+    1085255U,	// BTC16mi8
+    1085255U,	// BTC16mr
+    135286599U,	// BTC16ri8
+    135286599U,	// BTC16rr
+    1118023U,	// BTC32mi8
+    1118023U,	// BTC32mr
+    135286599U,	// BTC32ri8
+    135286599U,	// BTC32rr
+    1134407U,	// BTC64mi8
+    1134407U,	// BTC64mr
+    135286599U,	// BTC64ri8
+    135286599U,	// BTC64rr
+    1088704U,	// BTR16mi8
+    1088704U,	// BTR16mr
+    135290048U,	// BTR16ri8
+    135290048U,	// BTR16rr
+    1121472U,	// BTR32mi8
+    1121472U,	// BTR32mr
+    135290048U,	// BTR32ri8
+    135290048U,	// BTR32rr
+    1137856U,	// BTR64mi8
+    1137856U,	// BTR64mr
+    135290048U,	// BTR64ri8
+    135290048U,	// BTR64rr
+    1089957U,	// BTS16mi8
+    1089957U,	// BTS16mr
+    135291301U,	// BTS16ri8
+    135291301U,	// BTS16rr
+    1122725U,	// BTS32mi8
+    1122725U,	// BTS32mr
+    135291301U,	// BTS32ri8
+    135291301U,	// BTS32rr
+    1139109U,	// BTS64mi8
+    1139109U,	// BTS64mr
+    135291301U,	// BTS64ri8
+    135291301U,	// BTS64rr
+    2249217905U,	// BZHI32rm
+    2282772337U,	// BZHI32rr
+    2316326769U,	// BZHI64rm
+    2282772337U,	// BZHI64rr
+    38976U,	// CALL16m
+    22592U,	// CALL16r
+    71744U,	// CALL32m
+    22592U,	// CALL32r
+    88128U,	// CALL64m
+    153664U,	// CALL64pcrel32
+    22592U,	// CALL64r
+    153664U,	// CALLpcrel16
+    153664U,	// CALLpcrel32
+    12238U,	// CBW
+    11846U,	// CDQ
+    11489U,	// CDQE
+    12033U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    11846U,	// CLAC
-    11878U,	// CLC
-    11928U,	// CLD
-    104076U,	// CLFLUSH
-    12117U,	// CLGI
-    12127U,	// CLI
-    12630U,	// CLTS
-    11882U,	// CMC
-    403721407U,	// CMOVA16rm
-    68177087U,	// CMOVA16rr
-    437275839U,	// CMOVA32rm
-    68177087U,	// CMOVA32rr
-    470830271U,	// CMOVA64rm
-    68177087U,	// CMOVA64rr
-    403723604U,	// CMOVAE16rm
-    68179284U,	// CMOVAE16rr
-    437278036U,	// CMOVAE32rm
-    68179284U,	// CMOVAE32rr
-    470832468U,	// CMOVAE64rm
-    68179284U,	// CMOVAE64rr
-    403721735U,	// CMOVB16rm
-    68177415U,	// CMOVB16rr
-    437276167U,	// CMOVB32rm
-    68177415U,	// CMOVB32rr
-    470830599U,	// CMOVB64rm
-    68177415U,	// CMOVB64rr
-    403723624U,	// CMOVBE16rm
-    68179304U,	// CMOVBE16rr
-    437278056U,	// CMOVBE32rm
-    68179304U,	// CMOVBE32rr
-    470832488U,	// CMOVBE64rm
-    68179304U,	// CMOVBE64rr
-    25854U,	// CMOVBE_F
+    11302U,	// CLAC
+    11334U,	// CLC
+    11384U,	// CLD
+    104284U,	// CLFLUSH
+    11604U,	// CLGI
+    11614U,	// CLI
+    12123U,	// CLTS
+    11338U,	// CMC
+    403721615U,	// CMOVA16rm
+    68177295U,	// CMOVA16rr
+    437276047U,	// CMOVA32rm
+    68177295U,	// CMOVA32rr
+    470830479U,	// CMOVA64rm
+    68177295U,	// CMOVA64rr
+    403723812U,	// CMOVAE16rm
+    68179492U,	// CMOVAE16rr
+    437278244U,	// CMOVAE32rm
+    68179492U,	// CMOVAE32rr
+    470832676U,	// CMOVAE64rm
+    68179492U,	// CMOVAE64rr
+    403721943U,	// CMOVB16rm
+    68177623U,	// CMOVB16rr
+    437276375U,	// CMOVB32rm
+    68177623U,	// CMOVB32rr
+    470830807U,	// CMOVB64rm
+    68177623U,	// CMOVB64rr
+    403723832U,	// CMOVBE16rm
+    68179512U,	// CMOVBE16rr
+    437278264U,	// CMOVBE32rm
+    68179512U,	// CMOVBE32rr
+    470832696U,	// CMOVBE64rm
+    68179512U,	// CMOVBE64rr
+    26062U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    25822U,	// CMOVB_F
+    26030U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    403723809U,	// CMOVE16rm
-    68179489U,	// CMOVE16rr
-    437278241U,	// CMOVE32rm
-    68179489U,	// CMOVE32rr
-    470832673U,	// CMOVE64rm
-    68179489U,	// CMOVE64rr
-    25886U,	// CMOVE_F
+    403724017U,	// CMOVE16rm
+    68179697U,	// CMOVE16rr
+    437278449U,	// CMOVE32rm
+    68179697U,	// CMOVE32rr
+    470832881U,	// CMOVE64rm
+    68179697U,	// CMOVE64rr
+    26094U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    403723859U,	// CMOVG16rm
-    68179539U,	// CMOVG16rr
-    437278291U,	// CMOVG32rm
-    68179539U,	// CMOVG32rr
-    470832723U,	// CMOVG64rm
-    68179539U,	// CMOVG64rr
-    403723660U,	// CMOVGE16rm
-    68179340U,	// CMOVGE16rr
-    437278092U,	// CMOVGE32rm
-    68179340U,	// CMOVGE32rr
-    470832524U,	// CMOVGE64rm
-    68179340U,	// CMOVGE64rr
-    403724227U,	// CMOVL16rm
-    68179907U,	// CMOVL16rr
-    437278659U,	// CMOVL32rm
-    68179907U,	// CMOVL32rr
-    470833091U,	// CMOVL64rm
-    68179907U,	// CMOVL64rr
-    403723684U,	// CMOVLE16rm
-    68179364U,	// CMOVLE16rr
-    437278116U,	// CMOVLE32rm
-    68179364U,	// CMOVLE32rr
-    470832548U,	// CMOVLE64rm
-    68179364U,	// CMOVLE64rr
-    25837U,	// CMOVNBE_F
+    403724067U,	// CMOVG16rm
+    68179747U,	// CMOVG16rr
+    437278499U,	// CMOVG32rm
+    68179747U,	// CMOVG32rr
+    470832931U,	// CMOVG64rm
+    68179747U,	// CMOVG64rr
+    403723868U,	// CMOVGE16rm
+    68179548U,	// CMOVGE16rr
+    437278300U,	// CMOVGE32rm
+    68179548U,	// CMOVGE32rr
+    470832732U,	// CMOVGE64rm
+    68179548U,	// CMOVGE64rr
+    403724435U,	// CMOVL16rm
+    68180115U,	// CMOVL16rr
+    437278867U,	// CMOVL32rm
+    68180115U,	// CMOVL32rr
+    470833299U,	// CMOVL64rm
+    68180115U,	// CMOVL64rr
+    403723892U,	// CMOVLE16rm
+    68179572U,	// CMOVLE16rr
+    437278324U,	// CMOVLE32rm
+    68179572U,	// CMOVLE32rr
+    470832756U,	// CMOVLE64rm
+    68179572U,	// CMOVLE64rr
+    26045U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    25806U,	// CMOVNB_F
+    26014U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    403723712U,	// CMOVNE16rm
-    68179392U,	// CMOVNE16rr
-    437278144U,	// CMOVNE32rm
-    68179392U,	// CMOVNE32rr
-    470832576U,	// CMOVNE64rm
-    68179392U,	// CMOVNE64rr
-    25870U,	// CMOVNE_F
+    403723920U,	// CMOVNE16rm
+    68179600U,	// CMOVNE16rr
+    437278352U,	// CMOVNE32rm
+    68179600U,	// CMOVNE32rr
+    470832784U,	// CMOVNE64rm
+    68179600U,	// CMOVNE64rr
+    26078U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    403724337U,	// CMOVNO16rm
-    68180017U,	// CMOVNO16rr
-    437278769U,	// CMOVNO32rm
-    68180017U,	// CMOVNO32rr
-    470833201U,	// CMOVNO64rm
-    68180017U,	// CMOVNO64rr
-    403724449U,	// CMOVNP16rm
-    68180129U,	// CMOVNP16rr
-    437278881U,	// CMOVNP32rm
-    68180129U,	// CMOVNP32rr
-    470833313U,	// CMOVNP64rm
-    68180129U,	// CMOVNP64rr
-    25901U,	// CMOVNP_F
+    403724545U,	// CMOVNO16rm
+    68180225U,	// CMOVNO16rr
+    437278977U,	// CMOVNO32rm
+    68180225U,	// CMOVNO32rr
+    470833409U,	// CMOVNO64rm
+    68180225U,	// CMOVNO64rr
+    403724657U,	// CMOVNP16rm
+    68180337U,	// CMOVNP16rr
+    437279089U,	// CMOVNP32rm
+    68180337U,	// CMOVNP32rr
+    470833521U,	// CMOVNP64rm
+    68180337U,	// CMOVNP64rr
+    26109U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    403725383U,	// CMOVNS16rm
-    68181063U,	// CMOVNS16rr
-    437279815U,	// CMOVNS32rm
-    68181063U,	// CMOVNS32rr
-    470834247U,	// CMOVNS64rm
-    68181063U,	// CMOVNS64rr
-    403724351U,	// CMOVO16rm
-    68180031U,	// CMOVO16rr
-    437278783U,	// CMOVO32rm
-    68180031U,	// CMOVO32rr
-    470833215U,	// CMOVO64rm
-    68180031U,	// CMOVO64rr
-    403724578U,	// CMOVP16rm
-    68180258U,	// CMOVP16rr
-    437279010U,	// CMOVP32rm
-    68180258U,	// CMOVP32rr
-    470833442U,	// CMOVP64rm
-    68180258U,	// CMOVP64rr
-    25917U,	// CMOVP_F
+    403725591U,	// CMOVNS16rm
+    68181271U,	// CMOVNS16rr
+    437280023U,	// CMOVNS32rm
+    68181271U,	// CMOVNS32rr
+    470834455U,	// CMOVNS64rm
+    68181271U,	// CMOVNS64rr
+    403724559U,	// CMOVO16rm
+    68180239U,	// CMOVO16rr
+    437278991U,	// CMOVO32rm
+    68180239U,	// CMOVO32rr
+    470833423U,	// CMOVO64rm
+    68180239U,	// CMOVO64rr
+    403724786U,	// CMOVP16rm
+    68180466U,	// CMOVP16rr
+    437279218U,	// CMOVP32rm
+    68180466U,	// CMOVP32rr
+    470833650U,	// CMOVP64rm
+    68180466U,	// CMOVP64rr
+    26125U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    403726560U,	// CMOVS16rm
-    68182240U,	// CMOVS16rr
-    437280992U,	// CMOVS32rm
-    68182240U,	// CMOVS32rr
-    470835424U,	// CMOVS64rm
-    68182240U,	// CMOVS64rr
-    10627U,	// CMOV_FR32
-    10940U,	// CMOV_FR64
-    10194U,	// CMOV_GR16
-    10174U,	// CMOV_GR32
-    11263U,	// CMOV_GR8
-    10607U,	// CMOV_RFP32
-    10920U,	// CMOV_RFP64
-    10214U,	// CMOV_RFP80
-    10527U,	// CMOV_V16F32
-    10761U,	// CMOV_V2F64
-    10821U,	// CMOV_V2I64
-    10507U,	// CMOV_V4F32
-    10781U,	// CMOV_V4F64
-    10841U,	// CMOV_V4I64
-    10548U,	// CMOV_V8F32
-    10801U,	// CMOV_V8F64
-    10861U,	// CMOV_V8I64
-    26119U,	// CMP16i16
-    1087603U,	// CMP16mi
-    1087603U,	// CMP16mi8
-    1087603U,	// CMP16mr
-    135288947U,	// CMP16ri
-    135288947U,	// CMP16ri8
-    370169971U,	// CMP16rm
-    135288947U,	// CMP16rr
-    135288947U,	// CMP16rr_REV
-    26284U,	// CMP32i32
-    1120371U,	// CMP32mi
-    1120371U,	// CMP32mi8
-    1120371U,	// CMP32mr
-    135288947U,	// CMP32ri
-    135288947U,	// CMP32ri8
-    101734515U,	// CMP32rm
-    135288947U,	// CMP32rr
-    135288947U,	// CMP32rr_REV
-    26399U,	// CMP64i32
-    1136755U,	// CMP64mi32
-    1136755U,	// CMP64mi8
-    1136755U,	// CMP64mr
-    135288947U,	// CMP64ri32
-    135288947U,	// CMP64ri8
-    168843379U,	// CMP64rm
-    135288947U,	// CMP64rr
-    135288947U,	// CMP64rr_REV
-    26007U,	// CMP8i8
-    1153139U,	// CMP8mi
-    1153139U,	// CMP8mr
-    135288947U,	// CMP8ri
-    504387699U,	// CMP8rm
-    135288947U,	// CMP8rr
-    135288947U,	// CMP8rr_REV
-    209891354U,	// CMPPDrmi
-    2349879825U,	// CMPPDrmi_alt
-    75690010U,	// CMPPDrri
-    2215662097U,	// CMPPDrri_alt
-    210939930U,	// CMPPSrmi
-    2349883063U,	// CMPPSrmi_alt
-    76738586U,	// CMPPSrri
-    2215665335U,	// CMPPSrri_alt
-    205745U,	// CMPS16
-    218106U,	// CMPS32
-    236233U,	// CMPS64
-    249209U,	// CMPS8
-    245542938U,	// CMPSDrm
-    2383434746U,	// CMPSDrm_alt
-    77787162U,	// CMPSDrr
-    2215662586U,	// CMPSDrr_alt
-    280145946U,	// CMPSSrm
-    2416992387U,	// CMPSSrm_alt
-    78835738U,	// CMPSSrr
-    2215665795U,	// CMPSSrr_alt
-    265414U,	// CMPXCHG16B
-    1087032U,	// CMPXCHG16rm
-    135288376U,	// CMPXCHG16rr
-    1119800U,	// CMPXCHG32rm
-    135288376U,	// CMPXCHG32rr
-    1136184U,	// CMPXCHG64rm
-    135288376U,	// CMPXCHG64rr
-    85202U,	// CMPXCHG8B
-    1152568U,	// CMPXCHG8rm
-    135288376U,	// CMPXCHG8rr
-    537940936U,	// COMISDrm
-    135287752U,	// COMISDrr
-    537944153U,	// COMISSrm
-    135290969U,	// COMISSrr
-    22654U,	// COMP_FST0r
-    22240U,	// COM_FIPr
-    22183U,	// COM_FIr
-    22479U,	// COM_FST0r
-    12602U,	// COS_F
+    403726768U,	// CMOVS16rm
+    68182448U,	// CMOVS16rr
+    437281200U,	// CMOVS32rm
+    68182448U,	// CMOVS32rr
+    470835632U,	// CMOVS64rm
+    68182448U,	// CMOVS64rr
+    10613U,	// CMOV_FR32
+    10772U,	// CMOV_FR64
+    10492U,	// CMOV_GR16
+    10472U,	// CMOV_GR32
+    10791U,	// CMOV_GR8
+    10593U,	// CMOV_RFP32
+    10752U,	// CMOV_RFP64
+    10512U,	// CMOV_RFP80
+    10552U,	// CMOV_V16F32
+    10632U,	// CMOV_V2F64
+    10692U,	// CMOV_V2I64
+    10532U,	// CMOV_V4F32
+    10652U,	// CMOV_V4F64
+    10712U,	// CMOV_V4I64
+    10573U,	// CMOV_V8F32
+    10672U,	// CMOV_V8F64
+    10732U,	// CMOV_V8I64
+    26327U,	// CMP16i16
+    1087811U,	// CMP16mi
+    1087811U,	// CMP16mi8
+    1087811U,	// CMP16mr
+    135289155U,	// CMP16ri
+    135289155U,	// CMP16ri8
+    370170179U,	// CMP16rm
+    135289155U,	// CMP16rr
+    135289155U,	// CMP16rr_REV
+    26492U,	// CMP32i32
+    1120579U,	// CMP32mi
+    1120579U,	// CMP32mi8
+    1120579U,	// CMP32mr
+    135289155U,	// CMP32ri
+    135289155U,	// CMP32ri8
+    101734723U,	// CMP32rm
+    135289155U,	// CMP32rr
+    135289155U,	// CMP32rr_REV
+    26607U,	// CMP64i32
+    1136963U,	// CMP64mi32
+    1136963U,	// CMP64mi8
+    1136963U,	// CMP64mr
+    135289155U,	// CMP64ri32
+    135289155U,	// CMP64ri8
+    168843587U,	// CMP64rm
+    135289155U,	// CMP64rr
+    135289155U,	// CMP64rr_REV
+    26215U,	// CMP8i8
+    1153347U,	// CMP8mi
+    1153347U,	// CMP8mr
+    135289155U,	// CMP8ri
+    504387907U,	// CMP8rm
+    135289155U,	// CMP8rr
+    135289155U,	// CMP8rr_REV
+    209890841U,	// CMPPDrmi
+    2349880033U,	// CMPPDrmi_alt
+    75689497U,	// CMPPDrri
+    2215662305U,	// CMPPDrri_alt
+    210939417U,	// CMPPSrmi
+    2349883271U,	// CMPPSrmi_alt
+    76738073U,	// CMPPSrri
+    2215665543U,	// CMPPSrri_alt
+    200265U,	// CMPSB
+    245542425U,	// CMPSDrm
+    2383434954U,	// CMPSDrm_alt
+    77786649U,	// CMPSDrr
+    2215662794U,	// CMPSDrr_alt
+    218314U,	// CMPSL
+    236441U,	// CMPSQ
+    280145433U,	// CMPSSrm
+    2416992595U,	// CMPSSrm_alt
+    78835225U,	// CMPSSrr
+    2215666003U,	// CMPSSrr_alt
+    255105U,	// CMPSW
+    265622U,	// CMPXCHG16B
+    1087240U,	// CMPXCHG16rm
+    135288584U,	// CMPXCHG16rr
+    1120008U,	// CMPXCHG32rm
+    135288584U,	// CMPXCHG32rr
+    1136392U,	// CMPXCHG64rm
+    135288584U,	// CMPXCHG64rr
+    85410U,	// CMPXCHG8B
+    1152776U,	// CMPXCHG8rm
+    135288584U,	// CMPXCHG8rr
+    537941144U,	// COMISDrm
+    135287960U,	// COMISDrr
+    537944361U,	// COMISSrm
+    135291177U,	// COMISSrr
+    22862U,	// COMP_FST0r
+    22448U,	// COM_FIPr
+    22391U,	// COM_FIr
+    22687U,	// COM_FST0r
+    12095U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    11922U,	// CPUID32
-    11922U,	// CPUID64
-    12292U,	// CQO
-    3197750U,	// CRC32r32m16
-    4246326U,	// CRC32r32m32
-    6343478U,	// CRC32r32m8
-    35703606U,	// CRC32r32r16
-    35703606U,	// CRC32r32r32
-    35703606U,	// CRC32r32r8
-    5294902U,	// CRC32r64m64
-    6343478U,	// CRC32r64m8
-    35703606U,	// CRC32r64r64
-    35703606U,	// CRC32r64r8
-    12476U,	// CS_PREFIX
-    168841347U,	// CVTDQ2PDrm
-    135286915U,	// CVTDQ2PDrr
-    336616744U,	// CVTDQ2PSrm
-    135290152U,	// CVTDQ2PSrr
-    537942394U,	// CVTPD2DQrm
-    135289210U,	// CVTPD2DQrr
-    537943292U,	// CVTPD2PSrm
-    135290108U,	// CVTPD2PSrr
-    537942426U,	// CVTPS2DQrm
-    135289242U,	// CVTPS2DQrr
-    571494542U,	// CVTPS2PDrm
-    135286926U,	// CVTPS2PDrr
-    571496214U,	// CVTSD2SI64rm
-    135288598U,	// CVTSD2SI64rr
-    571496214U,	// CVTSD2SIrm
-    135288598U,	// CVTSD2SIrr
-    571498428U,	// CVTSD2SSrm
-    135290812U,	// CVTSD2SSrr
-    168842019U,	// CVTSI2SD64rm
-    135287587U,	// CVTSI2SD64rr
-    101733155U,	// CVTSI2SDrm
-    135287587U,	// CVTSI2SDrr
-    168845255U,	// CVTSI2SS64rm
-    135290823U,	// CVTSI2SS64rr
-    101736391U,	// CVTSI2SSrm
-    135290823U,	// CVTSI2SSrr
-    605049658U,	// CVTSS2SDrm
-    135287610U,	// CVTSS2SDrr
-    605050669U,	// CVTSS2SI64rm
-    135288621U,	// CVTSS2SI64rr
-    605050669U,	// CVTSS2SIrm
-    135288621U,	// CVTSS2SIrr
-    537942382U,	// CVTTPD2DQrm
-    135289198U,	// CVTTPD2DQrr
-    537942414U,	// CVTTPS2DQrm
-    135289230U,	// CVTTPS2DQrr
-    571496202U,	// CVTTSD2SI64rm
-    135288586U,	// CVTTSD2SI64rr
-    571496202U,	// CVTTSD2SIrm
-    135288586U,	// CVTTSD2SIrr
-    605050657U,	// CVTTSS2SI64rm
-    135288609U,	// CVTTSS2SI64rr
-    605050657U,	// CVTTSS2SIrm
-    135288609U,	// CVTTSS2SIrr
-    11974U,	// CWD
-    12006U,	// CWDE
-    11781U,	// DAA
-    12462U,	// DAS
-    11662U,	// DATA16_PREFIX
-    36421U,	// DEC16m
-    20037U,	// DEC16r
-    20037U,	// DEC32_16r
-    20037U,	// DEC32_32r
-    69189U,	// DEC32m
-    20037U,	// DEC32r
-    36421U,	// DEC64_16m
-    20037U,	// DEC64_16r
-    69189U,	// DEC64_32m
-    20037U,	// DEC64_32r
-    85573U,	// DEC64m
-    20037U,	// DEC64r
-    101957U,	// DEC8m
-    20037U,	// DEC8r
-    41426U,	// DIV16m
-    25042U,	// DIV16r
-    74194U,	// DIV32m
-    25042U,	// DIV32r
-    90578U,	// DIV64m
-    25042U,	// DIV64r
-    106962U,	// DIV8m
-    25042U,	// DIV8r
-    202396260U,	// DIVPDrm
-    68178532U,	// DIVPDrr
-    202399531U,	// DIVPSrm
-    68181803U,	// DIVPSrr
-    121862U,	// DIVR_F32m
-    138246U,	// DIVR_F64m
-    39949U,	// DIVR_FI16m
-    72717U,	// DIVR_FI32m
-    22721U,	// DIVR_FPrST0
-    23558U,	// DIVR_FST0r
+    11378U,	// CPUID32
+    11378U,	// CPUID64
+    11779U,	// CQO
+    3197915U,	// CRC32r32m16
+    4246491U,	// CRC32r32m32
+    6343643U,	// CRC32r32m8
+    35703771U,	// CRC32r32r16
+    35703771U,	// CRC32r32r32
+    35703771U,	// CRC32r32r8
+    5295067U,	// CRC32r64m64
+    6343643U,	// CRC32r64m8
+    35703771U,	// CRC32r64r64
+    35703771U,	// CRC32r64r8
+    11963U,	// CS_PREFIX
+    168841555U,	// CVTDQ2PDrm
+    135287123U,	// CVTDQ2PDrr
+    336616952U,	// CVTDQ2PSrm
+    135290360U,	// CVTDQ2PSrr
+    537942602U,	// CVTPD2DQrm
+    135289418U,	// CVTPD2DQrr
+    537943500U,	// CVTPD2PSrm
+    135290316U,	// CVTPD2PSrr
+    537942634U,	// CVTPS2DQrm
+    135289450U,	// CVTPS2DQrr
+    571494750U,	// CVTPS2PDrm
+    135287134U,	// CVTPS2PDrr
+    571496422U,	// CVTSD2SI64rm
+    135288806U,	// CVTSD2SI64rr
+    571496422U,	// CVTSD2SIrm
+    135288806U,	// CVTSD2SIrr
+    571498636U,	// CVTSD2SSrm
+    135291020U,	// CVTSD2SSrr
+    168842227U,	// CVTSI2SD64rm
+    135287795U,	// CVTSI2SD64rr
+    101733363U,	// CVTSI2SDrm
+    135287795U,	// CVTSI2SDrr
+    168845463U,	// CVTSI2SS64rm
+    135291031U,	// CVTSI2SS64rr
+    101736599U,	// CVTSI2SSrm
+    135291031U,	// CVTSI2SSrr
+    605049866U,	// CVTSS2SDrm
+    135287818U,	// CVTSS2SDrr
+    605050877U,	// CVTSS2SI64rm
+    135288829U,	// CVTSS2SI64rr
+    605050877U,	// CVTSS2SIrm
+    135288829U,	// CVTSS2SIrr
+    537942590U,	// CVTTPD2DQrm
+    135289406U,	// CVTTPD2DQrr
+    537942622U,	// CVTTPS2DQrm
+    135289438U,	// CVTTPS2DQrr
+    571496410U,	// CVTTSD2SI64rm
+    135288794U,	// CVTTSD2SI64rr
+    571496410U,	// CVTTSD2SIrm
+    135288794U,	// CVTTSD2SIrr
+    605050865U,	// CVTTSS2SI64rm
+    135288817U,	// CVTTSS2SI64rr
+    605050865U,	// CVTTSS2SIrm
+    135288817U,	// CVTTSS2SIrr
+    11430U,	// CWD
+    11462U,	// CWDE
+    11237U,	// DAA
+    11949U,	// DAS
+    11118U,	// DATA16_PREFIX
+    36629U,	// DEC16m
+    20245U,	// DEC16r
+    20245U,	// DEC32_16r
+    20245U,	// DEC32_32r
+    69397U,	// DEC32m
+    20245U,	// DEC32r
+    36629U,	// DEC64_16m
+    20245U,	// DEC64_16r
+    69397U,	// DEC64_32m
+    20245U,	// DEC64_32r
+    85781U,	// DEC64m
+    20245U,	// DEC64r
+    102165U,	// DEC8m
+    20245U,	// DEC8r
+    41634U,	// DIV16m
+    25250U,	// DIV16r
+    74402U,	// DIV32m
+    25250U,	// DIV32r
+    90786U,	// DIV64m
+    25250U,	// DIV64r
+    107170U,	// DIV8m
+    25250U,	// DIV8r
+    202396468U,	// DIVPDrm
+    68178740U,	// DIVPDrr
+    202399739U,	// DIVPSrm
+    68182011U,	// DIVPSrr
+    122070U,	// DIVR_F32m
+    138454U,	// DIVR_F64m
+    40157U,	// DIVR_FI16m
+    72925U,	// DIVR_FI32m
+    22929U,	// DIVR_FPrST0
+    23766U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -754,21 +712,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    7363590U,	// DIVR_FrST0
-    235951138U,	// DIVSDrm
-    235951138U,	// DIVSDrm_Int
-    68178978U,	// DIVSDrr
-    68178978U,	// DIVSDrr_Int
-    269508789U,	// DIVSSrm
-    269508789U,	// DIVSSrm_Int
-    68182197U,	// DIVSSrr
-    68182197U,	// DIVSSrr_Int
-    123345U,	// DIV_F32m
-    139729U,	// DIV_F64m
-    41431U,	// DIV_FI16m
-    74199U,	// DIV_FI32m
-    22811U,	// DIV_FPrST0
-    25041U,	// DIV_FST0r
+    7363798U,	// DIVR_FrST0
+    235951346U,	// DIVSDrm
+    235951346U,	// DIVSDrm_Int
+    68179186U,	// DIVSDrr
+    68179186U,	// DIVSDrr_Int
+    269508997U,	// DIVSSrm
+    269508997U,	// DIVSSrm_Int
+    68182405U,	// DIVSSrr
+    68182405U,	// DIVSSrr_Int
+    123553U,	// DIV_F32m
+    139937U,	// DIV_F64m
+    41639U,	// DIV_FI16m
+    74407U,	// DIV_FI32m
+    23019U,	// DIV_FPrST0
+    25249U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -783,64 +741,66 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    7365073U,	// DIV_FrST0
-    2349879818U,	// DPPDrmi
-    2215662090U,	// DPPDrri
-    2349883056U,	// DPPSrmi
-    2215665328U,	// DPPSrri
-    12484U,	// DS_PREFIX
-    26511U,	// EH_RETURN
-    26511U,	// EH_RETURN64
-    11471U,	// EH_SjLj_LongJmp32
-    11575U,	// EH_SjLj_LongJmp64
-    11490U,	// EH_SjLj_SetJmp32
-    11594U,	// EH_SjLj_SetJmp64
-    153867U,	// EH_SjLj_Setup
-    135289746U,	// ENTER
-    12499U,	// ES_PREFIX
-    2148654810U,	// EXTRACTPSmr
-    2282774234U,	// EXTRACTPSrr
-    35707579U,	// EXTRQ
-    639687355U,	// EXTRQI
-    11452U,	// F2XM1
-    135288687U,	// FARCALL16i
-    284527U,	// FARCALL16m
-    135288687U,	// FARCALL32i
-    284527U,	// FARCALL32m
-    284527U,	// FARCALL64
-    135288952U,	// FARJMP16i
-    284792U,	// FARJMP16m
-    135288952U,	// FARJMP32i
-    284792U,	// FARJMP32m
-    284792U,	// FARJMP64
-    118614U,	// FBLDm
-    121039U,	// FBSTPm
-    120783U,	// FCOM32m
-    137167U,	// FCOM64m
-    120958U,	// FCOMP32m
-    137342U,	// FCOMP64m
-    12328U,	// FCOMPP
-    12343U,	// FDECSTP
-    12596U,	// FEMMS
-    21872U,	// FFREE
-    38869U,	// FICOM16m
-    71637U,	// FICOM32m
-    39045U,	// FICOMP16m
-    71813U,	// FICOMP32m
-    12351U,	// FINCSTP
-    41592U,	// FLDCW16m
-    123358U,	// FLDENVm
-    11978U,	// FLDL2E
-    12635U,	// FLDL2T
-    11556U,	// FLDLG2
-    11563U,	// FLDLN2
-    12131U,	// FLDPI
-    12942U,	// FNCLEX
-    12668U,	// FNINIT
-    12323U,	// FNOP
-    41599U,	// FNSTCW16m
-    12769U,	// FNSTSW16r
-    123843U,	// FNSTSWm
+    7365281U,	// DIV_FrST0
+    2349880026U,	// DPPDrmi
+    2215662298U,	// DPPDrri
+    2349883264U,	// DPPSrmi
+    2215665536U,	// DPPSrri
+    11971U,	// DS_PREFIX
+    26719U,	// EH_RETURN
+    26719U,	// EH_RETURN64
+    10927U,	// EH_SjLj_LongJmp32
+    11031U,	// EH_SjLj_LongJmp64
+    10946U,	// EH_SjLj_SetJmp32
+    11050U,	// EH_SjLj_SetJmp64
+    154075U,	// EH_SjLj_Setup
+    12083U,	// ENCLS
+    12205U,	// ENCLU
+    135289954U,	// ENTER
+    11986U,	// ES_PREFIX
+    2148655018U,	// EXTRACTPSmr
+    2282774442U,	// EXTRACTPSrr
+    35707787U,	// EXTRQ
+    639687563U,	// EXTRQI
+    10908U,	// F2XM1
+    135288895U,	// FARCALL16i
+    284735U,	// FARCALL16m
+    135288895U,	// FARCALL32i
+    284735U,	// FARCALL32m
+    284735U,	// FARCALL64
+    135289160U,	// FARJMP16i
+    285000U,	// FARJMP16m
+    135289160U,	// FARJMP32i
+    285000U,	// FARJMP32m
+    285000U,	// FARJMP64
+    118822U,	// FBLDm
+    121247U,	// FBSTPm
+    120991U,	// FCOM32m
+    137375U,	// FCOM64m
+    121166U,	// FCOMP32m
+    137550U,	// FCOMP64m
+    11815U,	// FCOMPP
+    11830U,	// FDECSTP
+    12089U,	// FEMMS
+    22080U,	// FFREE
+    39077U,	// FICOM16m
+    71845U,	// FICOM32m
+    39253U,	// FICOMP16m
+    72021U,	// FICOMP32m
+    11838U,	// FINCSTP
+    41800U,	// FLDCW16m
+    123566U,	// FLDENVm
+    11434U,	// FLDL2E
+    12128U,	// FLDL2T
+    11012U,	// FLDLG2
+    11019U,	// FLDLN2
+    11618U,	// FLDPI
+    12441U,	// FNCLEX
+    12161U,	// FNINIT
+    11810U,	// FNOP
+    41807U,	// FNSTCW16m
+    12268U,	// FNSTSW16r
+    124051U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -850,71 +810,70 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    12247U,	// FPATAN
-    12230U,	// FPREM
-    11445U,	// FPREM1
-    12254U,	// FPTAN
-    12687U,	// FRNDINT
-    121779U,	// FRSTORm
-    120337U,	// FSAVEm
-    12011U,	// FSCALE
-    12236U,	// FSETPM
-    12607U,	// FSINCOS
-    123366U,	// FSTENVm
-    12514U,	// FS_PREFIX
-    12225U,	// FXAM
-    285627U,	// FXRSTOR
-    281483U,	// FXRSTOR64
-    284185U,	// FXSAVE
-    281473U,	// FXSAVE64
-    12642U,	// FXTRACT
-    12763U,	// FYL2X
-    11458U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
-    202396153U,	// FsANDNPDrm
-    68178425U,	// FsANDNPDrr
-    202399383U,	// FsANDNPSrm
-    68181655U,	// FsANDNPSrr
-    202396017U,	// FsANDPDrm
-    68178289U,	// FsANDPDrr
-    202399235U,	// FsANDPSrm
-    68181507U,	// FsANDPSrr
+    11734U,	// FPATAN
+    11717U,	// FPREM
+    10901U,	// FPREM1
+    11741U,	// FPTAN
+    12180U,	// FRNDINT
+    121987U,	// FRSTORm
+    120545U,	// FSAVEm
+    11467U,	// FSCALE
+    11723U,	// FSETPM
+    12100U,	// FSINCOS
+    123574U,	// FSTENVm
+    12001U,	// FS_PREFIX
+    11712U,	// FXAM
+    285835U,	// FXRSTOR
+    281659U,	// FXRSTOR64
+    284393U,	// FXSAVE
+    281649U,	// FXSAVE64
+    12135U,	// FXTRACT
+    12262U,	// FYL2X
+    10914U,	// FYL2XP1
+    202396361U,	// FsANDNPDrm
+    68178633U,	// FsANDNPDrr
+    202399591U,	// FsANDNPSrm
+    68181863U,	// FsANDNPSrr
+    202396225U,	// FsANDPDrm
+    68178497U,	// FsANDPDrr
+    202399443U,	// FsANDPSrm
+    68181715U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    537940207U,	// FsMOVAPDrm
-    537943433U,	// FsMOVAPSrm
-    202396197U,	// FsORPDrm
-    68178469U,	// FsORPDrr
-    202399435U,	// FsORPSrm
-    68181707U,	// FsORPSrr
-    537940206U,	// FsVMOVAPDrm
-    537943432U,	// FsVMOVAPSrm
-    202396204U,	// FsXORPDrm
-    68178476U,	// FsXORPDrr
-    202399442U,	// FsXORPSrm
-    68181714U,	// FsXORPSrr
-    11866U,	// GETSEC
-    12529U,	// GS_PREFIX
-    202395979U,	// HADDPDrm
-    68178251U,	// HADDPDrr
-    202399197U,	// HADDPSrm
-    68181469U,	// HADDPSrr
-    12683U,	// HLT
-    202395928U,	// HSUBPDrm
-    68178200U,	// HSUBPDrr
-    202399146U,	// HSUBPSrm
-    68181418U,	// HSUBPSrr
-    41432U,	// IDIV16m
-    25048U,	// IDIV16r
-    74200U,	// IDIV32m
-    25048U,	// IDIV32r
-    90584U,	// IDIV64m
-    25048U,	// IDIV64r
-    106968U,	// IDIV8m
-    25048U,	// IDIV8r
-    36713U,	// ILD_F16m
-    69481U,	// ILD_F32m
-    85865U,	// ILD_F64m
+    537940415U,	// FsMOVAPDrm
+    537943641U,	// FsMOVAPSrm
+    202396405U,	// FsORPDrm
+    68178677U,	// FsORPDrr
+    202399643U,	// FsORPSrm
+    68181915U,	// FsORPSrr
+    537940414U,	// FsVMOVAPDrm
+    537943640U,	// FsVMOVAPSrm
+    202396412U,	// FsXORPDrm
+    68178684U,	// FsXORPDrr
+    202399650U,	// FsXORPSrm
+    68181922U,	// FsXORPSrr
+    11322U,	// GETSEC
+    12016U,	// GS_PREFIX
+    202396187U,	// HADDPDrm
+    68178459U,	// HADDPDrr
+    202399405U,	// HADDPSrm
+    68181677U,	// HADDPSrr
+    12176U,	// HLT
+    202396136U,	// HSUBPDrm
+    68178408U,	// HSUBPDrr
+    202399354U,	// HSUBPSrm
+    68181626U,	// HSUBPSrr
+    41640U,	// IDIV16m
+    25256U,	// IDIV16r
+    74408U,	// IDIV32m
+    25256U,	// IDIV32r
+    90792U,	// IDIV64m
+    25256U,	// IDIV64r
+    107176U,	// IDIV8m
+    25256U,	// IDIV8r
+    36921U,	// ILD_F16m
+    69689U,	// ILD_F32m
+    86073U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -924,79 +883,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    38845U,	// IMUL16m
-    22461U,	// IMUL16r
-    403724221U,	// IMUL16rm
-    2517653437U,	// IMUL16rmi
-    2517653437U,	// IMUL16rmi8
-    68179901U,	// IMUL16rr
-    2282772413U,	// IMUL16rri
-    2282772413U,	// IMUL16rri8
-    71613U,	// IMUL32m
-    22461U,	// IMUL32r
-    437278653U,	// IMUL32rm
-    2249217981U,	// IMUL32rmi
-    2249217981U,	// IMUL32rmi8
-    68179901U,	// IMUL32rr
-    2282772413U,	// IMUL32rri
-    2282772413U,	// IMUL32rri8
-    87997U,	// IMUL64m
-    22461U,	// IMUL64r
-    470833085U,	// IMUL64rm
-    2316326845U,	// IMUL64rmi32
-    2316326845U,	// IMUL64rmi8
-    68179901U,	// IMUL64rr
-    2282772413U,	// IMUL64rri32
-    2282772413U,	// IMUL64rri8
-    104381U,	// IMUL8m
-    22461U,	// IMUL8r
-    12886948U,	// IN16
-    26111U,	// IN16ri
-    12921U,	// IN16rr
-    12899300U,	// IN32
-    26275U,	// IN32ri
-    12931U,	// IN32rr
-    12914028U,	// IN8
-    25999U,	// IN8ri
-    12911U,	// IN8rr
-    36466U,	// INC16m
-    20082U,	// INC16r
-    20082U,	// INC32_16r
-    20082U,	// INC32_32r
-    69234U,	// INC32m
-    20082U,	// INC32r
-    36466U,	// INC64_16m
-    20082U,	// INC64_16r
-    69234U,	// INC64_32m
-    20082U,	// INC64_32r
-    85618U,	// INC64m
-    20082U,	// INC64r
-    102002U,	// INC8m
-    20082U,	// INC8r
-    2416991984U,	// INSERTPSrm
-    2215665392U,	// INSERTPSrr
-    35707633U,	// INSERTQ
-    2787171057U,	// INSERTQI
-    24883U,	// INT
-    11466U,	// INT1
-    11570U,	// INT3
-    12296U,	// INTO
-    11969U,	// INVD
-    336617789U,	// INVEPT32
-    336617789U,	// INVEPT64
-    104005U,	// INVLPG
-    12877U,	// INVLPGA32
-    12894U,	// INVLPGA64
-    336613188U,	// INVPCID32
-    336613188U,	// INVPCID64
-    336613197U,	// INVVPID32
-    336613197U,	// INVVPID64
-    12650U,	// IRET16
-    11957U,	// IRET32
-    12402U,	// IRET64
-    39139U,	// ISTT_FP16m
-    71907U,	// ISTT_FP32m
-    88291U,	// ISTT_FP64m
+    39053U,	// IMUL16m
+    22669U,	// IMUL16r
+    403724429U,	// IMUL16rm
+    2517653645U,	// IMUL16rmi
+    2517653645U,	// IMUL16rmi8
+    68180109U,	// IMUL16rr
+    2282772621U,	// IMUL16rri
+    2282772621U,	// IMUL16rri8
+    71821U,	// IMUL32m
+    22669U,	// IMUL32r
+    437278861U,	// IMUL32rm
+    2249218189U,	// IMUL32rmi
+    2249218189U,	// IMUL32rmi8
+    68180109U,	// IMUL32rr
+    2282772621U,	// IMUL32rri
+    2282772621U,	// IMUL32rri8
+    88205U,	// IMUL64m
+    22669U,	// IMUL64r
+    470833293U,	// IMUL64rm
+    2316327053U,	// IMUL64rmi32
+    2316327053U,	// IMUL64rmi8
+    68180109U,	// IMUL64rr
+    2282772621U,	// IMUL64rri32
+    2282772621U,	// IMUL64rri8
+    104589U,	// IMUL8m
+    22669U,	// IMUL8r
+    26319U,	// IN16ri
+    12420U,	// IN16rr
+    26483U,	// IN32ri
+    12430U,	// IN32rr
+    26207U,	// IN8ri
+    12410U,	// IN8rr
+    36674U,	// INC16m
+    20290U,	// INC16r
+    20290U,	// INC32_16r
+    20290U,	// INC32_32r
+    69442U,	// INC32m
+    20290U,	// INC32r
+    36674U,	// INC64_16m
+    20290U,	// INC64_16r
+    69442U,	// INC64_32m
+    20290U,	// INC64_32r
+    85826U,	// INC64m
+    20290U,	// INC64r
+    102210U,	// INC8m
+    20290U,	// INC8r
+    12881468U,	// INSB
+    2416992192U,	// INSERTPSrm
+    2215665600U,	// INSERTPSrr
+    35707841U,	// INSERTQ
+    2787171265U,	// INSERTQI
+    12899508U,	// INSL
+    12919924U,	// INSW
+    25091U,	// INT
+    10922U,	// INT1
+    11026U,	// INT3
+    11783U,	// INTO
+    11425U,	// INVD
+    336617997U,	// INVEPT32
+    336617997U,	// INVEPT64
+    104213U,	// INVLPG
+    12376U,	// INVLPGA32
+    12393U,	// INVLPGA64
+    336613396U,	// INVPCID32
+    336613396U,	// INVPCID64
+    336613405U,	// INVVPID32
+    336613405U,	// INVVPID64
+    12143U,	// IRET16
+    11413U,	// IRET32
+    11889U,	// IRET64
+    39347U,	// ISTT_FP16m
+    72115U,	// ISTT_FP32m
+    88499U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -1006,11 +965,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    41351U,	// IST_F16m
-    74119U,	// IST_F32m
-    39132U,	// IST_FP16m
-    71900U,	// IST_FP32m
-    88284U,	// IST_FP64m
+    41559U,	// IST_F16m
+    74327U,	// IST_F32m
+    39340U,	// IST_FP16m
+    72108U,	// IST_FP32m
+    88492U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -1020,213 +979,246 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    245542938U,	// Int_CMPSDrm
-    77787162U,	// Int_CMPSDrr
-    280145946U,	// Int_CMPSSrm
-    78835738U,	// Int_CMPSSrr
-    537940936U,	// Int_COMISDrm
-    135287752U,	// Int_COMISDrr
-    537944153U,	// Int_COMISSrm
-    135290969U,	// Int_COMISSrr
-    235954108U,	// Int_CVTSD2SSrm
-    68181948U,	// Int_CVTSD2SSrr
-    470831907U,	// Int_CVTSI2SD64rm
-    68178723U,	// Int_CVTSI2SD64rr
-    437277475U,	// Int_CVTSI2SDrm
-    68178723U,	// Int_CVTSI2SDrr
-    470835143U,	// Int_CVTSI2SS64rm
-    68181959U,	// Int_CVTSI2SS64rr
-    437280711U,	// Int_CVTSI2SSrm
-    68181959U,	// Int_CVTSI2SSrr
-    269505338U,	// Int_CVTSS2SDrm
-    68178746U,	// Int_CVTSS2SDrr
-    571496202U,	// Int_CVTTSD2SI64rm
-    135288586U,	// Int_CVTTSD2SI64rr
-    571496202U,	// Int_CVTTSD2SIrm
-    135288586U,	// Int_CVTTSD2SIrr
-    605050657U,	// Int_CVTTSS2SI64rm
-    135288609U,	// Int_CVTTSS2SI64rr
-    605050657U,	// Int_CVTTSS2SIrm
-    135288609U,	// Int_CVTTSS2SIrr
-    11750U,	// Int_MemBarrier
-    537940935U,	// Int_UCOMISDrm
-    135287751U,	// Int_UCOMISDrr
-    537944152U,	// Int_UCOMISSrm
-    135290968U,	// Int_UCOMISSrr
-    2292543518U,	// Int_VCMPSDrm
-    2292559902U,	// Int_VCMPSDrr
-    2293592094U,	// Int_VCMPSSrm
-    2293608478U,	// Int_VCMPSSrr
-    537940944U,	// Int_VCOMISDZrm
-    135287760U,	// Int_VCOMISDZrr
-    537940944U,	// Int_VCOMISDrm
-    135287760U,	// Int_VCOMISDrr
-    537944161U,	// Int_VCOMISSZrm
-    135290977U,	// Int_VCOMISSZrr
-    537944161U,	// Int_VCOMISSrm
-    135290977U,	// Int_VCOMISSrr
-    2282774459U,	// Int_VCVTSD2SSrm
-    2282774459U,	// Int_VCVTSD2SSrr
-    2282771234U,	// Int_VCVTSI2SD64Zrm
-    2282771234U,	// Int_VCVTSI2SD64Zrr
-    2282771234U,	// Int_VCVTSI2SD64rm
-    2282771234U,	// Int_VCVTSI2SD64rr
-    2282771234U,	// Int_VCVTSI2SDZrm
-    2282771234U,	// Int_VCVTSI2SDZrr
-    2282771234U,	// Int_VCVTSI2SDrm
-    2282771234U,	// Int_VCVTSI2SDrr
-    2282774470U,	// Int_VCVTSI2SS64Zrm
-    2282774470U,	// Int_VCVTSI2SS64Zrr
-    2282774470U,	// Int_VCVTSI2SS64rm
-    2282774470U,	// Int_VCVTSI2SS64rr
-    2282774470U,	// Int_VCVTSI2SSZrm
-    2282774470U,	// Int_VCVTSI2SSZrr
-    2282774470U,	// Int_VCVTSI2SSrm
-    2282774470U,	// Int_VCVTSI2SSrr
-    2282771257U,	// Int_VCVTSS2SDrm
-    2282771257U,	// Int_VCVTSS2SDrr
-    571491524U,	// Int_VCVTTSD2SI64Zrm
-    135283908U,	// Int_VCVTTSD2SI64Zrr
-    571496201U,	// Int_VCVTTSD2SI64rm
-    135288585U,	// Int_VCVTTSD2SI64rr
-    571491524U,	// Int_VCVTTSD2SIZrm
-    135283908U,	// Int_VCVTTSD2SIZrr
-    571496201U,	// Int_VCVTTSD2SIrm
-    135288585U,	// Int_VCVTTSD2SIrr
-    571491574U,	// Int_VCVTTSD2USI64Zrm
-    135283958U,	// Int_VCVTTSD2USI64Zrr
-    571491574U,	// Int_VCVTTSD2USIZrm
-    135283958U,	// Int_VCVTTSD2USIZrr
-    605045981U,	// Int_VCVTTSS2SI64Zrm
-    135283933U,	// Int_VCVTTSS2SI64Zrr
-    605050656U,	// Int_VCVTTSS2SI64rm
-    135288608U,	// Int_VCVTTSS2SI64rr
-    605045981U,	// Int_VCVTTSS2SIZrm
-    135283933U,	// Int_VCVTTSS2SIZrr
-    605050656U,	// Int_VCVTTSS2SIrm
-    135288608U,	// Int_VCVTTSS2SIrr
-    605046033U,	// Int_VCVTTSS2USI64Zrm
-    135283985U,	// Int_VCVTTSS2USI64Zrr
-    605046033U,	// Int_VCVTTSS2USIZrm
-    135283985U,	// Int_VCVTTSS2USIZrr
-    2282771245U,	// Int_VCVTUSI2SD64Zrm
-    2282771245U,	// Int_VCVTUSI2SD64Zrr
-    2282771245U,	// Int_VCVTUSI2SDZrm
-    2282771245U,	// Int_VCVTUSI2SDZrr
-    2282774481U,	// Int_VCVTUSI2SS64Zrm
-    2282774481U,	// Int_VCVTUSI2SS64Zrr
-    2282774481U,	// Int_VCVTUSI2SSZrm
-    2282774481U,	// Int_VCVTUSI2SSZrr
-    537940934U,	// Int_VUCOMISDZrm
-    135287750U,	// Int_VUCOMISDZrr
-    537940934U,	// Int_VUCOMISDrm
-    135287750U,	// Int_VUCOMISDrr
-    537944151U,	// Int_VUCOMISSZrm
-    135290967U,	// Int_VUCOMISSZrr
-    537944151U,	// Int_VUCOMISSrm
-    135290967U,	// Int_VUCOMISSrr
-    152904U,	// JAE_1
-    152904U,	// JAE_2
-    152904U,	// JAE_4
-    150676U,	// JA_1
-    150676U,	// JA_2
-    150676U,	// JA_4
-    152924U,	// JBE_1
-    152924U,	// JBE_2
-    152924U,	// JBE_4
-    150795U,	// JB_1
-    150795U,	// JB_2
-    150795U,	// JB_4
-    156865U,	// JCXZ
-    156858U,	// JECXZ_32
-    156858U,	// JECXZ_64
-    152980U,	// JE_1
-    152980U,	// JE_2
-    152980U,	// JE_4
-    152951U,	// JGE_1
-    152951U,	// JGE_2
-    152951U,	// JGE_4
-    153153U,	// JG_1
-    153153U,	// JG_2
-    153153U,	// JG_4
-    152984U,	// JLE_1
-    152984U,	// JLE_2
-    152984U,	// JLE_4
-    153451U,	// JL_1
-    153451U,	// JL_2
-    153451U,	// JL_4
-    39033U,	// JMP16m
-    22649U,	// JMP16r
-    71801U,	// JMP32m
-    22649U,	// JMP32r
-    88185U,	// JMP64m
-    22649U,	// JMP64r
-    153721U,	// JMP_1
-    153721U,	// JMP_2
-    153721U,	// JMP_4
-    153004U,	// JNE_1
-    153004U,	// JNE_2
-    153004U,	// JNE_4
-    153637U,	// JNO_1
-    153637U,	// JNO_2
-    153637U,	// JNO_4
-    153749U,	// JNP_1
-    153749U,	// JNP_2
-    153749U,	// JNP_4
-    154683U,	// JNS_1
-    154683U,	// JNS_2
-    154683U,	// JNS_4
-    153633U,	// JO_1
-    153633U,	// JO_2
-    153633U,	// JO_4
-    153704U,	// JP_1
-    153704U,	// JP_2
-    153704U,	// JP_4
-    156871U,	// JRCXZ
-    154679U,	// JS_1
-    154679U,	// JS_2
-    154679U,	// JS_4
-    2282769025U,	// KANDNWrr
-    2282768973U,	// KANDWrr
-    135285473U,	// KMOVWkk
-    370166497U,	// KMOVWkm
-    135285473U,	// KMOVWkr
-    1084129U,	// KMOVWmk
-    135285473U,	// KMOVWrk
-    135285454U,	// KNOTWrr
-    135285462U,	// KORTESTWrr
-    2282769067U,	// KORWrr
+    245542425U,	// Int_CMPSDrm
+    77786649U,	// Int_CMPSDrr
+    280145433U,	// Int_CMPSSrm
+    78835225U,	// Int_CMPSSrr
+    537941144U,	// Int_COMISDrm
+    135287960U,	// Int_COMISDrr
+    537944361U,	// Int_COMISSrm
+    135291177U,	// Int_COMISSrr
+    235954316U,	// Int_CVTSD2SSrm
+    68182156U,	// Int_CVTSD2SSrr
+    470832115U,	// Int_CVTSI2SD64rm
+    68178931U,	// Int_CVTSI2SD64rr
+    437277683U,	// Int_CVTSI2SDrm
+    68178931U,	// Int_CVTSI2SDrr
+    470835351U,	// Int_CVTSI2SS64rm
+    68182167U,	// Int_CVTSI2SS64rr
+    437280919U,	// Int_CVTSI2SSrm
+    68182167U,	// Int_CVTSI2SSrr
+    269505546U,	// Int_CVTSS2SDrm
+    68178954U,	// Int_CVTSS2SDrr
+    571496410U,	// Int_CVTTSD2SI64rm
+    135288794U,	// Int_CVTTSD2SI64rr
+    571496410U,	// Int_CVTTSD2SIrm
+    135288794U,	// Int_CVTTSD2SIrr
+    605050865U,	// Int_CVTTSS2SI64rm
+    135288817U,	// Int_CVTTSS2SI64rr
+    605050865U,	// Int_CVTTSS2SIrm
+    135288817U,	// Int_CVTTSS2SIrr
+    11206U,	// Int_MemBarrier
+    537941143U,	// Int_UCOMISDrm
+    135287959U,	// Int_UCOMISDrr
+    537944360U,	// Int_UCOMISSrm
+    135291176U,	// Int_UCOMISSrr
+    2292543005U,	// Int_VCMPSDrm
+    2292559389U,	// Int_VCMPSDrr
+    2293591581U,	// Int_VCMPSSrm
+    2293607965U,	// Int_VCMPSSrr
+    537941152U,	// Int_VCOMISDZrm
+    135287968U,	// Int_VCOMISDZrr
+    537941152U,	// Int_VCOMISDrm
+    135287968U,	// Int_VCOMISDrr
+    537944369U,	// Int_VCOMISSZrm
+    135291185U,	// Int_VCOMISSZrr
+    537944369U,	// Int_VCOMISSrm
+    135291185U,	// Int_VCOMISSrr
+    2282774667U,	// Int_VCVTSD2SSrm
+    2282774667U,	// Int_VCVTSD2SSrr
+    2282771442U,	// Int_VCVTSI2SD64Zrm
+    2282771442U,	// Int_VCVTSI2SD64Zrr
+    2282771442U,	// Int_VCVTSI2SD64rm
+    2282771442U,	// Int_VCVTSI2SD64rr
+    2282771442U,	// Int_VCVTSI2SDZrm
+    2282771442U,	// Int_VCVTSI2SDZrr
+    2282771442U,	// Int_VCVTSI2SDrm
+    2282771442U,	// Int_VCVTSI2SDrr
+    2282774678U,	// Int_VCVTSI2SS64Zrm
+    2282774678U,	// Int_VCVTSI2SS64Zrr
+    2282774678U,	// Int_VCVTSI2SS64rm
+    2282774678U,	// Int_VCVTSI2SS64rr
+    2282774678U,	// Int_VCVTSI2SSZrm
+    2282774678U,	// Int_VCVTSI2SSZrr
+    2282774678U,	// Int_VCVTSI2SSrm
+    2282774678U,	// Int_VCVTSI2SSrr
+    2282771465U,	// Int_VCVTSS2SDrm
+    2282771465U,	// Int_VCVTSS2SDrr
+    571491641U,	// Int_VCVTTSD2SI64Zrm
+    135284025U,	// Int_VCVTTSD2SI64Zrr
+    571496409U,	// Int_VCVTTSD2SI64rm
+    135288793U,	// Int_VCVTTSD2SI64rr
+    571491641U,	// Int_VCVTTSD2SIZrm
+    135284025U,	// Int_VCVTTSD2SIZrr
+    571496409U,	// Int_VCVTTSD2SIrm
+    135288793U,	// Int_VCVTTSD2SIrr
+    571491691U,	// Int_VCVTTSD2USI64Zrm
+    135284075U,	// Int_VCVTTSD2USI64Zrr
+    571491691U,	// Int_VCVTTSD2USIZrm
+    135284075U,	// Int_VCVTTSD2USIZrr
+    605046098U,	// Int_VCVTTSS2SI64Zrm
+    135284050U,	// Int_VCVTTSS2SI64Zrr
+    605050864U,	// Int_VCVTTSS2SI64rm
+    135288816U,	// Int_VCVTTSS2SI64rr
+    605046098U,	// Int_VCVTTSS2SIZrm
+    135284050U,	// Int_VCVTTSS2SIZrr
+    605050864U,	// Int_VCVTTSS2SIrm
+    135288816U,	// Int_VCVTTSS2SIrr
+    605046150U,	// Int_VCVTTSS2USI64Zrm
+    135284102U,	// Int_VCVTTSS2USI64Zrr
+    605046150U,	// Int_VCVTTSS2USIZrm
+    135284102U,	// Int_VCVTTSS2USIZrr
+    2282771453U,	// Int_VCVTUSI2SD64Zrm
+    2282771453U,	// Int_VCVTUSI2SD64Zrr
+    2282771453U,	// Int_VCVTUSI2SDZrm
+    2282771453U,	// Int_VCVTUSI2SDZrr
+    2282774689U,	// Int_VCVTUSI2SS64Zrm
+    2282774689U,	// Int_VCVTUSI2SS64Zrr
+    2282774689U,	// Int_VCVTUSI2SSZrm
+    2282774689U,	// Int_VCVTUSI2SSZrr
+    537941142U,	// Int_VUCOMISDZrm
+    135287958U,	// Int_VUCOMISDZrr
+    537941142U,	// Int_VUCOMISDrm
+    135287958U,	// Int_VUCOMISDrr
+    537944359U,	// Int_VUCOMISSZrm
+    135291175U,	// Int_VUCOMISSZrr
+    537944359U,	// Int_VUCOMISSrm
+    135291175U,	// Int_VUCOMISSrr
+    153112U,	// JAE_1
+    153112U,	// JAE_2
+    153112U,	// JAE_4
+    150884U,	// JA_1
+    150884U,	// JA_2
+    150884U,	// JA_4
+    153132U,	// JBE_1
+    153132U,	// JBE_2
+    153132U,	// JBE_4
+    151003U,	// JB_1
+    151003U,	// JB_2
+    151003U,	// JB_4
+    157073U,	// JCXZ
+    157066U,	// JECXZ_32
+    157066U,	// JECXZ_64
+    153188U,	// JE_1
+    153188U,	// JE_2
+    153188U,	// JE_4
+    153159U,	// JGE_1
+    153159U,	// JGE_2
+    153159U,	// JGE_4
+    153361U,	// JG_1
+    153361U,	// JG_2
+    153361U,	// JG_4
+    153192U,	// JLE_1
+    153192U,	// JLE_2
+    153192U,	// JLE_4
+    153659U,	// JL_1
+    153659U,	// JL_2
+    153659U,	// JL_4
+    39241U,	// JMP16m
+    22857U,	// JMP16r
+    72009U,	// JMP32m
+    22857U,	// JMP32r
+    88393U,	// JMP64m
+    22857U,	// JMP64r
+    153929U,	// JMP_1
+    153929U,	// JMP_2
+    153929U,	// JMP_4
+    153212U,	// JNE_1
+    153212U,	// JNE_2
+    153212U,	// JNE_4
+    153845U,	// JNO_1
+    153845U,	// JNO_2
+    153845U,	// JNO_4
+    153957U,	// JNP_1
+    153957U,	// JNP_2
+    153957U,	// JNP_4
+    154891U,	// JNS_1
+    154891U,	// JNS_2
+    154891U,	// JNS_4
+    153841U,	// JO_1
+    153841U,	// JO_2
+    153841U,	// JO_4
+    153912U,	// JP_1
+    153912U,	// JP_2
+    153912U,	// JP_4
+    157079U,	// JRCXZ
+    154887U,	// JS_1
+    154887U,	// JS_2
+    154887U,	// JS_4
+    2282766373U,	// KANDBrr
+    2282766587U,	// KANDDrr
+    2282766414U,	// KANDNBrr
+    2282766713U,	// KANDNDrr
+    2282768212U,	// KANDNQrr
+    2282769190U,	// KANDNWrr
+    2282767989U,	// KANDQrr
+    2282769138U,	// KANDWrr
+    135282840U,	// KMOVBkk
+    504381592U,	// KMOVBkm
+    135282840U,	// KMOVBkr
+    1147032U,	// KMOVBmk
+    135282840U,	// KMOVBrk
+    135283981U,	// KMOVDkk
+    101729549U,	// KMOVDkm
+    135283981U,	// KMOVDkr
+    1115405U,	// KMOVDmk
+    135283981U,	// KMOVDrk
+    135284810U,	// KMOVQkk
+    168839242U,	// KMOVQkm
+    135284810U,	// KMOVQkr
+    1132618U,	// KMOVQmk
+    135284810U,	// KMOVQrk
+    135285638U,	// KMOVWkk
+    370166662U,	// KMOVWkm
+    135285638U,	// KMOVWkr
+    1084294U,	// KMOVWmk
+    135285638U,	// KMOVWrk
+    135282832U,	// KNOTBrr
+    135283908U,	// KNOTDrr
+    135284737U,	// KNOTQrr
+    135285619U,	// KNOTWrr
+    2282766456U,	// KORBrr
+    2282767281U,	// KORDrr
+    2282768279U,	// KORQrr
+    135285627U,	// KORTESTWrr
+    2282769232U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    2282769014U,	// KSHIFTLWri
-    2282769091U,	// KSHIFTRWri
-    2282768962U,	// KUNPCKBWrr
-    2282769074U,	// KXNORWrr
-    2282769083U,	// KXORWrr
-    12082U,	// LAHF
-    370170739U,	// LAR16rm
-    135289715U,	// LAR16rr
-    370170739U,	// LAR32rm
-    135289715U,	// LAR32rr
-    370170739U,	// LAR64rm
-    135289715U,	// LAR64rr
-    1087032U,	// LCMPXCHG16
-    265414U,	// LCMPXCHG16B
-    1119800U,	// LCMPXCHG32
-    1136184U,	// LCMPXCHG64
-    1152568U,	// LCMPXCHG8
-    85202U,	// LCMPXCHG8B
-    336617908U,	// LDDQUrm
-    72663U,	// LDMXCSR
-    672160803U,	// LDS16rm
-    672160803U,	// LDS32rm
-    12949U,	// LD_F0
-    11440U,	// LD_F1
-    118620U,	// LD_F32m
-    135004U,	// LD_F64m
-    380764U,	// LD_F80m
+    2282769179U,	// KSHIFTLWri
+    2282769256U,	// KSHIFTRWri
+    2282769127U,	// KUNPCKBWrr
+    2282766463U,	// KXNORBrr
+    2282767288U,	// KXNORDrr
+    2282768286U,	// KXNORQrr
+    2282769239U,	// KXNORWrr
+    2282766472U,	// KXORBrr
+    2282767305U,	// KXORDrr
+    2282768303U,	// KXORQrr
+    2282769248U,	// KXORWrr
+    11569U,	// LAHF
+    370170947U,	// LAR16rm
+    135289923U,	// LAR16rr
+    370170947U,	// LAR32rm
+    135289923U,	// LAR32rr
+    370170947U,	// LAR64rm
+    135289923U,	// LAR64rr
+    1087240U,	// LCMPXCHG16
+    265622U,	// LCMPXCHG16B
+    1120008U,	// LCMPXCHG32
+    1136392U,	// LCMPXCHG64
+    1152776U,	// LCMPXCHG8
+    85410U,	// LCMPXCHG8B
+    336618116U,	// LDDQUrm
+    72871U,	// LDMXCSR
+    672161011U,	// LDS16rm
+    672161011U,	// LDS32rm
+    12448U,	// LD_F0
+    10896U,	// LD_F1
+    118828U,	// LD_F32m
+    135212U,	// LD_F64m
+    380972U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1239,582 +1231,583 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    20316U,	// LD_Frr
-    370166927U,	// LEA16r
-    101731471U,	// LEA32r
-    101731471U,	// LEA64_32r
-    168840335U,	// LEA64r
-    12069U,	// LEAVE
-    12069U,	// LEAVE64
-    672160808U,	// LES16rm
-    672160808U,	// LES32rm
-    11985U,	// LFENCE
-    672160813U,	// LFS16rm
-    672160813U,	// LFS32rm
-    672160813U,	// LFS64rm
-    286955U,	// LGDT16m
-    286955U,	// LGDT32m
-    286955U,	// LGDT64m
-    672160818U,	// LGS16rm
-    672160818U,	// LGS32rm
-    672160818U,	// LGS64rm
-    286967U,	// LIDT16m
-    286967U,	// LIDT32m
-    286967U,	// LIDT64m
-    41219U,	// LLDT16m
-    24835U,	// LLDT16r
-    41877U,	// LMSW16m
-    25493U,	// LMSW16r
-    1085143U,	// LOCK_ADD16mi
-    1085143U,	// LOCK_ADD16mi8
-    1085143U,	// LOCK_ADD16mr
-    1117911U,	// LOCK_ADD32mi
-    1117911U,	// LOCK_ADD32mi8
-    1117911U,	// LOCK_ADD32mr
-    1134295U,	// LOCK_ADD64mi32
-    1134295U,	// LOCK_ADD64mi8
-    1134295U,	// LOCK_ADD64mr
-    1150679U,	// LOCK_ADD8mi
-    1150679U,	// LOCK_ADD8mr
-    1085347U,	// LOCK_AND16mi
-    1085347U,	// LOCK_AND16mi8
-    1085347U,	// LOCK_AND16mr
-    1118115U,	// LOCK_AND32mi
-    1118115U,	// LOCK_AND32mi8
-    1118115U,	// LOCK_AND32mr
-    1134499U,	// LOCK_AND64mi32
-    1134499U,	// LOCK_AND64mi8
-    1134499U,	// LOCK_AND64mr
-    1150883U,	// LOCK_AND8mi
-    1150883U,	// LOCK_AND8mr
-    36421U,	// LOCK_DEC16m
-    69189U,	// LOCK_DEC32m
-    85573U,	// LOCK_DEC64m
-    101957U,	// LOCK_DEC8m
-    36466U,	// LOCK_INC16m
-    69234U,	// LOCK_INC32m
-    85618U,	// LOCK_INC64m
-    102002U,	// LOCK_INC8m
-    1088426U,	// LOCK_OR16mi
-    1088426U,	// LOCK_OR16mi8
-    1088426U,	// LOCK_OR16mr
-    1121194U,	// LOCK_OR32mi
-    1121194U,	// LOCK_OR32mi8
-    1121194U,	// LOCK_OR32mr
-    1137578U,	// LOCK_OR64mi32
-    1137578U,	// LOCK_OR64mi8
-    1137578U,	// LOCK_OR64mr
-    1153962U,	// LOCK_OR8mi
-    1153962U,	// LOCK_OR8mr
-    12156U,	// LOCK_PREFIX
-    1084903U,	// LOCK_SUB16mi
-    1084903U,	// LOCK_SUB16mi8
-    1084903U,	// LOCK_SUB16mr
-    1117671U,	// LOCK_SUB32mi
-    1117671U,	// LOCK_SUB32mi8
-    1117671U,	// LOCK_SUB32mr
-    1134055U,	// LOCK_SUB64mi32
-    1134055U,	// LOCK_SUB64mi8
-    1134055U,	// LOCK_SUB64mr
-    1150439U,	// LOCK_SUB8mi
-    1150439U,	// LOCK_SUB8mr
-    1088454U,	// LOCK_XOR16mi
-    1088454U,	// LOCK_XOR16mi8
-    1088454U,	// LOCK_XOR16mr
-    1121222U,	// LOCK_XOR32mi
-    1121222U,	// LOCK_XOR32mi8
-    1121222U,	// LOCK_XOR32mr
-    1137606U,	// LOCK_XOR64mi32
-    1137606U,	// LOCK_XOR64mi8
-    1137606U,	// LOCK_XOR64mr
-    1153990U,	// LOCK_XOR8mi
-    1153990U,	// LOCK_XOR8mr
-    402784U,	// LODSB
-    419468U,	// LODSL
-    436021U,	// LODSQ
-    452163U,	// LODSW
-    153774U,	// LOOP
-    153032U,	// LOOPE
-    153009U,	// LOOPNE
-    22061U,	// LRETIL
-    23139U,	// LRETIQ
-    22061U,	// LRETIW
-    12103U,	// LRETL
-    12376U,	// LRETQ
-    12103U,	// LRETW
-    370169770U,	// LSL16rm
-    135288746U,	// LSL16rr
-    101734314U,	// LSL32rm
-    135288746U,	// LSL32rr
-    168843178U,	// LSL64rm
-    135288746U,	// LSL64rr
-    672161901U,	// LSS16rm
-    672161901U,	// LSS32rm
-    672161901U,	// LSS64rm
-    39925U,	// LTRm
-    23541U,	// LTRr
-    462563U,	// LXADD16
-    478947U,	// LXADD32
-    495331U,	// LXADD64
-    511715U,	// LXADD8
-    370172197U,	// LZCNT16rm
-    135291173U,	// LZCNT16rr
-    101736741U,	// LZCNT32rm
-    135291173U,	// LZCNT32rr
-    168845605U,	// LZCNT64rm
-    135291173U,	// LZCNT64rr
-    135291324U,	// MASKMOVDQU
-    135291324U,	// MASKMOVDQU64
-    202396280U,	// MAXCPDrm
-    68178552U,	// MAXCPDrr
-    202399551U,	// MAXCPSrm
-    68181823U,	// MAXCPSrr
-    235951155U,	// MAXCSDrm
-    68178995U,	// MAXCSDrr
-    269508805U,	// MAXCSSrm
-    68182213U,	// MAXCSSrr
-    202396280U,	// MAXPDrm
-    68178552U,	// MAXPDrr
-    202399551U,	// MAXPSrm
-    68181823U,	// MAXPSrr
-    235951155U,	// MAXSDrm
-    235951155U,	// MAXSDrm_Int
-    68178995U,	// MAXSDrr
-    68178995U,	// MAXSDrr_Int
-    269508805U,	// MAXSSrm
-    269508805U,	// MAXSSrm_Int
-    68182213U,	// MAXSSrr
-    68182213U,	// MAXSSrr_Int
-    11992U,	// MFENCE
-    202396162U,	// MINCPDrm
-    68178434U,	// MINCPDrr
-    202399392U,	// MINCPSrm
-    68181664U,	// MINCPSrr
-    235951075U,	// MINCSDrm
-    68178915U,	// MINCSDrr
-    269508723U,	// MINCSSrm
-    68182131U,	// MINCSSrr
-    202396162U,	// MINPDrm
-    68178434U,	// MINPDrr
-    202399392U,	// MINPSrm
-    68181664U,	// MINPSrr
-    235951075U,	// MINSDrm
-    235951075U,	// MINSDrm_Int
-    68178915U,	// MINSDrr
-    68178915U,	// MINSDrr_Int
-    269508723U,	// MINSSrm
-    269508723U,	// MINSSrm_Int
-    68182131U,	// MINSSrr
-    68182131U,	// MINSSrr_Int
-    537941697U,	// MMX_CVTPD2PIirm
-    135288513U,	// MMX_CVTPD2PIirr
-    168841324U,	// MMX_CVTPI2PDirm
-    135286892U,	// MMX_CVTPI2PDirr
-    470834449U,	// MMX_CVTPI2PSirm
-    68181265U,	// MMX_CVTPI2PSirr
-    571496150U,	// MMX_CVTPS2PIirm
-    135288534U,	// MMX_CVTPS2PIirr
-    537941686U,	// MMX_CVTTPD2PIirm
-    135288502U,	// MMX_CVTTPD2PIirr
-    571496139U,	// MMX_CVTTPS2PIirm
-    135288523U,	// MMX_CVTTPS2PIirr
-    12597U,	// MMX_EMMS
-    135289646U,	// MMX_MASKMOVQ
-    135289646U,	// MMX_MASKMOVQ64
-    135287984U,	// MMX_MOVD64from64rr
-    135287984U,	// MMX_MOVD64grr
-    1119408U,	// MMX_MOVD64mr
-    101733552U,	// MMX_MOVD64rm
-    135287984U,	// MMX_MOVD64rr
-    135287984U,	// MMX_MOVD64to64rr
-    135289129U,	// MMX_MOVDQ2Qrr
-    135289129U,	// MMX_MOVFR642Qrr
-    1137377U,	// MMX_MOVNTQmr
-    135289220U,	// MMX_MOVQ2DQrr
-    135289220U,	// MMX_MOVQ2FR64rr
-    1137458U,	// MMX_MOVQ64mr
-    168844082U,	// MMX_MOVQ64rm
-    135289650U,	// MMX_MOVQ64rr
-    168840528U,	// MMX_PABSBrm64
-    135286096U,	// MMX_PABSBrr64
-    168842107U,	// MMX_PABSDrm64
-    135287675U,	// MMX_PABSDrr64
-    168846172U,	// MMX_PABSWrm64
-    135291740U,	// MMX_PABSWrr64
-    470835875U,	// MMX_PACKSSDWirm
-    68182691U,	// MMX_PACKSSDWirr
-    470830607U,	// MMX_PACKSSWBirm
-    68177423U,	// MMX_PACKSSWBirr
-    470830618U,	// MMX_PACKUSWBirm
-    68177434U,	// MMX_PACKUSWBirr
-    470830323U,	// MMX_PADDBirm
-    68177139U,	// MMX_PADDBirr
-    470830835U,	// MMX_PADDDirm
-    68177651U,	// MMX_PADDDirr
-    470833583U,	// MMX_PADDQirm
-    68180399U,	// MMX_PADDQirr
-    470830433U,	// MMX_PADDSBirm
-    68177249U,	// MMX_PADDSBirr
-    470836109U,	// MMX_PADDSWirm
-    68182925U,	// MMX_PADDSWirr
-    470830475U,	// MMX_PADDUSBirm
-    68177291U,	// MMX_PADDUSBirr
-    470836182U,	// MMX_PADDUSWirm
-    68182998U,	// MMX_PADDUSWirr
-    470835857U,	// MMX_PADDWirm
-    68182673U,	// MMX_PADDWirr
-    2618317727U,	// MMX_PALIGNR64irm
-    2215664543U,	// MMX_PALIGNR64irr
-    470833156U,	// MMX_PANDNirm
-    68179972U,	// MMX_PANDNirr
-    470831010U,	// MMX_PANDirm
-    68177826U,	// MMX_PANDirr
-    470830340U,	// MMX_PAVGBirm
-    68177156U,	// MMX_PAVGBirr
-    470835912U,	// MMX_PAVGWirm
-    68182728U,	// MMX_PAVGWirr
-    470830388U,	// MMX_PCMPEQBirm
-    68177204U,	// MMX_PCMPEQBirr
-    470831753U,	// MMX_PCMPEQDirm
-    68178569U,	// MMX_PCMPEQDirr
-    470836017U,	// MMX_PCMPEQWirm
-    68182833U,	// MMX_PCMPEQWirr
-    470830516U,	// MMX_PCMPGTBirm
-    68177332U,	// MMX_PCMPGTBirr
-    470832204U,	// MMX_PCMPGTDirm
-    68179020U,	// MMX_PCMPGTDirr
-    470836208U,	// MMX_PCMPGTWirm
-    68183024U,	// MMX_PCMPGTWirr
-    2282775379U,	// MMX_PEXTRWirri
-    470836099U,	// MMX_PHADDSWrm64
-    68182915U,	// MMX_PHADDSWrr64
-    470835848U,	// MMX_PHADDWrm64
-    68182664U,	// MMX_PHADDWrr64
-    470830826U,	// MMX_PHADDrm64
-    68177642U,	// MMX_PHADDrr64
-    470830767U,	// MMX_PHSUBDrm64
-    68177583U,	// MMX_PHSUBDrr64
-    470836080U,	// MMX_PHSUBSWrm64
-    68182896U,	// MMX_PHSUBSWrr64
-    470835794U,	// MMX_PHSUBWrm64
-    68182610U,	// MMX_PHSUBWrr64
-    2551210826U,	// MMX_PINSRWirmi
-    2215666506U,	// MMX_PINSRWirri
-    470836068U,	// MMX_PMADDUBSWrm64
-    68182884U,	// MMX_PMADDUBSWrr64
-    470832338U,	// MMX_PMADDWDirm
-    68179154U,	// MMX_PMADDWDirr
-    470836199U,	// MMX_PMAXSWirm
-    68183015U,	// MMX_PMAXSWirr
-    470830580U,	// MMX_PMAXUBirm
-    68177396U,	// MMX_PMAXUBirr
-    470836130U,	// MMX_PMINSWirm
-    68182946U,	// MMX_PMINSWirr
-    470830557U,	// MMX_PMINUBirm
-    68177373U,	// MMX_PMINUBirr
-    135286032U,	// MMX_PMOVMSKBrr
-    470836153U,	// MMX_PMULHRSWrm64
-    68182969U,	// MMX_PMULHRSWrr64
-    470836240U,	// MMX_PMULHUWirm
-    68183056U,	// MMX_PMULHUWirr
-    470835941U,	// MMX_PMULHWirm
-    68182757U,	// MMX_PMULHWirr
-    470835983U,	// MMX_PMULLWirm
-    68182799U,	// MMX_PMULLWirr
-    470833723U,	// MMX_PMULUDQirm
-    68180539U,	// MMX_PMULUDQirr
-    470834089U,	// MMX_PORirm
-    68180905U,	// MMX_PORirr
-    470835731U,	// MMX_PSADBWirm
-    68182547U,	// MMX_PSADBWirr
-    470830331U,	// MMX_PSHUFBrm64
-    68177147U,	// MMX_PSHUFBrr64
-    2316329663U,	// MMX_PSHUFWmi
-    2282775231U,	// MMX_PSHUFWri
-    470830379U,	// MMX_PSIGNBrm64
-    68177195U,	// MMX_PSIGNBrr64
-    470831025U,	// MMX_PSIGNDrm64
-    68177841U,	// MMX_PSIGNDrr64
-    470836008U,	// MMX_PSIGNWrm64
-    68182824U,	// MMX_PSIGNWrr64
-    68177776U,	// MMX_PSLLDri
-    470830960U,	// MMX_PSLLDrm
-    68177776U,	// MMX_PSLLDrr
-    68180595U,	// MMX_PSLLQri
-    470833779U,	// MMX_PSLLQrm
-    68180595U,	// MMX_PSLLQrr
-    68182791U,	// MMX_PSLLWri
-    470835975U,	// MMX_PSLLWrm
-    68182791U,	// MMX_PSLLWrr
-    68177554U,	// MMX_PSRADri
-    470830738U,	// MMX_PSRADrm
-    68177554U,	// MMX_PSRADrr
-    68182528U,	// MMX_PSRAWri
-    470835712U,	// MMX_PSRAWrm
-    68182528U,	// MMX_PSRAWrr
-    68177793U,	// MMX_PSRLDri
-    470830977U,	// MMX_PSRLDrm
-    68177793U,	// MMX_PSRLDrr
-    68180603U,	// MMX_PSRLQri
-    470833787U,	// MMX_PSRLQrm
-    68180603U,	// MMX_PSRLQrr
-    68182808U,	// MMX_PSRLWri
-    470835992U,	// MMX_PSRLWrm
-    68182808U,	// MMX_PSRLWrr
-    470830315U,	// MMX_PSUBBirm
-    68177131U,	// MMX_PSUBBirr
-    470830776U,	// MMX_PSUBDirm
-    68177592U,	// MMX_PSUBDirr
-    470833488U,	// MMX_PSUBQirm
-    68180304U,	// MMX_PSUBQirr
-    470830424U,	// MMX_PSUBSBirm
-    68177240U,	// MMX_PSUBSBirr
-    470836090U,	// MMX_PSUBSWirm
-    68182906U,	// MMX_PSUBSWirr
-    470830465U,	// MMX_PSUBUSBirm
-    68177281U,	// MMX_PSUBUSBirr
-    470836172U,	// MMX_PSUBUSWirm
-    68182988U,	// MMX_PSUBUSWirr
-    470835803U,	// MMX_PSUBWirm
-    68182619U,	// MMX_PSUBWirr
-    470835759U,	// MMX_PUNPCKHBWirm
-    68182575U,	// MMX_PUNPCKHBWirr
-    470833601U,	// MMX_PUNPCKHDQirm
-    68180417U,	// MMX_PUNPCKHDQirr
-    470832348U,	// MMX_PUNPCKHWDirm
-    68179164U,	// MMX_PUNPCKHWDirr
-    470835771U,	// MMX_PUNPCKLBWirm
-    68182587U,	// MMX_PUNPCKLBWirr
-    470833613U,	// MMX_PUNPCKLDQirm
-    68180429U,	// MMX_PUNPCKLDQirr
-    470832360U,	// MMX_PUNPCKLWDirm
-    68179176U,	// MMX_PUNPCKLWDirr
-    470834117U,	// MMX_PXORirm
-    68180933U,	// MMX_PXORirr
+    20524U,	// LD_Frr
+    370167135U,	// LEA16r
+    101731679U,	// LEA32r
+    101731679U,	// LEA64_32r
+    168840543U,	// LEA64r
+    11556U,	// LEAVE
+    11556U,	// LEAVE64
+    672161016U,	// LES16rm
+    672161016U,	// LES32rm
+    11441U,	// LFENCE
+    672161021U,	// LFS16rm
+    672161021U,	// LFS32rm
+    672161021U,	// LFS64rm
+    287163U,	// LGDT16m
+    287163U,	// LGDT32m
+    287163U,	// LGDT64m
+    672161026U,	// LGS16rm
+    672161026U,	// LGS32rm
+    672161026U,	// LGS64rm
+    287175U,	// LIDT16m
+    287175U,	// LIDT32m
+    287175U,	// LIDT64m
+    41427U,	// LLDT16m
+    25043U,	// LLDT16r
+    42085U,	// LMSW16m
+    25701U,	// LMSW16r
+    1085351U,	// LOCK_ADD16mi
+    1085351U,	// LOCK_ADD16mi8
+    1085351U,	// LOCK_ADD16mr
+    1118119U,	// LOCK_ADD32mi
+    1118119U,	// LOCK_ADD32mi8
+    1118119U,	// LOCK_ADD32mr
+    1134503U,	// LOCK_ADD64mi32
+    1134503U,	// LOCK_ADD64mi8
+    1134503U,	// LOCK_ADD64mr
+    1150887U,	// LOCK_ADD8mi
+    1150887U,	// LOCK_ADD8mr
+    1085555U,	// LOCK_AND16mi
+    1085555U,	// LOCK_AND16mi8
+    1085555U,	// LOCK_AND16mr
+    1118323U,	// LOCK_AND32mi
+    1118323U,	// LOCK_AND32mi8
+    1118323U,	// LOCK_AND32mr
+    1134707U,	// LOCK_AND64mi32
+    1134707U,	// LOCK_AND64mi8
+    1134707U,	// LOCK_AND64mr
+    1151091U,	// LOCK_AND8mi
+    1151091U,	// LOCK_AND8mr
+    36629U,	// LOCK_DEC16m
+    69397U,	// LOCK_DEC32m
+    85781U,	// LOCK_DEC64m
+    102165U,	// LOCK_DEC8m
+    36674U,	// LOCK_INC16m
+    69442U,	// LOCK_INC32m
+    85826U,	// LOCK_INC64m
+    102210U,	// LOCK_INC8m
+    1088634U,	// LOCK_OR16mi
+    1088634U,	// LOCK_OR16mi8
+    1088634U,	// LOCK_OR16mr
+    1121402U,	// LOCK_OR32mi
+    1121402U,	// LOCK_OR32mi8
+    1121402U,	// LOCK_OR32mr
+    1137786U,	// LOCK_OR64mi32
+    1137786U,	// LOCK_OR64mi8
+    1137786U,	// LOCK_OR64mr
+    1154170U,	// LOCK_OR8mi
+    1154170U,	// LOCK_OR8mr
+    11643U,	// LOCK_PREFIX
+    1085111U,	// LOCK_SUB16mi
+    1085111U,	// LOCK_SUB16mi8
+    1085111U,	// LOCK_SUB16mr
+    1117879U,	// LOCK_SUB32mi
+    1117879U,	// LOCK_SUB32mi8
+    1117879U,	// LOCK_SUB32mr
+    1134263U,	// LOCK_SUB64mi32
+    1134263U,	// LOCK_SUB64mi8
+    1134263U,	// LOCK_SUB64mr
+    1150647U,	// LOCK_SUB8mi
+    1150647U,	// LOCK_SUB8mr
+    1088662U,	// LOCK_XOR16mi
+    1088662U,	// LOCK_XOR16mi8
+    1088662U,	// LOCK_XOR16mr
+    1121430U,	// LOCK_XOR32mi
+    1121430U,	// LOCK_XOR32mi8
+    1121430U,	// LOCK_XOR32mr
+    1137814U,	// LOCK_XOR64mi32
+    1137814U,	// LOCK_XOR64mi8
+    1137814U,	// LOCK_XOR64mr
+    1154198U,	// LOCK_XOR8mi
+    1154198U,	// LOCK_XOR8mr
+    402992U,	// LODSB
+    419676U,	// LODSL
+    436229U,	// LODSQ
+    452371U,	// LODSW
+    153982U,	// LOOP
+    153240U,	// LOOPE
+    153217U,	// LOOPNE
+    22269U,	// LRETIL
+    23347U,	// LRETIQ
+    22269U,	// LRETIW
+    11590U,	// LRETL
+    11863U,	// LRETQ
+    11590U,	// LRETW
+    370169978U,	// LSL16rm
+    135288954U,	// LSL16rr
+    101734522U,	// LSL32rm
+    135288954U,	// LSL32rr
+    168843386U,	// LSL64rm
+    135288954U,	// LSL64rr
+    672162109U,	// LSS16rm
+    672162109U,	// LSS32rm
+    672162109U,	// LSS64rm
+    40133U,	// LTRm
+    23749U,	// LTRr
+    462771U,	// LXADD16
+    479155U,	// LXADD32
+    495539U,	// LXADD64
+    511923U,	// LXADD8
+    370172405U,	// LZCNT16rm
+    135291381U,	// LZCNT16rr
+    101736949U,	// LZCNT32rm
+    135291381U,	// LZCNT32rr
+    168845813U,	// LZCNT64rm
+    135291381U,	// LZCNT64rr
+    135291532U,	// MASKMOVDQU
+    135291532U,	// MASKMOVDQU64
+    202396488U,	// MAXCPDrm
+    68178760U,	// MAXCPDrr
+    202399759U,	// MAXCPSrm
+    68182031U,	// MAXCPSrr
+    235951363U,	// MAXCSDrm
+    68179203U,	// MAXCSDrr
+    269509013U,	// MAXCSSrm
+    68182421U,	// MAXCSSrr
+    202396488U,	// MAXPDrm
+    68178760U,	// MAXPDrr
+    202399759U,	// MAXPSrm
+    68182031U,	// MAXPSrr
+    235951363U,	// MAXSDrm
+    235951363U,	// MAXSDrm_Int
+    68179203U,	// MAXSDrr
+    68179203U,	// MAXSDrr_Int
+    269509013U,	// MAXSSrm
+    269509013U,	// MAXSSrm_Int
+    68182421U,	// MAXSSrr
+    68182421U,	// MAXSSrr_Int
+    11448U,	// MFENCE
+    202396370U,	// MINCPDrm
+    68178642U,	// MINCPDrr
+    202399600U,	// MINCPSrm
+    68181872U,	// MINCPSrr
+    235951283U,	// MINCSDrm
+    68179123U,	// MINCSDrr
+    269508931U,	// MINCSSrm
+    68182339U,	// MINCSSrr
+    202396370U,	// MINPDrm
+    68178642U,	// MINPDrr
+    202399600U,	// MINPSrm
+    68181872U,	// MINPSrr
+    235951283U,	// MINSDrm
+    235951283U,	// MINSDrm_Int
+    68179123U,	// MINSDrr
+    68179123U,	// MINSDrr_Int
+    269508931U,	// MINSSrm
+    269508931U,	// MINSSrm_Int
+    68182339U,	// MINSSrr
+    68182339U,	// MINSSrr_Int
+    537941905U,	// MMX_CVTPD2PIirm
+    135288721U,	// MMX_CVTPD2PIirr
+    168841532U,	// MMX_CVTPI2PDirm
+    135287100U,	// MMX_CVTPI2PDirr
+    470834657U,	// MMX_CVTPI2PSirm
+    68181473U,	// MMX_CVTPI2PSirr
+    571496358U,	// MMX_CVTPS2PIirm
+    135288742U,	// MMX_CVTPS2PIirr
+    537941894U,	// MMX_CVTTPD2PIirm
+    135288710U,	// MMX_CVTTPD2PIirr
+    571496347U,	// MMX_CVTTPS2PIirm
+    135288731U,	// MMX_CVTTPS2PIirr
+    12090U,	// MMX_EMMS
+    135289854U,	// MMX_MASKMOVQ
+    135289854U,	// MMX_MASKMOVQ64
+    135288192U,	// MMX_MOVD64from64rr
+    135288192U,	// MMX_MOVD64grr
+    1119616U,	// MMX_MOVD64mr
+    101733760U,	// MMX_MOVD64rm
+    135288192U,	// MMX_MOVD64rr
+    135288192U,	// MMX_MOVD64to64rr
+    135289337U,	// MMX_MOVDQ2Qrr
+    135289337U,	// MMX_MOVFR642Qrr
+    1137585U,	// MMX_MOVNTQmr
+    135289428U,	// MMX_MOVQ2DQrr
+    135289428U,	// MMX_MOVQ2FR64rr
+    1137666U,	// MMX_MOVQ64mr
+    168844290U,	// MMX_MOVQ64rm
+    135289858U,	// MMX_MOVQ64rr
+    135289858U,	// MMX_MOVQ64rr_REV
+    168840736U,	// MMX_PABSBrm64
+    135286304U,	// MMX_PABSBrr64
+    168842315U,	// MMX_PABSDrm64
+    135287883U,	// MMX_PABSDrr64
+    168846380U,	// MMX_PABSWrm64
+    135291948U,	// MMX_PABSWrr64
+    470836083U,	// MMX_PACKSSDWirm
+    68182899U,	// MMX_PACKSSDWirr
+    470830815U,	// MMX_PACKSSWBirm
+    68177631U,	// MMX_PACKSSWBirr
+    470830826U,	// MMX_PACKUSWBirm
+    68177642U,	// MMX_PACKUSWBirr
+    470830531U,	// MMX_PADDBirm
+    68177347U,	// MMX_PADDBirr
+    470831043U,	// MMX_PADDDirm
+    68177859U,	// MMX_PADDDirr
+    470833791U,	// MMX_PADDQirm
+    68180607U,	// MMX_PADDQirr
+    470830641U,	// MMX_PADDSBirm
+    68177457U,	// MMX_PADDSBirr
+    470836317U,	// MMX_PADDSWirm
+    68183133U,	// MMX_PADDSWirr
+    470830683U,	// MMX_PADDUSBirm
+    68177499U,	// MMX_PADDUSBirr
+    470836390U,	// MMX_PADDUSWirm
+    68183206U,	// MMX_PADDUSWirr
+    470836065U,	// MMX_PADDWirm
+    68182881U,	// MMX_PADDWirr
+    2618317935U,	// MMX_PALIGNR64irm
+    2215664751U,	// MMX_PALIGNR64irr
+    470833364U,	// MMX_PANDNirm
+    68180180U,	// MMX_PANDNirr
+    470831218U,	// MMX_PANDirm
+    68178034U,	// MMX_PANDirr
+    470830548U,	// MMX_PAVGBirm
+    68177364U,	// MMX_PAVGBirr
+    470836120U,	// MMX_PAVGWirm
+    68182936U,	// MMX_PAVGWirr
+    470830596U,	// MMX_PCMPEQBirm
+    68177412U,	// MMX_PCMPEQBirr
+    470831961U,	// MMX_PCMPEQDirm
+    68178777U,	// MMX_PCMPEQDirr
+    470836225U,	// MMX_PCMPEQWirm
+    68183041U,	// MMX_PCMPEQWirr
+    470830724U,	// MMX_PCMPGTBirm
+    68177540U,	// MMX_PCMPGTBirr
+    470832412U,	// MMX_PCMPGTDirm
+    68179228U,	// MMX_PCMPGTDirr
+    470836416U,	// MMX_PCMPGTWirm
+    68183232U,	// MMX_PCMPGTWirr
+    2282775587U,	// MMX_PEXTRWirri
+    470836307U,	// MMX_PHADDSWrm64
+    68183123U,	// MMX_PHADDSWrr64
+    470836056U,	// MMX_PHADDWrm64
+    68182872U,	// MMX_PHADDWrr64
+    470831034U,	// MMX_PHADDrm64
+    68177850U,	// MMX_PHADDrr64
+    470830975U,	// MMX_PHSUBDrm64
+    68177791U,	// MMX_PHSUBDrr64
+    470836288U,	// MMX_PHSUBSWrm64
+    68183104U,	// MMX_PHSUBSWrr64
+    470836002U,	// MMX_PHSUBWrm64
+    68182818U,	// MMX_PHSUBWrr64
+    2551211034U,	// MMX_PINSRWirmi
+    2215666714U,	// MMX_PINSRWirri
+    470836276U,	// MMX_PMADDUBSWrm64
+    68183092U,	// MMX_PMADDUBSWrr64
+    470832546U,	// MMX_PMADDWDirm
+    68179362U,	// MMX_PMADDWDirr
+    470836407U,	// MMX_PMAXSWirm
+    68183223U,	// MMX_PMAXSWirr
+    470830788U,	// MMX_PMAXUBirm
+    68177604U,	// MMX_PMAXUBirr
+    470836338U,	// MMX_PMINSWirm
+    68183154U,	// MMX_PMINSWirr
+    470830765U,	// MMX_PMINUBirm
+    68177581U,	// MMX_PMINUBirr
+    135286240U,	// MMX_PMOVMSKBrr
+    470836361U,	// MMX_PMULHRSWrm64
+    68183177U,	// MMX_PMULHRSWrr64
+    470836448U,	// MMX_PMULHUWirm
+    68183264U,	// MMX_PMULHUWirr
+    470836149U,	// MMX_PMULHWirm
+    68182965U,	// MMX_PMULHWirr
+    470836191U,	// MMX_PMULLWirm
+    68183007U,	// MMX_PMULLWirr
+    470833931U,	// MMX_PMULUDQirm
+    68180747U,	// MMX_PMULUDQirr
+    470834297U,	// MMX_PORirm
+    68181113U,	// MMX_PORirr
+    470835939U,	// MMX_PSADBWirm
+    68182755U,	// MMX_PSADBWirr
+    470830539U,	// MMX_PSHUFBrm64
+    68177355U,	// MMX_PSHUFBrr64
+    2316329871U,	// MMX_PSHUFWmi
+    2282775439U,	// MMX_PSHUFWri
+    470830587U,	// MMX_PSIGNBrm64
+    68177403U,	// MMX_PSIGNBrr64
+    470831233U,	// MMX_PSIGNDrm64
+    68178049U,	// MMX_PSIGNDrr64
+    470836216U,	// MMX_PSIGNWrm64
+    68183032U,	// MMX_PSIGNWrr64
+    68177984U,	// MMX_PSLLDri
+    470831168U,	// MMX_PSLLDrm
+    68177984U,	// MMX_PSLLDrr
+    68180803U,	// MMX_PSLLQri
+    470833987U,	// MMX_PSLLQrm
+    68180803U,	// MMX_PSLLQrr
+    68182999U,	// MMX_PSLLWri
+    470836183U,	// MMX_PSLLWrm
+    68182999U,	// MMX_PSLLWrr
+    68177762U,	// MMX_PSRADri
+    470830946U,	// MMX_PSRADrm
+    68177762U,	// MMX_PSRADrr
+    68182736U,	// MMX_PSRAWri
+    470835920U,	// MMX_PSRAWrm
+    68182736U,	// MMX_PSRAWrr
+    68178001U,	// MMX_PSRLDri
+    470831185U,	// MMX_PSRLDrm
+    68178001U,	// MMX_PSRLDrr
+    68180811U,	// MMX_PSRLQri
+    470833995U,	// MMX_PSRLQrm
+    68180811U,	// MMX_PSRLQrr
+    68183016U,	// MMX_PSRLWri
+    470836200U,	// MMX_PSRLWrm
+    68183016U,	// MMX_PSRLWrr
+    470830523U,	// MMX_PSUBBirm
+    68177339U,	// MMX_PSUBBirr
+    470830984U,	// MMX_PSUBDirm
+    68177800U,	// MMX_PSUBDirr
+    470833696U,	// MMX_PSUBQirm
+    68180512U,	// MMX_PSUBQirr
+    470830632U,	// MMX_PSUBSBirm
+    68177448U,	// MMX_PSUBSBirr
+    470836298U,	// MMX_PSUBSWirm
+    68183114U,	// MMX_PSUBSWirr
+    470830673U,	// MMX_PSUBUSBirm
+    68177489U,	// MMX_PSUBUSBirr
+    470836380U,	// MMX_PSUBUSWirm
+    68183196U,	// MMX_PSUBUSWirr
+    470836011U,	// MMX_PSUBWirm
+    68182827U,	// MMX_PSUBWirr
+    470835967U,	// MMX_PUNPCKHBWirm
+    68182783U,	// MMX_PUNPCKHBWirr
+    470833809U,	// MMX_PUNPCKHDQirm
+    68180625U,	// MMX_PUNPCKHDQirr
+    470832556U,	// MMX_PUNPCKHWDirm
+    68179372U,	// MMX_PUNPCKHWDirr
+    470835979U,	// MMX_PUNPCKLBWirm
+    68182795U,	// MMX_PUNPCKLBWirr
+    470833821U,	// MMX_PUNPCKLDQirm
+    68180637U,	// MMX_PUNPCKLDQirr
+    470832568U,	// MMX_PUNPCKLWDirm
+    68179384U,	// MMX_PUNPCKLWDirr
+    470834325U,	// MMX_PXORirm
+    68181141U,	// MMX_PXORirr
     0U,	// MONITOR
-    12428U,	// MONITORrrr
-    12217U,	// MONTMUL
+    11915U,	// MONITORrrr
+    11704U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    14164466U,	// MOV16ao16
-    14164466U,	// MOV16ao16_16
-    1090034U,	// MOV16mi
-    1090034U,	// MOV16mr
-    1090034U,	// MOV16ms
-    534063U,	// MOV16o16a
-    534063U,	// MOV16o16a_16
-    135291378U,	// MOV16ri
-    135291378U,	// MOV16ri_alt
-    370172402U,	// MOV16rm
-    135291378U,	// MOV16rr
-    135291378U,	// MOV16rr_REV
-    135291378U,	// MOV16rs
-    370172402U,	// MOV16sm
-    135291378U,	// MOV16sr
-    15229426U,	// MOV32ao32
-    15229426U,	// MOV32ao32_16
-    135291378U,	// MOV32cr
-    135291378U,	// MOV32dr
-    1122802U,	// MOV32mi
-    1122802U,	// MOV32mr
-    1122802U,	// MOV32ms
-    550616U,	// MOV32o32a
-    550616U,	// MOV32o32a_16
+    14164674U,	// MOV16ao16
+    14164674U,	// MOV16ao16_16
+    1090242U,	// MOV16mi
+    1090242U,	// MOV16mr
+    1090242U,	// MOV16ms
+    534271U,	// MOV16o16a
+    534271U,	// MOV16o16a_16
+    135291586U,	// MOV16ri
+    135291586U,	// MOV16ri_alt
+    370172610U,	// MOV16rm
+    135291586U,	// MOV16rr
+    135291586U,	// MOV16rr_REV
+    135291586U,	// MOV16rs
+    370172610U,	// MOV16sm
+    135291586U,	// MOV16sr
+    15229634U,	// MOV32ao32
+    15229634U,	// MOV32ao32_16
+    135291586U,	// MOV32cr
+    135291586U,	// MOV32dr
+    1123010U,	// MOV32mi
+    1123010U,	// MOV32mr
+    1123010U,	// MOV32ms
+    550824U,	// MOV32o32a
+    550824U,	// MOV32o32a_16
     0U,	// MOV32r0
-    135291378U,	// MOV32rc
-    135291378U,	// MOV32rd
-    135291378U,	// MOV32ri
+    135291586U,	// MOV32rc
+    135291586U,	// MOV32rd
+    135291586U,	// MOV32ri
     0U,	// MOV32ri64
-    135291378U,	// MOV32ri_alt
-    101736946U,	// MOV32rm
-    135291378U,	// MOV32rr
-    135291378U,	// MOV32rr_REV
-    135291378U,	// MOV32rs
-    101736946U,	// MOV32sm
-    135291378U,	// MOV32sr
-    14162965U,	// MOV64ao16
-    15227925U,	// MOV64ao32
-    16292885U,	// MOV64ao64
-    17357845U,	// MOV64ao8
-    135291378U,	// MOV64cr
-    135291378U,	// MOV64dr
-    1139186U,	// MOV64mi32
-    1139186U,	// MOV64mr
-    1139186U,	// MOV64ms
-    534041U,	// MOV64o16a
-    550592U,	// MOV64o32a
-    567115U,	// MOV64o64a
-    583081U,	// MOV64o8a
-    135291378U,	// MOV64rc
-    135291378U,	// MOV64rd
-    135289877U,	// MOV64ri
-    135291378U,	// MOV64ri32
-    168845810U,	// MOV64rm
-    135291378U,	// MOV64rr
-    135291378U,	// MOV64rr_REV
-    135291378U,	// MOV64rs
-    168845810U,	// MOV64sm
-    135291378U,	// MOV64sr
-    135289650U,	// MOV64toPQIrr
-    168844082U,	// MOV64toSDrm
-    135289650U,	// MOV64toSDrr
-    17359346U,	// MOV8ao8
-    17359346U,	// MOV8ao8_16
-    1155570U,	// MOV8mi
-    1155570U,	// MOV8mr
-    1155570U,	// MOV8mr_NOREX
-    583103U,	// MOV8o8a
-    583103U,	// MOV8o8a_16
-    135291378U,	// MOV8ri
-    135291378U,	// MOV8ri_alt
-    504390130U,	// MOV8rm
-    504390130U,	// MOV8rm_NOREX
-    135291378U,	// MOV8rr
-    135291378U,	// MOV8rr_NOREX
-    135291378U,	// MOV8rr_REV
-    594159U,	// MOVAPDmr
-    537940207U,	// MOVAPDrm
-    135287023U,	// MOVAPDrr
-    135287023U,	// MOVAPDrr_REV
-    597385U,	// MOVAPSmr
-    537943433U,	// MOVAPSrm
-    135290249U,	// MOVAPSrr
-    135290249U,	// MOVAPSrr_REV
-    1086825U,	// MOVBE16mr
-    370169193U,	// MOVBE16rm
-    1119593U,	// MOVBE32mr
-    101733737U,	// MOVBE32rm
-    1135977U,	// MOVBE64mr
-    168842601U,	// MOVBE64rm
-    571496684U,	// MOVDDUPrm
-    135289068U,	// MOVDDUPrr
-    101733552U,	// MOVDI2PDIrm
-    135287984U,	// MOVDI2PDIrr
-    101733552U,	// MOVDI2SSrm
-    135287984U,	// MOVDI2SSrr
-    1313956U,	// MOVDQAmr
-    336612516U,	// MOVDQArm
-    135285924U,	// MOVDQArr
-    135285924U,	// MOVDQArr_REV
-    1319360U,	// MOVDQUmr
-    336617920U,	// MOVDQUrm
-    135291328U,	// MOVDQUrr
-    135291328U,	// MOVDQUrr_REV
-    68181597U,	// MOVHLPSrr
-    1184173U,	// MOVHPDmr
-    235950509U,	// MOVHPDrm
-    1187401U,	// MOVHPSmr
-    235953737U,	// MOVHPSrm
-    68181567U,	// MOVLHPSrr
-    1184223U,	// MOVLPDmr
-    235950559U,	// MOVLPDrm
-    1187461U,	// MOVLPSmr
-    235953797U,	// MOVLPSrm
-    135287222U,	// MOVMSKPDrr
-    135290450U,	// MOVMSKPSrr
-    336612505U,	// MOVNTDQArm
-    596518U,	// MOVNTDQmr
-    1136445U,	// MOVNTI_64mr
-    1120061U,	// MOVNTImr
-    594484U,	// MOVNTPDmr
-    597734U,	// MOVNTPSmr
-    1184769U,	// MOVNTSD
-    1171594U,	// MOVNTSS
+    135291586U,	// MOV32ri_alt
+    101737154U,	// MOV32rm
+    135291586U,	// MOV32rr
+    135291586U,	// MOV32rr_REV
+    135291586U,	// MOV32rs
+    101737154U,	// MOV32sm
+    135291586U,	// MOV32sr
+    14163173U,	// MOV64ao16
+    15228133U,	// MOV64ao32
+    16293093U,	// MOV64ao64
+    17358053U,	// MOV64ao8
+    135291586U,	// MOV64cr
+    135291586U,	// MOV64dr
+    1139394U,	// MOV64mi32
+    1139394U,	// MOV64mr
+    1139394U,	// MOV64ms
+    534249U,	// MOV64o16a
+    550800U,	// MOV64o32a
+    567323U,	// MOV64o64a
+    583289U,	// MOV64o8a
+    135291586U,	// MOV64rc
+    135291586U,	// MOV64rd
+    135290085U,	// MOV64ri
+    135291586U,	// MOV64ri32
+    168846018U,	// MOV64rm
+    135291586U,	// MOV64rr
+    135291586U,	// MOV64rr_REV
+    135291586U,	// MOV64rs
+    168846018U,	// MOV64sm
+    135291586U,	// MOV64sr
+    135289858U,	// MOV64toPQIrr
+    168844290U,	// MOV64toSDrm
+    135289858U,	// MOV64toSDrr
+    17359554U,	// MOV8ao8
+    17359554U,	// MOV8ao8_16
+    1155778U,	// MOV8mi
+    1155778U,	// MOV8mr
+    1155778U,	// MOV8mr_NOREX
+    583311U,	// MOV8o8a
+    583311U,	// MOV8o8a_16
+    135291586U,	// MOV8ri
+    135291586U,	// MOV8ri_alt
+    504390338U,	// MOV8rm
+    504390338U,	// MOV8rm_NOREX
+    135291586U,	// MOV8rr
+    135291586U,	// MOV8rr_NOREX
+    135291586U,	// MOV8rr_REV
+    1642943U,	// MOVAPDmr
+    537940415U,	// MOVAPDrm
+    135287231U,	// MOVAPDrr
+    135287231U,	// MOVAPDrr_REV
+    1646169U,	// MOVAPSmr
+    537943641U,	// MOVAPSrm
+    135290457U,	// MOVAPSrr
+    135290457U,	// MOVAPSrr_REV
+    1087033U,	// MOVBE16mr
+    370169401U,	// MOVBE16rm
+    1119801U,	// MOVBE32mr
+    101733945U,	// MOVBE32rm
+    1136185U,	// MOVBE64mr
+    168842809U,	// MOVBE64rm
+    571496892U,	// MOVDDUPrm
+    135289276U,	// MOVDDUPrr
+    101733760U,	// MOVDI2PDIrm
+    135288192U,	// MOVDI2PDIrr
+    101733760U,	// MOVDI2SSrm
+    135288192U,	// MOVDI2SSrr
+    1314164U,	// MOVDQAmr
+    336612724U,	// MOVDQArm
+    135286132U,	// MOVDQArr
+    135286132U,	// MOVDQArr_REV
+    1319568U,	// MOVDQUmr
+    336618128U,	// MOVDQUrm
+    135291536U,	// MOVDQUrr
+    135291536U,	// MOVDQUrr_REV
+    68181805U,	// MOVHLPSrr
+    1184381U,	// MOVHPDmr
+    235950717U,	// MOVHPDrm
+    1187609U,	// MOVHPSmr
+    235953945U,	// MOVHPSrm
+    68181775U,	// MOVLHPSrr
+    1184431U,	// MOVLPDmr
+    235950767U,	// MOVLPDrm
+    1187669U,	// MOVLPSmr
+    235954005U,	// MOVLPSrm
+    135287430U,	// MOVMSKPDrr
+    135290658U,	// MOVMSKPSrr
+    336612713U,	// MOVNTDQArm
+    1645302U,	// MOVNTDQmr
+    1136653U,	// MOVNTI_64mr
+    1120269U,	// MOVNTImr
+    1643268U,	// MOVNTPDmr
+    1646518U,	// MOVNTPSmr
+    1184977U,	// MOVNTSD
+    1171802U,	// MOVNTSS
     0U,	// MOVPC32r
-    1119408U,	// MOVPDI2DImr
-    135287984U,	// MOVPDI2DIrr
-    1137458U,	// MOVPQI2QImr
-    135289650U,	// MOVPQI2QIrr
-    135289650U,	// MOVPQIto64rr
-    168844082U,	// MOVQI2PQIrm
-    706022813U,	// MOVSB
-    1184810U,	// MOVSDmr
-    571495466U,	// MOVSDrm
-    68178986U,	// MOVSDrr
-    68178986U,	// MOVSDrr_REV
-    1137458U,	// MOVSDto64mr
-    135289650U,	// MOVSDto64rr
-    537942262U,	// MOVSHDUPrm
-    135289078U,	// MOVSHDUPrr
-    739562538U,	// MOVSL
-    537942273U,	// MOVSLDUPrm
-    135289089U,	// MOVSLDUPrr
-    773413584U,	// MOVSQ
-    1119408U,	// MOVSS2DImr
-    135287984U,	// MOVSS2DIrr
-    1171645U,	// MOVSSmr
-    605053117U,	// MOVSSrm
-    68182205U,	// MOVSSrr
-    68182205U,	// MOVSSrr_REV
-    806659039U,	// MOVSW
-    504390828U,	// MOVSX16rm8
-    135292076U,	// MOVSX16rr8
-    370173100U,	// MOVSX32rm16
-    504390828U,	// MOVSX32rm8
-    135292076U,	// MOVSX32rr16
-    135292076U,	// MOVSX32rr8
-    370173100U,	// MOVSX64rm16
-    101733696U,	// MOVSX64rm32
-    504390828U,	// MOVSX64rm8
-    135292076U,	// MOVSX64rr16
-    135288128U,	// MOVSX64rr32
-    135292076U,	// MOVSX64rr8
-    594512U,	// MOVUPDmr
-    537940560U,	// MOVUPDrm
-    135287376U,	// MOVUPDrr
-    135287376U,	// MOVUPDrr_REV
-    597783U,	// MOVUPSmr
-    537943831U,	// MOVUPSrm
-    135290647U,	// MOVUPSrr
-    135290647U,	// MOVUPSrr_REV
-    336616242U,	// MOVZPQILo2PQIrm
-    135289650U,	// MOVZPQILo2PQIrr
-    168844082U,	// MOVZQI2PQIrm
-    135289650U,	// MOVZQI2PQIrr
-    504390835U,	// MOVZX16rm8
-    135292083U,	// MOVZX16rr8
-    504390835U,	// MOVZX32_NOREXrm8
-    135292083U,	// MOVZX32_NOREXrr8
-    370173107U,	// MOVZX32rm16
-    504390835U,	// MOVZX32rm8
-    135292083U,	// MOVZX32rr16
-    135292083U,	// MOVZX32rr8
-    370173107U,	// MOVZX64rm16_Q
-    504390835U,	// MOVZX64rm8_Q
-    135292083U,	// MOVZX64rr16_Q
-    135292083U,	// MOVZX64rr8_Q
-    2450547218U,	// MPSADBWrmi
-    2215666194U,	// MPSADBWrri
-    38839U,	// MUL16m
-    22455U,	// MUL16r
-    71607U,	// MUL32m
-    22455U,	// MUL32r
-    87991U,	// MUL64m
-    22455U,	// MUL64r
-    104375U,	// MUL8m
-    22455U,	// MUL8r
-    202396119U,	// MULPDrm
-    68178391U,	// MULPDrr
-    202399357U,	// MULPSrm
-    68181629U,	// MULPSrr
-    235951066U,	// MULSDrm
-    235951066U,	// MULSDrm_Int
-    68178906U,	// MULSDrr
-    68178906U,	// MULSDrr_Int
-    269508715U,	// MULSSrm
-    269508715U,	// MULSSrm_Int
-    68182123U,	// MULSSrr
-    68182123U,	// MULSSrr_Int
-    2282775657U,	// MULX32rm
-    2282775657U,	// MULX32rr
-    2282775657U,	// MULX64rm
-    2282775657U,	// MULX64rr
-    120758U,	// MUL_F32m
-    137142U,	// MUL_F64m
-    38844U,	// MUL_FI16m
-    71612U,	// MUL_FI32m
-    22636U,	// MUL_FPrST0
-    22454U,	// MUL_FST0r
+    1119616U,	// MOVPDI2DImr
+    135288192U,	// MOVPDI2DIrr
+    1137666U,	// MOVPQI2QImr
+    135289858U,	// MOVPQI2QIrr
+    135289858U,	// MOVPQIto64rr
+    168844290U,	// MOVQI2PQIrm
+    705990253U,	// MOVSB
+    1185018U,	// MOVSDmr
+    571495674U,	// MOVSDrm
+    68179194U,	// MOVSDrr
+    68179194U,	// MOVSDrr_REV
+    1137666U,	// MOVSDto64mr
+    135289858U,	// MOVSDto64rr
+    537942470U,	// MOVSHDUPrm
+    135289286U,	// MOVSHDUPrr
+    739562746U,	// MOVSL
+    537942481U,	// MOVSLDUPrm
+    135289297U,	// MOVSLDUPrr
+    773413792U,	// MOVSQ
+    1119616U,	// MOVSS2DImr
+    135288192U,	// MOVSS2DIrr
+    1171853U,	// MOVSSmr
+    605053325U,	// MOVSSrm
+    68182413U,	// MOVSSrr
+    68182413U,	// MOVSSrr_REV
+    806692015U,	// MOVSW
+    504391036U,	// MOVSX16rm8
+    135292284U,	// MOVSX16rr8
+    370173308U,	// MOVSX32rm16
+    504391036U,	// MOVSX32rm8
+    135292284U,	// MOVSX32rr16
+    135292284U,	// MOVSX32rr8
+    370173308U,	// MOVSX64rm16
+    101733904U,	// MOVSX64rm32
+    504391036U,	// MOVSX64rm8
+    135292284U,	// MOVSX64rr16
+    135288336U,	// MOVSX64rr32
+    135292284U,	// MOVSX64rr8
+    1643296U,	// MOVUPDmr
+    537940768U,	// MOVUPDrm
+    135287584U,	// MOVUPDrr
+    135287584U,	// MOVUPDrr_REV
+    1646567U,	// MOVUPSmr
+    537944039U,	// MOVUPSrm
+    135290855U,	// MOVUPSrr
+    135290855U,	// MOVUPSrr_REV
+    336616450U,	// MOVZPQILo2PQIrm
+    135289858U,	// MOVZPQILo2PQIrr
+    168844290U,	// MOVZQI2PQIrm
+    135289858U,	// MOVZQI2PQIrr
+    504391043U,	// MOVZX16rm8
+    135292291U,	// MOVZX16rr8
+    504391043U,	// MOVZX32_NOREXrm8
+    135292291U,	// MOVZX32_NOREXrr8
+    370173315U,	// MOVZX32rm16
+    504391043U,	// MOVZX32rm8
+    135292291U,	// MOVZX32rr16
+    135292291U,	// MOVZX32rr8
+    370173315U,	// MOVZX64rm16_Q
+    504391043U,	// MOVZX64rm8_Q
+    135292291U,	// MOVZX64rr16_Q
+    135292291U,	// MOVZX64rr8_Q
+    2450547426U,	// MPSADBWrmi
+    2215666402U,	// MPSADBWrri
+    39047U,	// MUL16m
+    22663U,	// MUL16r
+    71815U,	// MUL32m
+    22663U,	// MUL32r
+    88199U,	// MUL64m
+    22663U,	// MUL64r
+    104583U,	// MUL8m
+    22663U,	// MUL8r
+    202396327U,	// MULPDrm
+    68178599U,	// MULPDrr
+    202399565U,	// MULPSrm
+    68181837U,	// MULPSrr
+    235951274U,	// MULSDrm
+    235951274U,	// MULSDrm_Int
+    68179114U,	// MULSDrr
+    68179114U,	// MULSDrr_Int
+    269508923U,	// MULSSrm
+    269508923U,	// MULSSrm_Int
+    68182331U,	// MULSSrr
+    68182331U,	// MULSSrr_Int
+    2282775865U,	// MULX32rm
+    2282775865U,	// MULX32rr
+    2282775865U,	// MULX64rm
+    2282775865U,	// MULX64rr
+    120966U,	// MUL_F32m
+    137350U,	// MUL_F64m
+    39052U,	// MUL_FI16m
+    71820U,	// MUL_FI32m
+    22844U,	// MUL_FPrST0
+    22662U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1829,946 +1822,954 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    7362486U,	// MUL_FrST0
-    12662U,	// MWAITrr
-    38451U,	// NEG16m
-    22067U,	// NEG16r
-    71219U,	// NEG32m
-    22067U,	// NEG32r
-    87603U,	// NEG64m
-    22067U,	// NEG64r
-    103987U,	// NEG8m
-    22067U,	// NEG8r
-    12324U,	// NOOP
-    39081U,	// NOOP18_16m4
-    39081U,	// NOOP18_16m5
-    39081U,	// NOOP18_16m6
-    39081U,	// NOOP18_16m7
-    22697U,	// NOOP18_16r4
-    22697U,	// NOOP18_16r5
-    22697U,	// NOOP18_16r6
-    22697U,	// NOOP18_16r7
-    71849U,	// NOOP18_m4
-    71849U,	// NOOP18_m5
-    71849U,	// NOOP18_m6
-    71849U,	// NOOP18_m7
-    22697U,	// NOOP18_r4
-    22697U,	// NOOP18_r5
-    22697U,	// NOOP18_r6
-    22697U,	// NOOP18_r7
-    71849U,	// NOOPL
-    71849U,	// NOOPL_19
-    71849U,	// NOOPL_1a
-    71849U,	// NOOPL_1b
-    71849U,	// NOOPL_1c
-    71849U,	// NOOPL_1d
-    71849U,	// NOOPL_1e
-    39081U,	// NOOPW
-    39081U,	// NOOPW_19
-    39081U,	// NOOPW_1a
-    39081U,	// NOOPW_1b
-    39081U,	// NOOPW_1c
-    39081U,	// NOOPW_1d
-    39081U,	// NOOPW_1e
-    41272U,	// NOT16m
-    24888U,	// NOT16r
-    74040U,	// NOT32m
-    24888U,	// NOT32r
-    90424U,	// NOT64m
-    24888U,	// NOT64r
-    106808U,	// NOT8m
-    24888U,	// NOT8r
-    26129U,	// OR16i16
-    1088426U,	// OR16mi
-    1088426U,	// OR16mi8
-    1088426U,	// OR16mr
-    35707818U,	// OR16ri
-    35707818U,	// OR16ri8
-    3201962U,	// OR16rm
-    35707818U,	// OR16rr
-    68180906U,	// OR16rr_REV
-    26295U,	// OR32i32
-    1121194U,	// OR32mi
-    1121194U,	// OR32mi8
-    1121194U,	// OR32mr
-    1121194U,	// OR32mrLocked
-    35707818U,	// OR32ri
-    35707818U,	// OR32ri8
-    4250538U,	// OR32rm
-    35707818U,	// OR32rr
-    68180906U,	// OR32rr_REV
-    26434U,	// OR64i32
-    1137578U,	// OR64mi32
-    1137578U,	// OR64mi8
-    1137578U,	// OR64mr
-    35707818U,	// OR64ri32
-    35707818U,	// OR64ri8
-    5299114U,	// OR64rm
-    35707818U,	// OR64rr
-    68180906U,	// OR64rr_REV
-    26017U,	// OR8i8
-    1153962U,	// OR8mi
-    1153962U,	// OR8mr
-    35707818U,	// OR8ri
-    35707818U,	// OR8ri8
-    6347690U,	// OR8rm
-    35707818U,	// OR8rr
-    68180906U,	// OR8rr_REV
-    202396197U,	// ORPDrm
-    68178469U,	// ORPDrr
-    202399435U,	// ORPSrm
-    68181707U,	// ORPSrr
-    13656488U,	// OUT16ir
-    12779U,	// OUT16rr
-    14705064U,	// OUT32ir
-    12833U,	// OUT32rr
-    16802216U,	// OUT8ir
-    12161U,	// OUT8rr
-    403299U,	// OUTSB
-    419694U,	// OUTSL
-    452473U,	// OUTSW
-    336612688U,	// PABSBrm128
-    135286096U,	// PABSBrr128
-    336614267U,	// PABSDrm128
-    135287675U,	// PABSDrr128
-    336618332U,	// PABSWrm128
-    135291740U,	// PABSWrr128
-    303063715U,	// PACKSSDWrm
-    68182691U,	// PACKSSDWrr
-    303058447U,	// PACKSSWBrm
-    68177423U,	// PACKSSWBrr
-    303063726U,	// PACKUSDWrm
-    68182702U,	// PACKUSDWrr
-    303058458U,	// PACKUSWBrm
-    68177434U,	// PACKUSWBrr
-    303058163U,	// PADDBrm
-    68177139U,	// PADDBrr
-    303058675U,	// PADDDrm
-    68177651U,	// PADDDrr
-    303061423U,	// PADDQrm
-    68180399U,	// PADDQrr
-    303058273U,	// PADDSBrm
-    68177249U,	// PADDSBrr
-    303063949U,	// PADDSWrm
-    68182925U,	// PADDSWrr
-    303058315U,	// PADDUSBrm
-    68177291U,	// PADDUSBrr
-    303064022U,	// PADDUSWrm
-    68182998U,	// PADDUSWrr
-    303063697U,	// PADDWrm
-    68182673U,	// PADDWrr
-    2450545567U,	// PALIGNR128rm
-    2215664543U,	// PALIGNR128rr
-    303060996U,	// PANDNrm
-    68179972U,	// PANDNrr
-    303058850U,	// PANDrm
-    68177826U,	// PANDrr
-    12063U,	// PAUSE
-    303058180U,	// PAVGBrm
-    68177156U,	// PAVGBrr
-    470830484U,	// PAVGUSBrm
-    68177300U,	// PAVGUSBrr
-    303063752U,	// PAVGWrm
-    68182728U,	// PAVGWrr
-    303058429U,	// PBLENDVBrm0
-    68177405U,	// PBLENDVBrr0
-    2450547353U,	// PBLENDWrmi
-    2215666329U,	// PBLENDWrri
-    2450545166U,	// PCLMULQDQrm
-    2215664142U,	// PCLMULQDQrr
-    303058228U,	// PCMPEQBrm
-    68177204U,	// PCMPEQBrr
-    303059593U,	// PCMPEQDrm
-    68178569U,	// PCMPEQDrr
-    303061659U,	// PCMPEQQrm
-    68180635U,	// PCMPEQQrr
-    303063857U,	// PCMPEQWrm
-    68182833U,	// PCMPEQWrr
+    7362694U,	// MUL_FrST0
+    12155U,	// MWAITrr
+    38659U,	// NEG16m
+    22275U,	// NEG16r
+    71427U,	// NEG32m
+    22275U,	// NEG32r
+    87811U,	// NEG64m
+    22275U,	// NEG64r
+    104195U,	// NEG8m
+    22275U,	// NEG8r
+    11811U,	// NOOP
+    39289U,	// NOOP18_16m4
+    39289U,	// NOOP18_16m5
+    39289U,	// NOOP18_16m6
+    39289U,	// NOOP18_16m7
+    22905U,	// NOOP18_16r4
+    22905U,	// NOOP18_16r5
+    22905U,	// NOOP18_16r6
+    22905U,	// NOOP18_16r7
+    72057U,	// NOOP18_m4
+    72057U,	// NOOP18_m5
+    72057U,	// NOOP18_m6
+    72057U,	// NOOP18_m7
+    22905U,	// NOOP18_r4
+    22905U,	// NOOP18_r5
+    22905U,	// NOOP18_r6
+    22905U,	// NOOP18_r7
+    72057U,	// NOOPL
+    72057U,	// NOOPL_19
+    72057U,	// NOOPL_1a
+    72057U,	// NOOPL_1b
+    72057U,	// NOOPL_1c
+    72057U,	// NOOPL_1d
+    72057U,	// NOOPL_1e
+    39289U,	// NOOPW
+    39289U,	// NOOPW_19
+    39289U,	// NOOPW_1a
+    39289U,	// NOOPW_1b
+    39289U,	// NOOPW_1c
+    39289U,	// NOOPW_1d
+    39289U,	// NOOPW_1e
+    41480U,	// NOT16m
+    25096U,	// NOT16r
+    74248U,	// NOT32m
+    25096U,	// NOT32r
+    90632U,	// NOT64m
+    25096U,	// NOT64r
+    107016U,	// NOT8m
+    25096U,	// NOT8r
+    26337U,	// OR16i16
+    1088634U,	// OR16mi
+    1088634U,	// OR16mi8
+    1088634U,	// OR16mr
+    35708026U,	// OR16ri
+    35708026U,	// OR16ri8
+    3202170U,	// OR16rm
+    35708026U,	// OR16rr
+    68181114U,	// OR16rr_REV
+    26503U,	// OR32i32
+    1121402U,	// OR32mi
+    1121402U,	// OR32mi8
+    1121402U,	// OR32mr
+    1121402U,	// OR32mrLocked
+    35708026U,	// OR32ri
+    35708026U,	// OR32ri8
+    4250746U,	// OR32rm
+    35708026U,	// OR32rr
+    68181114U,	// OR32rr_REV
+    26642U,	// OR64i32
+    1137786U,	// OR64mi32
+    1137786U,	// OR64mi8
+    1137786U,	// OR64mr
+    35708026U,	// OR64ri32
+    35708026U,	// OR64ri8
+    5299322U,	// OR64rm
+    35708026U,	// OR64rr
+    68181114U,	// OR64rr_REV
+    26225U,	// OR8i8
+    1154170U,	// OR8mi
+    1154170U,	// OR8mr
+    35708026U,	// OR8ri
+    35708026U,	// OR8ri8
+    6347898U,	// OR8rm
+    35708026U,	// OR8rr
+    68181114U,	// OR8rr_REV
+    202396405U,	// ORPDrm
+    68178677U,	// ORPDrr
+    202399643U,	// ORPSrm
+    68181915U,	// ORPSrr
+    13656696U,	// OUT16ir
+    12278U,	// OUT16rr
+    14705272U,	// OUT32ir
+    12332U,	// OUT32rr
+    16802424U,	// OUT8ir
+    11648U,	// OUT8rr
+    403507U,	// OUTSB
+    419902U,	// OUTSL
+    452681U,	// OUTSW
+    336612896U,	// PABSBrm128
+    135286304U,	// PABSBrr128
+    336614475U,	// PABSDrm128
+    135287883U,	// PABSDrr128
+    336618540U,	// PABSWrm128
+    135291948U,	// PABSWrr128
+    303063923U,	// PACKSSDWrm
+    68182899U,	// PACKSSDWrr
+    303058655U,	// PACKSSWBrm
+    68177631U,	// PACKSSWBrr
+    303063934U,	// PACKUSDWrm
+    68182910U,	// PACKUSDWrr
+    303058666U,	// PACKUSWBrm
+    68177642U,	// PACKUSWBrr
+    303058371U,	// PADDBrm
+    68177347U,	// PADDBrr
+    303058883U,	// PADDDrm
+    68177859U,	// PADDDrr
+    303061631U,	// PADDQrm
+    68180607U,	// PADDQrr
+    303058481U,	// PADDSBrm
+    68177457U,	// PADDSBrr
+    303064157U,	// PADDSWrm
+    68183133U,	// PADDSWrr
+    303058523U,	// PADDUSBrm
+    68177499U,	// PADDUSBrr
+    303064230U,	// PADDUSWrm
+    68183206U,	// PADDUSWrr
+    303063905U,	// PADDWrm
+    68182881U,	// PADDWrr
+    2450545775U,	// PALIGNR128rm
+    2215664751U,	// PALIGNR128rr
+    303061204U,	// PANDNrm
+    68180180U,	// PANDNrr
+    303059058U,	// PANDrm
+    68178034U,	// PANDrr
+    11519U,	// PAUSE
+    303058388U,	// PAVGBrm
+    68177364U,	// PAVGBrr
+    470830692U,	// PAVGUSBrm
+    68177508U,	// PAVGUSBrr
+    303063960U,	// PAVGWrm
+    68182936U,	// PAVGWrr
+    303058637U,	// PBLENDVBrm0
+    68177613U,	// PBLENDVBrr0
+    2450547561U,	// PBLENDWrmi
+    2215666537U,	// PBLENDWrri
+    2450545374U,	// PCLMULQDQrm
+    2215664350U,	// PCLMULQDQrr
+    303058436U,	// PCMPEQBrm
+    68177412U,	// PCMPEQBrr
+    303059801U,	// PCMPEQDrm
+    68178777U,	// PCMPEQDrr
+    303061867U,	// PCMPEQQrm
+    68180843U,	// PCMPEQQrr
+    303064065U,	// PCMPEQWrm
+    68183041U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    2484098802U,	// PCMPESTRIrm
-    2282772210U,	// PCMPESTRIrr
+    2484099010U,	// PCMPESTRIrm
+    2282772418U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    2484099052U,	// PCMPESTRM128rm
-    2282772460U,	// PCMPESTRM128rr
-    303058356U,	// PCMPGTBrm
-    68177332U,	// PCMPGTBrr
-    303060044U,	// PCMPGTDrm
-    68179020U,	// PCMPGTDrr
-    303061720U,	// PCMPGTQrm
-    68180696U,	// PCMPGTQrr
-    303064048U,	// PCMPGTWrm
-    68183024U,	// PCMPGTWrr
+    2484099260U,	// PCMPESTRM128rm
+    2282772668U,	// PCMPESTRM128rr
+    303058564U,	// PCMPGTBrm
+    68177540U,	// PCMPGTBrr
+    303060252U,	// PCMPGTDrm
+    68179228U,	// PCMPGTDrr
+    303061928U,	// PCMPGTQrm
+    68180904U,	// PCMPGTQrr
+    303064256U,	// PCMPGTWrm
+    68183232U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    2484098814U,	// PCMPISTRIrm
-    2282772222U,	// PCMPISTRIrr
+    2484099022U,	// PCMPISTRIrm
+    2282772430U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    2484099064U,	// PCMPISTRM128rm
-    2282772472U,	// PCMPISTRM128rr
-    2282772578U,	// PDEP32rm
-    2282772578U,	// PDEP32rr
-    2282772578U,	// PDEP64rm
-    2282772578U,	// PDEP64rr
-    2282774957U,	// PEXT32rm
-    2282774957U,	// PEXT32rr
-    2282774957U,	// PEXT64rm
-    2282774957U,	// PEXT64rr
-    2148633927U,	// PEXTRBmr
-    2282769735U,	// PEXTRBrr
-    2148602542U,	// PEXTRDmr
-    2282771118U,	// PEXTRDrr
-    2148620986U,	// PEXTRQmr
-    2282773178U,	// PEXTRQrr
-    2148574035U,	// PEXTRWmr
-    2282775379U,	// PEXTRWri
-    2282775379U,	// PEXTRWrr_REV
-    168841021U,	// PF2IDrm
-    135286589U,	// PF2IDrr
-    168846061U,	// PF2IWrm
-    135291629U,	// PF2IWrr
-    470830628U,	// PFACCrm
-    68177444U,	// PFACCrr
-    470830805U,	// PFADDrm
-    68177621U,	// PFADDrr
-    470833754U,	// PFCMPEQrm
-    68180570U,	// PFCMPEQrr
-    470832508U,	// PFCMPGErm
-    68179324U,	// PFCMPGErr
-    470835476U,	// PFCMPGTrm
-    68182292U,	// PFCMPGTrr
-    470836310U,	// PFMAXrm
-    68183126U,	// PFMAXrr
-    470833171U,	// PFMINrm
-    68179987U,	// PFMINrr
-    470833077U,	// PFMULrm
-    68179893U,	// PFMULrr
-    470830635U,	// PFNACCrm
-    68177451U,	// PFNACCrr
-    470830643U,	// PFPNACCrm
-    68177459U,	// PFPNACCrr
-    470829847U,	// PFRCPIT1rm
-    68176663U,	// PFRCPIT1rr
-    470829932U,	// PFRCPIT2rm
-    68176748U,	// PFRCPIT2rr
-    168843348U,	// PFRCPrm
-    135288916U,	// PFRCPrr
-    470829857U,	// PFRSQIT1rm
-    68176673U,	// PFRSQIT1rr
-    168845655U,	// PFRSQRTrm
-    135291223U,	// PFRSQRTrr
-    470834045U,	// PFSUBRrm
-    68180861U,	// PFSUBRrr
-    470830565U,	// PFSUBrm
-    68177381U,	// PFSUBrr
-    303058666U,	// PHADDDrm
-    68177642U,	// PHADDDrr
-    303063939U,	// PHADDSWrm128
-    68182915U,	// PHADDSWrr128
-    303063688U,	// PHADDWrm
-    68182664U,	// PHADDWrr
-    336618540U,	// PHMINPOSUWrm128
-    135291948U,	// PHMINPOSUWrr128
-    303058607U,	// PHSUBDrm
-    68177583U,	// PHSUBDrr
-    303063920U,	// PHSUBSWrm128
-    68182896U,	// PHSUBSWrr128
-    303063634U,	// PHSUBWrm
-    68182610U,	// PHSUBWrr
-    168841005U,	// PI2FDrm
-    135286573U,	// PI2FDrr
-    168846008U,	// PI2FWrm
-    135291576U,	// PI2FWrr
-    839929150U,	// PINSRBrm
-    2215660862U,	// PINSRBrr
-    2584760997U,	// PINSRDrm
-    2215662245U,	// PINSRDrr
-    2618317489U,	// PINSRQrm
-    2215664305U,	// PINSRQrr
-    2551210826U,	// PINSRWrmi
-    2215666506U,	// PINSRWrri
-    303063908U,	// PMADDUBSWrm128
-    68182884U,	// PMADDUBSWrr128
-    303060178U,	// PMADDWDrm
-    68179154U,	// PMADDWDrr
-    303058341U,	// PMAXSBrm
-    68177317U,	// PMAXSBrr
-    303060018U,	// PMAXSDrm
-    68178994U,	// PMAXSDrr
-    303064039U,	// PMAXSWrm
-    68183015U,	// PMAXSWrr
-    303058420U,	// PMAXUBrm
-    68177396U,	// PMAXUBrr
-    303060103U,	// PMAXUDrm
-    68179079U,	// PMAXUDrr
-    303064121U,	// PMAXUWrm
-    68183097U,	// PMAXUWrr
-    303058282U,	// PMINSBrm
-    68177258U,	// PMINSBrr
-    303059938U,	// PMINSDrm
-    68178914U,	// PMINSDrr
-    303063970U,	// PMINSWrm
-    68182946U,	// PMINSWrr
-    303058397U,	// PMINUBrm
-    68177373U,	// PMINUBrr
-    303060085U,	// PMINUDrm
-    68179061U,	// PMINUDrr
-    303064099U,	// PMINUWrm
-    68183075U,	// PMINUWrr
-    135286032U,	// PMOVMSKBrr
-    101732032U,	// PMOVSXBDrm
-    135286464U,	// PMOVSXBDrr
-    370170200U,	// PMOVSXBQrm
-    135289176U,	// PMOVSXBQrr
-    168845923U,	// PMOVSXBWrm
-    135291491U,	// PMOVSXBWrr
-    168843845U,	// PMOVSXDQrm
-    135289413U,	// PMOVSXDQrr
-    168842539U,	// PMOVSXWDrm
-    135288107U,	// PMOVSXWDrr
-    101735253U,	// PMOVSXWQrm
-    135289685U,	// PMOVSXWQrr
-    101732043U,	// PMOVZXBDrm
-    135286475U,	// PMOVZXBDrr
-    370170211U,	// PMOVZXBQrm
-    135289187U,	// PMOVZXBQrr
-    168845934U,	// PMOVZXBWrm
-    135291502U,	// PMOVZXBWrr
-    168843856U,	// PMOVZXDQrm
-    135289424U,	// PMOVZXDQrr
-    168842550U,	// PMOVZXWDrm
-    135288118U,	// PMOVZXWDrr
-    101735264U,	// PMOVZXWQrm
-    135289696U,	// PMOVZXWQrr
-    303061483U,	// PMULDQrm
-    68180459U,	// PMULDQrr
-    303063993U,	// PMULHRSWrm128
-    68182969U,	// PMULHRSWrr128
-    470836032U,	// PMULHRWrm
-    68182848U,	// PMULHRWrr
-    303064080U,	// PMULHUWrm
-    68183056U,	// PMULHUWrr
-    303063781U,	// PMULHWrm
-    68182757U,	// PMULHWrr
-    303058808U,	// PMULLDrm
-    68177784U,	// PMULLDrr
-    303063823U,	// PMULLWrm
-    68182799U,	// PMULLWrr
-    303061563U,	// PMULUDQrm
-    68180539U,	// PMULUDQrr
-    22708U,	// POP16r
-    39092U,	// POP16rmm
-    22708U,	// POP16rmr
-    22708U,	// POP32r
-    71860U,	// POP32rmm
-    22708U,	// POP32rmr
-    22708U,	// POP64r
-    88244U,	// POP64rmm
-    22708U,	// POP64rmr
-    12733U,	// POPA16
-    12179U,	// POPA32
-    370172189U,	// POPCNT16rm
-    135291165U,	// POPCNT16rr
-    101736733U,	// POPCNT32rm
-    135291165U,	// POPCNT32rr
-    168845597U,	// POPCNT64rm
-    135291165U,	// POPCNT64rr
-    12487U,	// POPDS16
-    12487U,	// POPDS32
-    12502U,	// POPES16
-    12502U,	// POPES32
-    12098U,	// POPF16
-    11916U,	// POPF32
-    12370U,	// POPF64
-    12517U,	// POPFS16
-    12517U,	// POPFS32
-    12517U,	// POPFS64
-    12532U,	// POPGS16
-    12532U,	// POPGS32
-    12532U,	// POPGS64
-    12623U,	// POPSS16
-    12623U,	// POPSS32
-    303061929U,	// PORrm
-    68180905U,	// PORrr
-    104026U,	// PREFETCH
-    101554U,	// PREFETCHNTA
-    101097U,	// PREFETCHT0
-    101131U,	// PREFETCHT1
-    101216U,	// PREFETCHT2
-    107215U,	// PREFETCHW
-    303063571U,	// PSADBWrm
-    68182547U,	// PSADBWrr
-    303058171U,	// PSHUFBrm
-    68177147U,	// PSHUFBrr
-    2484096821U,	// PSHUFDmi
-    2282770229U,	// PSHUFDri
-    2484101851U,	// PSHUFHWmi
-    2282775259U,	// PSHUFHWri
-    2484101877U,	// PSHUFLWmi
-    2282775285U,	// PSHUFLWri
-    303058219U,	// PSIGNBrm
-    68177195U,	// PSIGNBrr
-    303058865U,	// PSIGNDrm
-    68177841U,	// PSIGNDrr
-    303063848U,	// PSIGNWrm
-    68182824U,	// PSIGNWrr
-    68180441U,	// PSLLDQri
-    68177776U,	// PSLLDri
-    303058800U,	// PSLLDrm
-    68177776U,	// PSLLDrr
-    68180595U,	// PSLLQri
-    303061619U,	// PSLLQrm
-    68180595U,	// PSLLQrr
-    68182791U,	// PSLLWri
-    303063815U,	// PSLLWrm
-    68182791U,	// PSLLWrr
-    68177554U,	// PSRADri
-    303058578U,	// PSRADrm
-    68177554U,	// PSRADrr
-    68182528U,	// PSRAWri
-    303063552U,	// PSRAWrm
-    68182528U,	// PSRAWrr
-    68180450U,	// PSRLDQri
-    68177793U,	// PSRLDri
-    303058817U,	// PSRLDrm
-    68177793U,	// PSRLDrr
-    68180603U,	// PSRLQri
-    303061627U,	// PSRLQrm
-    68180603U,	// PSRLQrr
-    68182808U,	// PSRLWri
-    303063832U,	// PSRLWrm
-    68182808U,	// PSRLWrr
-    303058155U,	// PSUBBrm
-    68177131U,	// PSUBBrr
-    303058616U,	// PSUBDrm
-    68177592U,	// PSUBDrr
-    303061328U,	// PSUBQrm
-    68180304U,	// PSUBQrr
-    303058264U,	// PSUBSBrm
-    68177240U,	// PSUBSBrr
-    303063930U,	// PSUBSWrm
-    68182906U,	// PSUBSWrr
-    303058305U,	// PSUBUSBrm
-    68177281U,	// PSUBUSBrr
-    303064012U,	// PSUBUSWrm
-    68182988U,	// PSUBUSWrr
-    303063643U,	// PSUBWrm
-    68182619U,	// PSUBWrr
-    168841463U,	// PSWAPDrm
-    135287031U,	// PSWAPDrr
-    537944443U,	// PTESTrm
-    135291259U,	// PTESTrr
-    303063599U,	// PUNPCKHBWrm
-    68182575U,	// PUNPCKHBWrr
-    303061441U,	// PUNPCKHDQrm
-    68180417U,	// PUNPCKHDQrr
-    303061492U,	// PUNPCKHQDQrm
-    68180468U,	// PUNPCKHQDQrr
-    303060188U,	// PUNPCKHWDrm
-    68179164U,	// PUNPCKHWDrr
-    303063611U,	// PUNPCKLBWrm
-    68182587U,	// PUNPCKLBWrr
-    303061453U,	// PUNPCKLDQrm
-    68180429U,	// PUNPCKLDQrr
-    303061505U,	// PUNPCKLQDQrm
-    68180481U,	// PUNPCKLQDQrr
-    303060200U,	// PUNPCKLWDrm
-    68179176U,	// PUNPCKLWDrr
-    22165U,	// PUSH16i8
-    22165U,	// PUSH16r
-    38549U,	// PUSH16rmm
-    22165U,	// PUSH16rmr
-    22165U,	// PUSH32i8
-    22165U,	// PUSH32r
-    71317U,	// PUSH32rmm
-    22165U,	// PUSH32rmr
-    22165U,	// PUSH64i16
-    22165U,	// PUSH64i32
-    22165U,	// PUSH64i8
-    22165U,	// PUSH64r
-    87701U,	// PUSH64rmm
-    22165U,	// PUSH64rmr
-    12726U,	// PUSHA16
-    12172U,	// PUSHA32
-    12471U,	// PUSHCS16
-    12471U,	// PUSHCS32
-    12479U,	// PUSHDS16
-    12479U,	// PUSHDS32
-    12494U,	// PUSHES16
-    12494U,	// PUSHES32
-    12092U,	// PUSHF16
-    11909U,	// PUSHF32
-    12363U,	// PUSHF64
-    12509U,	// PUSHFS16
-    12509U,	// PUSHFS32
-    12509U,	// PUSHFS64
-    12524U,	// PUSHGS16
-    12524U,	// PUSHGS32
-    12524U,	// PUSHGS64
-    12615U,	// PUSHSS16
-    12615U,	// PUSHSS32
-    22165U,	// PUSHi16
-    22165U,	// PUSHi32
-    303061957U,	// PXORrm
-    68180933U,	// PXORrr
-    38753U,	// RCL16m1
-    17864545U,	// RCL16mCL
-    1087329U,	// RCL16mi
-    22369U,	// RCL16r1
-    17848161U,	// RCL16rCL
-    68179809U,	// RCL16ri
-    71521U,	// RCL32m1
-    17897313U,	// RCL32mCL
-    1120097U,	// RCL32mi
-    22369U,	// RCL32r1
-    17848161U,	// RCL32rCL
-    68179809U,	// RCL32ri
-    87905U,	// RCL64m1
-    17913697U,	// RCL64mCL
-    1136481U,	// RCL64mi
-    22369U,	// RCL64r1
-    17848161U,	// RCL64rCL
-    68179809U,	// RCL64ri
-    104289U,	// RCL8m1
-    17930081U,	// RCL8mCL
-    1152865U,	// RCL8mi
-    22369U,	// RCL8r1
-    17848161U,	// RCL8rCL
-    68179809U,	// RCL8ri
-    537943720U,	// RCPPSm
-    537943720U,	// RCPPSm_Int
-    135290536U,	// RCPPSr
-    135290536U,	// RCPPSr_Int
-    605053051U,	// RCPSSm
-    269508731U,	// RCPSSm_Int
-    135291003U,	// RCPSSr
-    68182139U,	// RCPSSr_Int
-    39821U,	// RCR16m1
-    17865613U,	// RCR16mCL
-    1088397U,	// RCR16mi
-    23437U,	// RCR16r1
-    17849229U,	// RCR16rCL
-    68180877U,	// RCR16ri
-    72589U,	// RCR32m1
-    17898381U,	// RCR32mCL
-    1121165U,	// RCR32mi
-    23437U,	// RCR32r1
-    17849229U,	// RCR32rCL
-    68180877U,	// RCR32ri
-    88973U,	// RCR64m1
-    17914765U,	// RCR64mCL
-    1137549U,	// RCR64mi
-    23437U,	// RCR64r1
-    17849229U,	// RCR64rCL
-    68180877U,	// RCR64ri
-    105357U,	// RCR8m1
-    17931149U,	// RCR8mCL
-    1153933U,	// RCR8mi
-    23437U,	// RCR8r1
-    17849229U,	// RCR8rCL
-    68180877U,	// RCR8ri
-    21967U,	// RDFSBASE
-    21967U,	// RDFSBASE64
-    21987U,	// RDGSBASE
-    21987U,	// RDGSBASE64
-    12436U,	// RDMSR
-    11886U,	// RDPMC
-    20392U,	// RDRAND16r
-    20392U,	// RDRAND32r
-    20392U,	// RDRAND64r
-    20261U,	// RDSEED16r
-    20261U,	// RDSEED32r
-    20261U,	// RDSEED64r
-    11899U,	// RDTSC
-    12301U,	// RDTSCP
-    11374U,	// RELEASE_MOV16mr
-    11374U,	// RELEASE_MOV32mr
-    11374U,	// RELEASE_MOV64mr
-    11374U,	// RELEASE_MOV8mr
-    12027U,	// REPNE_PREFIX
-    11830U,	// REP_MOVSB_32
-    11830U,	// REP_MOVSB_64
-    11947U,	// REP_MOVSD_32
-    11947U,	// REP_MOVSD_64
-    12392U,	// REP_MOVSQ_64
-    12753U,	// REP_MOVSW_32
-    12753U,	// REP_MOVSW_64
-    12308U,	// REP_PREFIX
-    11820U,	// REP_STOSB_32
-    11820U,	// REP_STOSB_64
-    11937U,	// REP_STOSD_32
-    11937U,	// REP_STOSD_64
-    12382U,	// REP_STOSQ_64
-    12743U,	// REP_STOSW_32
-    12743U,	// REP_STOSW_64
-    24847U,	// RETIL
-    24847U,	// RETIQ
-    24847U,	// RETIW
-    12651U,	// RETL
-    12651U,	// RETQ
-    12651U,	// RETW
-    11656U,	// REX64_PREFIX
-    18913160U,	// ROL16m1
-    17864584U,	// ROL16mCL
-    1087368U,	// ROL16mi
-    18896776U,	// ROL16r1
-    17848200U,	// ROL16rCL
-    68179848U,	// ROL16ri
-    18945928U,	// ROL32m1
-    17897352U,	// ROL32mCL
-    1120136U,	// ROL32mi
-    18896776U,	// ROL32r1
-    17848200U,	// ROL32rCL
-    68179848U,	// ROL32ri
-    18962312U,	// ROL64m1
-    17913736U,	// ROL64mCL
-    1136520U,	// ROL64mi
-    18896776U,	// ROL64r1
-    17848200U,	// ROL64rCL
-    68179848U,	// ROL64ri
-    18978696U,	// ROL8m1
-    17930120U,	// ROL8mCL
-    1152904U,	// ROL8mi
-    18896776U,	// ROL8r1
-    17848200U,	// ROL8rCL
-    68179848U,	// ROL8ri
-    18914222U,	// ROR16m1
-    17865646U,	// ROR16mCL
-    1088430U,	// ROR16mi
-    18897838U,	// ROR16r1
-    17849262U,	// ROR16rCL
-    68180910U,	// ROR16ri
-    18946990U,	// ROR32m1
-    17898414U,	// ROR32mCL
-    1121198U,	// ROR32mi
-    18897838U,	// ROR32r1
-    17849262U,	// ROR32rCL
-    68180910U,	// ROR32ri
-    18963374U,	// ROR64m1
-    17914798U,	// ROR64mCL
-    1137582U,	// ROR64mi
-    18897838U,	// ROR64r1
-    17849262U,	// ROR64rCL
-    68180910U,	// ROR64ri
-    18979758U,	// ROR8m1
-    17931182U,	// ROR8mCL
-    1153966U,	// ROR8mi
-    18897838U,	// ROR8r1
-    17849262U,	// ROR8rCL
-    68180910U,	// ROR8ri
-    2249221274U,	// RORX32mi
-    2282775706U,	// RORX32ri
-    2316330138U,	// RORX64mi
-    2282775706U,	// RORX64ri
-    2685424003U,	// ROUNDPDm
-    2282770819U,	// ROUNDPDr
-    2685427221U,	// ROUNDPSm
-    2282774037U,	// ROUNDPSr
-    2383434685U,	// ROUNDSDm
-    2215662525U,	// ROUNDSDr
-    2215662525U,	// ROUNDSDr_Int
-    2416992334U,	// ROUNDSSm
-    2215665742U,	// ROUNDSSr
-    2215665742U,	// ROUNDSSr_Int
-    12243U,	// RSM
-    537943803U,	// RSQRTPSm
-    537943803U,	// RSQRTPSm_Int
-    135290619U,	// RSQRTPSr
-    135290619U,	// RSQRTPSr_Int
-    605053076U,	// RSQRTSSm
-    269508756U,	// RSQRTSSm_Int
-    135291028U,	// RSQRTSSr
-    68182164U,	// RSQRTSSr_Int
-    12087U,	// SAHF
-    18913116U,	// SAL16m1
-    17864540U,	// SAL16mCL
-    1087324U,	// SAL16mi
-    18896732U,	// SAL16r1
-    17848156U,	// SAL16rCL
-    68179804U,	// SAL16ri
-    18945884U,	// SAL32m1
-    17897308U,	// SAL32mCL
-    1120092U,	// SAL32mi
-    18896732U,	// SAL32r1
-    17848156U,	// SAL32rCL
-    68179804U,	// SAL32ri
-    18962268U,	// SAL64m1
-    17913692U,	// SAL64mCL
-    1136476U,	// SAL64mi
-    18896732U,	// SAL64r1
-    17848156U,	// SAL64rCL
-    68179804U,	// SAL64ri
-    18978652U,	// SAL8m1
-    17930076U,	// SAL8mCL
-    1152860U,	// SAL8mi
-    18896732U,	// SAL8r1
-    17848156U,	// SAL8rCL
-    68179804U,	// SAL8ri
-    11873U,	// SALC
-    18914168U,	// SAR16m1
-    17865592U,	// SAR16mCL
-    1088376U,	// SAR16mi
-    18897784U,	// SAR16r1
-    17849208U,	// SAR16rCL
-    68180856U,	// SAR16ri
-    18946936U,	// SAR32m1
-    17898360U,	// SAR32mCL
-    1121144U,	// SAR32mi
-    18897784U,	// SAR32r1
-    17849208U,	// SAR32rCL
-    68180856U,	// SAR32ri
-    18963320U,	// SAR64m1
-    17914744U,	// SAR64mCL
-    1137528U,	// SAR64mi
-    18897784U,	// SAR64r1
-    17849208U,	// SAR64rCL
-    68180856U,	// SAR64ri
-    18979704U,	// SAR8m1
-    17931128U,	// SAR8mCL
-    1153912U,	// SAR8mi
-    18897784U,	// SAR8r1
-    17849208U,	// SAR8rCL
-    68180856U,	// SAR8ri
-    2249221262U,	// SARX32rm
-    2282775694U,	// SARX32rr
-    2316330126U,	// SARX64rm
-    2282775694U,	// SARX64rr
-    26056U,	// SBB16i16
-    1084645U,	// SBB16mi
-    1084645U,	// SBB16mi8
-    1084645U,	// SBB16mr
-    35704037U,	// SBB16ri
-    35704037U,	// SBB16ri8
-    3198181U,	// SBB16rm
-    35704037U,	// SBB16rr
-    68177125U,	// SBB16rr_REV
-    26190U,	// SBB32i32
-    1117413U,	// SBB32mi
-    1117413U,	// SBB32mi8
-    1117413U,	// SBB32mr
-    35704037U,	// SBB32ri
-    35704037U,	// SBB32ri8
-    4246757U,	// SBB32rm
-    35704037U,	// SBB32rr
-    68177125U,	// SBB32rr_REV
-    26338U,	// SBB64i32
-    1133797U,	// SBB64mi32
-    1133797U,	// SBB64mi8
-    1133797U,	// SBB64mr
-    35704037U,	// SBB64ri32
-    35704037U,	// SBB64ri8
-    5295333U,	// SBB64rm
-    35704037U,	// SBB64rr
-    68177125U,	// SBB64rr_REV
-    25932U,	// SBB8i8
-    1150181U,	// SBB8mi
-    1150181U,	// SBB8mr
-    35704037U,	// SBB8ri
-    6343909U,	// SBB8rm
-    35704037U,	// SBB8rr
-    68177125U,	// SBB8rr_REV
-    304696U,	// SCAS16
-    321152U,	// SCAS32
-    616233U,	// SCAS64
-    337237U,	// SCAS8
-    12551U,	// SEG_ALLOCA_32
-    12551U,	// SEG_ALLOCA_64
-    103757U,	// SETAEm
-    21837U,	// SETAEr
-    101548U,	// SETAm
-    19628U,	// SETAr
-    103777U,	// SETBEm
-    21857U,	// SETBEr
+    2484099272U,	// PCMPISTRM128rm
+    2282772680U,	// PCMPISTRM128rr
+    2282772786U,	// PDEP32rm
+    2282772786U,	// PDEP32rr
+    2282772786U,	// PDEP64rm
+    2282772786U,	// PDEP64rr
+    2282775165U,	// PEXT32rm
+    2282775165U,	// PEXT32rr
+    2282775165U,	// PEXT64rm
+    2282775165U,	// PEXT64rr
+    2148634135U,	// PEXTRBmr
+    2282769943U,	// PEXTRBrr
+    2148602750U,	// PEXTRDmr
+    2282771326U,	// PEXTRDrr
+    2148621194U,	// PEXTRQmr
+    2282773386U,	// PEXTRQrr
+    2148574243U,	// PEXTRWmr
+    2282775587U,	// PEXTRWri
+    2282775587U,	// PEXTRWrr_REV
+    168841229U,	// PF2IDrm
+    135286797U,	// PF2IDrr
+    168846269U,	// PF2IWrm
+    135291837U,	// PF2IWrr
+    470830836U,	// PFACCrm
+    68177652U,	// PFACCrr
+    470831013U,	// PFADDrm
+    68177829U,	// PFADDrr
+    470833962U,	// PFCMPEQrm
+    68180778U,	// PFCMPEQrr
+    470832716U,	// PFCMPGErm
+    68179532U,	// PFCMPGErr
+    470835684U,	// PFCMPGTrm
+    68182500U,	// PFCMPGTrr
+    470836518U,	// PFMAXrm
+    68183334U,	// PFMAXrr
+    470833379U,	// PFMINrm
+    68180195U,	// PFMINrr
+    470833285U,	// PFMULrm
+    68180101U,	// PFMULrr
+    470830843U,	// PFNACCrm
+    68177659U,	// PFNACCrr
+    470830851U,	// PFPNACCrm
+    68177667U,	// PFPNACCrr
+    470830012U,	// PFRCPIT1rm
+    68176828U,	// PFRCPIT1rr
+    470830108U,	// PFRCPIT2rm
+    68176924U,	// PFRCPIT2rr
+    168843556U,	// PFRCPrm
+    135289124U,	// PFRCPrr
+    470830022U,	// PFRSQIT1rm
+    68176838U,	// PFRSQIT1rr
+    168845863U,	// PFRSQRTrm
+    135291431U,	// PFRSQRTrr
+    470834253U,	// PFSUBRrm
+    68181069U,	// PFSUBRrr
+    470830773U,	// PFSUBrm
+    68177589U,	// PFSUBrr
+    303058874U,	// PHADDDrm
+    68177850U,	// PHADDDrr
+    303064147U,	// PHADDSWrm128
+    68183123U,	// PHADDSWrr128
+    303063896U,	// PHADDWrm
+    68182872U,	// PHADDWrr
+    336618748U,	// PHMINPOSUWrm128
+    135292156U,	// PHMINPOSUWrr128
+    303058815U,	// PHSUBDrm
+    68177791U,	// PHSUBDrr
+    303064128U,	// PHSUBSWrm128
+    68183104U,	// PHSUBSWrr128
+    303063842U,	// PHSUBWrm
+    68182818U,	// PHSUBWrr
+    168841213U,	// PI2FDrm
+    135286781U,	// PI2FDrr
+    168846216U,	// PI2FWrm
+    135291784U,	// PI2FWrr
+    839929358U,	// PINSRBrm
+    2215661070U,	// PINSRBrr
+    2584761205U,	// PINSRDrm
+    2215662453U,	// PINSRDrr
+    2618317697U,	// PINSRQrm
+    2215664513U,	// PINSRQrr
+    2551211034U,	// PINSRWrmi
+    2215666714U,	// PINSRWrri
+    303064116U,	// PMADDUBSWrm128
+    68183092U,	// PMADDUBSWrr128
+    303060386U,	// PMADDWDrm
+    68179362U,	// PMADDWDrr
+    303058549U,	// PMAXSBrm
+    68177525U,	// PMAXSBrr
+    303060226U,	// PMAXSDrm
+    68179202U,	// PMAXSDrr
+    303064247U,	// PMAXSWrm
+    68183223U,	// PMAXSWrr
+    303058628U,	// PMAXUBrm
+    68177604U,	// PMAXUBrr
+    303060311U,	// PMAXUDrm
+    68179287U,	// PMAXUDrr
+    303064329U,	// PMAXUWrm
+    68183305U,	// PMAXUWrr
+    303058490U,	// PMINSBrm
+    68177466U,	// PMINSBrr
+    303060146U,	// PMINSDrm
+    68179122U,	// PMINSDrr
+    303064178U,	// PMINSWrm
+    68183154U,	// PMINSWrr
+    303058605U,	// PMINUBrm
+    68177581U,	// PMINUBrr
+    303060293U,	// PMINUDrm
+    68179269U,	// PMINUDrr
+    303064307U,	// PMINUWrm
+    68183283U,	// PMINUWrr
+    135286240U,	// PMOVMSKBrr
+    101732240U,	// PMOVSXBDrm
+    135286672U,	// PMOVSXBDrr
+    370170408U,	// PMOVSXBQrm
+    135289384U,	// PMOVSXBQrr
+    168846131U,	// PMOVSXBWrm
+    135291699U,	// PMOVSXBWrr
+    168844053U,	// PMOVSXDQrm
+    135289621U,	// PMOVSXDQrr
+    168842747U,	// PMOVSXWDrm
+    135288315U,	// PMOVSXWDrr
+    101735461U,	// PMOVSXWQrm
+    135289893U,	// PMOVSXWQrr
+    101732251U,	// PMOVZXBDrm
+    135286683U,	// PMOVZXBDrr
+    370170419U,	// PMOVZXBQrm
+    135289395U,	// PMOVZXBQrr
+    168846142U,	// PMOVZXBWrm
+    135291710U,	// PMOVZXBWrr
+    168844064U,	// PMOVZXDQrm
+    135289632U,	// PMOVZXDQrr
+    168842758U,	// PMOVZXWDrm
+    135288326U,	// PMOVZXWDrr
+    101735472U,	// PMOVZXWQrm
+    135289904U,	// PMOVZXWQrr
+    303061691U,	// PMULDQrm
+    68180667U,	// PMULDQrr
+    303064201U,	// PMULHRSWrm128
+    68183177U,	// PMULHRSWrr128
+    470836240U,	// PMULHRWrm
+    68183056U,	// PMULHRWrr
+    303064288U,	// PMULHUWrm
+    68183264U,	// PMULHUWrr
+    303063989U,	// PMULHWrm
+    68182965U,	// PMULHWrr
+    303059016U,	// PMULLDrm
+    68177992U,	// PMULLDrr
+    303064031U,	// PMULLWrm
+    68183007U,	// PMULLWrr
+    303061771U,	// PMULUDQrm
+    68180747U,	// PMULUDQrr
+    22916U,	// POP16r
+    39300U,	// POP16rmm
+    22916U,	// POP16rmr
+    22916U,	// POP32r
+    72068U,	// POP32rmm
+    22916U,	// POP32rmr
+    22916U,	// POP64r
+    88452U,	// POP64rmm
+    22916U,	// POP64rmr
+    12232U,	// POPA16
+    11666U,	// POPA32
+    370172397U,	// POPCNT16rm
+    135291373U,	// POPCNT16rr
+    101736941U,	// POPCNT32rm
+    135291373U,	// POPCNT32rr
+    168845805U,	// POPCNT64rm
+    135291373U,	// POPCNT64rr
+    11974U,	// POPDS16
+    11974U,	// POPDS32
+    11989U,	// POPES16
+    11989U,	// POPES32
+    11585U,	// POPF16
+    11372U,	// POPF32
+    11857U,	// POPF64
+    12004U,	// POPFS16
+    12004U,	// POPFS32
+    12004U,	// POPFS64
+    12019U,	// POPGS16
+    12019U,	// POPGS32
+    12019U,	// POPGS64
+    12116U,	// POPSS16
+    12116U,	// POPSS32
+    303062137U,	// PORrm
+    68181113U,	// PORrr
+    104234U,	// PREFETCH
+    101762U,	// PREFETCHNTA
+    101262U,	// PREFETCHT0
+    101296U,	// PREFETCHT1
+    101392U,	// PREFETCHT2
+    107423U,	// PREFETCHW
+    303063779U,	// PSADBWrm
+    68182755U,	// PSADBWrr
+    303058379U,	// PSHUFBrm
+    68177355U,	// PSHUFBrr
+    2484097029U,	// PSHUFDmi
+    2282770437U,	// PSHUFDri
+    2484102059U,	// PSHUFHWmi
+    2282775467U,	// PSHUFHWri
+    2484102085U,	// PSHUFLWmi
+    2282775493U,	// PSHUFLWri
+    303058427U,	// PSIGNBrm
+    68177403U,	// PSIGNBrr
+    303059073U,	// PSIGNDrm
+    68178049U,	// PSIGNDrr
+    303064056U,	// PSIGNWrm
+    68183032U,	// PSIGNWrr
+    68180649U,	// PSLLDQri
+    68177984U,	// PSLLDri
+    303059008U,	// PSLLDrm
+    68177984U,	// PSLLDrr
+    68180803U,	// PSLLQri
+    303061827U,	// PSLLQrm
+    68180803U,	// PSLLQrr
+    68182999U,	// PSLLWri
+    303064023U,	// PSLLWrm
+    68182999U,	// PSLLWrr
+    68177762U,	// PSRADri
+    303058786U,	// PSRADrm
+    68177762U,	// PSRADrr
+    68182736U,	// PSRAWri
+    303063760U,	// PSRAWrm
+    68182736U,	// PSRAWrr
+    68180658U,	// PSRLDQri
+    68178001U,	// PSRLDri
+    303059025U,	// PSRLDrm
+    68178001U,	// PSRLDrr
+    68180811U,	// PSRLQri
+    303061835U,	// PSRLQrm
+    68180811U,	// PSRLQrr
+    68183016U,	// PSRLWri
+    303064040U,	// PSRLWrm
+    68183016U,	// PSRLWrr
+    303058363U,	// PSUBBrm
+    68177339U,	// PSUBBrr
+    303058824U,	// PSUBDrm
+    68177800U,	// PSUBDrr
+    303061536U,	// PSUBQrm
+    68180512U,	// PSUBQrr
+    303058472U,	// PSUBSBrm
+    68177448U,	// PSUBSBrr
+    303064138U,	// PSUBSWrm
+    68183114U,	// PSUBSWrr
+    303058513U,	// PSUBUSBrm
+    68177489U,	// PSUBUSBrr
+    303064220U,	// PSUBUSWrm
+    68183196U,	// PSUBUSWrr
+    303063851U,	// PSUBWrm
+    68182827U,	// PSUBWrr
+    168841671U,	// PSWAPDrm
+    135287239U,	// PSWAPDrr
+    537944651U,	// PTESTrm
+    135291467U,	// PTESTrr
+    303063807U,	// PUNPCKHBWrm
+    68182783U,	// PUNPCKHBWrr
+    303061649U,	// PUNPCKHDQrm
+    68180625U,	// PUNPCKHDQrr
+    303061700U,	// PUNPCKHQDQrm
+    68180676U,	// PUNPCKHQDQrr
+    303060396U,	// PUNPCKHWDrm
+    68179372U,	// PUNPCKHWDrr
+    303063819U,	// PUNPCKLBWrm
+    68182795U,	// PUNPCKLBWrr
+    303061661U,	// PUNPCKLDQrm
+    68180637U,	// PUNPCKLDQrr
+    303061713U,	// PUNPCKLQDQrm
+    68180689U,	// PUNPCKLQDQrr
+    303060408U,	// PUNPCKLWDrm
+    68179384U,	// PUNPCKLWDrr
+    22373U,	// PUSH16i8
+    22373U,	// PUSH16r
+    38757U,	// PUSH16rmm
+    22373U,	// PUSH16rmr
+    22373U,	// PUSH32i8
+    22373U,	// PUSH32r
+    71525U,	// PUSH32rmm
+    22373U,	// PUSH32rmr
+    22373U,	// PUSH64i16
+    22373U,	// PUSH64i32
+    22373U,	// PUSH64i8
+    22373U,	// PUSH64r
+    87909U,	// PUSH64rmm
+    22373U,	// PUSH64rmr
+    12225U,	// PUSHA16
+    11659U,	// PUSHA32
+    11958U,	// PUSHCS16
+    11958U,	// PUSHCS32
+    11966U,	// PUSHDS16
+    11966U,	// PUSHDS32
+    11981U,	// PUSHES16
+    11981U,	// PUSHES32
+    11579U,	// PUSHF16
+    11365U,	// PUSHF32
+    11850U,	// PUSHF64
+    11996U,	// PUSHFS16
+    11996U,	// PUSHFS32
+    11996U,	// PUSHFS64
+    12011U,	// PUSHGS16
+    12011U,	// PUSHGS32
+    12011U,	// PUSHGS64
+    12108U,	// PUSHSS16
+    12108U,	// PUSHSS32
+    22373U,	// PUSHi16
+    22373U,	// PUSHi32
+    303062165U,	// PXORrm
+    68181141U,	// PXORrr
+    38961U,	// RCL16m1
+    17864753U,	// RCL16mCL
+    1087537U,	// RCL16mi
+    22577U,	// RCL16r1
+    17848369U,	// RCL16rCL
+    68180017U,	// RCL16ri
+    71729U,	// RCL32m1
+    17897521U,	// RCL32mCL
+    1120305U,	// RCL32mi
+    22577U,	// RCL32r1
+    17848369U,	// RCL32rCL
+    68180017U,	// RCL32ri
+    88113U,	// RCL64m1
+    17913905U,	// RCL64mCL
+    1136689U,	// RCL64mi
+    22577U,	// RCL64r1
+    17848369U,	// RCL64rCL
+    68180017U,	// RCL64ri
+    104497U,	// RCL8m1
+    17930289U,	// RCL8mCL
+    1153073U,	// RCL8mi
+    22577U,	// RCL8r1
+    17848369U,	// RCL8rCL
+    68180017U,	// RCL8ri
+    537943928U,	// RCPPSm
+    537943928U,	// RCPPSm_Int
+    135290744U,	// RCPPSr
+    135290744U,	// RCPPSr_Int
+    605053259U,	// RCPSSm
+    269508939U,	// RCPSSm_Int
+    135291211U,	// RCPSSr
+    68182347U,	// RCPSSr_Int
+    40029U,	// RCR16m1
+    17865821U,	// RCR16mCL
+    1088605U,	// RCR16mi
+    23645U,	// RCR16r1
+    17849437U,	// RCR16rCL
+    68181085U,	// RCR16ri
+    72797U,	// RCR32m1
+    17898589U,	// RCR32mCL
+    1121373U,	// RCR32mi
+    23645U,	// RCR32r1
+    17849437U,	// RCR32rCL
+    68181085U,	// RCR32ri
+    89181U,	// RCR64m1
+    17914973U,	// RCR64mCL
+    1137757U,	// RCR64mi
+    23645U,	// RCR64r1
+    17849437U,	// RCR64rCL
+    68181085U,	// RCR64ri
+    105565U,	// RCR8m1
+    17931357U,	// RCR8mCL
+    1154141U,	// RCR8mi
+    23645U,	// RCR8r1
+    17849437U,	// RCR8rCL
+    68181085U,	// RCR8ri
+    22175U,	// RDFSBASE
+    22175U,	// RDFSBASE64
+    22195U,	// RDGSBASE
+    22195U,	// RDGSBASE64
+    11923U,	// RDMSR
+    11342U,	// RDPMC
+    20600U,	// RDRAND16r
+    20600U,	// RDRAND32r
+    20600U,	// RDRAND64r
+    20469U,	// RDSEED16r
+    20469U,	// RDSEED32r
+    20469U,	// RDSEED64r
+    11355U,	// RDTSC
+    11788U,	// RDTSCP
+    10830U,	// RELEASE_MOV16mr
+    10830U,	// RELEASE_MOV32mr
+    10830U,	// RELEASE_MOV64mr
+    10830U,	// RELEASE_MOV8mr
+    11483U,	// REPNE_PREFIX
+    11286U,	// REP_MOVSB_32
+    11286U,	// REP_MOVSB_64
+    11403U,	// REP_MOVSD_32
+    11403U,	// REP_MOVSD_64
+    11879U,	// REP_MOVSQ_64
+    12252U,	// REP_MOVSW_32
+    12252U,	// REP_MOVSW_64
+    11795U,	// REP_PREFIX
+    11276U,	// REP_STOSB_32
+    11276U,	// REP_STOSB_64
+    11393U,	// REP_STOSD_32
+    11393U,	// REP_STOSD_64
+    11869U,	// REP_STOSQ_64
+    12242U,	// REP_STOSW_32
+    12242U,	// REP_STOSW_64
+    25055U,	// RETIL
+    25055U,	// RETIQ
+    25055U,	// RETIW
+    12144U,	// RETL
+    12144U,	// RETQ
+    12144U,	// RETW
+    11112U,	// REX64_PREFIX
+    18913368U,	// ROL16m1
+    17864792U,	// ROL16mCL
+    1087576U,	// ROL16mi
+    18896984U,	// ROL16r1
+    17848408U,	// ROL16rCL
+    68180056U,	// ROL16ri
+    18946136U,	// ROL32m1
+    17897560U,	// ROL32mCL
+    1120344U,	// ROL32mi
+    18896984U,	// ROL32r1
+    17848408U,	// ROL32rCL
+    68180056U,	// ROL32ri
+    18962520U,	// ROL64m1
+    17913944U,	// ROL64mCL
+    1136728U,	// ROL64mi
+    18896984U,	// ROL64r1
+    17848408U,	// ROL64rCL
+    68180056U,	// ROL64ri
+    18978904U,	// ROL8m1
+    17930328U,	// ROL8mCL
+    1153112U,	// ROL8mi
+    18896984U,	// ROL8r1
+    17848408U,	// ROL8rCL
+    68180056U,	// ROL8ri
+    18914430U,	// ROR16m1
+    17865854U,	// ROR16mCL
+    1088638U,	// ROR16mi
+    18898046U,	// ROR16r1
+    17849470U,	// ROR16rCL
+    68181118U,	// ROR16ri
+    18947198U,	// ROR32m1
+    17898622U,	// ROR32mCL
+    1121406U,	// ROR32mi
+    18898046U,	// ROR32r1
+    17849470U,	// ROR32rCL
+    68181118U,	// ROR32ri
+    18963582U,	// ROR64m1
+    17915006U,	// ROR64mCL
+    1137790U,	// ROR64mi
+    18898046U,	// ROR64r1
+    17849470U,	// ROR64rCL
+    68181118U,	// ROR64ri
+    18979966U,	// ROR8m1
+    17931390U,	// ROR8mCL
+    1154174U,	// ROR8mi
+    18898046U,	// ROR8r1
+    17849470U,	// ROR8rCL
+    68181118U,	// ROR8ri
+    2249221482U,	// RORX32mi
+    2282775914U,	// RORX32ri
+    2316330346U,	// RORX64mi
+    2282775914U,	// RORX64ri
+    2685424211U,	// ROUNDPDm
+    2282771027U,	// ROUNDPDr
+    2685427429U,	// ROUNDPSm
+    2282774245U,	// ROUNDPSr
+    2383434893U,	// ROUNDSDm
+    2215662733U,	// ROUNDSDr
+    2215662733U,	// ROUNDSDr_Int
+    2416992542U,	// ROUNDSSm
+    2215665950U,	// ROUNDSSr
+    2215665950U,	// ROUNDSSr_Int
+    11730U,	// RSM
+    537944011U,	// RSQRTPSm
+    537944011U,	// RSQRTPSm_Int
+    135290827U,	// RSQRTPSr
+    135290827U,	// RSQRTPSr_Int
+    605053284U,	// RSQRTSSm
+    269508964U,	// RSQRTSSm_Int
+    135291236U,	// RSQRTSSr
+    68182372U,	// RSQRTSSr_Int
+    11574U,	// SAHF
+    18913324U,	// SAL16m1
+    17864748U,	// SAL16mCL
+    1087532U,	// SAL16mi
+    18896940U,	// SAL16r1
+    17848364U,	// SAL16rCL
+    68180012U,	// SAL16ri
+    18946092U,	// SAL32m1
+    17897516U,	// SAL32mCL
+    1120300U,	// SAL32mi
+    18896940U,	// SAL32r1
+    17848364U,	// SAL32rCL
+    68180012U,	// SAL32ri
+    18962476U,	// SAL64m1
+    17913900U,	// SAL64mCL
+    1136684U,	// SAL64mi
+    18896940U,	// SAL64r1
+    17848364U,	// SAL64rCL
+    68180012U,	// SAL64ri
+    18978860U,	// SAL8m1
+    17930284U,	// SAL8mCL
+    1153068U,	// SAL8mi
+    18896940U,	// SAL8r1
+    17848364U,	// SAL8rCL
+    68180012U,	// SAL8ri
+    11329U,	// SALC
+    18914376U,	// SAR16m1
+    17865800U,	// SAR16mCL
+    1088584U,	// SAR16mi
+    18897992U,	// SAR16r1
+    17849416U,	// SAR16rCL
+    68181064U,	// SAR16ri
+    18947144U,	// SAR32m1
+    17898568U,	// SAR32mCL
+    1121352U,	// SAR32mi
+    18897992U,	// SAR32r1
+    17849416U,	// SAR32rCL
+    68181064U,	// SAR32ri
+    18963528U,	// SAR64m1
+    17914952U,	// SAR64mCL
+    1137736U,	// SAR64mi
+    18897992U,	// SAR64r1
+    17849416U,	// SAR64rCL
+    68181064U,	// SAR64ri
+    18979912U,	// SAR8m1
+    17931336U,	// SAR8mCL
+    1154120U,	// SAR8mi
+    18897992U,	// SAR8r1
+    17849416U,	// SAR8rCL
+    68181064U,	// SAR8ri
+    2249221470U,	// SARX32rm
+    2282775902U,	// SARX32rr
+    2316330334U,	// SARX64rm
+    2282775902U,	// SARX64rr
+    26264U,	// SBB16i16
+    1084853U,	// SBB16mi
+    1084853U,	// SBB16mi8
+    1084853U,	// SBB16mr
+    35704245U,	// SBB16ri
+    35704245U,	// SBB16ri8
+    3198389U,	// SBB16rm
+    35704245U,	// SBB16rr
+    68177333U,	// SBB16rr_REV
+    26398U,	// SBB32i32
+    1117621U,	// SBB32mi
+    1117621U,	// SBB32mi8
+    1117621U,	// SBB32mr
+    35704245U,	// SBB32ri
+    35704245U,	// SBB32ri8
+    4246965U,	// SBB32rm
+    35704245U,	// SBB32rr
+    68177333U,	// SBB32rr_REV
+    26546U,	// SBB64i32
+    1134005U,	// SBB64mi32
+    1134005U,	// SBB64mi8
+    1134005U,	// SBB64mr
+    35704245U,	// SBB64ri32
+    35704245U,	// SBB64ri8
+    5295541U,	// SBB64rm
+    35704245U,	// SBB64rr
+    68177333U,	// SBB64rr_REV
+    26140U,	// SBB8i8
+    1150389U,	// SBB8mi
+    1150389U,	// SBB8mr
+    35704245U,	// SBB8ri
+    6344117U,	// SBB8rm
+    35704245U,	// SBB8rr
+    68177333U,	// SBB8rr_REV
+    304677U,	// SCASB
+    321360U,	// SCASL
+    616441U,	// SCASQ
+    337672U,	// SCASW
+    12038U,	// SEG_ALLOCA_32
+    12038U,	// SEG_ALLOCA_64
+    11539U,	// SEH_EndPrologue
+    11525U,	// SEH_Epilogue
+    26797U,	// SEH_PushFrame
+    26842U,	// SEH_PushReg
+    135293132U,	// SEH_SaveReg
+    135293046U,	// SEH_SaveXMM
+    135293117U,	// SEH_SetFrame
+    26780U,	// SEH_StackAlloc
+    103965U,	// SETAEm
+    22045U,	// SETAEr
+    101756U,	// SETAm
+    19836U,	// SETAr
+    103985U,	// SETBEm
+    22065U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    101805U,	// SETBm
-    19885U,	// SETBr
-    103927U,	// SETEm
-    22007U,	// SETEr
-    103813U,	// SETGEm
-    21893U,	// SETGEr
-    104013U,	// SETGm
-    22093U,	// SETGr
-    103837U,	// SETLEm
-    21917U,	// SETLEr
-    104367U,	// SETLm
-    22447U,	// SETLr
-    103865U,	// SETNEm
-    21945U,	// SETNEr
-    104490U,	// SETNOm
-    22570U,	// SETNOr
-    104602U,	// SETNPm
-    22682U,	// SETNPr
-    105536U,	// SETNSm
-    23616U,	// SETNSr
-    104505U,	// SETOm
-    22585U,	// SETOr
-    104649U,	// SETPm
-    22729U,	// SETPr
-    106714U,	// SETSm
-    24794U,	// SETSr
-    11999U,	// SFENCE
-    286961U,	// SGDT16m
-    286961U,	// SGDT32m
-    286961U,	// SGDT64m
-    303057653U,	// SHA1MSG1rm
-    68176629U,	// SHA1MSG1rr
-    303057725U,	// SHA1MSG2rm
-    68176701U,	// SHA1MSG2rr
-    303060486U,	// SHA1NEXTErm
-    68179462U,	// SHA1NEXTErr
-    2450541474U,	// SHA1RNDS4rmi
-    2215660450U,	// SHA1RNDS4rri
-    303057663U,	// SHA256MSG1rm
-    68176639U,	// SHA256MSG1rr
-    303057735U,	// SHA256MSG2rm
-    68176711U,	// SHA256MSG2rr
-    303057747U,	// SHA256RNDS2rm
-    68176723U,	// SHA256RNDS2rr
-    18913126U,	// SHL16m1
-    17864550U,	// SHL16mCL
-    1087334U,	// SHL16mi
-    18896742U,	// SHL16r1
-    17848166U,	// SHL16rCL
-    68179814U,	// SHL16ri
-    18945894U,	// SHL32m1
-    17897318U,	// SHL32mCL
-    1120102U,	// SHL32mi
-    18896742U,	// SHL32r1
-    17848166U,	// SHL32rCL
-    68179814U,	// SHL32ri
-    18962278U,	// SHL64m1
-    17913702U,	// SHL64mCL
-    1136486U,	// SHL64mi
-    18896742U,	// SHL64r1
-    17848166U,	// SHL64rCL
-    68179814U,	// SHL64ri
-    18978662U,	// SHL8m1
-    17930086U,	// SHL8mCL
-    1152870U,	// SHL8mi
-    18896742U,	// SHL8r1
-    17848166U,	// SHL8rCL
-    68179814U,	// SHL8ri
-    2148568931U,	// SHLD16mrCL
-    2148568931U,	// SHLD16mri8
-    2215661411U,	// SHLD16rrCL
-    2215661411U,	// SHLD16rri8
-    2148601699U,	// SHLD32mrCL
-    2148601699U,	// SHLD32mri8
-    2215661411U,	// SHLD32rrCL
-    2215661411U,	// SHLD32rri8
-    2148618083U,	// SHLD64mrCL
-    2148618083U,	// SHLD64mri8
-    2215661411U,	// SHLD64rrCL
-    2215661411U,	// SHLD64rri8
-    2249221219U,	// SHLX32rm
-    2282775651U,	// SHLX32rr
-    2316330083U,	// SHLX64rm
-    2282775651U,	// SHLX64rr
-    18914201U,	// SHR16m1
-    17865625U,	// SHR16mCL
-    1088409U,	// SHR16mi
-    18897817U,	// SHR16r1
-    17849241U,	// SHR16rCL
-    68180889U,	// SHR16ri
-    18946969U,	// SHR32m1
-    17898393U,	// SHR32mCL
-    1121177U,	// SHR32mi
-    18897817U,	// SHR32r1
-    17849241U,	// SHR32rCL
-    68180889U,	// SHR32ri
-    18963353U,	// SHR64m1
-    17914777U,	// SHR64mCL
-    1137561U,	// SHR64mi
-    18897817U,	// SHR64r1
-    17849241U,	// SHR64rCL
-    68180889U,	// SHR64ri
-    18979737U,	// SHR8m1
-    17931161U,	// SHR8mCL
-    1153945U,	// SHR8mi
-    18897817U,	// SHR8r1
-    17849241U,	// SHR8rCL
-    68180889U,	// SHR8ri
-    2148569758U,	// SHRD16mrCL
-    2148569758U,	// SHRD16mri8
-    2215662238U,	// SHRD16rrCL
-    2215662238U,	// SHRD16rri8
-    2148602526U,	// SHRD32mrCL
-    2148602526U,	// SHRD32mri8
-    2215662238U,	// SHRD32rrCL
-    2215662238U,	// SHRD32rri8
-    2148618910U,	// SHRD64mrCL
-    2148618910U,	// SHRD64mri8
-    2215662238U,	// SHRD64rrCL
-    2215662238U,	// SHRD64rri8
-    2249221268U,	// SHRX32rm
-    2282775700U,	// SHRX32rr
-    2316330132U,	// SHRX64rm
-    2282775700U,	// SHRX64rr
-    2349879705U,	// SHUFPDrmi
-    2215661977U,	// SHUFPDrri
-    2349882923U,	// SHUFPSrmi
-    2215665195U,	// SHUFPSrri
-    286973U,	// SIDT16m
-    286973U,	// SIDT32m
-    286973U,	// SIDT64m
-    12260U,	// SIN_F
+    102013U,	// SETBm
+    20093U,	// SETBr
+    104135U,	// SETEm
+    22215U,	// SETEr
+    104021U,	// SETGEm
+    22101U,	// SETGEr
+    104221U,	// SETGm
+    22301U,	// SETGr
+    104045U,	// SETLEm
+    22125U,	// SETLEr
+    104575U,	// SETLm
+    22655U,	// SETLr
+    104073U,	// SETNEm
+    22153U,	// SETNEr
+    104698U,	// SETNOm
+    22778U,	// SETNOr
+    104810U,	// SETNPm
+    22890U,	// SETNPr
+    105744U,	// SETNSm
+    23824U,	// SETNSr
+    104713U,	// SETOm
+    22793U,	// SETOr
+    104857U,	// SETPm
+    22937U,	// SETPr
+    106922U,	// SETSm
+    25002U,	// SETSr
+    11455U,	// SFENCE
+    287169U,	// SGDT16m
+    287169U,	// SGDT32m
+    287169U,	// SGDT64m
+    303057818U,	// SHA1MSG1rm
+    68176794U,	// SHA1MSG1rr
+    303057901U,	// SHA1MSG2rm
+    68176877U,	// SHA1MSG2rr
+    303060694U,	// SHA1NEXTErm
+    68179670U,	// SHA1NEXTErr
+    2450541661U,	// SHA1RNDS4rmi
+    2215660637U,	// SHA1RNDS4rri
+    303057828U,	// SHA256MSG1rm
+    68176804U,	// SHA256MSG1rr
+    303057911U,	// SHA256MSG2rm
+    68176887U,	// SHA256MSG2rr
+    303057923U,	// SHA256RNDS2rm
+    68176899U,	// SHA256RNDS2rr
+    18913334U,	// SHL16m1
+    17864758U,	// SHL16mCL
+    1087542U,	// SHL16mi
+    18896950U,	// SHL16r1
+    17848374U,	// SHL16rCL
+    68180022U,	// SHL16ri
+    18946102U,	// SHL32m1
+    17897526U,	// SHL32mCL
+    1120310U,	// SHL32mi
+    18896950U,	// SHL32r1
+    17848374U,	// SHL32rCL
+    68180022U,	// SHL32ri
+    18962486U,	// SHL64m1
+    17913910U,	// SHL64mCL
+    1136694U,	// SHL64mi
+    18896950U,	// SHL64r1
+    17848374U,	// SHL64rCL
+    68180022U,	// SHL64ri
+    18978870U,	// SHL8m1
+    17930294U,	// SHL8mCL
+    1153078U,	// SHL8mi
+    18896950U,	// SHL8r1
+    17848374U,	// SHL8rCL
+    68180022U,	// SHL8ri
+    2148569139U,	// SHLD16mrCL
+    2148569139U,	// SHLD16mri8
+    2215661619U,	// SHLD16rrCL
+    2215661619U,	// SHLD16rri8
+    2148601907U,	// SHLD32mrCL
+    2148601907U,	// SHLD32mri8
+    2215661619U,	// SHLD32rrCL
+    2215661619U,	// SHLD32rri8
+    2148618291U,	// SHLD64mrCL
+    2148618291U,	// SHLD64mri8
+    2215661619U,	// SHLD64rrCL
+    2215661619U,	// SHLD64rri8
+    2249221427U,	// SHLX32rm
+    2282775859U,	// SHLX32rr
+    2316330291U,	// SHLX64rm
+    2282775859U,	// SHLX64rr
+    18914409U,	// SHR16m1
+    17865833U,	// SHR16mCL
+    1088617U,	// SHR16mi
+    18898025U,	// SHR16r1
+    17849449U,	// SHR16rCL
+    68181097U,	// SHR16ri
+    18947177U,	// SHR32m1
+    17898601U,	// SHR32mCL
+    1121385U,	// SHR32mi
+    18898025U,	// SHR32r1
+    17849449U,	// SHR32rCL
+    68181097U,	// SHR32ri
+    18963561U,	// SHR64m1
+    17914985U,	// SHR64mCL
+    1137769U,	// SHR64mi
+    18898025U,	// SHR64r1
+    17849449U,	// SHR64rCL
+    68181097U,	// SHR64ri
+    18979945U,	// SHR8m1
+    17931369U,	// SHR8mCL
+    1154153U,	// SHR8mi
+    18898025U,	// SHR8r1
+    17849449U,	// SHR8rCL
+    68181097U,	// SHR8ri
+    2148569966U,	// SHRD16mrCL
+    2148569966U,	// SHRD16mri8
+    2215662446U,	// SHRD16rrCL
+    2215662446U,	// SHRD16rri8
+    2148602734U,	// SHRD32mrCL
+    2148602734U,	// SHRD32mri8
+    2215662446U,	// SHRD32rrCL
+    2215662446U,	// SHRD32rri8
+    2148619118U,	// SHRD64mrCL
+    2148619118U,	// SHRD64mri8
+    2215662446U,	// SHRD64rrCL
+    2215662446U,	// SHRD64rri8
+    2249221476U,	// SHRX32rm
+    2282775908U,	// SHRX32rr
+    2316330340U,	// SHRX64rm
+    2282775908U,	// SHRX64rr
+    2349879913U,	// SHUFPDrmi
+    2215662185U,	// SHUFPDrri
+    2349883131U,	// SHUFPSrmi
+    2215665403U,	// SHUFPSrri
+    287181U,	// SIDT16m
+    287181U,	// SIDT32m
+    287181U,	// SIDT64m
+    11747U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    12822U,	// SKINIT
-    41225U,	// SLDT16m
-    24841U,	// SLDT16r
-    24841U,	// SLDT32r
-    41225U,	// SLDT64m
-    24841U,	// SLDT64r
-    41883U,	// SMSW16m
-    25499U,	// SMSW16r
-    25499U,	// SMSW32r
-    25499U,	// SMSW64r
-    537940542U,	// SQRTPDm
-    135287358U,	// SQRTPDr
-    537943804U,	// SQRTPSm
-    135290620U,	// SQRTPSr
-    571495435U,	// SQRTSDm
-    571495435U,	// SQRTSDm_Int
-    135287819U,	// SQRTSDr
-    135287819U,	// SQRTSDr_Int
-    605053077U,	// SQRTSSm
-    605053077U,	// SQRTSSm_Int
-    135291029U,	// SQRTSSr
-    135291029U,	// SQRTSSr_Int
-    12695U,	// SQRT_F
+    12321U,	// SKINIT
+    41433U,	// SLDT16m
+    25049U,	// SLDT16r
+    25049U,	// SLDT32r
+    41433U,	// SLDT64m
+    25049U,	// SLDT64r
+    42091U,	// SMSW16m
+    25707U,	// SMSW16r
+    25707U,	// SMSW32r
+    25707U,	// SMSW64r
+    537940750U,	// SQRTPDm
+    135287566U,	// SQRTPDr
+    537944012U,	// SQRTPSm
+    135290828U,	// SQRTPSr
+    571495643U,	// SQRTSDm
+    571495643U,	// SQRTSDm_Int
+    135288027U,	// SQRTSDr
+    135288027U,	// SQRTSDr_Int
+    605053285U,	// SQRTSSm
+    605053285U,	// SQRTSSm_Int
+    135291237U,	// SQRTSSr
+    135291237U,	// SQRTSSr_Int
+    12188U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    12620U,	// SS_PREFIX
-    11851U,	// STAC
-    11905U,	// STC
-    11963U,	// STD
-    12122U,	// STGI
-    12137U,	// STI
-    72673U,	// STMXCSR
-    17108338U,	// STOSB
-    14996466U,	// STOSL
-    16341698U,	// STOSQ
-    13935530U,	// STOSW
-    23546U,	// STR16r
-    23546U,	// STR32r
-    23546U,	// STR64r
-    39930U,	// STRm
-    123266U,	// ST_F32m
-    139650U,	// ST_F64m
-    121046U,	// ST_FP32m
-    137430U,	// ST_FP64m
-    383190U,	// ST_FP80m
-    11395U,	// ST_FPNCE
-    11416U,	// ST_FPr0r7
-    22742U,	// ST_FPrr
+    12113U,	// SS_PREFIX
+    11307U,	// STAC
+    11361U,	// STC
+    11419U,	// STD
+    11609U,	// STGI
+    11624U,	// STI
+    72881U,	// STMXCSR
+    17075778U,	// STOSB
+    14996674U,	// STOSL
+    16341906U,	// STOSQ
+    13968506U,	// STOSW
+    23754U,	// STR16r
+    23754U,	// STR32r
+    23754U,	// STR64r
+    40138U,	// STRm
+    123474U,	// ST_F32m
+    139858U,	// ST_F64m
+    121254U,	// ST_FP32m
+    137638U,	// ST_FP64m
+    383398U,	// ST_FP80m
+    10851U,	// ST_FPNCE
+    10872U,	// ST_FPr0r7
+    22950U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2780,52 +2781,52 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    24962U,	// ST_Frr
-    26065U,	// SUB16i16
-    1084903U,	// SUB16mi
-    1084903U,	// SUB16mi8
-    1084903U,	// SUB16mr
-    35704295U,	// SUB16ri
-    35704295U,	// SUB16ri8
-    3198439U,	// SUB16rm
-    35704295U,	// SUB16rr
-    68177383U,	// SUB16rr_REV
-    26200U,	// SUB32i32
-    1117671U,	// SUB32mi
-    1117671U,	// SUB32mi8
-    1117671U,	// SUB32mr
-    35704295U,	// SUB32ri
-    35704295U,	// SUB32ri8
-    4247015U,	// SUB32rm
-    35704295U,	// SUB32rr
-    68177383U,	// SUB32rr_REV
-    26348U,	// SUB64i32
-    1134055U,	// SUB64mi32
-    1134055U,	// SUB64mi8
-    1134055U,	// SUB64mr
-    35704295U,	// SUB64ri32
-    35704295U,	// SUB64ri8
-    5295591U,	// SUB64rm
-    35704295U,	// SUB64rr
-    68177383U,	// SUB64rr_REV
-    25963U,	// SUB8i8
-    1150439U,	// SUB8mi
-    1150439U,	// SUB8mr
-    35704295U,	// SUB8ri
-    35704295U,	// SUB8ri8
-    6344167U,	// SUB8rm
-    35704295U,	// SUB8rr
-    68177383U,	// SUB8rr_REV
-    202395909U,	// SUBPDrm
-    68178181U,	// SUBPDrr
-    202399127U,	// SUBPSrm
-    68181399U,	// SUBPSrr
-    121726U,	// SUBR_F32m
-    138110U,	// SUBR_F64m
-    39813U,	// SUBR_FI16m
-    72581U,	// SUBR_FI32m
-    22713U,	// SUBR_FPrST0
-    23422U,	// SUBR_FST0r
+    25170U,	// ST_Frr
+    26273U,	// SUB16i16
+    1085111U,	// SUB16mi
+    1085111U,	// SUB16mi8
+    1085111U,	// SUB16mr
+    35704503U,	// SUB16ri
+    35704503U,	// SUB16ri8
+    3198647U,	// SUB16rm
+    35704503U,	// SUB16rr
+    68177591U,	// SUB16rr_REV
+    26408U,	// SUB32i32
+    1117879U,	// SUB32mi
+    1117879U,	// SUB32mi8
+    1117879U,	// SUB32mr
+    35704503U,	// SUB32ri
+    35704503U,	// SUB32ri8
+    4247223U,	// SUB32rm
+    35704503U,	// SUB32rr
+    68177591U,	// SUB32rr_REV
+    26556U,	// SUB64i32
+    1134263U,	// SUB64mi32
+    1134263U,	// SUB64mi8
+    1134263U,	// SUB64mr
+    35704503U,	// SUB64ri32
+    35704503U,	// SUB64ri8
+    5295799U,	// SUB64rm
+    35704503U,	// SUB64rr
+    68177591U,	// SUB64rr_REV
+    26171U,	// SUB8i8
+    1150647U,	// SUB8mi
+    1150647U,	// SUB8mr
+    35704503U,	// SUB8ri
+    35704503U,	// SUB8ri8
+    6344375U,	// SUB8rm
+    35704503U,	// SUB8rr
+    68177591U,	// SUB8rr_REV
+    202396117U,	// SUBPDrm
+    68178389U,	// SUBPDrr
+    202399335U,	// SUBPSrm
+    68181607U,	// SUBPSrr
+    121934U,	// SUBR_F32m
+    138318U,	// SUBR_F64m
+    40021U,	// SUBR_FI16m
+    72789U,	// SUBR_FI32m
+    22921U,	// SUBR_FPrST0
+    23630U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2837,21 +2838,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    7363454U,	// SUBR_FrST0
-    235950981U,	// SUBSDrm
-    235950981U,	// SUBSDrm_Int
-    68178821U,	// SUBSDrr
-    68178821U,	// SUBSDrr_Int
-    269508630U,	// SUBSSrm
-    269508630U,	// SUBSSrm_Int
-    68182038U,	// SUBSSrr
-    68182038U,	// SUBSSrr_Int
-    118246U,	// SUB_F32m
-    134630U,	// SUB_F64m
-    36332U,	// SUB_FI16m
-    69100U,	// SUB_FI32m
-    22605U,	// SUB_FPrST0
-    19942U,	// SUB_FST0r
+    7363662U,	// SUBR_FrST0
+    235951189U,	// SUBSDrm
+    235951189U,	// SUBSDrm_Int
+    68179029U,	// SUBSDrr
+    68179029U,	// SUBSDrr_Int
+    269508838U,	// SUBSSrm
+    269508838U,	// SUBSSrm_Int
+    68182246U,	// SUBSSrr
+    68182246U,	// SUBSSrr_Int
+    118454U,	// SUB_F32m
+    134838U,	// SUB_F64m
+    36540U,	// SUB_FI16m
+    69308U,	// SUB_FI32m
+    22813U,	// SUB_FPrST0
+    20150U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2866,2611 +2867,3281 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    7359974U,	// SUB_FrST0
-    12539U,	// SWAPGS
-    12200U,	// SYSCALL
-    12419U,	// SYSENTER
-    12675U,	// SYSEXIT
-    12675U,	// SYSEXIT64
-    12655U,	// SYSRET
-    12655U,	// SYSRET64
-    101731928U,	// T1MSKC32rm
-    135286360U,	// T1MSKC32rr
-    168840792U,	// T1MSKC64rm
-    135286360U,	// T1MSKC64rr
-    20076665U,	// TAILJMPd
-    20076665U,	// TAILJMPd64
-    19994745U,	// TAILJMPm
-    20011129U,	// TAILJMPm64
+    7360182U,	// SUB_FrST0
+    12026U,	// SWAPGS
+    11687U,	// SYSCALL
+    11906U,	// SYSENTER
+    12168U,	// SYSEXIT
+    12168U,	// SYSEXIT64
+    12148U,	// SYSRET
+    12148U,	// SYSRET64
+    101732136U,	// T1MSKC32rm
+    135286568U,	// T1MSKC32rr
+    168841000U,	// T1MSKC64rm
+    135286568U,	// T1MSKC64rr
+    20076873U,	// TAILJMPd
+    20076873U,	// TAILJMPd64
+    19994953U,	// TAILJMPm
+    20011337U,	// TAILJMPm64
     0U,	// TAILJMPr
-    19945593U,	// TAILJMPr64
+    19945801U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    26149U,	// TEST16i16
-    1089916U,	// TEST16mi
-    1089916U,	// TEST16mi_alt
-    135291260U,	// TEST16ri
-    135291260U,	// TEST16ri_alt
-	1089916U,	// TEST16rm
-    135291260U,	// TEST16rr
-    26317U,	// TEST32i32
-    1122684U,	// TEST32mi
-    1122684U,	// TEST32mi_alt
-    135291260U,	// TEST32ri
-    135291260U,	// TEST32ri_alt
-	1122684U,	// TEST32rm
-    135291260U,	// TEST32rr
-    26456U,	// TEST64i32
-    1139068U,	// TEST64mi32
-    1139068U,	// TEST64mi32_alt
-    135291260U,	// TEST64ri32
-    135291260U,	// TEST64ri32_alt
-	1139068U,	// TEST64rm
-    135291260U,	// TEST64rr
-    26037U,	// TEST8i8
-    1155452U,	// TEST8mi
-    1155452U,	// TEST8mi_alt
-    135291260U,	// TEST8ri
+    26357U,	// TEST16i16
+    1090124U,	// TEST16mi
+    1090124U,	// TEST16mi_alt
+    135291468U,	// TEST16ri
+    135291468U,	// TEST16ri_alt
+    1090124U,	// TEST16rm
+    135291468U,	// TEST16rr
+    26525U,	// TEST32i32
+    1122892U,	// TEST32mi
+    1122892U,	// TEST32mi_alt
+    135291468U,	// TEST32ri
+    135291468U,	// TEST32ri_alt
+    1122892U,	// TEST32rm
+    135291468U,	// TEST32rr
+    26664U,	// TEST64i32
+    1139276U,	// TEST64mi32
+    1139276U,	// TEST64mi32_alt
+    135291468U,	// TEST64ri32
+    135291468U,	// TEST64ri32_alt
+    1139276U,	// TEST64rm
+    135291468U,	// TEST64rr
+    26245U,	// TEST8i8
+    1155660U,	// TEST8mi
+    1155660U,	// TEST8mi_alt
+    135291468U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    135291260U,	// TEST8ri_alt
-	1155452U,	// TEST8rm
-    135291260U,	// TEST8rr
-    11508U,	// TLSCall_32
-    11612U,	// TLSCall_64
-    11521U,	// TLS_addr32
-    11625U,	// TLS_addr64
-    11534U,	// TLS_base_addr32
-    11638U,	// TLS_base_addr64
-    11552U,	// TRAP
-    12707U,	// TST_F
+    135291468U,	// TEST8ri_alt
+    1155660U,	// TEST8rm
+    135291468U,	// TEST8rr
+    10964U,	// TLSCall_32
+    11068U,	// TLSCall_64
+    10977U,	// TLS_addr32
+    11081U,	// TLS_addr64
+    10990U,	// TLS_base_addr32
+    11094U,	// TLS_base_addr64
+    11008U,	// TRAP
+    12200U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    370172204U,	// TZCNT16rm
-    135291180U,	// TZCNT16rr
-    101736748U,	// TZCNT32rm
-    135291180U,	// TZCNT32rr
-    168845612U,	// TZCNT64rm
-    135291180U,	// TZCNT64rr
-    101734229U,	// TZMSK32rm
-    135288661U,	// TZMSK32rr
-    168843093U,	// TZMSK64rm
-    135288661U,	// TZMSK64rr
-    571495367U,	// UCOMISDrm
-    135287751U,	// UCOMISDrr
-    605053016U,	// UCOMISSrm
-    135290968U,	// UCOMISSrr
-    22248U,	// UCOM_FIPr
-    22190U,	// UCOM_FIr
-    12335U,	// UCOM_FPPr
-    22669U,	// UCOM_FPr
+    370172412U,	// TZCNT16rm
+    135291388U,	// TZCNT16rr
+    101736956U,	// TZCNT32rm
+    135291388U,	// TZCNT32rr
+    168845820U,	// TZCNT64rm
+    135291388U,	// TZCNT64rr
+    101734437U,	// TZMSK32rm
+    135288869U,	// TZMSK32rr
+    168843301U,	// TZMSK64rm
+    135288869U,	// TZMSK64rr
+    571495575U,	// UCOMISDrm
+    135287959U,	// UCOMISDrr
+    605053224U,	// UCOMISSrm
+    135291176U,	// UCOMISSrr
+    22456U,	// UCOM_FIPr
+    22398U,	// UCOM_FIr
+    11822U,	// UCOM_FPPr
+    22877U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    22492U,	// UCOM_Fr
-    11785U,	// UD2B
-    202396066U,	// UNPCKHPDrm
-    68178338U,	// UNPCKHPDrr
-    202399284U,	// UNPCKHPSrm
-    68181556U,	// UNPCKHPSrr
-    202396108U,	// UNPCKLPDrm
-    68178380U,	// UNPCKLPDrr
-    202399346U,	// UNPCKLPSrm
-    68181618U,	// UNPCKLPSrr
-    2651875204U,	// VAARG_64
-    2282770792U,	// VADDPDYrm
-    2282770792U,	// VADDPDYrr
-    2282766968U,	// VADDPDZrm
-    2282766968U,	// VADDPDZrmb
-    2282766968U,	// VADDPDZrr
-    2282770792U,	// VADDPDrm
-    2282770792U,	// VADDPDrr
-    2282774010U,	// VADDPSYrm
-    2282774010U,	// VADDPSYrr
-    2282768617U,	// VADDPSZrm
-    2282768617U,	// VADDPSZrmb
-    2282768617U,	// VADDPSZrr
-    2282774010U,	// VADDPSrm
-    2282774010U,	// VADDPSrr
-    2282771380U,	// VADDSDZrm
-    2282771380U,	// VADDSDZrr
-    2282771380U,	// VADDSDrm
-    2282771380U,	// VADDSDrm_Int
-    2282771380U,	// VADDSDrr
-    2282771380U,	// VADDSDrr_Int
-    2282774597U,	// VADDSSZrm
-    2282774597U,	// VADDSSZrr
-    2282774597U,	// VADDSSrm
-    2282774597U,	// VADDSSrm_Int
-    2282774597U,	// VADDSSrr
-    2282774597U,	// VADDSSrr_Int
-    2282770700U,	// VADDSUBPDYrm
-    2282770700U,	// VADDSUBPDYrr
-    2282770700U,	// VADDSUBPDrm
-    2282770700U,	// VADDSUBPDrr
-    2282773918U,	// VADDSUBPSYrm
-    2282773918U,	// VADDSUBPSYrr
-    2282773918U,	// VADDSUBPSrm
-    2282773918U,	// VADDSUBPSrr
-    2282774880U,	// VAESDECLASTrm
-    2282774880U,	// VAESDECLASTrr
-    2282769985U,	// VAESDECrm
-    2282769985U,	// VAESDECrr
-    2282774893U,	// VAESENCLASTrm
-    2282774893U,	// VAESENCLASTrr
-    2282770025U,	// VAESENCrm
-    2282770025U,	// VAESENCrr
-    336612960U,	// VAESIMCrm
-    135286368U,	// VAESIMCrr
-    2484101517U,	// VAESKEYGENASSIST128rm
-    2282774925U,	// VAESKEYGENASSIST128rr
-    2282766646U,	// VALIGNDrmi
-    2282766646U,	// VALIGNDrri
-    2282768097U,	// VALIGNQrmi
-    2282768097U,	// VALIGNQrri
-    2282770936U,	// VANDNPDYrm
-    2282770936U,	// VANDNPDYrr
-    2282770936U,	// VANDNPDrm
-    2282770936U,	// VANDNPDrr
-    2282774166U,	// VANDNPSYrm
-    2282774166U,	// VANDNPSYrr
-    2282774166U,	// VANDNPSrm
-    2282774166U,	// VANDNPSrr
-    2282770800U,	// VANDPDYrm
-    2282770800U,	// VANDPDYrr
-    2282770800U,	// VANDPDrm
-    2282770800U,	// VANDPDrr
-    2282774018U,	// VANDPSYrm
-    2282774018U,	// VANDPSYrr
-    2282774018U,	// VANDPSrm
-    2282774018U,	// VANDPSrr
-    2282776486U,	// VASTART_SAVE_XMM_REGS
-    155206345U,	// VBLENDMPDZrm
-    155206345U,	// VBLENDMPDZrr
-    155207994U,	// VBLENDMPSZrm
-    155207994U,	// VBLENDMPSZrr
-    2282770808U,	// VBLENDPDYrmi
-    2282770808U,	// VBLENDPDYrri
-    2282770808U,	// VBLENDPDrmi
-    2282770808U,	// VBLENDPDrri
-    2282774026U,	// VBLENDPSYrmi
-    2282774026U,	// VBLENDPSYrri
-    2282774026U,	// VBLENDPSrmi
-    2282774026U,	// VBLENDPSrri
-    2282771032U,	// VBLENDVPDYrm
-    2282771032U,	// VBLENDVPDYrr
-    2282771032U,	// VBLENDVPDrm
-    2282771032U,	// VBLENDVPDrr
-    2282774303U,	// VBLENDVPSYrm
-    2282774303U,	// VBLENDVPSYrr
-    2282774303U,	// VBLENDVPSrm
-    2282774303U,	// VBLENDVPSrr
-    537939016U,	// VBROADCASTF128
-    336612479U,	// VBROADCASTI128
-    571495443U,	// VBROADCASTSDYrm
-    135287827U,	// VBROADCASTSDYrr
-    571491364U,	// VBROADCASTSDZrm
-    135283748U,	// VBROADCASTSDZrr
-    605053094U,	// VBROADCASTSSYrm
-    135291046U,	// VBROADCASTSSYrr
-    605047338U,	// VBROADCASTSSZrm
-    135285290U,	// VBROADCASTSSZrr
-    605053094U,	// VBROADCASTSSrm
-    135291046U,	// VBROADCASTSSrr
-    2290446366U,	// VCMPPDYrmi
-    2282770960U,	// VCMPPDYrmi_alt
-    2290462750U,	// VCMPPDYrri
-    2282770960U,	// VCMPPDYrri_alt
-    894791710U,	// VCMPPDZrmi
-    2282767080U,	// VCMPPDZrmi_alt
-    89501726U,	// VCMPPDZrri
-    2282767080U,	// VCMPPDZrri_alt
-    2236985374U,	// VCMPPDZrrib
-    2290446366U,	// VCMPPDrmi
-    2282770960U,	// VCMPPDrmi_alt
-    2290462750U,	// VCMPPDrri
-    2282770960U,	// VCMPPDrri_alt
-    2291494942U,	// VCMPPSYrmi
-    2282774198U,	// VCMPPSYrmi_alt
-    2291511326U,	// VCMPPSYrri
-    2282774198U,	// VCMPPSYrri_alt
-    895840286U,	// VCMPPSZrmi
-    2282768729U,	// VCMPPSZrmi_alt
-    90550302U,	// VCMPPSZrri
-    2282768729U,	// VCMPPSZrri_alt
-    2238033950U,	// VCMPPSZrrib
-    2291494942U,	// VCMPPSrmi
-    2282774198U,	// VCMPPSrmi_alt
-    2291511326U,	// VCMPPSrri
-    2282774198U,	// VCMPPSrri_alt
-    2292543518U,	// VCMPSDZrm
-    2282771449U,	// VCMPSDZrmi_alt
-    2292559902U,	// VCMPSDZrr
-    2282771449U,	// VCMPSDZrri_alt
-    2292543518U,	// VCMPSDrm
-    2282771449U,	// VCMPSDrm_alt
-    2292559902U,	// VCMPSDrr
-    2282771449U,	// VCMPSDrr_alt
-    2293592094U,	// VCMPSSZrm
-    2282774658U,	// VCMPSSZrmi_alt
-    2293608478U,	// VCMPSSZrr
-    2282774658U,	// VCMPSSZrri_alt
-    2293592094U,	// VCMPSSrm
-    2282774658U,	// VCMPSSrm_alt
-    2293608478U,	// VCMPSSrr
-    2282774658U,	// VCMPSSrr_alt
-    537940944U,	// VCOMISDZrm
-    135287760U,	// VCOMISDZrr
-    537940944U,	// VCOMISDrm
-    135287760U,	// VCOMISDrr
-    537944161U,	// VCOMISSZrm
-    135290977U,	// VCOMISSZrr
-    537944161U,	// VCOMISSrm
-    135290977U,	// VCOMISSrr
-    336613506U,	// VCVTDQ2PDYrm
-    135286914U,	// VCVTDQ2PDYrr
-    907035048U,	// VCVTDQ2PDZrm
-    135283112U,	// VCVTDQ2PDZrr
-    168841346U,	// VCVTDQ2PDrm
-    135286914U,	// VCVTDQ2PDrr
-    907042087U,	// VCVTDQ2PSYrm
-    135290151U,	// VCVTDQ2PSYrr
-    940591141U,	// VCVTDQ2PSZrm
-    135284773U,	// VCVTDQ2PSZrr
-    2282768421U,	// VCVTDQ2PSZrrb
-    336616743U,	// VCVTDQ2PSrm
-    135290151U,	// VCVTDQ2PSrr
-    537945218U,	// VCVTPD2DQXrm
-    974150009U,	// VCVTPD2DQYrm
-    135289209U,	// VCVTPD2DQYrr
-    1007699374U,	// VCVTPD2DQZrm
-    135284142U,	// VCVTPD2DQZrr
-    2282767790U,	// VCVTPD2DQZrrb
-    135289209U,	// VCVTPD2DQrr
-    537945248U,	// VCVTPD2PSXrm
-    974150907U,	// VCVTPD2PSYrm
-    135290107U,	// VCVTPD2PSYrr
-    1007699981U,	// VCVTPD2PSZrm
-    135284749U,	// VCVTPD2PSZrr
-    2282768397U,	// VCVTPD2PSZrrb
-    135290107U,	// VCVTPD2PSrr
-    1007699534U,	// VCVTPD2UDQZrm
-    135284302U,	// VCVTPD2UDQZrr
-    2282767950U,	// VCVTPD2UDQZrrb
-    537943302U,	// VCVTPH2PSYrm
-    135290118U,	// VCVTPH2PSYrr
-    974150918U,	// VCVTPH2PSZrm
-    135290118U,	// VCVTPH2PSZrr
-    571497734U,	// VCVTPH2PSrm
-    135290118U,	// VCVTPH2PSrr
-    974150041U,	// VCVTPS2DQYrm
-    135289241U,	// VCVTPS2DQYrr
-    1007699399U,	// VCVTPS2DQZrm
-    135284167U,	// VCVTPS2DQZrr
-    2282767815U,	// VCVTPS2DQZrrb
-    537942425U,	// VCVTPS2DQrm
-    135289241U,	// VCVTPS2DQrr
-    537940109U,	// VCVTPS2PDYrm
-    135286925U,	// VCVTPS2PDYrr
-    974143937U,	// VCVTPS2PDZrm
-    135283137U,	// VCVTPS2PDZrr
-    571494541U,	// VCVTPS2PDrm
-    135286925U,	// VCVTPS2PDrr
-    1041831530U,	// VCVTPS2PHYmr
-    2282772074U,	// VCVTPS2PHYrr
-    1041859768U,	// VCVTPS2PHZmr
-    2282767544U,	// VCVTPS2PHZrr
-    2148669034U,	// VCVTPS2PHmr
-    2282772074U,	// VCVTPS2PHrr
-    1007699561U,	// VCVTPS2UDQZrm
-    135284329U,	// VCVTPS2UDQZrr
-    2282767977U,	// VCVTPS2UDQZrrb
-    571491537U,	// VCVTSD2SI64Zrm
-    135283921U,	// VCVTSD2SI64Zrr
-    571496213U,	// VCVTSD2SI64rm
-    135288597U,	// VCVTSD2SI64rr
-    571491537U,	// VCVTSD2SIZrm
-    135283921U,	// VCVTSD2SIZrr
-    571496213U,	// VCVTSD2SIrm
-    135288597U,	// VCVTSD2SIrr
-    2282774459U,	// VCVTSD2SSZrm
-    2282774459U,	// VCVTSD2SSZrr
-    2282774459U,	// VCVTSD2SSrm
-    2282774459U,	// VCVTSD2SSrr
-    571491588U,	// VCVTSD2USI64Zrm
-    135283972U,	// VCVTSD2USI64Zrr
-    571491588U,	// VCVTSD2USIZrm
-    135283972U,	// VCVTSD2USIZrr
-    2282771234U,	// VCVTSI2SD64rm
-    2282771234U,	// VCVTSI2SD64rr
-    2282767232U,	// VCVTSI2SDZrm
-    2282767232U,	// VCVTSI2SDZrr
-    2282771234U,	// VCVTSI2SDrm
-    2282771234U,	// VCVTSI2SDrr
-    2282774470U,	// VCVTSI2SS64rm
-    2282774470U,	// VCVTSI2SS64rr
-    2282768793U,	// VCVTSI2SSZrm
-    2282768793U,	// VCVTSI2SSZrr
-    2282774470U,	// VCVTSI2SSrm
-    2282774470U,	// VCVTSI2SSrr
-    2282767232U,	// VCVTSI642SDZrm
-    2282767232U,	// VCVTSI642SDZrr
-    2282768793U,	// VCVTSI642SSZrm
-    2282768793U,	// VCVTSI642SSZrr
-    2282771257U,	// VCVTSS2SDZrm
-    2282771257U,	// VCVTSS2SDZrr
-    2282771257U,	// VCVTSS2SDrm
-    2282771257U,	// VCVTSS2SDrr
-    605045994U,	// VCVTSS2SI64Zrm
-    135283946U,	// VCVTSS2SI64Zrr
-    605050668U,	// VCVTSS2SI64rm
-    135288620U,	// VCVTSS2SI64rr
-    605045994U,	// VCVTSS2SIZrm
-    135283946U,	// VCVTSS2SIZrr
-    605050668U,	// VCVTSS2SIrm
-    135288620U,	// VCVTSS2SIrr
-    605046047U,	// VCVTSS2USI64Zrm
-    135283999U,	// VCVTSS2USI64Zrr
-    605046047U,	// VCVTSS2USIZrm
-    135283999U,	// VCVTSS2USIZrr
-    537945205U,	// VCVTTPD2DQXrm
-    974149997U,	// VCVTTPD2DQYrm
-    135289197U,	// VCVTTPD2DQYrr
-    1007699361U,	// VCVTTPD2DQZrm
-    135284129U,	// VCVTTPD2DQZrr
-    135289197U,	// VCVTTPD2DQrr
-    1007699520U,	// VCVTTPD2UDQZrm
-    135284288U,	// VCVTTPD2UDQZrr
-    974150029U,	// VCVTTPS2DQYrm
-    135289229U,	// VCVTTPS2DQYrr
-    1007699386U,	// VCVTTPS2DQZrm
-    135284154U,	// VCVTTPS2DQZrr
-    537942413U,	// VCVTTPS2DQrm
-    135289229U,	// VCVTTPS2DQrr
-    1007699547U,	// VCVTTPS2UDQZrm
-    135284315U,	// VCVTTPS2UDQZrr
-    571491524U,	// VCVTTSD2SI64Zrm
-    135283908U,	// VCVTTSD2SI64Zrr
-    571496201U,	// VCVTTSD2SI64rm
-    135288585U,	// VCVTTSD2SI64rr
-    571491524U,	// VCVTTSD2SIZrm
-    135283908U,	// VCVTTSD2SIZrr
-    571496201U,	// VCVTTSD2SIrm
-    135288585U,	// VCVTTSD2SIrr
-    571491574U,	// VCVTTSD2USI64Zrm
-    135283958U,	// VCVTTSD2USI64Zrr
-    571491574U,	// VCVTTSD2USIZrm
-    135283958U,	// VCVTTSD2USIZrr
-    605045981U,	// VCVTTSS2SI64Zrm
-    135283933U,	// VCVTTSS2SI64Zrr
-    605050656U,	// VCVTTSS2SI64rm
-    135288608U,	// VCVTTSS2SI64rr
-    605045981U,	// VCVTTSS2SIZrm
-    135283933U,	// VCVTTSS2SIZrr
-    605050656U,	// VCVTTSS2SIrm
-    135288608U,	// VCVTTSS2SIrr
-    605046033U,	// VCVTTSS2USI64Zrm
-    135283985U,	// VCVTTSS2USI64Zrr
-    605046033U,	// VCVTTSS2USIZrm
-    135283985U,	// VCVTTSS2USIZrr
-    974143924U,	// VCVTUDQ2PDZrm
-    135283124U,	// VCVTUDQ2PDZrr
-    1007700017U,	// VCVTUDQ2PSZrm
-    135284785U,	// VCVTUDQ2PSZrr
-    2282768433U,	// VCVTUDQ2PSZrrb
-    2282767244U,	// VCVTUSI2SDZrm
-    2282767244U,	// VCVTUSI2SDZrr
-    2282768805U,	// VCVTUSI2SSZrm
-    2282768805U,	// VCVTUSI2SSZrr
-    2282767244U,	// VCVTUSI642SDZrm
-    2282767244U,	// VCVTUSI642SDZrr
-    2282768805U,	// VCVTUSI642SSZrm
-    2282768805U,	// VCVTUSI642SSZrr
-    2282771043U,	// VDIVPDYrm
-    2282771043U,	// VDIVPDYrr
-    2282767126U,	// VDIVPDZrm
-    2282767126U,	// VDIVPDZrmb
-    2282767126U,	// VDIVPDZrr
-    2282771043U,	// VDIVPDrm
-    2282771043U,	// VDIVPDrr
-    2282774314U,	// VDIVPSYrm
-    2282774314U,	// VDIVPSYrr
-    2282768775U,	// VDIVPSZrm
-    2282768775U,	// VDIVPSZrmb
-    2282768775U,	// VDIVPSZrr
-    2282774314U,	// VDIVPSrm
-    2282774314U,	// VDIVPSrr
-    2282771489U,	// VDIVSDZrm
-    2282771489U,	// VDIVSDZrr
-    2282771489U,	// VDIVSDrm
-    2282771489U,	// VDIVSDrm_Int
-    2282771489U,	// VDIVSDrr
-    2282771489U,	// VDIVSDrr_Int
-    2282774708U,	// VDIVSSZrm
-    2282774708U,	// VDIVSSZrr
-    2282774708U,	// VDIVSSrm
-    2282774708U,	// VDIVSSrm_Int
-    2282774708U,	// VDIVSSrr
-    2282774708U,	// VDIVSSrr_Int
-    2282770953U,	// VDPPDrmi
-    2282770953U,	// VDPPDrri
-    2282774191U,	// VDPPSYrmi
-    2282774191U,	// VDPPSYrri
-    2282774191U,	// VDPPSrmi
-    2282774191U,	// VDPPSrri
-    39883U,	// VERRm
-    23499U,	// VERRr
-    41786U,	// VERWm
-    25402U,	// VERWr
-    1041828909U,	// VEXTRACTF128mr
-    2282769453U,	// VEXTRACTF128rr
-    1041828781U,	// VEXTRACTF32x4mr
-    2282769325U,	// VEXTRACTF32x4rr
-    1041861607U,	// VEXTRACTF64x4mr
-    2282769383U,	// VEXTRACTF64x4rr
-    2148797540U,	// VEXTRACTI128mr
-    2282769508U,	// VEXTRACTI128rr
-    2148797386U,	// VEXTRACTI32x4mr
-    2282769354U,	// VEXTRACTI32x4rr
-    1041878020U,	// VEXTRACTI64x4mr
-    2282769412U,	// VEXTRACTI64x4rr
-    2148654809U,	// VEXTRACTPSmr
-    2282774233U,	// VEXTRACTPSrr
-    2148654809U,	// VEXTRACTPSzmr
-    2282774233U,	// VEXTRACTPSzrr
-    2215657855U,	// VFMADD132PDZm
-    2215657855U,	// VFMADD132PDZmb
-    2215659504U,	// VFMADD132PSZm
-    2215659504U,	// VFMADD132PSZmb
-    2215658008U,	// VFMADD213PDZm
-    2215658008U,	// VFMADD213PDZmb
-    2215658008U,	// VFMADD213PDZr
-    2215659657U,	// VFMADD213PSZm
-    2215659657U,	// VFMADD213PSZmb
-    2215659657U,	// VFMADD213PSZr
-    2282770771U,	// VFMADDPD4mr
-    2282770771U,	// VFMADDPD4mrY
-    2282770771U,	// VFMADDPD4rm
-    2282770771U,	// VFMADDPD4rmY
-    2282770771U,	// VFMADDPD4rr
-    2282770771U,	// VFMADDPD4rrY
-    2282770771U,	// VFMADDPD4rrY_REV
-    2282770771U,	// VFMADDPD4rr_REV
-    2215661649U,	// VFMADDPDr132m
-    2215661649U,	// VFMADDPDr132mY
-    2215661649U,	// VFMADDPDr132r
-    2215661649U,	// VFMADDPDr132rY
-    2215661779U,	// VFMADDPDr213m
-    2215661779U,	// VFMADDPDr213mY
-    2215661779U,	// VFMADDPDr213r
-    2215661779U,	// VFMADDPDr213rY
-    2215661563U,	// VFMADDPDr231m
-    2215661563U,	// VFMADDPDr231mY
-    2215661563U,	// VFMADDPDr231r
-    2215661563U,	// VFMADDPDr231rY
-    2282773989U,	// VFMADDPS4mr
-    2282773989U,	// VFMADDPS4mrY
-    2282773989U,	// VFMADDPS4rm
-    2282773989U,	// VFMADDPS4rmY
-    2282773989U,	// VFMADDPS4rr
-    2282773989U,	// VFMADDPS4rrY
-    2282773989U,	// VFMADDPS4rrY_REV
-    2282773989U,	// VFMADDPS4rr_REV
-    2215664864U,	// VFMADDPSr132m
-    2215664864U,	// VFMADDPSr132mY
-    2215664864U,	// VFMADDPSr132r
-    2215664864U,	// VFMADDPSr132rY
-    2215665005U,	// VFMADDPSr213m
-    2215665005U,	// VFMADDPSr213mY
-    2215665005U,	// VFMADDPSr213r
-    2215665005U,	// VFMADDPSr213rY
-    2215664778U,	// VFMADDPSr231m
-    2215664778U,	// VFMADDPSr231mY
-    2215664778U,	// VFMADDPSr231r
-    2215664778U,	// VFMADDPSr231rY
-    2282771359U,	// VFMADDSD4mr
-    2282771359U,	// VFMADDSD4mr_Int
-    2282771359U,	// VFMADDSD4rm
-    2282771359U,	// VFMADDSD4rm_Int
-    2282771359U,	// VFMADDSD4rr
-    2282771359U,	// VFMADDSD4rr_Int
-    2282771359U,	// VFMADDSD4rr_REV
-    2215658422U,	// VFMADDSDZm
-    2215658422U,	// VFMADDSDZr
-    2215662343U,	// VFMADDSDr132m
-    2215662343U,	// VFMADDSDr132r
-    2215662431U,	// VFMADDSDr213m
-    2215662431U,	// VFMADDSDr213r
-    2215662289U,	// VFMADDSDr231m
-    2215662289U,	// VFMADDSDr231r
-    2282774576U,	// VFMADDSS4mr
-    2282774576U,	// VFMADDSS4mr_Int
-    2282774576U,	// VFMADDSS4rm
-    2282774576U,	// VFMADDSS4rm_Int
-    2282774576U,	// VFMADDSS4rr
-    2282774576U,	// VFMADDSS4rr_Int
-    2282774576U,	// VFMADDSS4rr_REV
-    2215659983U,	// VFMADDSSZm
-    2215659983U,	// VFMADDSSZr
-    2215665568U,	// VFMADDSSr132m
-    2215665568U,	// VFMADDSSr132r
-    2215665656U,	// VFMADDSSr213m
-    2215665656U,	// VFMADDSSr213r
-    2215665514U,	// VFMADDSSr231m
-    2215665514U,	// VFMADDSSr231r
-    2215657792U,	// VFMADDSUB132PDZm
-    2215657792U,	// VFMADDSUB132PDZmb
-    2215659441U,	// VFMADDSUB132PSZm
-    2215659441U,	// VFMADDSUB132PSZmb
-    2215657945U,	// VFMADDSUB213PDZm
-    2215657945U,	// VFMADDSUB213PDZmb
-    2215657945U,	// VFMADDSUB213PDZr
-    2215659594U,	// VFMADDSUB213PSZm
-    2215659594U,	// VFMADDSUB213PSZmb
-    2215659594U,	// VFMADDSUB213PSZr
-    2282770687U,	// VFMADDSUBPD4mr
-    2282770687U,	// VFMADDSUBPD4mrY
-    2282770687U,	// VFMADDSUBPD4rm
-    2282770687U,	// VFMADDSUBPD4rmY
-    2282770687U,	// VFMADDSUBPD4rr
-    2282770687U,	// VFMADDSUBPD4rrY
-    2282770687U,	// VFMADDSUBPD4rrY_REV
-    2282770687U,	// VFMADDSUBPD4rr_REV
-    2215661590U,	// VFMADDSUBPDr132m
-    2215661590U,	// VFMADDSUBPDr132mY
-    2215661590U,	// VFMADDSUBPDr132r
-    2215661590U,	// VFMADDSUBPDr132rY
-    2215661720U,	// VFMADDSUBPDr213m
-    2215661720U,	// VFMADDSUBPDr213mY
-    2215661720U,	// VFMADDSUBPDr213r
-    2215661720U,	// VFMADDSUBPDr213rY
-    2215661504U,	// VFMADDSUBPDr231m
-    2215661504U,	// VFMADDSUBPDr231mY
-    2215661504U,	// VFMADDSUBPDr231r
-    2215661504U,	// VFMADDSUBPDr231rY
-    2282773905U,	// VFMADDSUBPS4mr
-    2282773905U,	// VFMADDSUBPS4mrY
-    2282773905U,	// VFMADDSUBPS4rm
-    2282773905U,	// VFMADDSUBPS4rmY
-    2282773905U,	// VFMADDSUBPS4rr
-    2282773905U,	// VFMADDSUBPS4rrY
-    2282773905U,	// VFMADDSUBPS4rrY_REV
-    2282773905U,	// VFMADDSUBPS4rr_REV
-    2215664805U,	// VFMADDSUBPSr132m
-    2215664805U,	// VFMADDSUBPSr132mY
-    2215664805U,	// VFMADDSUBPSr132r
-    2215664805U,	// VFMADDSUBPSr132rY
-    2215664946U,	// VFMADDSUBPSr213m
-    2215664946U,	// VFMADDSUBPSr213mY
-    2215664946U,	// VFMADDSUBPSr213r
-    2215664946U,	// VFMADDSUBPSr213rY
-    2215664719U,	// VFMADDSUBPSr231m
-    2215664719U,	// VFMADDSUBPSr231mY
-    2215664719U,	// VFMADDSUBPSr231r
-    2215664719U,	// VFMADDSUBPSr231rY
-    2215657809U,	// VFMSUB132PDZm
-    2215657809U,	// VFMSUB132PDZmb
-    2215659458U,	// VFMSUB132PSZm
-    2215659458U,	// VFMSUB132PSZmb
-    2215657962U,	// VFMSUB213PDZm
-    2215657962U,	// VFMSUB213PDZmb
-    2215657962U,	// VFMSUB213PDZr
-    2215659611U,	// VFMSUB213PSZm
-    2215659611U,	// VFMSUB213PSZmb
-    2215659611U,	// VFMSUB213PSZr
-    2215657838U,	// VFMSUBADD132PDZm
-    2215657838U,	// VFMSUBADD132PDZmb
-    2215659487U,	// VFMSUBADD132PSZm
-    2215659487U,	// VFMSUBADD132PSZmb
-    2215657991U,	// VFMSUBADD213PDZm
-    2215657991U,	// VFMSUBADD213PDZmb
-    2215657991U,	// VFMSUBADD213PDZr
-    2215659640U,	// VFMSUBADD213PSZm
-    2215659640U,	// VFMSUBADD213PSZmb
-    2215659640U,	// VFMSUBADD213PSZr
-    2282770749U,	// VFMSUBADDPD4mr
-    2282770749U,	// VFMSUBADDPD4mrY
-    2282770749U,	// VFMSUBADDPD4rm
-    2282770749U,	// VFMSUBADDPD4rmY
-    2282770749U,	// VFMSUBADDPD4rr
-    2282770749U,	// VFMSUBADDPD4rrY
-    2282770749U,	// VFMSUBADDPD4rrY_REV
-    2282770749U,	// VFMSUBADDPD4rr_REV
-    2215661633U,	// VFMSUBADDPDr132m
-    2215661633U,	// VFMSUBADDPDr132mY
-    2215661633U,	// VFMSUBADDPDr132r
-    2215661633U,	// VFMSUBADDPDr132rY
-    2215661763U,	// VFMSUBADDPDr213m
-    2215661763U,	// VFMSUBADDPDr213mY
-    2215661763U,	// VFMSUBADDPDr213r
-    2215661763U,	// VFMSUBADDPDr213rY
-    2215661547U,	// VFMSUBADDPDr231m
-    2215661547U,	// VFMSUBADDPDr231mY
-    2215661547U,	// VFMSUBADDPDr231r
-    2215661547U,	// VFMSUBADDPDr231rY
-    2282773967U,	// VFMSUBADDPS4mr
-    2282773967U,	// VFMSUBADDPS4mrY
-    2282773967U,	// VFMSUBADDPS4rm
-    2282773967U,	// VFMSUBADDPS4rmY
-    2282773967U,	// VFMSUBADDPS4rr
-    2282773967U,	// VFMSUBADDPS4rrY
-    2282773967U,	// VFMSUBADDPS4rrY_REV
-    2282773967U,	// VFMSUBADDPS4rr_REV
-    2215664848U,	// VFMSUBADDPSr132m
-    2215664848U,	// VFMSUBADDPSr132mY
-    2215664848U,	// VFMSUBADDPSr132r
-    2215664848U,	// VFMSUBADDPSr132rY
-    2215664989U,	// VFMSUBADDPSr213m
-    2215664989U,	// VFMSUBADDPSr213mY
-    2215664989U,	// VFMSUBADDPSr213r
-    2215664989U,	// VFMSUBADDPSr213rY
-    2215664762U,	// VFMSUBADDPSr231m
-    2215664762U,	// VFMSUBADDPSr231mY
-    2215664762U,	// VFMSUBADDPSr231r
-    2215664762U,	// VFMSUBADDPSr231rY
-    2282770720U,	// VFMSUBPD4mr
-    2282770720U,	// VFMSUBPD4mrY
-    2282770720U,	// VFMSUBPD4rm
-    2282770720U,	// VFMSUBPD4rmY
-    2282770720U,	// VFMSUBPD4rr
-    2282770720U,	// VFMSUBPD4rrY
-    2282770720U,	// VFMSUBPD4rrY_REV
-    2282770720U,	// VFMSUBPD4rr_REV
-    2215661606U,	// VFMSUBPDr132m
-    2215661606U,	// VFMSUBPDr132mY
-    2215661606U,	// VFMSUBPDr132r
-    2215661606U,	// VFMSUBPDr132rY
-    2215661736U,	// VFMSUBPDr213m
-    2215661736U,	// VFMSUBPDr213mY
-    2215661736U,	// VFMSUBPDr213r
-    2215661736U,	// VFMSUBPDr213rY
-    2215661520U,	// VFMSUBPDr231m
-    2215661520U,	// VFMSUBPDr231mY
-    2215661520U,	// VFMSUBPDr231r
-    2215661520U,	// VFMSUBPDr231rY
-    2282773938U,	// VFMSUBPS4mr
-    2282773938U,	// VFMSUBPS4mrY
-    2282773938U,	// VFMSUBPS4rm
-    2282773938U,	// VFMSUBPS4rmY
-    2282773938U,	// VFMSUBPS4rr
-    2282773938U,	// VFMSUBPS4rrY
-    2282773938U,	// VFMSUBPS4rrY_REV
-    2282773938U,	// VFMSUBPS4rr_REV
-    2215664821U,	// VFMSUBPSr132m
-    2215664821U,	// VFMSUBPSr132mY
-    2215664821U,	// VFMSUBPSr132r
-    2215664821U,	// VFMSUBPSr132rY
-    2215664962U,	// VFMSUBPSr213m
-    2215664962U,	// VFMSUBPSr213mY
-    2215664962U,	// VFMSUBPSr213r
-    2215664962U,	// VFMSUBPSr213rY
-    2215664735U,	// VFMSUBPSr231m
-    2215664735U,	// VFMSUBPSr231mY
-    2215664735U,	// VFMSUBPSr231r
-    2215664735U,	// VFMSUBPSr231rY
-    2282771330U,	// VFMSUBSD4mr
-    2282771330U,	// VFMSUBSD4mr_Int
-    2282771330U,	// VFMSUBSD4rm
-    2282771330U,	// VFMSUBSD4rm_Int
-    2282771330U,	// VFMSUBSD4rr
-    2282771330U,	// VFMSUBSD4rr_Int
-    2282771330U,	// VFMSUBSD4rr_REV
-    2215658393U,	// VFMSUBSDZm
-    2215658393U,	// VFMSUBSDZr
-    2215662316U,	// VFMSUBSDr132m
-    2215662316U,	// VFMSUBSDr132r
-    2215662404U,	// VFMSUBSDr213m
-    2215662404U,	// VFMSUBSDr213r
-    2215662262U,	// VFMSUBSDr231m
-    2215662262U,	// VFMSUBSDr231r
-    2282774547U,	// VFMSUBSS4mr
-    2282774547U,	// VFMSUBSS4mr_Int
-    2282774547U,	// VFMSUBSS4rm
-    2282774547U,	// VFMSUBSS4rm_Int
-    2282774547U,	// VFMSUBSS4rr
-    2282774547U,	// VFMSUBSS4rr_Int
-    2282774547U,	// VFMSUBSS4rr_REV
-    2215659954U,	// VFMSUBSSZm
-    2215659954U,	// VFMSUBSSZr
-    2215665541U,	// VFMSUBSSr132m
-    2215665541U,	// VFMSUBSSr132r
-    2215665629U,	// VFMSUBSSr213m
-    2215665629U,	// VFMSUBSSr213r
-    2215665487U,	// VFMSUBSSr231m
-    2215665487U,	// VFMSUBSSr231r
-    2215657869U,	// VFNMADD132PDZm
-    2215657869U,	// VFNMADD132PDZmb
-    2215659518U,	// VFNMADD132PSZm
-    2215659518U,	// VFNMADD132PSZmb
-    2215658022U,	// VFNMADD213PDZm
-    2215658022U,	// VFNMADD213PDZmb
-    2215658022U,	// VFNMADD213PDZr
-    2215659671U,	// VFNMADD213PSZm
-    2215659671U,	// VFNMADD213PSZmb
-    2215659671U,	// VFNMADD213PSZr
-    2282770781U,	// VFNMADDPD4mr
-    2282770781U,	// VFNMADDPD4mrY
-    2282770781U,	// VFNMADDPD4rm
-    2282770781U,	// VFNMADDPD4rmY
-    2282770781U,	// VFNMADDPD4rr
-    2282770781U,	// VFNMADDPD4rrY
-    2282770781U,	// VFNMADDPD4rrY_REV
-    2282770781U,	// VFNMADDPD4rr_REV
-    2215661662U,	// VFNMADDPDr132m
-    2215661662U,	// VFNMADDPDr132mY
-    2215661662U,	// VFNMADDPDr132r
-    2215661662U,	// VFNMADDPDr132rY
-    2215661792U,	// VFNMADDPDr213m
-    2215661792U,	// VFNMADDPDr213mY
-    2215661792U,	// VFNMADDPDr213r
-    2215661792U,	// VFNMADDPDr213rY
-    2215661576U,	// VFNMADDPDr231m
-    2215661576U,	// VFNMADDPDr231mY
-    2215661576U,	// VFNMADDPDr231r
-    2215661576U,	// VFNMADDPDr231rY
-    2282773999U,	// VFNMADDPS4mr
-    2282773999U,	// VFNMADDPS4mrY
-    2282773999U,	// VFNMADDPS4rm
-    2282773999U,	// VFNMADDPS4rmY
-    2282773999U,	// VFNMADDPS4rr
-    2282773999U,	// VFNMADDPS4rrY
-    2282773999U,	// VFNMADDPS4rrY_REV
-    2282773999U,	// VFNMADDPS4rr_REV
-    2215664877U,	// VFNMADDPSr132m
-    2215664877U,	// VFNMADDPSr132mY
-    2215664877U,	// VFNMADDPSr132r
-    2215664877U,	// VFNMADDPSr132rY
-    2215665018U,	// VFNMADDPSr213m
-    2215665018U,	// VFNMADDPSr213mY
-    2215665018U,	// VFNMADDPSr213r
-    2215665018U,	// VFNMADDPSr213rY
-    2215664791U,	// VFNMADDPSr231m
-    2215664791U,	// VFNMADDPSr231mY
-    2215664791U,	// VFNMADDPSr231r
-    2215664791U,	// VFNMADDPSr231rY
-    2282771369U,	// VFNMADDSD4mr
-    2282771369U,	// VFNMADDSD4mr_Int
-    2282771369U,	// VFNMADDSD4rm
-    2282771369U,	// VFNMADDSD4rm_Int
-    2282771369U,	// VFNMADDSD4rr
-    2282771369U,	// VFNMADDSD4rr_Int
-    2282771369U,	// VFNMADDSD4rr_REV
-    2215658436U,	// VFNMADDSDZm
-    2215658436U,	// VFNMADDSDZr
-    2215662356U,	// VFNMADDSDr132m
-    2215662356U,	// VFNMADDSDr132r
-    2215662444U,	// VFNMADDSDr213m
-    2215662444U,	// VFNMADDSDr213r
-    2215662302U,	// VFNMADDSDr231m
-    2215662302U,	// VFNMADDSDr231r
-    2282774586U,	// VFNMADDSS4mr
-    2282774586U,	// VFNMADDSS4mr_Int
-    2282774586U,	// VFNMADDSS4rm
-    2282774586U,	// VFNMADDSS4rm_Int
-    2282774586U,	// VFNMADDSS4rr
-    2282774586U,	// VFNMADDSS4rr_Int
-    2282774586U,	// VFNMADDSS4rr_REV
-    2215659997U,	// VFNMADDSSZm
-    2215659997U,	// VFNMADDSSZr
-    2215665581U,	// VFNMADDSSr132m
-    2215665581U,	// VFNMADDSSr132r
-    2215665669U,	// VFNMADDSSr213m
-    2215665669U,	// VFNMADDSSr213r
-    2215665527U,	// VFNMADDSSr231m
-    2215665527U,	// VFNMADDSSr231r
-    2215657823U,	// VFNMSUB132PDZm
-    2215657823U,	// VFNMSUB132PDZmb
-    2215659472U,	// VFNMSUB132PSZm
-    2215659472U,	// VFNMSUB132PSZmb
-    2215657976U,	// VFNMSUB213PDZm
-    2215657976U,	// VFNMSUB213PDZmb
-    2215657976U,	// VFNMSUB213PDZr
-    2215659625U,	// VFNMSUB213PSZm
-    2215659625U,	// VFNMSUB213PSZmb
-    2215659625U,	// VFNMSUB213PSZr
-    2282770730U,	// VFNMSUBPD4mr
-    2282770730U,	// VFNMSUBPD4mrY
-    2282770730U,	// VFNMSUBPD4rm
-    2282770730U,	// VFNMSUBPD4rmY
-    2282770730U,	// VFNMSUBPD4rr
-    2282770730U,	// VFNMSUBPD4rrY
-    2282770730U,	// VFNMSUBPD4rrY_REV
-    2282770730U,	// VFNMSUBPD4rr_REV
-    2215661619U,	// VFNMSUBPDr132m
-    2215661619U,	// VFNMSUBPDr132mY
-    2215661619U,	// VFNMSUBPDr132r
-    2215661619U,	// VFNMSUBPDr132rY
-    2215661749U,	// VFNMSUBPDr213m
-    2215661749U,	// VFNMSUBPDr213mY
-    2215661749U,	// VFNMSUBPDr213r
-    2215661749U,	// VFNMSUBPDr213rY
-    2215661533U,	// VFNMSUBPDr231m
-    2215661533U,	// VFNMSUBPDr231mY
-    2215661533U,	// VFNMSUBPDr231r
-    2215661533U,	// VFNMSUBPDr231rY
-    2282773948U,	// VFNMSUBPS4mr
-    2282773948U,	// VFNMSUBPS4mrY
-    2282773948U,	// VFNMSUBPS4rm
-    2282773948U,	// VFNMSUBPS4rmY
-    2282773948U,	// VFNMSUBPS4rr
-    2282773948U,	// VFNMSUBPS4rrY
-    2282773948U,	// VFNMSUBPS4rrY_REV
-    2282773948U,	// VFNMSUBPS4rr_REV
-    2215664834U,	// VFNMSUBPSr132m
-    2215664834U,	// VFNMSUBPSr132mY
-    2215664834U,	// VFNMSUBPSr132r
-    2215664834U,	// VFNMSUBPSr132rY
-    2215664975U,	// VFNMSUBPSr213m
-    2215664975U,	// VFNMSUBPSr213mY
-    2215664975U,	// VFNMSUBPSr213r
-    2215664975U,	// VFNMSUBPSr213rY
-    2215664748U,	// VFNMSUBPSr231m
-    2215664748U,	// VFNMSUBPSr231mY
-    2215664748U,	// VFNMSUBPSr231r
-    2215664748U,	// VFNMSUBPSr231rY
-    2282771340U,	// VFNMSUBSD4mr
-    2282771340U,	// VFNMSUBSD4mr_Int
-    2282771340U,	// VFNMSUBSD4rm
-    2282771340U,	// VFNMSUBSD4rm_Int
-    2282771340U,	// VFNMSUBSD4rr
-    2282771340U,	// VFNMSUBSD4rr_Int
-    2282771340U,	// VFNMSUBSD4rr_REV
-    2215658407U,	// VFNMSUBSDZm
-    2215658407U,	// VFNMSUBSDZr
-    2215662329U,	// VFNMSUBSDr132m
-    2215662329U,	// VFNMSUBSDr132r
-    2215662417U,	// VFNMSUBSDr213m
-    2215662417U,	// VFNMSUBSDr213r
-    2215662275U,	// VFNMSUBSDr231m
-    2215662275U,	// VFNMSUBSDr231r
-    2282774557U,	// VFNMSUBSS4mr
-    2282774557U,	// VFNMSUBSS4mr_Int
-    2282774557U,	// VFNMSUBSS4rm
-    2282774557U,	// VFNMSUBSS4rm_Int
-    2282774557U,	// VFNMSUBSS4rr
-    2282774557U,	// VFNMSUBSS4rr_Int
-    2282774557U,	// VFNMSUBSS4rr_REV
-    2215659968U,	// VFNMSUBSSZm
-    2215659968U,	// VFNMSUBSSZr
-    2215665554U,	// VFNMSUBSSr132m
-    2215665554U,	// VFNMSUBSSr132r
-    2215665642U,	// VFNMSUBSSr213m
-    2215665642U,	// VFNMSUBSSr213r
-    2215665500U,	// VFNMSUBSSr231m
-    2215665500U,	// VFNMSUBSSr231r
-    537940607U,	// VFRCZPDrm
-    974148223U,	// VFRCZPDrmY
-    135287423U,	// VFRCZPDrr
-    135287423U,	// VFRCZPDrrY
-    537943878U,	// VFRCZPSrm
-    974151494U,	// VFRCZPSrmY
-    135290694U,	// VFRCZPSrr
-    135290694U,	// VFRCZPSrrY
-    571495490U,	// VFRCZSDrm
-    135287874U,	// VFRCZSDrr
-    605053132U,	// VFRCZSSrm
-    135291084U,	// VFRCZSSrr
-    2282770936U,	// VFsANDNPDrm
-    2282770936U,	// VFsANDNPDrr
-    2282774166U,	// VFsANDNPSrm
-    2282774166U,	// VFsANDNPSrr
-    2282770800U,	// VFsANDPDrm
-    2282770800U,	// VFsANDPDrr
-    2282774018U,	// VFsANDPSrm
-    2282774018U,	// VFsANDPSrr
-    2282770980U,	// VFsORPDrm
-    2282770980U,	// VFsORPDrr
-    2282774218U,	// VFsORPSrm
-    2282774218U,	// VFsORPSrr
-    2282770987U,	// VFsXORPDrm
-    2282770987U,	// VFsXORPDrr
-    2282774225U,	// VFsXORPSrm
-    2282774225U,	// VFsXORPSrr
-    1074811276U,	// VGATHERDPDYrm
-    1128284801U,	// VGATHERDPDZrm
-    1074811276U,	// VGATHERDPDrm
-    1141923358U,	// VGATHERDPSYrm
-    3275770098U,	// VGATHERDPSZrm
-    1141923358U,	// VGATHERDPSrm
-    1074811416U,	// VGATHERQPDYrm
-    1128284913U,	// VGATHERQPDZrm
-    1074811416U,	// VGATHERQPDrm
-    1141923518U,	// VGATHERQPSYrm
-    1128286562U,	// VGATHERQPSZrm
-    1141923518U,	// VGATHERQPSrm
-    2282770762U,	// VHADDPDYrm
-    2282770762U,	// VHADDPDYrr
-    2282770762U,	// VHADDPDrm
-    2282770762U,	// VHADDPDrr
-    2282773980U,	// VHADDPSYrm
-    2282773980U,	// VHADDPSYrr
-    2282773980U,	// VHADDPSrm
-    2282773980U,	// VHADDPSrr
-    2282770711U,	// VHSUBPDYrm
-    2282770711U,	// VHSUBPDYrr
-    2282770711U,	// VHSUBPDrm
-    2282770711U,	// VHSUBPDrr
-    2282773929U,	// VHSUBPSYrm
-    2282773929U,	// VHSUBPSYrr
-    2282773929U,	// VHSUBPSrm
-    2282773929U,	// VHSUBPSrr
-    2282769467U,	// VINSERTF128rm
-    2282769467U,	// VINSERTF128rr
-    2282769340U,	// VINSERTF32x4rm
-    2282769340U,	// VINSERTF32x4rr
-    2282769398U,	// VINSERTF64x4rm
-    2282769398U,	// VINSERTF64x4rr
-    2282769522U,	// VINSERTI128rm
-    2282769522U,	// VINSERTI128rr
-    2282769369U,	// VINSERTI32x4rm
-    2282769369U,	// VINSERTI32x4rr
-    2282769427U,	// VINSERTI64x4rm
-    2282769427U,	// VINSERTI64x4rr
-    2282774255U,	// VINSERTPSrm
-    2282774255U,	// VINSERTPSrr
-    2282774255U,	// VINSERTPSzrm
-    2282774255U,	// VINSERTPSzrr
-    907043251U,	// VLDDQUYrm
-    336617907U,	// VLDDQUrm
-    72662U,	// VLDMXCSR
-    135291323U,	// VMASKMOVDQU
-    135291323U,	// VMASKMOVDQU64
-    1041863275U,	// VMASKMOVPDYmr
-    2282771051U,	// VMASKMOVPDYrm
-    1041830507U,	// VMASKMOVPDmr
-    2282771051U,	// VMASKMOVPDrm
-    1041866546U,	// VMASKMOVPSYmr
-    2282774322U,	// VMASKMOVPSYrm
-    1041833778U,	// VMASKMOVPSmr
-    2282774322U,	// VMASKMOVPSrm
-    2282771063U,	// VMAXCPDYrm
-    2282771063U,	// VMAXCPDYrr
-    2282771063U,	// VMAXCPDrm
-    2282771063U,	// VMAXCPDrr
-    2282774334U,	// VMAXCPSYrm
-    2282774334U,	// VMAXCPSYrr
-    2282774334U,	// VMAXCPSrm
-    2282774334U,	// VMAXCPSrr
-    2282771514U,	// VMAXCSDrm
-    2282771514U,	// VMAXCSDrr
-    2282774724U,	// VMAXCSSrm
-    2282774724U,	// VMAXCSSrr
-    2282771063U,	// VMAXPDYrm
-    2282771063U,	// VMAXPDYrr
-    2282767135U,	// VMAXPDZrm
-    2282767135U,	// VMAXPDZrmb
-    2282767135U,	// VMAXPDZrr
-    2282771063U,	// VMAXPDrm
-    2282771063U,	// VMAXPDrr
-    2282774334U,	// VMAXPSYrm
-    2282774334U,	// VMAXPSYrr
-    2282768784U,	// VMAXPSZrm
-    2282768784U,	// VMAXPSZrmb
-    2282768784U,	// VMAXPSZrr
-    2282774334U,	// VMAXPSrm
-    2282774334U,	// VMAXPSrr
-    2282771514U,	// VMAXSDZrm
-    2282771514U,	// VMAXSDZrr
-    2282771514U,	// VMAXSDrm
-    2282771514U,	// VMAXSDrm_Int
-    2282771514U,	// VMAXSDrr
-    2282771514U,	// VMAXSDrr_Int
-    2282774724U,	// VMAXSSZrm
-    2282774724U,	// VMAXSSZrr
-    2282774724U,	// VMAXSSrm
-    2282774724U,	// VMAXSSrm_Int
-    2282774724U,	// VMAXSSrr
-    2282774724U,	// VMAXSSrr_Int
-    12193U,	// VMCALL
-    88938U,	// VMCLEARm
-    11892U,	// VMFUNC
-    2282770945U,	// VMINCPDYrm
-    2282770945U,	// VMINCPDYrr
-    2282770945U,	// VMINCPDrm
-    2282770945U,	// VMINCPDrr
-    2282774175U,	// VMINCPSYrm
-    2282774175U,	// VMINCPSYrr
-    2282774175U,	// VMINCPSrm
-    2282774175U,	// VMINCPSrr
-    2282771434U,	// VMINCSDrm
-    2282771434U,	// VMINCSDrr
-    2282774642U,	// VMINCSSrm
-    2282774642U,	// VMINCSSrr
-    2282770945U,	// VMINPDYrm
-    2282770945U,	// VMINPDYrr
-    2282767071U,	// VMINPDZrm
-    2282767071U,	// VMINPDZrmb
-    2282767071U,	// VMINPDZrr
-    2282770945U,	// VMINPDrm
-    2282770945U,	// VMINPDrr
-    2282774175U,	// VMINPSYrm
-    2282774175U,	// VMINPSYrr
-    2282768720U,	// VMINPSZrm
-    2282768720U,	// VMINPSZrmb
-    2282768720U,	// VMINPSZrr
-    2282774175U,	// VMINPSrm
-    2282774175U,	// VMINPSrr
-    2282771434U,	// VMINSDZrm
-    2282771434U,	// VMINSDZrr
-    2282771434U,	// VMINSDrm
-    2282771434U,	// VMINSDrm_Int
-    2282771434U,	// VMINSDrr
-    2282771434U,	// VMINSDrr_Int
-    2282774642U,	// VMINSSZrm
-    2282774642U,	// VMINSSZrr
-    2282774642U,	// VMINSSrm
-    2282774642U,	// VMINSSrm_Int
-    2282774642U,	// VMINSSrr
-    2282774642U,	// VMINSSrr_Int
-    12108U,	// VMLAUNCH
-    12790U,	// VMLOAD32
-    12845U,	// VMLOAD64
-    12185U,	// VMMCALL
-    135289656U,	// VMOV64toPQIZrr
-    135289656U,	// VMOV64toPQIrr
-    135289656U,	// VMOV64toSDZrr
-    168844088U,	// VMOV64toSDrm
-    135289656U,	// VMOV64toSDrr
-    626926U,	// VMOVAPDYmr
-    974147822U,	// VMOVAPDYrm
-    135287022U,	// VMOVAPDYrr
-    135287022U,	// VMOVAPDYrr_REV
-    659694U,	// VMOVAPDZmr
-    1007698533U,	// VMOVAPDZrm
-    88097381U,	// VMOVAPDZrmk
-    135283301U,	// VMOVAPDZrr
-    88097381U,	// VMOVAPDZrrk
-    594158U,	// VMOVAPDmr
-    537940206U,	// VMOVAPDrm
-    135287022U,	// VMOVAPDrr
-    135287022U,	// VMOVAPDrr_REV
-    630152U,	// VMOVAPSYmr
-    974151048U,	// VMOVAPSYrm
-    135290248U,	// VMOVAPSYrr
-    135290248U,	// VMOVAPSYrr_REV
-    662920U,	// VMOVAPSZmr
-    1007700182U,	// VMOVAPSZrm
-    88099030U,	// VMOVAPSZrmk
-    135284950U,	// VMOVAPSZrr
-    88099030U,	// VMOVAPSZrrk
-    597384U,	// VMOVAPSmr
-    537943432U,	// VMOVAPSrm
-    135290248U,	// VMOVAPSrr
-    135290248U,	// VMOVAPSrr_REV
-    974149867U,	// VMOVDDUPYrm
-    135289067U,	// VMOVDDUPYrr
-    1007699244U,	// VMOVDDUPZrm
-    135284012U,	// VMOVDDUPZrr
-    571496683U,	// VMOVDDUPrm
-    135289067U,	// VMOVDDUPrr
-    101733558U,	// VMOVDI2PDIZrm
-    135287990U,	// VMOVDI2PDIZrr
-    101733558U,	// VMOVDI2PDIrm
-    135287990U,	// VMOVDI2PDIrr
-    101733558U,	// VMOVDI2SSZrm
-    135287990U,	// VMOVDI2SSZrr
-    101733558U,	// VMOVDI2SSrm
-    135287990U,	// VMOVDI2SSrr
-    674603U,	// VMOVDQA32mr
-    940591915U,	// VMOVDQA32rm
-    135285547U,	// VMOVDQA32rr
-    674678U,	// VMOVDQA64mr
-    940591990U,	// VMOVDQA64rm
-    135285622U,	// VMOVDQA64rr
-    642211U,	// VMOVDQAYmr
-    907037859U,	// VMOVDQAYrm
-    135285923U,	// VMOVDQAYrr
-    135285923U,	// VMOVDQAYrr_REV
-    1313955U,	// VMOVDQAmr
-    336612515U,	// VMOVDQArm
-    135285923U,	// VMOVDQArr
-    135285923U,	// VMOVDQArr_REV
-    671745U,	// VMOVDQU32mr
-    940589057U,	// VMOVDQU32rm
-    88096769U,	// VMOVDQU32rmk
-    135282689U,	// VMOVDQU32rr
-    88096769U,	// VMOVDQU32rrk
-    155205633U,	// VMOVDQU32rrkz
-    671757U,	// VMOVDQU64mr
-    940589069U,	// VMOVDQU64rm
-    88096781U,	// VMOVDQU64rmk
-    135282701U,	// VMOVDQU64rr
-    88096781U,	// VMOVDQU64rrk
-    155205645U,	// VMOVDQU64rrkz
-    647624U,	// VMOVDQUYmr
-    907043272U,	// VMOVDQUYrm
-    135291336U,	// VMOVDQUYrr
-    135291336U,	// VMOVDQUYrr_REV
-    1319368U,	// VMOVDQUmr
-    336617928U,	// VMOVDQUrm
-    135291336U,	// VMOVDQUrr
-    135291336U,	// VMOVDQUrr_REV
-    2282774108U,	// VMOVHLPSZrr
-    2282774108U,	// VMOVHLPSrr
-    1184172U,	// VMOVHPDmr
-    2282770860U,	// VMOVHPDrm
-    1187400U,	// VMOVHPSmr
-    2282774088U,	// VMOVHPSrm
-    2282774078U,	// VMOVLHPSZrr
-    2282774078U,	// VMOVLHPSrr
-    1184222U,	// VMOVLPDmr
-    2282770910U,	// VMOVLPDrm
-    1187460U,	// VMOVLPSmr
-    2282774148U,	// VMOVLPSrm
-    135287221U,	// VMOVMSKPDYrr
-    135287221U,	// VMOVMSKPDrr
-    135290449U,	// VMOVMSKPSYrr
-    135290449U,	// VMOVMSKPSrr
-    907037848U,	// VMOVNTDQAYrm
-    336612504U,	// VMOVNTDQArm
-    629285U,	// VMOVNTDQYmr
-    596517U,	// VMOVNTDQmr
-    627251U,	// VMOVNTPDYmr
-    594483U,	// VMOVNTPDmr
-    630501U,	// VMOVNTPSYmr
-    597733U,	// VMOVNTPSmr
-    1119414U,	// VMOVPDI2DIZmr
-    135287990U,	// VMOVPDI2DIZrr
-    1119414U,	// VMOVPDI2DImr
-    135287990U,	// VMOVPDI2DIrr
-    1137464U,	// VMOVPQI2QImr
-    135289656U,	// VMOVPQI2QIrr
-    1137464U,	// VMOVPQIto64Zmr
-    135289656U,	// VMOVPQIto64Zrr
-    135289656U,	// VMOVPQIto64rr
-    168844088U,	// VMOVQI2PQIZrm
-    168844088U,	// VMOVQI2PQIrm
-    1180723U,	// VMOVSDZmr
-    571491379U,	// VMOVSDZrm
-    2282767411U,	// VMOVSDZrr
-    2282771497U,	// VMOVSDZrr_REV
-    88097843U,	// VMOVSDZrrk
-    1184809U,	// VMOVSDmr
-    571495465U,	// VMOVSDrm
-    2282771497U,	// VMOVSDrr
-    2282771497U,	// VMOVSDrr_REV
-    1137464U,	// VMOVSDto64Zmr
-    135289656U,	// VMOVSDto64Zrr
-    1137464U,	// VMOVSDto64mr
-    135289656U,	// VMOVSDto64rr
-    974149877U,	// VMOVSHDUPYrm
-    135289077U,	// VMOVSHDUPYrr
-    1007699255U,	// VMOVSHDUPZrm
-    135284023U,	// VMOVSHDUPZrr
-    537942261U,	// VMOVSHDUPrm
-    135289077U,	// VMOVSHDUPrr
-    974149888U,	// VMOVSLDUPYrm
-    135289088U,	// VMOVSLDUPYrr
-    1007699267U,	// VMOVSLDUPZrm
-    135284035U,	// VMOVSLDUPZrr
-    537942272U,	// VMOVSLDUPrm
-    135289088U,	// VMOVSLDUPrr
-    1119414U,	// VMOVSS2DIZmr
-    135287990U,	// VMOVSS2DIZrr
-    1119414U,	// VMOVSS2DImr
-    135287990U,	// VMOVSS2DIrr
-    1165881U,	// VMOVSSZmr
-    605047353U,	// VMOVSSZrm
-    2282768953U,	// VMOVSSZrr
-    2282774716U,	// VMOVSSZrr_REV
-    88099385U,	// VMOVSSZrrk
-    1171644U,	// VMOVSSmr
-    605053116U,	// VMOVSSrm
-    2282774716U,	// VMOVSSrr
-    2282774716U,	// VMOVSSrr_REV
-    627279U,	// VMOVUPDYmr
-    974148175U,	// VMOVUPDYrm
-    135287375U,	// VMOVUPDYrr
-    135287375U,	// VMOVUPDYrr_REV
-    660047U,	// VMOVUPDZmr
-    1007698700U,	// VMOVUPDZrm
-    88097548U,	// VMOVUPDZrmk
-    135283468U,	// VMOVUPDZrr
-    88097548U,	// VMOVUPDZrrk
-    594511U,	// VMOVUPDmr
-    537940559U,	// VMOVUPDrm
-    135287375U,	// VMOVUPDrr
-    135287375U,	// VMOVUPDrr_REV
-    630550U,	// VMOVUPSYmr
-    974151446U,	// VMOVUPSYrm
-    135290646U,	// VMOVUPSYrr
-    135290646U,	// VMOVUPSYrr_REV
-    663318U,	// VMOVUPSZmr
-    1007700349U,	// VMOVUPSZrm
-    88099197U,	// VMOVUPSZrmk
-    135285117U,	// VMOVUPSZrr
-    88099197U,	// VMOVUPSZrrk
-    597782U,	// VMOVUPSmr
-    537943830U,	// VMOVUPSrm
-    135290646U,	// VMOVUPSrr
-    135290646U,	// VMOVUPSrr_REV
-    336616248U,	// VMOVZPQILo2PQIZrm
-    135289656U,	// VMOVZPQILo2PQIZrr
-    336616248U,	// VMOVZPQILo2PQIrm
-    135289656U,	// VMOVZPQILo2PQIrr
-    168844088U,	// VMOVZQI2PQIrm
-    135289656U,	// VMOVZQI2PQIrr
-    2282775057U,	// VMPSADBWYrmi
-    2282775057U,	// VMPSADBWYrri
-    2282775057U,	// VMPSADBWrmi
-    2282775057U,	// VMPSADBWrri
-    85896U,	// VMPTRLDm
-    90527U,	// VMPTRSTm
-    1117825U,	// VMREAD32rm
-    135286401U,	// VMREAD32rr
-    1134209U,	// VMREAD64rm
-    135286401U,	// VMREAD64rr
-    12018U,	// VMRESUME
-    12812U,	// VMRUN32
-    12867U,	// VMRUN64
-    12801U,	// VMSAVE32
-    12856U,	// VMSAVE64
-    2282770902U,	// VMULPDYrm
-    2282770902U,	// VMULPDYrr
-    2282767040U,	// VMULPDZrm
-    2282767040U,	// VMULPDZrmb
-    2282767040U,	// VMULPDZrr
-    2282770902U,	// VMULPDrm
-    2282770902U,	// VMULPDrr
-    2282774140U,	// VMULPSYrm
-    2282774140U,	// VMULPSYrr
-    2282768689U,	// VMULPSZrm
-    2282768689U,	// VMULPSZrmb
-    2282768689U,	// VMULPSZrr
-    2282774140U,	// VMULPSrm
-    2282774140U,	// VMULPSrr
-    2282771417U,	// VMULSDZrm
-    2282771417U,	// VMULSDZrr
-    2282771417U,	// VMULSDrm
-    2282771417U,	// VMULSDrm_Int
-    2282771417U,	// VMULSDrr
-    2282771417U,	// VMULSDrr_Int
-    2282774634U,	// VMULSSZrm
-    2282774634U,	// VMULSSZrr
-    2282774634U,	// VMULSSrm
-    2282774634U,	// VMULSSrm_Int
-    2282774634U,	// VMULSSrr
-    2282774634U,	// VMULSSrr_Int
-    101733885U,	// VMWRITE32rm
-    135288317U,	// VMWRITE32rr
-    168842749U,	// VMWRITE64rm
-    135288317U,	// VMWRITE64rr
-    12075U,	// VMXOFF
-    88090U,	// VMXON
-    2282770980U,	// VORPDYrm
-    2282770980U,	// VORPDYrr
-    2282770980U,	// VORPDrm
-    2282770980U,	// VORPDrr
-    2282774218U,	// VORPSYrm
-    2282774218U,	// VORPSYrr
-    2282774218U,	// VORPSrm
-    2282774218U,	// VORPSrr
-    336612687U,	// VPABSBrm128
-    907038031U,	// VPABSBrm256
-    135286095U,	// VPABSBrr128
-    135286095U,	// VPABSBrr256
-    940590083U,	// VPABSDrm
-    336614266U,	// VPABSDrm128
-    907039610U,	// VPABSDrm256
-    135283715U,	// VPABSDrr
-    135287674U,	// VPABSDrr128
-    135287674U,	// VPABSDrr256
-    940590882U,	// VPABSQrm
-    135284514U,	// VPABSQrr
-    336618331U,	// VPABSWrm128
-    907043675U,	// VPABSWrm256
-    135291739U,	// VPABSWrr128
-    135291739U,	// VPABSWrr256
-    2282775202U,	// VPACKSSDWYrm
-    2282775202U,	// VPACKSSDWYrr
-    2282775202U,	// VPACKSSDWrm
-    2282775202U,	// VPACKSSDWrr
-    2282769934U,	// VPACKSSWBYrm
-    2282769934U,	// VPACKSSWBYrr
-    2282769934U,	// VPACKSSWBrm
-    2282769934U,	// VPACKSSWBrr
-    2282775213U,	// VPACKUSDWYrm
-    2282775213U,	// VPACKUSDWYrr
-    2282775213U,	// VPACKUSDWrm
-    2282775213U,	// VPACKUSDWrr
-    2282769945U,	// VPACKUSWBYrm
-    2282769945U,	// VPACKUSWBYrr
-    2282769945U,	// VPACKUSWBrm
-    2282769945U,	// VPACKUSWBrr
-    2282769650U,	// VPADDBYrm
-    2282769650U,	// VPADDBYrr
-    2282769650U,	// VPADDBrm
-    2282769650U,	// VPADDBrr
-    2282770162U,	// VPADDDYrm
-    2282770162U,	// VPADDDYrr
-    2282766509U,	// VPADDDZrm
-    2282766509U,	// VPADDDZrmb
-    2282766509U,	// VPADDDZrr
-    2282770162U,	// VPADDDrm
-    2282770162U,	// VPADDDrr
-    2282772910U,	// VPADDQYrm
-    2282772910U,	// VPADDQYrr
-    2282767827U,	// VPADDQZrm
-    2282767827U,	// VPADDQZrmb
-    2282767827U,	// VPADDQZrr
-    2282772910U,	// VPADDQrm
-    2282772910U,	// VPADDQrr
-    2282769760U,	// VPADDSBYrm
-    2282769760U,	// VPADDSBYrr
-    2282769760U,	// VPADDSBrm
-    2282769760U,	// VPADDSBrr
-    2282775436U,	// VPADDSWYrm
-    2282775436U,	// VPADDSWYrr
-    2282775436U,	// VPADDSWrm
-    2282775436U,	// VPADDSWrr
-    2282769802U,	// VPADDUSBYrm
-    2282769802U,	// VPADDUSBYrr
-    2282769802U,	// VPADDUSBrm
-    2282769802U,	// VPADDUSBrr
-    2282775509U,	// VPADDUSWYrm
-    2282775509U,	// VPADDUSWYrr
-    2282775509U,	// VPADDUSWrm
-    2282775509U,	// VPADDUSWrr
-    2282775184U,	// VPADDWYrm
-    2282775184U,	// VPADDWYrr
-    2282775184U,	// VPADDWrm
-    2282775184U,	// VPADDWrr
-    2282773406U,	// VPALIGNR128rm
-    2282773406U,	// VPALIGNR128rr
-    2282773406U,	// VPALIGNR256rm
-    2282773406U,	// VPALIGNR256rr
-    2282766518U,	// VPANDDZrm
-    2282766518U,	// VPANDDZrmb
-    2282766518U,	// VPANDDZrr
-    2282766636U,	// VPANDNDZrm
-    2282766636U,	// VPANDNDZrmb
-    2282766636U,	// VPANDNDZrr
-    2282768087U,	// VPANDNQZrm
-    2282768087U,	// VPANDNQZrmb
-    2282768087U,	// VPANDNQZrr
-    2282772483U,	// VPANDNYrm
-    2282772483U,	// VPANDNYrr
-    2282772483U,	// VPANDNrm
-    2282772483U,	// VPANDNrr
-    2282767872U,	// VPANDQZrm
-    2282767872U,	// VPANDQZrmb
-    2282767872U,	// VPANDQZrr
-    2282770337U,	// VPANDYrm
-    2282770337U,	// VPANDYrr
-    2282770337U,	// VPANDrm
-    2282770337U,	// VPANDrr
-    2282769667U,	// VPAVGBYrm
-    2282769667U,	// VPAVGBYrr
-    2282769667U,	// VPAVGBrm
-    2282769667U,	// VPAVGBrr
-    2282775239U,	// VPAVGWYrm
-    2282775239U,	// VPAVGWYrr
-    2282775239U,	// VPAVGWrm
-    2282775239U,	// VPAVGWrr
-    2282770170U,	// VPBLENDDYrmi
-    2282770170U,	// VPBLENDDYrri
-    2282770170U,	// VPBLENDDrmi
-    2282770170U,	// VPBLENDDrri
-    155205888U,	// VPBLENDMDZrm
-    155205888U,	// VPBLENDMDZrr
-    155207339U,	// VPBLENDMQZrm
-    155207339U,	// VPBLENDMQZrr
-    2282769916U,	// VPBLENDVBYrm
-    2282769916U,	// VPBLENDVBYrr
-    2282769916U,	// VPBLENDVBrm
-    2282769916U,	// VPBLENDVBrr
-    2282775192U,	// VPBLENDWYrmi
-    2282775192U,	// VPBLENDWYrri
-    2282775192U,	// VPBLENDWrmi
-    2282775192U,	// VPBLENDWrri
-    504384965U,	// VPBROADCASTBYrm
-    135286213U,	// VPBROADCASTBYrr
-    504384965U,	// VPBROADCASTBrm
-    135286213U,	// VPBROADCASTBrr
-    101733469U,	// VPBROADCASTDYrm
-    135287901U,	// VPBROADCASTDYrr
-    155206751U,	// VPBROADCASTDZkrm
-    155206751U,	// VPBROADCASTDZkrr
-    101729375U,	// VPBROADCASTDZrm
-    135283807U,	// VPBROADCASTDZrr
-    155206751U,	// VPBROADCASTDrZkrr
-    135283807U,	// VPBROADCASTDrZrr
-    101733469U,	// VPBROADCASTDrm
-    135287901U,	// VPBROADCASTDrr
-    135284047U,	// VPBROADCASTMB2Qrr
-    135282801U,	// VPBROADCASTMW2Drr
-    168844026U,	// VPBROADCASTQYrm
-    135289594U,	// VPBROADCASTQYrr
-    155207512U,	// VPBROADCASTQZkrm
-    155207512U,	// VPBROADCASTQZkrr
-    168839000U,	// VPBROADCASTQZrm
-    135284568U,	// VPBROADCASTQZrr
-    155207512U,	// VPBROADCASTQrZkrr
-    135284568U,	// VPBROADCASTQrZrr
-    168844026U,	// VPBROADCASTQrm
-    135289594U,	// VPBROADCASTQrr
-    370172929U,	// VPBROADCASTWYrm
-    135291905U,	// VPBROADCASTWYrr
-    370172929U,	// VPBROADCASTWrm
-    135291905U,	// VPBROADCASTWrr
-    2282773005U,	// VPCLMULQDQrm
-    2282773005U,	// VPCLMULQDQrr
-    2282775023U,	// VPCMOVmr
-    2282775023U,	// VPCMOVmrY
-    2282775023U,	// VPCMOVrm
-    2282775023U,	// VPCMOVrmY
-    2282775023U,	// VPCMOVrr
-    2282775023U,	// VPCMOVrrY
-    1198878744U,	// VPCMPDZrmi
-    2282770919U,	// VPCMPDZrmi_alt
-    91598872U,	// VPCMPDZrri
-    2282770919U,	// VPCMPDZrri_alt
-    2282769715U,	// VPCMPEQBYrm
-    2282769715U,	// VPCMPEQBYrr
-    2282769715U,	// VPCMPEQBrm
-    2282769715U,	// VPCMPEQBrr
-    2282771080U,	// VPCMPEQDYrm
-    2282771080U,	// VPCMPEQDYrr
-    2282767144U,	// VPCMPEQDZrm
-    2282767144U,	// VPCMPEQDZrr
-    2282771080U,	// VPCMPEQDrm
-    2282771080U,	// VPCMPEQDrr
-    2282773146U,	// VPCMPEQQYrm
-    2282773146U,	// VPCMPEQQYrr
-    2282768107U,	// VPCMPEQQZrm
-    2282768107U,	// VPCMPEQQZrr
-    2282773146U,	// VPCMPEQQrm
-    2282773146U,	// VPCMPEQQrr
-    2282775344U,	// VPCMPEQWYrm
-    2282775344U,	// VPCMPEQWYrr
-    2282775344U,	// VPCMPEQWrm
-    2282775344U,	// VPCMPEQWrr
+    22700U,	// UCOM_Fr
+    11241U,	// UD2B
+    202396274U,	// UNPCKHPDrm
+    68178546U,	// UNPCKHPDrr
+    202399492U,	// UNPCKHPSrm
+    68181764U,	// UNPCKHPSrr
+    202396316U,	// UNPCKLPDrm
+    68178588U,	// UNPCKLPDrr
+    202399554U,	// UNPCKLPSrm
+    68181826U,	// UNPCKLPSrr
+    2651875412U,	// VAARG_64
+    2282771000U,	// VADDPDYrm
+    2282771000U,	// VADDPDYrr
+    2282767044U,	// VADDPDZrm
+    2282767044U,	// VADDPDZrmb
+    155206340U,	// VADDPDZrmbk
+    2302689988U,	// VADDPDZrmbkz
+    155210296U,	// VADDPDZrmk
+    2302693944U,	// VADDPDZrmkz
+    2282767044U,	// VADDPDZrr
+    155206340U,	// VADDPDZrrk
+    2302689988U,	// VADDPDZrrkz
+    2282771000U,	// VADDPDrm
+    2282771000U,	// VADDPDrr
+    2282774218U,	// VADDPSYrm
+    2282774218U,	// VADDPSYrr
+    2282768792U,	// VADDPSZrm
+    2282768792U,	// VADDPSZrmb
+    155208088U,	// VADDPSZrmbk
+    2302691736U,	// VADDPSZrmbkz
+    155213514U,	// VADDPSZrmk
+    2302697162U,	// VADDPSZrmkz
+    2282768792U,	// VADDPSZrr
+    155208088U,	// VADDPSZrrk
+    2302691736U,	// VADDPSZrrkz
+    2282774218U,	// VADDPSrm
+    2282774218U,	// VADDPSrr
+    2282771588U,	// VADDSDZrm
+    2282771588U,	// VADDSDZrr
+    2282771588U,	// VADDSDrm
+    2282771588U,	// VADDSDrm_Int
+    2282771588U,	// VADDSDrr
+    2282771588U,	// VADDSDrr_Int
+    2282774805U,	// VADDSSZrm
+    2282774805U,	// VADDSSZrr
+    2282774805U,	// VADDSSrm
+    2282774805U,	// VADDSSrm_Int
+    2282774805U,	// VADDSSrr
+    2282774805U,	// VADDSSrr_Int
+    2282770908U,	// VADDSUBPDYrm
+    2282770908U,	// VADDSUBPDYrr
+    2282770908U,	// VADDSUBPDrm
+    2282770908U,	// VADDSUBPDrr
+    2282774126U,	// VADDSUBPSYrm
+    2282774126U,	// VADDSUBPSYrr
+    2282774126U,	// VADDSUBPSrm
+    2282774126U,	// VADDSUBPSrr
+    2282775088U,	// VAESDECLASTrm
+    2282775088U,	// VAESDECLASTrr
+    2282770193U,	// VAESDECrm
+    2282770193U,	// VAESDECrr
+    2282775101U,	// VAESENCLASTrm
+    2282775101U,	// VAESENCLASTrr
+    2282770233U,	// VAESENCrm
+    2282770233U,	// VAESENCrr
+    336613168U,	// VAESIMCrm
+    135286576U,	// VAESIMCrr
+    2484101725U,	// VAESKEYGENASSIST128rm
+    2282775133U,	// VAESKEYGENASSIST128rr
+    2282766732U,	// VALIGNDrmi
+    2282766732U,	// VALIGNDrri
+    88097164U,	// VALIGNDrrik
+    2302689676U,	// VALIGNDrrikz
+    2282768231U,	// VALIGNQrmi
+    2282768231U,	// VALIGNQrri
+    88098663U,	// VALIGNQrrik
+    2302691175U,	// VALIGNQrrikz
+    2282771144U,	// VANDNPDYrm
+    2282771144U,	// VANDNPDYrr
+    2282771144U,	// VANDNPDrm
+    2282771144U,	// VANDNPDrr
+    2282774374U,	// VANDNPSYrm
+    2282774374U,	// VANDNPSYrr
+    2282774374U,	// VANDNPSrm
+    2282774374U,	// VANDNPSrr
+    2282771008U,	// VANDPDYrm
+    2282771008U,	// VANDPDYrr
+    2282771008U,	// VANDPDrm
+    2282771008U,	// VANDPDrr
+    2282774226U,	// VANDPSYrm
+    2282774226U,	// VANDPSYrr
+    2282774226U,	// VANDPSrm
+    2282774226U,	// VANDPSrr
+    2282776708U,	// VASTART_SAVE_XMM_REGS
+    155206421U,	// VBLENDMPDZrm
+    155206421U,	// VBLENDMPDZrr
+    155208169U,	// VBLENDMPSZrm
+    155208169U,	// VBLENDMPSZrr
+    2282771016U,	// VBLENDPDYrmi
+    2282771016U,	// VBLENDPDYrri
+    2282771016U,	// VBLENDPDrmi
+    2282771016U,	// VBLENDPDrri
+    2282774234U,	// VBLENDPSYrmi
+    2282774234U,	// VBLENDPSYrri
+    2282774234U,	// VBLENDPSrmi
+    2282774234U,	// VBLENDPSrri
+    2282771240U,	// VBLENDVPDYrm
+    2282771240U,	// VBLENDVPDYrr
+    2282771240U,	// VBLENDVPDrm
+    2282771240U,	// VBLENDVPDrr
+    2282774511U,	// VBLENDVPSYrm
+    2282774511U,	// VBLENDVPSYrr
+    2282774511U,	// VBLENDVPSrm
+    2282774511U,	// VBLENDVPSrr
+    537939214U,	// VBROADCASTF128
+    336612677U,	// VBROADCASTI128
+    2302689281U,	// VBROADCASTI32X4krm
+    336609281U,	// VBROADCASTI32X4rm
+    2302689299U,	// VBROADCASTI64X4krm
+    873480211U,	// VBROADCASTI64X4rm
+    571495651U,	// VBROADCASTSDYrm
+    135288035U,	// VBROADCASTSDYrr
+    571491454U,	// VBROADCASTSDZrm
+    135283838U,	// VBROADCASTSDZrr
+    605053302U,	// VBROADCASTSSYrm
+    135291254U,	// VBROADCASTSSYrr
+    605047503U,	// VBROADCASTSSZrm
+    135285455U,	// VBROADCASTSSZrr
+    605053302U,	// VBROADCASTSSrm
+    135291254U,	// VBROADCASTSSrr
+    2290445853U,	// VCMPPDYrmi
+    2282771168U,	// VCMPPDYrmi_alt
+    2290462237U,	// VCMPPDYrri
+    2282771168U,	// VCMPPDYrri_alt
+    928345629U,	// VCMPPDZrmi
+    2282767156U,	// VCMPPDZrmi_alt
+    89501213U,	// VCMPPDZrri
+    2282767156U,	// VCMPPDZrri_alt
+    89501213U,	// VCMPPDZrrib
+    2290445853U,	// VCMPPDrmi
+    2282771168U,	// VCMPPDrmi_alt
+    2290462237U,	// VCMPPDrri
+    2282771168U,	// VCMPPDrri_alt
+    2291494429U,	// VCMPPSYrmi
+    2282774406U,	// VCMPPSYrmi_alt
+    2291510813U,	// VCMPPSYrri
+    2282774406U,	// VCMPPSYrri_alt
+    929394205U,	// VCMPPSZrmi
+    2282768904U,	// VCMPPSZrmi_alt
+    90549789U,	// VCMPPSZrri
+    2282768904U,	// VCMPPSZrri_alt
+    90549789U,	// VCMPPSZrrib
+    2291494429U,	// VCMPPSrmi
+    2282774406U,	// VCMPPSrmi_alt
+    2291510813U,	// VCMPPSrri
+    2282774406U,	// VCMPPSrri_alt
+    2292543005U,	// VCMPSDZrm
+    2282771657U,	// VCMPSDZrmi_alt
+    2292559389U,	// VCMPSDZrr
+    2282771657U,	// VCMPSDZrri_alt
+    2292543005U,	// VCMPSDrm
+    2282771657U,	// VCMPSDrm_alt
+    2292559389U,	// VCMPSDrr
+    2282771657U,	// VCMPSDrr_alt
+    2293591581U,	// VCMPSSZrm
+    2282774866U,	// VCMPSSZrmi_alt
+    2293607965U,	// VCMPSSZrr
+    2282774866U,	// VCMPSSZrri_alt
+    2293591581U,	// VCMPSSrm
+    2282774866U,	// VCMPSSrm_alt
+    2293607965U,	// VCMPSSrr
+    2282774866U,	// VCMPSSrr_alt
+    537941152U,	// VCOMISDZrm
+    135287968U,	// VCOMISDZrr
+    537941152U,	// VCOMISDrm
+    135287968U,	// VCOMISDrr
+    537944369U,	// VCOMISSZrm
+    135291185U,	// VCOMISSZrr
+    537944369U,	// VCOMISSrm
+    135291185U,	// VCOMISSrr
+    336613714U,	// VCVTDQ2PDYrm
+    135287122U,	// VCVTDQ2PDYrr
+    873480702U,	// VCVTDQ2PDZrm
+    135283198U,	// VCVTDQ2PDZrr
+    168841554U,	// VCVTDQ2PDrm
+    135287122U,	// VCVTDQ2PDrr
+    873487863U,	// VCVTDQ2PSYrm
+    135290359U,	// VCVTDQ2PSYrr
+    940591326U,	// VCVTDQ2PSZrm
+    135284958U,	// VCVTDQ2PSZrr
+    2282768606U,	// VCVTDQ2PSZrrb
+    336616951U,	// VCVTDQ2PSrm
+    135290359U,	// VCVTDQ2PSrr
+    537945426U,	// VCVTPD2DQXrm
+    974150217U,	// VCVTPD2DQYrm
+    135289417U,	// VCVTPD2DQYrr
+    1007699491U,	// VCVTPD2DQZrm
+    135284259U,	// VCVTPD2DQZrr
+    2282767907U,	// VCVTPD2DQZrrb
+    135289417U,	// VCVTPD2DQrr
+    537945456U,	// VCVTPD2PSXrm
+    974151115U,	// VCVTPD2PSYrm
+    135290315U,	// VCVTPD2PSYrr
+    1007700166U,	// VCVTPD2PSZrm
+    135284934U,	// VCVTPD2PSZrr
+    2282768582U,	// VCVTPD2PSZrrb
+    135290315U,	// VCVTPD2PSrr
+    1007699659U,	// VCVTPD2UDQZrm
+    135284427U,	// VCVTPD2UDQZrr
+    2282768075U,	// VCVTPD2UDQZrrb
+    537943510U,	// VCVTPH2PSYrm
+    135290326U,	// VCVTPH2PSYrr
+    974151126U,	// VCVTPH2PSZrm
+    135290326U,	// VCVTPH2PSZrr
+    571497942U,	// VCVTPH2PSrm
+    135290326U,	// VCVTPH2PSrr
+    974150249U,	// VCVTPS2DQYrm
+    135289449U,	// VCVTPS2DQYrr
+    1007699516U,	// VCVTPS2DQZrm
+    135284284U,	// VCVTPS2DQZrr
+    2282767932U,	// VCVTPS2DQZrrb
+    537942633U,	// VCVTPS2DQrm
+    135289449U,	// VCVTPS2DQrr
+    537940317U,	// VCVTPS2PDYrm
+    135287133U,	// VCVTPS2PDYrr
+    974144023U,	// VCVTPS2PDZrm
+    135283223U,	// VCVTPS2PDZrr
+    571494749U,	// VCVTPS2PDrm
+    135287133U,	// VCVTPS2PDrr
+    2149127994U,	// VCVTPS2PHYmr
+    2282772282U,	// VCVTPS2PHYrr
+    2149156141U,	// VCVTPS2PHZmr
+    2282767661U,	// VCVTPS2PHZrr
+    2148669242U,	// VCVTPS2PHmr
+    2282772282U,	// VCVTPS2PHrr
+    1007699686U,	// VCVTPS2UDQZrm
+    135284454U,	// VCVTPS2UDQZrr
+    2282768102U,	// VCVTPS2UDQZrrb
+    571491654U,	// VCVTSD2SI64Zrm
+    135284038U,	// VCVTSD2SI64Zrr
+    571496421U,	// VCVTSD2SI64rm
+    135288805U,	// VCVTSD2SI64rr
+    571491654U,	// VCVTSD2SIZrm
+    135284038U,	// VCVTSD2SIZrr
+    571496421U,	// VCVTSD2SIrm
+    135288805U,	// VCVTSD2SIrr
+    2282774667U,	// VCVTSD2SSZrm
+    2282774667U,	// VCVTSD2SSZrr
+    2282774667U,	// VCVTSD2SSrm
+    2282774667U,	// VCVTSD2SSrr
+    571491705U,	// VCVTSD2USI64Zrm
+    135284089U,	// VCVTSD2USI64Zrr
+    571491705U,	// VCVTSD2USIZrm
+    135284089U,	// VCVTSD2USIZrr
+    2282771442U,	// VCVTSI2SD64rm
+    2282771442U,	// VCVTSI2SD64rr
+    2282767322U,	// VCVTSI2SDZrm
+    2282767322U,	// VCVTSI2SDZrr
+    2282771442U,	// VCVTSI2SDrm
+    2282771442U,	// VCVTSI2SDrr
+    2282774678U,	// VCVTSI2SS64rm
+    2282774678U,	// VCVTSI2SS64rr
+    2282768958U,	// VCVTSI2SSZrm
+    2282768958U,	// VCVTSI2SSZrr
+    2282774678U,	// VCVTSI2SSrm
+    2282774678U,	// VCVTSI2SSrr
+    2282767322U,	// VCVTSI642SDZrm
+    2282767322U,	// VCVTSI642SDZrr
+    2282768958U,	// VCVTSI642SSZrm
+    2282768958U,	// VCVTSI642SSZrr
+    2282771465U,	// VCVTSS2SDZrm
+    2282771465U,	// VCVTSS2SDZrr
+    2282771465U,	// VCVTSS2SDrm
+    2282771465U,	// VCVTSS2SDrr
+    605046111U,	// VCVTSS2SI64Zrm
+    135284063U,	// VCVTSS2SI64Zrr
+    605050876U,	// VCVTSS2SI64rm
+    135288828U,	// VCVTSS2SI64rr
+    605046111U,	// VCVTSS2SIZrm
+    135284063U,	// VCVTSS2SIZrr
+    605050876U,	// VCVTSS2SIrm
+    135288828U,	// VCVTSS2SIrr
+    605046164U,	// VCVTSS2USI64Zrm
+    135284116U,	// VCVTSS2USI64Zrr
+    605046164U,	// VCVTSS2USIZrm
+    135284116U,	// VCVTSS2USIZrr
+    537945413U,	// VCVTTPD2DQXrm
+    974150205U,	// VCVTTPD2DQYrm
+    135289405U,	// VCVTTPD2DQYrr
+    1007699478U,	// VCVTTPD2DQZrm
+    135284246U,	// VCVTTPD2DQZrr
+    135289405U,	// VCVTTPD2DQrr
+    1007699645U,	// VCVTTPD2UDQZrm
+    135284413U,	// VCVTTPD2UDQZrr
+    974150237U,	// VCVTTPS2DQYrm
+    135289437U,	// VCVTTPS2DQYrr
+    1007699503U,	// VCVTTPS2DQZrm
+    135284271U,	// VCVTTPS2DQZrr
+    537942621U,	// VCVTTPS2DQrm
+    135289437U,	// VCVTTPS2DQrr
+    1007699672U,	// VCVTTPS2UDQZrm
+    135284440U,	// VCVTTPS2UDQZrr
+    571491641U,	// VCVTTSD2SI64Zrm
+    135284025U,	// VCVTTSD2SI64Zrr
+    571496409U,	// VCVTTSD2SI64rm
+    135288793U,	// VCVTTSD2SI64rr
+    571491641U,	// VCVTTSD2SIZrm
+    135284025U,	// VCVTTSD2SIZrr
+    571496409U,	// VCVTTSD2SIrm
+    135288793U,	// VCVTTSD2SIrr
+    571491691U,	// VCVTTSD2USI64Zrm
+    135284075U,	// VCVTTSD2USI64Zrr
+    571491691U,	// VCVTTSD2USIZrm
+    135284075U,	// VCVTTSD2USIZrr
+    605046098U,	// VCVTTSS2SI64Zrm
+    135284050U,	// VCVTTSS2SI64Zrr
+    605050864U,	// VCVTTSS2SI64rm
+    135288816U,	// VCVTTSS2SI64rr
+    605046098U,	// VCVTTSS2SIZrm
+    135284050U,	// VCVTTSS2SIZrr
+    605050864U,	// VCVTTSS2SIrm
+    135288816U,	// VCVTTSS2SIrr
+    605046150U,	// VCVTTSS2USI64Zrm
+    135284102U,	// VCVTTSS2USI64Zrr
+    605046150U,	// VCVTTSS2USIZrm
+    135284102U,	// VCVTTSS2USIZrr
+    974144010U,	// VCVTUDQ2PDZrm
+    135283210U,	// VCVTUDQ2PDZrr
+    1007700202U,	// VCVTUDQ2PSZrm
+    135284970U,	// VCVTUDQ2PSZrr
+    2282768618U,	// VCVTUDQ2PSZrrb
+    2282767334U,	// VCVTUSI2SDZrm
+    2282767334U,	// VCVTUSI2SDZrr
+    2282768970U,	// VCVTUSI2SSZrm
+    2282768970U,	// VCVTUSI2SSZrr
+    2282767334U,	// VCVTUSI642SDZrm
+    2282767334U,	// VCVTUSI642SDZrr
+    2282768970U,	// VCVTUSI642SSZrm
+    2282768970U,	// VCVTUSI642SSZrr
+    2282771251U,	// VDIVPDYrm
+    2282771251U,	// VDIVPDYrr
+    2282767192U,	// VDIVPDZrm
+    2282767192U,	// VDIVPDZrmb
+    155206488U,	// VDIVPDZrmbk
+    2302690136U,	// VDIVPDZrmbkz
+    155210547U,	// VDIVPDZrmk
+    2302694195U,	// VDIVPDZrmkz
+    2282767192U,	// VDIVPDZrr
+    155206488U,	// VDIVPDZrrk
+    2302690136U,	// VDIVPDZrrkz
+    2282771251U,	// VDIVPDrm
+    2282771251U,	// VDIVPDrr
+    2282774522U,	// VDIVPSYrm
+    2282774522U,	// VDIVPSYrr
+    2282768940U,	// VDIVPSZrm
+    2282768940U,	// VDIVPSZrmb
+    155208236U,	// VDIVPSZrmbk
+    2302691884U,	// VDIVPSZrmbkz
+    155213818U,	// VDIVPSZrmk
+    2302697466U,	// VDIVPSZrmkz
+    2282768940U,	// VDIVPSZrr
+    155208236U,	// VDIVPSZrrk
+    2302691884U,	// VDIVPSZrrkz
+    2282774522U,	// VDIVPSrm
+    2282774522U,	// VDIVPSrr
+    2282771697U,	// VDIVSDZrm
+    2282771697U,	// VDIVSDZrr
+    2282771697U,	// VDIVSDrm
+    2282771697U,	// VDIVSDrm_Int
+    2282771697U,	// VDIVSDrr
+    2282771697U,	// VDIVSDrr_Int
+    2282774916U,	// VDIVSSZrm
+    2282774916U,	// VDIVSSZrr
+    2282774916U,	// VDIVSSrm
+    2282774916U,	// VDIVSSrm_Int
+    2282774916U,	// VDIVSSrr
+    2282774916U,	// VDIVSSrr_Int
+    2282771161U,	// VDPPDrmi
+    2282771161U,	// VDPPDrri
+    2282774399U,	// VDPPSYrmi
+    2282774399U,	// VDPPSYrri
+    2282774399U,	// VDPPSrmi
+    2282774399U,	// VDPPSrri
+    40091U,	// VERRm
+    23707U,	// VERRr
+    41994U,	// VERWm
+    25610U,	// VERWr
+    2149125363U,	// VEXTRACTF128mr
+    2282769651U,	// VEXTRACTF128rr
+    2149125224U,	// VEXTRACTF32x4mr
+    2282769512U,	// VEXTRACTF32x4rr
+    2149158050U,	// VEXTRACTF64x4mr
+    2282769570U,	// VEXTRACTF64x4rr
+    2148797738U,	// VEXTRACTI128mr
+    2282769706U,	// VEXTRACTI128rr
+    2148797573U,	// VEXTRACTI32x4mr
+    2282769541U,	// VEXTRACTI32x4rr
+    2149174463U,	// VEXTRACTI64x4mr
+    2282769599U,	// VEXTRACTI64x4rr
+    2148655017U,	// VEXTRACTPSmr
+    2282774441U,	// VEXTRACTPSrr
+    2148655017U,	// VEXTRACTPSzmr
+    2282774441U,	// VEXTRACTPSzrr
+    2215657941U,	// VFMADD132PDZm
+    2215657941U,	// VFMADD132PDZmb
+    2215659689U,	// VFMADD132PSZm
+    2215659689U,	// VFMADD132PSZmb
+    2215658094U,	// VFMADD213PDZm
+    2215658094U,	// VFMADD213PDZmb
+    2215658094U,	// VFMADD213PDZr
+    2215659842U,	// VFMADD213PSZm
+    2215659842U,	// VFMADD213PSZmb
+    2215659842U,	// VFMADD213PSZr
+    2282770979U,	// VFMADDPD4mr
+    2282770979U,	// VFMADDPD4mrY
+    2282770979U,	// VFMADDPD4rm
+    2282770979U,	// VFMADDPD4rmY
+    2282770979U,	// VFMADDPD4rr
+    2282770979U,	// VFMADDPD4rrY
+    2282770979U,	// VFMADDPD4rrY_REV
+    2282770979U,	// VFMADDPD4rr_REV
+    2215661857U,	// VFMADDPDr132m
+    2215661857U,	// VFMADDPDr132mY
+    2215661857U,	// VFMADDPDr132r
+    2215661857U,	// VFMADDPDr132rY
+    2215661987U,	// VFMADDPDr213m
+    2215661987U,	// VFMADDPDr213mY
+    2215661987U,	// VFMADDPDr213r
+    2215661987U,	// VFMADDPDr213rY
+    2215661771U,	// VFMADDPDr231m
+    2215661771U,	// VFMADDPDr231mY
+    2215661771U,	// VFMADDPDr231r
+    2215661771U,	// VFMADDPDr231rY
+    2282774197U,	// VFMADDPS4mr
+    2282774197U,	// VFMADDPS4mrY
+    2282774197U,	// VFMADDPS4rm
+    2282774197U,	// VFMADDPS4rmY
+    2282774197U,	// VFMADDPS4rr
+    2282774197U,	// VFMADDPS4rrY
+    2282774197U,	// VFMADDPS4rrY_REV
+    2282774197U,	// VFMADDPS4rr_REV
+    2215665072U,	// VFMADDPSr132m
+    2215665072U,	// VFMADDPSr132mY
+    2215665072U,	// VFMADDPSr132r
+    2215665072U,	// VFMADDPSr132rY
+    2215665213U,	// VFMADDPSr213m
+    2215665213U,	// VFMADDPSr213mY
+    2215665213U,	// VFMADDPSr213r
+    2215665213U,	// VFMADDPSr213rY
+    2215664986U,	// VFMADDPSr231m
+    2215664986U,	// VFMADDPSr231mY
+    2215664986U,	// VFMADDPSr231r
+    2215664986U,	// VFMADDPSr231rY
+    2282771567U,	// VFMADDSD4mr
+    2282771567U,	// VFMADDSD4mr_Int
+    2282771567U,	// VFMADDSD4rm
+    2282771567U,	// VFMADDSD4rm_Int
+    2282771567U,	// VFMADDSD4rr
+    2282771567U,	// VFMADDSD4rr_Int
+    2282771567U,	// VFMADDSD4rr_REV
+    2215658512U,	// VFMADDSDZm
+    2215658512U,	// VFMADDSDZr
+    2215662551U,	// VFMADDSDr132m
+    2215662551U,	// VFMADDSDr132r
+    2215662639U,	// VFMADDSDr213m
+    2215662639U,	// VFMADDSDr213r
+    2215662497U,	// VFMADDSDr231m
+    2215662497U,	// VFMADDSDr231r
+    2282774784U,	// VFMADDSS4mr
+    2282774784U,	// VFMADDSS4mr_Int
+    2282774784U,	// VFMADDSS4rm
+    2282774784U,	// VFMADDSS4rm_Int
+    2282774784U,	// VFMADDSS4rr
+    2282774784U,	// VFMADDSS4rr_Int
+    2282774784U,	// VFMADDSS4rr_REV
+    2215660148U,	// VFMADDSSZm
+    2215660148U,	// VFMADDSSZr
+    2215665776U,	// VFMADDSSr132m
+    2215665776U,	// VFMADDSSr132r
+    2215665864U,	// VFMADDSSr213m
+    2215665864U,	// VFMADDSSr213r
+    2215665722U,	// VFMADDSSr231m
+    2215665722U,	// VFMADDSSr231r
+    2215657878U,	// VFMADDSUB132PDZm
+    2215657878U,	// VFMADDSUB132PDZmb
+    2215659626U,	// VFMADDSUB132PSZm
+    2215659626U,	// VFMADDSUB132PSZmb
+    2215658031U,	// VFMADDSUB213PDZm
+    2215658031U,	// VFMADDSUB213PDZmb
+    2215658031U,	// VFMADDSUB213PDZr
+    2215659779U,	// VFMADDSUB213PSZm
+    2215659779U,	// VFMADDSUB213PSZmb
+    2215659779U,	// VFMADDSUB213PSZr
+    2282770895U,	// VFMADDSUBPD4mr
+    2282770895U,	// VFMADDSUBPD4mrY
+    2282770895U,	// VFMADDSUBPD4rm
+    2282770895U,	// VFMADDSUBPD4rmY
+    2282770895U,	// VFMADDSUBPD4rr
+    2282770895U,	// VFMADDSUBPD4rrY
+    2282770895U,	// VFMADDSUBPD4rrY_REV
+    2282770895U,	// VFMADDSUBPD4rr_REV
+    2215661798U,	// VFMADDSUBPDr132m
+    2215661798U,	// VFMADDSUBPDr132mY
+    2215661798U,	// VFMADDSUBPDr132r
+    2215661798U,	// VFMADDSUBPDr132rY
+    2215661928U,	// VFMADDSUBPDr213m
+    2215661928U,	// VFMADDSUBPDr213mY
+    2215661928U,	// VFMADDSUBPDr213r
+    2215661928U,	// VFMADDSUBPDr213rY
+    2215661712U,	// VFMADDSUBPDr231m
+    2215661712U,	// VFMADDSUBPDr231mY
+    2215661712U,	// VFMADDSUBPDr231r
+    2215661712U,	// VFMADDSUBPDr231rY
+    2282774113U,	// VFMADDSUBPS4mr
+    2282774113U,	// VFMADDSUBPS4mrY
+    2282774113U,	// VFMADDSUBPS4rm
+    2282774113U,	// VFMADDSUBPS4rmY
+    2282774113U,	// VFMADDSUBPS4rr
+    2282774113U,	// VFMADDSUBPS4rrY
+    2282774113U,	// VFMADDSUBPS4rrY_REV
+    2282774113U,	// VFMADDSUBPS4rr_REV
+    2215665013U,	// VFMADDSUBPSr132m
+    2215665013U,	// VFMADDSUBPSr132mY
+    2215665013U,	// VFMADDSUBPSr132r
+    2215665013U,	// VFMADDSUBPSr132rY
+    2215665154U,	// VFMADDSUBPSr213m
+    2215665154U,	// VFMADDSUBPSr213mY
+    2215665154U,	// VFMADDSUBPSr213r
+    2215665154U,	// VFMADDSUBPSr213rY
+    2215664927U,	// VFMADDSUBPSr231m
+    2215664927U,	// VFMADDSUBPSr231mY
+    2215664927U,	// VFMADDSUBPSr231r
+    2215664927U,	// VFMADDSUBPSr231rY
+    2215657895U,	// VFMSUB132PDZm
+    2215657895U,	// VFMSUB132PDZmb
+    2215659643U,	// VFMSUB132PSZm
+    2215659643U,	// VFMSUB132PSZmb
+    2215658048U,	// VFMSUB213PDZm
+    2215658048U,	// VFMSUB213PDZmb
+    2215658048U,	// VFMSUB213PDZr
+    2215659796U,	// VFMSUB213PSZm
+    2215659796U,	// VFMSUB213PSZmb
+    2215659796U,	// VFMSUB213PSZr
+    2215657924U,	// VFMSUBADD132PDZm
+    2215657924U,	// VFMSUBADD132PDZmb
+    2215659672U,	// VFMSUBADD132PSZm
+    2215659672U,	// VFMSUBADD132PSZmb
+    2215658077U,	// VFMSUBADD213PDZm
+    2215658077U,	// VFMSUBADD213PDZmb
+    2215658077U,	// VFMSUBADD213PDZr
+    2215659825U,	// VFMSUBADD213PSZm
+    2215659825U,	// VFMSUBADD213PSZmb
+    2215659825U,	// VFMSUBADD213PSZr
+    2282770957U,	// VFMSUBADDPD4mr
+    2282770957U,	// VFMSUBADDPD4mrY
+    2282770957U,	// VFMSUBADDPD4rm
+    2282770957U,	// VFMSUBADDPD4rmY
+    2282770957U,	// VFMSUBADDPD4rr
+    2282770957U,	// VFMSUBADDPD4rrY
+    2282770957U,	// VFMSUBADDPD4rrY_REV
+    2282770957U,	// VFMSUBADDPD4rr_REV
+    2215661841U,	// VFMSUBADDPDr132m
+    2215661841U,	// VFMSUBADDPDr132mY
+    2215661841U,	// VFMSUBADDPDr132r
+    2215661841U,	// VFMSUBADDPDr132rY
+    2215661971U,	// VFMSUBADDPDr213m
+    2215661971U,	// VFMSUBADDPDr213mY
+    2215661971U,	// VFMSUBADDPDr213r
+    2215661971U,	// VFMSUBADDPDr213rY
+    2215661755U,	// VFMSUBADDPDr231m
+    2215661755U,	// VFMSUBADDPDr231mY
+    2215661755U,	// VFMSUBADDPDr231r
+    2215661755U,	// VFMSUBADDPDr231rY
+    2282774175U,	// VFMSUBADDPS4mr
+    2282774175U,	// VFMSUBADDPS4mrY
+    2282774175U,	// VFMSUBADDPS4rm
+    2282774175U,	// VFMSUBADDPS4rmY
+    2282774175U,	// VFMSUBADDPS4rr
+    2282774175U,	// VFMSUBADDPS4rrY
+    2282774175U,	// VFMSUBADDPS4rrY_REV
+    2282774175U,	// VFMSUBADDPS4rr_REV
+    2215665056U,	// VFMSUBADDPSr132m
+    2215665056U,	// VFMSUBADDPSr132mY
+    2215665056U,	// VFMSUBADDPSr132r
+    2215665056U,	// VFMSUBADDPSr132rY
+    2215665197U,	// VFMSUBADDPSr213m
+    2215665197U,	// VFMSUBADDPSr213mY
+    2215665197U,	// VFMSUBADDPSr213r
+    2215665197U,	// VFMSUBADDPSr213rY
+    2215664970U,	// VFMSUBADDPSr231m
+    2215664970U,	// VFMSUBADDPSr231mY
+    2215664970U,	// VFMSUBADDPSr231r
+    2215664970U,	// VFMSUBADDPSr231rY
+    2282770928U,	// VFMSUBPD4mr
+    2282770928U,	// VFMSUBPD4mrY
+    2282770928U,	// VFMSUBPD4rm
+    2282770928U,	// VFMSUBPD4rmY
+    2282770928U,	// VFMSUBPD4rr
+    2282770928U,	// VFMSUBPD4rrY
+    2282770928U,	// VFMSUBPD4rrY_REV
+    2282770928U,	// VFMSUBPD4rr_REV
+    2215661814U,	// VFMSUBPDr132m
+    2215661814U,	// VFMSUBPDr132mY
+    2215661814U,	// VFMSUBPDr132r
+    2215661814U,	// VFMSUBPDr132rY
+    2215661944U,	// VFMSUBPDr213m
+    2215661944U,	// VFMSUBPDr213mY
+    2215661944U,	// VFMSUBPDr213r
+    2215661944U,	// VFMSUBPDr213rY
+    2215661728U,	// VFMSUBPDr231m
+    2215661728U,	// VFMSUBPDr231mY
+    2215661728U,	// VFMSUBPDr231r
+    2215661728U,	// VFMSUBPDr231rY
+    2282774146U,	// VFMSUBPS4mr
+    2282774146U,	// VFMSUBPS4mrY
+    2282774146U,	// VFMSUBPS4rm
+    2282774146U,	// VFMSUBPS4rmY
+    2282774146U,	// VFMSUBPS4rr
+    2282774146U,	// VFMSUBPS4rrY
+    2282774146U,	// VFMSUBPS4rrY_REV
+    2282774146U,	// VFMSUBPS4rr_REV
+    2215665029U,	// VFMSUBPSr132m
+    2215665029U,	// VFMSUBPSr132mY
+    2215665029U,	// VFMSUBPSr132r
+    2215665029U,	// VFMSUBPSr132rY
+    2215665170U,	// VFMSUBPSr213m
+    2215665170U,	// VFMSUBPSr213mY
+    2215665170U,	// VFMSUBPSr213r
+    2215665170U,	// VFMSUBPSr213rY
+    2215664943U,	// VFMSUBPSr231m
+    2215664943U,	// VFMSUBPSr231mY
+    2215664943U,	// VFMSUBPSr231r
+    2215664943U,	// VFMSUBPSr231rY
+    2282771538U,	// VFMSUBSD4mr
+    2282771538U,	// VFMSUBSD4mr_Int
+    2282771538U,	// VFMSUBSD4rm
+    2282771538U,	// VFMSUBSD4rm_Int
+    2282771538U,	// VFMSUBSD4rr
+    2282771538U,	// VFMSUBSD4rr_Int
+    2282771538U,	// VFMSUBSD4rr_REV
+    2215658483U,	// VFMSUBSDZm
+    2215658483U,	// VFMSUBSDZr
+    2215662524U,	// VFMSUBSDr132m
+    2215662524U,	// VFMSUBSDr132r
+    2215662612U,	// VFMSUBSDr213m
+    2215662612U,	// VFMSUBSDr213r
+    2215662470U,	// VFMSUBSDr231m
+    2215662470U,	// VFMSUBSDr231r
+    2282774755U,	// VFMSUBSS4mr
+    2282774755U,	// VFMSUBSS4mr_Int
+    2282774755U,	// VFMSUBSS4rm
+    2282774755U,	// VFMSUBSS4rm_Int
+    2282774755U,	// VFMSUBSS4rr
+    2282774755U,	// VFMSUBSS4rr_Int
+    2282774755U,	// VFMSUBSS4rr_REV
+    2215660119U,	// VFMSUBSSZm
+    2215660119U,	// VFMSUBSSZr
+    2215665749U,	// VFMSUBSSr132m
+    2215665749U,	// VFMSUBSSr132r
+    2215665837U,	// VFMSUBSSr213m
+    2215665837U,	// VFMSUBSSr213r
+    2215665695U,	// VFMSUBSSr231m
+    2215665695U,	// VFMSUBSSr231r
+    2215657955U,	// VFNMADD132PDZm
+    2215657955U,	// VFNMADD132PDZmb
+    2215659703U,	// VFNMADD132PSZm
+    2215659703U,	// VFNMADD132PSZmb
+    2215658108U,	// VFNMADD213PDZm
+    2215658108U,	// VFNMADD213PDZmb
+    2215658108U,	// VFNMADD213PDZr
+    2215659856U,	// VFNMADD213PSZm
+    2215659856U,	// VFNMADD213PSZmb
+    2215659856U,	// VFNMADD213PSZr
+    2282770989U,	// VFNMADDPD4mr
+    2282770989U,	// VFNMADDPD4mrY
+    2282770989U,	// VFNMADDPD4rm
+    2282770989U,	// VFNMADDPD4rmY
+    2282770989U,	// VFNMADDPD4rr
+    2282770989U,	// VFNMADDPD4rrY
+    2282770989U,	// VFNMADDPD4rrY_REV
+    2282770989U,	// VFNMADDPD4rr_REV
+    2215661870U,	// VFNMADDPDr132m
+    2215661870U,	// VFNMADDPDr132mY
+    2215661870U,	// VFNMADDPDr132r
+    2215661870U,	// VFNMADDPDr132rY
+    2215662000U,	// VFNMADDPDr213m
+    2215662000U,	// VFNMADDPDr213mY
+    2215662000U,	// VFNMADDPDr213r
+    2215662000U,	// VFNMADDPDr213rY
+    2215661784U,	// VFNMADDPDr231m
+    2215661784U,	// VFNMADDPDr231mY
+    2215661784U,	// VFNMADDPDr231r
+    2215661784U,	// VFNMADDPDr231rY
+    2282774207U,	// VFNMADDPS4mr
+    2282774207U,	// VFNMADDPS4mrY
+    2282774207U,	// VFNMADDPS4rm
+    2282774207U,	// VFNMADDPS4rmY
+    2282774207U,	// VFNMADDPS4rr
+    2282774207U,	// VFNMADDPS4rrY
+    2282774207U,	// VFNMADDPS4rrY_REV
+    2282774207U,	// VFNMADDPS4rr_REV
+    2215665085U,	// VFNMADDPSr132m
+    2215665085U,	// VFNMADDPSr132mY
+    2215665085U,	// VFNMADDPSr132r
+    2215665085U,	// VFNMADDPSr132rY
+    2215665226U,	// VFNMADDPSr213m
+    2215665226U,	// VFNMADDPSr213mY
+    2215665226U,	// VFNMADDPSr213r
+    2215665226U,	// VFNMADDPSr213rY
+    2215664999U,	// VFNMADDPSr231m
+    2215664999U,	// VFNMADDPSr231mY
+    2215664999U,	// VFNMADDPSr231r
+    2215664999U,	// VFNMADDPSr231rY
+    2282771577U,	// VFNMADDSD4mr
+    2282771577U,	// VFNMADDSD4mr_Int
+    2282771577U,	// VFNMADDSD4rm
+    2282771577U,	// VFNMADDSD4rm_Int
+    2282771577U,	// VFNMADDSD4rr
+    2282771577U,	// VFNMADDSD4rr_Int
+    2282771577U,	// VFNMADDSD4rr_REV
+    2215658526U,	// VFNMADDSDZm
+    2215658526U,	// VFNMADDSDZr
+    2215662564U,	// VFNMADDSDr132m
+    2215662564U,	// VFNMADDSDr132r
+    2215662652U,	// VFNMADDSDr213m
+    2215662652U,	// VFNMADDSDr213r
+    2215662510U,	// VFNMADDSDr231m
+    2215662510U,	// VFNMADDSDr231r
+    2282774794U,	// VFNMADDSS4mr
+    2282774794U,	// VFNMADDSS4mr_Int
+    2282774794U,	// VFNMADDSS4rm
+    2282774794U,	// VFNMADDSS4rm_Int
+    2282774794U,	// VFNMADDSS4rr
+    2282774794U,	// VFNMADDSS4rr_Int
+    2282774794U,	// VFNMADDSS4rr_REV
+    2215660162U,	// VFNMADDSSZm
+    2215660162U,	// VFNMADDSSZr
+    2215665789U,	// VFNMADDSSr132m
+    2215665789U,	// VFNMADDSSr132r
+    2215665877U,	// VFNMADDSSr213m
+    2215665877U,	// VFNMADDSSr213r
+    2215665735U,	// VFNMADDSSr231m
+    2215665735U,	// VFNMADDSSr231r
+    2215657909U,	// VFNMSUB132PDZm
+    2215657909U,	// VFNMSUB132PDZmb
+    2215659657U,	// VFNMSUB132PSZm
+    2215659657U,	// VFNMSUB132PSZmb
+    2215658062U,	// VFNMSUB213PDZm
+    2215658062U,	// VFNMSUB213PDZmb
+    2215658062U,	// VFNMSUB213PDZr
+    2215659810U,	// VFNMSUB213PSZm
+    2215659810U,	// VFNMSUB213PSZmb
+    2215659810U,	// VFNMSUB213PSZr
+    2282770938U,	// VFNMSUBPD4mr
+    2282770938U,	// VFNMSUBPD4mrY
+    2282770938U,	// VFNMSUBPD4rm
+    2282770938U,	// VFNMSUBPD4rmY
+    2282770938U,	// VFNMSUBPD4rr
+    2282770938U,	// VFNMSUBPD4rrY
+    2282770938U,	// VFNMSUBPD4rrY_REV
+    2282770938U,	// VFNMSUBPD4rr_REV
+    2215661827U,	// VFNMSUBPDr132m
+    2215661827U,	// VFNMSUBPDr132mY
+    2215661827U,	// VFNMSUBPDr132r
+    2215661827U,	// VFNMSUBPDr132rY
+    2215661957U,	// VFNMSUBPDr213m
+    2215661957U,	// VFNMSUBPDr213mY
+    2215661957U,	// VFNMSUBPDr213r
+    2215661957U,	// VFNMSUBPDr213rY
+    2215661741U,	// VFNMSUBPDr231m
+    2215661741U,	// VFNMSUBPDr231mY
+    2215661741U,	// VFNMSUBPDr231r
+    2215661741U,	// VFNMSUBPDr231rY
+    2282774156U,	// VFNMSUBPS4mr
+    2282774156U,	// VFNMSUBPS4mrY
+    2282774156U,	// VFNMSUBPS4rm
+    2282774156U,	// VFNMSUBPS4rmY
+    2282774156U,	// VFNMSUBPS4rr
+    2282774156U,	// VFNMSUBPS4rrY
+    2282774156U,	// VFNMSUBPS4rrY_REV
+    2282774156U,	// VFNMSUBPS4rr_REV
+    2215665042U,	// VFNMSUBPSr132m
+    2215665042U,	// VFNMSUBPSr132mY
+    2215665042U,	// VFNMSUBPSr132r
+    2215665042U,	// VFNMSUBPSr132rY
+    2215665183U,	// VFNMSUBPSr213m
+    2215665183U,	// VFNMSUBPSr213mY
+    2215665183U,	// VFNMSUBPSr213r
+    2215665183U,	// VFNMSUBPSr213rY
+    2215664956U,	// VFNMSUBPSr231m
+    2215664956U,	// VFNMSUBPSr231mY
+    2215664956U,	// VFNMSUBPSr231r
+    2215664956U,	// VFNMSUBPSr231rY
+    2282771548U,	// VFNMSUBSD4mr
+    2282771548U,	// VFNMSUBSD4mr_Int
+    2282771548U,	// VFNMSUBSD4rm
+    2282771548U,	// VFNMSUBSD4rm_Int
+    2282771548U,	// VFNMSUBSD4rr
+    2282771548U,	// VFNMSUBSD4rr_Int
+    2282771548U,	// VFNMSUBSD4rr_REV
+    2215658497U,	// VFNMSUBSDZm
+    2215658497U,	// VFNMSUBSDZr
+    2215662537U,	// VFNMSUBSDr132m
+    2215662537U,	// VFNMSUBSDr132r
+    2215662625U,	// VFNMSUBSDr213m
+    2215662625U,	// VFNMSUBSDr213r
+    2215662483U,	// VFNMSUBSDr231m
+    2215662483U,	// VFNMSUBSDr231r
+    2282774765U,	// VFNMSUBSS4mr
+    2282774765U,	// VFNMSUBSS4mr_Int
+    2282774765U,	// VFNMSUBSS4rm
+    2282774765U,	// VFNMSUBSS4rm_Int
+    2282774765U,	// VFNMSUBSS4rr
+    2282774765U,	// VFNMSUBSS4rr_Int
+    2282774765U,	// VFNMSUBSS4rr_REV
+    2215660133U,	// VFNMSUBSSZm
+    2215660133U,	// VFNMSUBSSZr
+    2215665762U,	// VFNMSUBSSr132m
+    2215665762U,	// VFNMSUBSSr132r
+    2215665850U,	// VFNMSUBSSr213m
+    2215665850U,	// VFNMSUBSSr213r
+    2215665708U,	// VFNMSUBSSr231m
+    2215665708U,	// VFNMSUBSSr231r
+    537940815U,	// VFRCZPDrm
+    974148431U,	// VFRCZPDrmY
+    135287631U,	// VFRCZPDrr
+    135287631U,	// VFRCZPDrrY
+    537944086U,	// VFRCZPSrm
+    974151702U,	// VFRCZPSrmY
+    135290902U,	// VFRCZPSrr
+    135290902U,	// VFRCZPSrrY
+    571495698U,	// VFRCZSDrm
+    135288082U,	// VFRCZSDrr
+    605053340U,	// VFRCZSSrm
+    135291292U,	// VFRCZSSrr
+    2282771144U,	// VFsANDNPDrm
+    2282771144U,	// VFsANDNPDrr
+    2282774374U,	// VFsANDNPSrm
+    2282774374U,	// VFsANDNPSrr
+    2282771008U,	// VFsANDPDrm
+    2282771008U,	// VFsANDPDrr
+    2282774226U,	// VFsANDPSrm
+    2282774226U,	// VFsANDPSrr
+    2282771188U,	// VFsORPDrm
+    2282771188U,	// VFsORPDrr
+    2282774426U,	// VFsORPSrm
+    2282774426U,	// VFsORPSrr
+    2282771195U,	// VFsXORPDrm
+    2282771195U,	// VFsXORPDrr
+    2282774433U,	// VFsXORPSrm
+    2282774433U,	// VFsXORPSrr
+    1041257052U,	// VGATHERDPDYrm
+    3242214093U,	// VGATHERDPDZrm
+    1041257052U,	// VGATHERDPDrm
+    1108369134U,	// VGATHERDPSYrm
+    1094732193U,	// VGATHERDPSZrm
+    1108369134U,	// VGATHERDPSrm
+    124809381U,	// VGATHERPF0DPDm
+    124809521U,	// VGATHERPF0DPSm
+    191918315U,	// VGATHERPF0QPDm
+    191918455U,	// VGATHERPF0QPSm
+    124809416U,	// VGATHERPF1DPDm
+    124809556U,	// VGATHERPF1DPSm
+    191918350U,	// VGATHERPF1QPDm
+    191918490U,	// VGATHERPF1QPSm
+    1041257192U,	// VGATHERQPDYrm
+    3242214205U,	// VGATHERQPDZrm
+    1041257192U,	// VGATHERQPDrm
+    1108369294U,	// VGATHERQPSYrm
+    3242215953U,	// VGATHERQPSZrm
+    1108369294U,	// VGATHERQPSrm
+    2282770970U,	// VHADDPDYrm
+    2282770970U,	// VHADDPDYrr
+    2282770970U,	// VHADDPDrm
+    2282770970U,	// VHADDPDrr
+    2282774188U,	// VHADDPSYrm
+    2282774188U,	// VHADDPSYrr
+    2282774188U,	// VHADDPSrm
+    2282774188U,	// VHADDPSrr
+    2282770919U,	// VHSUBPDYrm
+    2282770919U,	// VHSUBPDYrr
+    2282770919U,	// VHSUBPDrm
+    2282770919U,	// VHSUBPDrr
+    2282774137U,	// VHSUBPSYrm
+    2282774137U,	// VHSUBPSYrr
+    2282774137U,	// VHSUBPSrm
+    2282774137U,	// VHSUBPSrr
+    2282769665U,	// VINSERTF128rm
+    2282769665U,	// VINSERTF128rr
+    2282769527U,	// VINSERTF32x4rm
+    2282769527U,	// VINSERTF32x4rr
+    2282769585U,	// VINSERTF64x4rm
+    2282769585U,	// VINSERTF64x4rr
+    2282769720U,	// VINSERTI128rm
+    2282769720U,	// VINSERTI128rr
+    2282769556U,	// VINSERTI32x4rm
+    2282769556U,	// VINSERTI32x4rr
+    2282769614U,	// VINSERTI64x4rm
+    2282769614U,	// VINSERTI64x4rr
+    2282774463U,	// VINSERTPSrm
+    2282774463U,	// VINSERTPSrr
+    2282774463U,	// VINSERTPSzrm
+    2282774463U,	// VINSERTPSzrr
+    873489027U,	// VLDDQUYrm
+    336618115U,	// VLDDQUrm
+    72870U,	// VLDMXCSR
+    135291531U,	// VMASKMOVDQU
+    135291531U,	// VMASKMOVDQU64
+    2149159739U,	// VMASKMOVPDYmr
+    2282771259U,	// VMASKMOVPDYrm
+    2149126971U,	// VMASKMOVPDmr
+    2282771259U,	// VMASKMOVPDrm
+    2149163010U,	// VMASKMOVPSYmr
+    2282774530U,	// VMASKMOVPSYrm
+    2149130242U,	// VMASKMOVPSmr
+    2282774530U,	// VMASKMOVPSrm
+    2282771271U,	// VMAXCPDYrm
+    2282771271U,	// VMAXCPDYrr
+    2282771271U,	// VMAXCPDrm
+    2282771271U,	// VMAXCPDrr
+    2282774542U,	// VMAXCPSYrm
+    2282774542U,	// VMAXCPSYrr
+    2282774542U,	// VMAXCPSrm
+    2282774542U,	// VMAXCPSrr
+    2282771722U,	// VMAXCSDrm
+    2282771722U,	// VMAXCSDrr
+    2282774932U,	// VMAXCSSrm
+    2282774932U,	// VMAXCSSrr
+    2282771271U,	// VMAXPDYrm
+    2282771271U,	// VMAXPDYrr
+    2282767201U,	// VMAXPDZrm
+    2282767201U,	// VMAXPDZrmb
+    155206497U,	// VMAXPDZrmbk
+    2302690145U,	// VMAXPDZrmbkz
+    155210567U,	// VMAXPDZrmk
+    2302694215U,	// VMAXPDZrmkz
+    2282767201U,	// VMAXPDZrr
+    155206497U,	// VMAXPDZrrk
+    2302690145U,	// VMAXPDZrrkz
+    2282771271U,	// VMAXPDrm
+    2282771271U,	// VMAXPDrr
+    2282774542U,	// VMAXPSYrm
+    2282774542U,	// VMAXPSYrr
+    2282768949U,	// VMAXPSZrm
+    2282768949U,	// VMAXPSZrmb
+    155208245U,	// VMAXPSZrmbk
+    2302691893U,	// VMAXPSZrmbkz
+    155213838U,	// VMAXPSZrmk
+    2302697486U,	// VMAXPSZrmkz
+    2282768949U,	// VMAXPSZrr
+    155208245U,	// VMAXPSZrrk
+    2302691893U,	// VMAXPSZrrkz
+    2282774542U,	// VMAXPSrm
+    2282774542U,	// VMAXPSrr
+    2282771722U,	// VMAXSDZrm
+    2282771722U,	// VMAXSDZrr
+    2282771722U,	// VMAXSDrm
+    2282771722U,	// VMAXSDrm_Int
+    2282771722U,	// VMAXSDrr
+    2282771722U,	// VMAXSDrr_Int
+    2282774932U,	// VMAXSSZrm
+    2282774932U,	// VMAXSSZrr
+    2282774932U,	// VMAXSSrm
+    2282774932U,	// VMAXSSrm_Int
+    2282774932U,	// VMAXSSrr
+    2282774932U,	// VMAXSSrr_Int
+    11680U,	// VMCALL
+    89146U,	// VMCLEARm
+    11348U,	// VMFUNC
+    2282771153U,	// VMINCPDYrm
+    2282771153U,	// VMINCPDYrr
+    2282771153U,	// VMINCPDrm
+    2282771153U,	// VMINCPDrr
+    2282774383U,	// VMINCPSYrm
+    2282774383U,	// VMINCPSYrr
+    2282774383U,	// VMINCPSrm
+    2282774383U,	// VMINCPSrr
+    2282771642U,	// VMINCSDrm
+    2282771642U,	// VMINCSDrr
+    2282774850U,	// VMINCSSrm
+    2282774850U,	// VMINCSSrr
+    2282771153U,	// VMINPDYrm
+    2282771153U,	// VMINPDYrr
+    2282767147U,	// VMINPDZrm
+    2282767147U,	// VMINPDZrmb
+    155206443U,	// VMINPDZrmbk
+    2302690091U,	// VMINPDZrmbkz
+    155210449U,	// VMINPDZrmk
+    2302694097U,	// VMINPDZrmkz
+    2282767147U,	// VMINPDZrr
+    155206443U,	// VMINPDZrrk
+    2302690091U,	// VMINPDZrrkz
+    2282771153U,	// VMINPDrm
+    2282771153U,	// VMINPDrr
+    2282774383U,	// VMINPSYrm
+    2282774383U,	// VMINPSYrr
+    2282768895U,	// VMINPSZrm
+    2282768895U,	// VMINPSZrmb
+    155208191U,	// VMINPSZrmbk
+    2302691839U,	// VMINPSZrmbkz
+    155213679U,	// VMINPSZrmk
+    2302697327U,	// VMINPSZrmkz
+    2282768895U,	// VMINPSZrr
+    155208191U,	// VMINPSZrrk
+    2302691839U,	// VMINPSZrrkz
+    2282774383U,	// VMINPSrm
+    2282774383U,	// VMINPSrr
+    2282771642U,	// VMINSDZrm
+    2282771642U,	// VMINSDZrr
+    2282771642U,	// VMINSDrm
+    2282771642U,	// VMINSDrm_Int
+    2282771642U,	// VMINSDrr
+    2282771642U,	// VMINSDrr_Int
+    2282774850U,	// VMINSSZrm
+    2282774850U,	// VMINSSZrr
+    2282774850U,	// VMINSSrm
+    2282774850U,	// VMINSSrm_Int
+    2282774850U,	// VMINSSrr
+    2282774850U,	// VMINSSrr_Int
+    11595U,	// VMLAUNCH
+    12289U,	// VMLOAD32
+    12344U,	// VMLOAD64
+    11672U,	// VMMCALL
+    135289864U,	// VMOV64toPQIZrr
+    135289864U,	// VMOV64toPQIrr
+    135289864U,	// VMOV64toSDZrr
+    168844296U,	// VMOV64toSDrm
+    135289864U,	// VMOV64toSDrr
+    1675710U,	// VMOVAPDYmr
+    974148030U,	// VMOVAPDYrm
+    135287230U,	// VMOVAPDYrr
+    135287230U,	// VMOVAPDYrr_REV
+    1642942U,	// VMOVAPDZ128mr
+    21565886U,	// VMOVAPDZ128mrk
+    537940414U,	// VMOVAPDZ128rm
+    88101310U,	// VMOVAPDZ128rmk
+    2302693822U,	// VMOVAPDZ128rmkz
+    135287230U,	// VMOVAPDZ128rr
+    135287230U,	// VMOVAPDZ128rr_alt
+    88101310U,	// VMOVAPDZ128rrk
+    88101310U,	// VMOVAPDZ128rrk_alt
+    2302693822U,	// VMOVAPDZ128rrkz
+    2302693822U,	// VMOVAPDZ128rrkz_alt
+    1675710U,	// VMOVAPDZ256mr
+    21598654U,	// VMOVAPDZ256mrk
+    974148030U,	// VMOVAPDZ256rm
+    88101310U,	// VMOVAPDZ256rmk
+    2302693822U,	// VMOVAPDZ256rmkz
+    135287230U,	// VMOVAPDZ256rr
+    135287230U,	// VMOVAPDZ256rr_alt
+    88101310U,	// VMOVAPDZ256rrk
+    88101310U,	// VMOVAPDZ256rrk_alt
+    2302693822U,	// VMOVAPDZ256rrkz
+    2302693822U,	// VMOVAPDZ256rrkz_alt
+    1708478U,	// VMOVAPDZmr
+    21631422U,	// VMOVAPDZmrk
+    1007702462U,	// VMOVAPDZrm
+    88101310U,	// VMOVAPDZrmk
+    2302693822U,	// VMOVAPDZrmkz
+    135287230U,	// VMOVAPDZrr
+    135287230U,	// VMOVAPDZrr_alt
+    88101310U,	// VMOVAPDZrrk
+    88101310U,	// VMOVAPDZrrk_alt
+    2302693822U,	// VMOVAPDZrrkz
+    2302693822U,	// VMOVAPDZrrkz_alt
+    1642942U,	// VMOVAPDmr
+    537940414U,	// VMOVAPDrm
+    135287230U,	// VMOVAPDrr
+    135287230U,	// VMOVAPDrr_REV
+    1678936U,	// VMOVAPSYmr
+    974151256U,	// VMOVAPSYrm
+    135290456U,	// VMOVAPSYrr
+    135290456U,	// VMOVAPSYrr_REV
+    1646168U,	// VMOVAPSZ128mr
+    21569112U,	// VMOVAPSZ128mrk
+    537943640U,	// VMOVAPSZ128rm
+    88104536U,	// VMOVAPSZ128rmk
+    2302697048U,	// VMOVAPSZ128rmkz
+    135290456U,	// VMOVAPSZ128rr
+    135290456U,	// VMOVAPSZ128rr_alt
+    88104536U,	// VMOVAPSZ128rrk
+    88104536U,	// VMOVAPSZ128rrk_alt
+    2302697048U,	// VMOVAPSZ128rrkz
+    2302697048U,	// VMOVAPSZ128rrkz_alt
+    1678936U,	// VMOVAPSZ256mr
+    21601880U,	// VMOVAPSZ256mrk
+    974151256U,	// VMOVAPSZ256rm
+    88104536U,	// VMOVAPSZ256rmk
+    2302697048U,	// VMOVAPSZ256rmkz
+    135290456U,	// VMOVAPSZ256rr
+    135290456U,	// VMOVAPSZ256rr_alt
+    88104536U,	// VMOVAPSZ256rrk
+    88104536U,	// VMOVAPSZ256rrk_alt
+    2302697048U,	// VMOVAPSZ256rrkz
+    2302697048U,	// VMOVAPSZ256rrkz_alt
+    1711704U,	// VMOVAPSZmr
+    21634648U,	// VMOVAPSZmrk
+    1007705688U,	// VMOVAPSZrm
+    88104536U,	// VMOVAPSZrmk
+    2302697048U,	// VMOVAPSZrmkz
+    135290456U,	// VMOVAPSZrr
+    135290456U,	// VMOVAPSZrr_alt
+    88104536U,	// VMOVAPSZrrk
+    88104536U,	// VMOVAPSZrrk_alt
+    2302697048U,	// VMOVAPSZrrkz
+    2302697048U,	// VMOVAPSZrrkz_alt
+    1646168U,	// VMOVAPSmr
+    537943640U,	// VMOVAPSrm
+    135290456U,	// VMOVAPSrr
+    135290456U,	// VMOVAPSrr_REV
+    974150075U,	// VMOVDDUPYrm
+    135289275U,	// VMOVDDUPYrr
+    1007699361U,	// VMOVDDUPZrm
+    135284129U,	// VMOVDDUPZrr
+    571496891U,	// VMOVDDUPrm
+    135289275U,	// VMOVDDUPrr
+    101733766U,	// VMOVDI2PDIZrm
+    135288198U,	// VMOVDI2PDIZrr
+    101733766U,	// VMOVDI2PDIrm
+    135288198U,	// VMOVDI2PDIrr
+    101733766U,	// VMOVDI2SSZrm
+    135288198U,	// VMOVDI2SSZrr
+    101733766U,	// VMOVDI2SSrm
+    135288198U,	// VMOVDI2SSrr
+    1313744U,	// VMOVDQA32Z128mr
+    21236688U,	// VMOVDQA32Z128mrk
+    336612304U,	// VMOVDQA32Z128rm
+    88099792U,	// VMOVDQA32Z128rmk
+    2302692304U,	// VMOVDQA32Z128rmkz
+    135285712U,	// VMOVDQA32Z128rr
+    135285712U,	// VMOVDQA32Z128rr_alt
+    88099792U,	// VMOVDQA32Z128rrk
+    88099792U,	// VMOVDQA32Z128rrk_alt
+    2302692304U,	// VMOVDQA32Z128rrkz
+    2302692304U,	// VMOVDQA32Z128rrkz_alt
+    1690576U,	// VMOVDQA32Z256mr
+    21613520U,	// VMOVDQA32Z256mrk
+    873483216U,	// VMOVDQA32Z256rm
+    88099792U,	// VMOVDQA32Z256rmk
+    2302692304U,	// VMOVDQA32Z256rmkz
+    135285712U,	// VMOVDQA32Z256rr
+    135285712U,	// VMOVDQA32Z256rr_alt
+    88099792U,	// VMOVDQA32Z256rrk
+    88099792U,	// VMOVDQA32Z256rrk_alt
+    2302692304U,	// VMOVDQA32Z256rrkz
+    2302692304U,	// VMOVDQA32Z256rrkz_alt
+    1723344U,	// VMOVDQA32Zmr
+    21646288U,	// VMOVDQA32Zmrk
+    940592080U,	// VMOVDQA32Zrm
+    88099792U,	// VMOVDQA32Zrmk
+    2302692304U,	// VMOVDQA32Zrmkz
+    135285712U,	// VMOVDQA32Zrr
+    135285712U,	// VMOVDQA32Zrr_alt
+    88099792U,	// VMOVDQA32Zrrk
+    88099792U,	// VMOVDQA32Zrrk_alt
+    2302692304U,	// VMOVDQA32Zrrkz
+    2302692304U,	// VMOVDQA32Zrrkz_alt
+    1313830U,	// VMOVDQA64Z128mr
+    21236774U,	// VMOVDQA64Z128mrk
+    336612390U,	// VMOVDQA64Z128rm
+    88099878U,	// VMOVDQA64Z128rmk
+    2302692390U,	// VMOVDQA64Z128rmkz
+    135285798U,	// VMOVDQA64Z128rr
+    135285798U,	// VMOVDQA64Z128rr_alt
+    88099878U,	// VMOVDQA64Z128rrk
+    88099878U,	// VMOVDQA64Z128rrk_alt
+    2302692390U,	// VMOVDQA64Z128rrkz
+    2302692390U,	// VMOVDQA64Z128rrkz_alt
+    1690662U,	// VMOVDQA64Z256mr
+    21613606U,	// VMOVDQA64Z256mrk
+    873483302U,	// VMOVDQA64Z256rm
+    88099878U,	// VMOVDQA64Z256rmk
+    2302692390U,	// VMOVDQA64Z256rmkz
+    135285798U,	// VMOVDQA64Z256rr
+    135285798U,	// VMOVDQA64Z256rr_alt
+    88099878U,	// VMOVDQA64Z256rrk
+    88099878U,	// VMOVDQA64Z256rrk_alt
+    2302692390U,	// VMOVDQA64Z256rrkz
+    2302692390U,	// VMOVDQA64Z256rrkz_alt
+    1723430U,	// VMOVDQA64Zmr
+    21646374U,	// VMOVDQA64Zmrk
+    940592166U,	// VMOVDQA64Zrm
+    88099878U,	// VMOVDQA64Zrmk
+    2302692390U,	// VMOVDQA64Zrmkz
+    135285798U,	// VMOVDQA64Zrr
+    135285798U,	// VMOVDQA64Zrr_alt
+    88099878U,	// VMOVDQA64Zrrk
+    88099878U,	// VMOVDQA64Zrrk_alt
+    2302692390U,	// VMOVDQA64Zrrkz
+    2302692390U,	// VMOVDQA64Zrrkz_alt
+    1690995U,	// VMOVDQAYmr
+    873483635U,	// VMOVDQAYrm
+    135286131U,	// VMOVDQAYrr
+    135286131U,	// VMOVDQAYrr_REV
+    1314163U,	// VMOVDQAmr
+    336612723U,	// VMOVDQArm
+    135286131U,	// VMOVDQArr
+    135286131U,	// VMOVDQArr_REV
+    1314012U,	// VMOVDQU16Z128mr
+    21236956U,	// VMOVDQU16Z128mrk
+    336612572U,	// VMOVDQU16Z128rm
+    88100060U,	// VMOVDQU16Z128rmk
+    2302692572U,	// VMOVDQU16Z128rmkz
+    135285980U,	// VMOVDQU16Z128rr
+    135285980U,	// VMOVDQU16Z128rr_alt
+    88100060U,	// VMOVDQU16Z128rrk
+    88100060U,	// VMOVDQU16Z128rrk_alt
+    2302692572U,	// VMOVDQU16Z128rrkz
+    2302692572U,	// VMOVDQU16Z128rrkz_alt
+    1690844U,	// VMOVDQU16Z256mr
+    21613788U,	// VMOVDQU16Z256mrk
+    873483484U,	// VMOVDQU16Z256rm
+    88100060U,	// VMOVDQU16Z256rmk
+    2302692572U,	// VMOVDQU16Z256rmkz
+    135285980U,	// VMOVDQU16Z256rr
+    135285980U,	// VMOVDQU16Z256rr_alt
+    88100060U,	// VMOVDQU16Z256rrk
+    88100060U,	// VMOVDQU16Z256rrk_alt
+    2302692572U,	// VMOVDQU16Z256rrkz
+    2302692572U,	// VMOVDQU16Z256rrkz_alt
+    1723612U,	// VMOVDQU16Zmr
+    21646556U,	// VMOVDQU16Zmrk
+    940592348U,	// VMOVDQU16Zrm
+    88100060U,	// VMOVDQU16Zrmk
+    2302692572U,	// VMOVDQU16Zrmkz
+    135285980U,	// VMOVDQU16Zrr
+    135285980U,	// VMOVDQU16Zrr_alt
+    88100060U,	// VMOVDQU16Zrrk
+    88100060U,	// VMOVDQU16Zrrk_alt
+    2302692572U,	// VMOVDQU16Zrrkz
+    2302692572U,	// VMOVDQU16Zrrkz_alt
+    1313762U,	// VMOVDQU32Z128mr
+    21236706U,	// VMOVDQU32Z128mrk
+    336612322U,	// VMOVDQU32Z128rm
+    88099810U,	// VMOVDQU32Z128rmk
+    2302692322U,	// VMOVDQU32Z128rmkz
+    135285730U,	// VMOVDQU32Z128rr
+    135285730U,	// VMOVDQU32Z128rr_alt
+    88099810U,	// VMOVDQU32Z128rrk
+    88099810U,	// VMOVDQU32Z128rrk_alt
+    2302692322U,	// VMOVDQU32Z128rrkz
+    2302692322U,	// VMOVDQU32Z128rrkz_alt
+    1690594U,	// VMOVDQU32Z256mr
+    21613538U,	// VMOVDQU32Z256mrk
+    873483234U,	// VMOVDQU32Z256rm
+    88099810U,	// VMOVDQU32Z256rmk
+    2302692322U,	// VMOVDQU32Z256rmkz
+    135285730U,	// VMOVDQU32Z256rr
+    135285730U,	// VMOVDQU32Z256rr_alt
+    88099810U,	// VMOVDQU32Z256rrk
+    88099810U,	// VMOVDQU32Z256rrk_alt
+    2302692322U,	// VMOVDQU32Z256rrkz
+    2302692322U,	// VMOVDQU32Z256rrkz_alt
+    1723362U,	// VMOVDQU32Zmr
+    21646306U,	// VMOVDQU32Zmrk
+    940592098U,	// VMOVDQU32Zrm
+    88099810U,	// VMOVDQU32Zrmk
+    2302692322U,	// VMOVDQU32Zrmkz
+    135285730U,	// VMOVDQU32Zrr
+    135285730U,	// VMOVDQU32Zrr_alt
+    88099810U,	// VMOVDQU32Zrrk
+    88099810U,	// VMOVDQU32Zrrk_alt
+    2302692322U,	// VMOVDQU32Zrrkz
+    2302692322U,	// VMOVDQU32Zrrkz_alt
+    1313874U,	// VMOVDQU64Z128mr
+    21236818U,	// VMOVDQU64Z128mrk
+    336612434U,	// VMOVDQU64Z128rm
+    88099922U,	// VMOVDQU64Z128rmk
+    2302692434U,	// VMOVDQU64Z128rmkz
+    135285842U,	// VMOVDQU64Z128rr
+    135285842U,	// VMOVDQU64Z128rr_alt
+    88099922U,	// VMOVDQU64Z128rrk
+    88099922U,	// VMOVDQU64Z128rrk_alt
+    2302692434U,	// VMOVDQU64Z128rrkz
+    2302692434U,	// VMOVDQU64Z128rrkz_alt
+    1690706U,	// VMOVDQU64Z256mr
+    21613650U,	// VMOVDQU64Z256mrk
+    873483346U,	// VMOVDQU64Z256rm
+    88099922U,	// VMOVDQU64Z256rmk
+    2302692434U,	// VMOVDQU64Z256rmkz
+    135285842U,	// VMOVDQU64Z256rr
+    135285842U,	// VMOVDQU64Z256rr_alt
+    88099922U,	// VMOVDQU64Z256rrk
+    88099922U,	// VMOVDQU64Z256rrk_alt
+    2302692434U,	// VMOVDQU64Z256rrkz
+    2302692434U,	// VMOVDQU64Z256rrkz_alt
+    1723474U,	// VMOVDQU64Zmr
+    21646418U,	// VMOVDQU64Zmrk
+    940592210U,	// VMOVDQU64Zrm
+    88099922U,	// VMOVDQU64Zrmk
+    2302692434U,	// VMOVDQU64Zrmkz
+    135285842U,	// VMOVDQU64Zrr
+    135285842U,	// VMOVDQU64Zrr_alt
+    88099922U,	// VMOVDQU64Zrrk
+    88099922U,	// VMOVDQU64Zrrk_alt
+    2302692434U,	// VMOVDQU64Zrrkz
+    2302692434U,	// VMOVDQU64Zrrkz_alt
+    1314133U,	// VMOVDQU8Z128mr
+    21237077U,	// VMOVDQU8Z128mrk
+    336612693U,	// VMOVDQU8Z128rm
+    88100181U,	// VMOVDQU8Z128rmk
+    2302692693U,	// VMOVDQU8Z128rmkz
+    135286101U,	// VMOVDQU8Z128rr
+    135286101U,	// VMOVDQU8Z128rr_alt
+    88100181U,	// VMOVDQU8Z128rrk
+    88100181U,	// VMOVDQU8Z128rrk_alt
+    2302692693U,	// VMOVDQU8Z128rrkz
+    2302692693U,	// VMOVDQU8Z128rrkz_alt
+    1690965U,	// VMOVDQU8Z256mr
+    21613909U,	// VMOVDQU8Z256mrk
+    873483605U,	// VMOVDQU8Z256rm
+    88100181U,	// VMOVDQU8Z256rmk
+    2302692693U,	// VMOVDQU8Z256rmkz
+    135286101U,	// VMOVDQU8Z256rr
+    135286101U,	// VMOVDQU8Z256rr_alt
+    88100181U,	// VMOVDQU8Z256rrk
+    88100181U,	// VMOVDQU8Z256rrk_alt
+    2302692693U,	// VMOVDQU8Z256rrkz
+    2302692693U,	// VMOVDQU8Z256rrkz_alt
+    1723733U,	// VMOVDQU8Zmr
+    21646677U,	// VMOVDQU8Zmrk
+    940592469U,	// VMOVDQU8Zrm
+    88100181U,	// VMOVDQU8Zrmk
+    2302692693U,	// VMOVDQU8Zrmkz
+    135286101U,	// VMOVDQU8Zrr
+    135286101U,	// VMOVDQU8Zrr_alt
+    88100181U,	// VMOVDQU8Zrrk
+    88100181U,	// VMOVDQU8Zrrk_alt
+    2302692693U,	// VMOVDQU8Zrrkz
+    2302692693U,	// VMOVDQU8Zrrkz_alt
+    1696408U,	// VMOVDQUYmr
+    873489048U,	// VMOVDQUYrm
+    135291544U,	// VMOVDQUYrr
+    135291544U,	// VMOVDQUYrr_REV
+    1319576U,	// VMOVDQUmr
+    336618136U,	// VMOVDQUrm
+    135291544U,	// VMOVDQUrr
+    135291544U,	// VMOVDQUrr_REV
+    2282774316U,	// VMOVHLPSZrr
+    2282774316U,	// VMOVHLPSrr
+    1184380U,	// VMOVHPDmr
+    2282771068U,	// VMOVHPDrm
+    1187608U,	// VMOVHPSmr
+    2282774296U,	// VMOVHPSrm
+    2282774286U,	// VMOVLHPSZrr
+    2282774286U,	// VMOVLHPSrr
+    1184430U,	// VMOVLPDmr
+    2282771118U,	// VMOVLPDrm
+    1187668U,	// VMOVLPSmr
+    2282774356U,	// VMOVLPSrm
+    135287429U,	// VMOVMSKPDYrr
+    135287429U,	// VMOVMSKPDrr
+    135290657U,	// VMOVMSKPSYrr
+    135290657U,	// VMOVMSKPSrr
+    873483624U,	// VMOVNTDQAYrm
+    940592488U,	// VMOVNTDQAZrm
+    336612712U,	// VMOVNTDQArm
+    1678069U,	// VMOVNTDQYmr
+    1727221U,	// VMOVNTDQZmr
+    1645301U,	// VMOVNTDQmr
+    1676035U,	// VMOVNTPDYmr
+    1708803U,	// VMOVNTPDZmr
+    1643267U,	// VMOVNTPDmr
+    1679285U,	// VMOVNTPSYmr
+    1712053U,	// VMOVNTPSZmr
+    1646517U,	// VMOVNTPSmr
+    1119622U,	// VMOVPDI2DIZmr
+    135288198U,	// VMOVPDI2DIZrr
+    1119622U,	// VMOVPDI2DImr
+    135288198U,	// VMOVPDI2DIrr
+    1137672U,	// VMOVPQI2QImr
+    135289864U,	// VMOVPQI2QIrr
+    1137672U,	// VMOVPQIto64Zmr
+    135289864U,	// VMOVPQIto64Zrr
+    135289864U,	// VMOVPQIto64rr
+    168844296U,	// VMOVQI2PQIZrm
+    168844296U,	// VMOVQI2PQIrm
+    1180813U,	// VMOVSDZmr
+    571491469U,	// VMOVSDZrm
+    2282767501U,	// VMOVSDZrr
+    2282771705U,	// VMOVSDZrr_REV
+    88097933U,	// VMOVSDZrrk
+    1185017U,	// VMOVSDmr
+    571495673U,	// VMOVSDrm
+    2282771705U,	// VMOVSDrr
+    2282771705U,	// VMOVSDrr_REV
+    1137672U,	// VMOVSDto64Zmr
+    135289864U,	// VMOVSDto64Zrr
+    1137672U,	// VMOVSDto64mr
+    135289864U,	// VMOVSDto64rr
+    974150085U,	// VMOVSHDUPYrm
+    135289285U,	// VMOVSHDUPYrr
+    1007699372U,	// VMOVSHDUPZrm
+    135284140U,	// VMOVSHDUPZrr
+    537942469U,	// VMOVSHDUPrm
+    135289285U,	// VMOVSHDUPrr
+    974150096U,	// VMOVSLDUPYrm
+    135289296U,	// VMOVSLDUPYrr
+    1007699384U,	// VMOVSLDUPZrm
+    135284152U,	// VMOVSLDUPZrr
+    537942480U,	// VMOVSLDUPrm
+    135289296U,	// VMOVSLDUPrr
+    1119622U,	// VMOVSS2DIZmr
+    135288198U,	// VMOVSS2DIZrr
+    1119622U,	// VMOVSS2DImr
+    135288198U,	// VMOVSS2DIrr
+    1166046U,	// VMOVSSZmr
+    605047518U,	// VMOVSSZrm
+    2282769118U,	// VMOVSSZrr
+    2282774924U,	// VMOVSSZrr_REV
+    88099550U,	// VMOVSSZrrk
+    1171852U,	// VMOVSSmr
+    605053324U,	// VMOVSSrm
+    2282774924U,	// VMOVSSrr
+    2282774924U,	// VMOVSSrr_REV
+    1676063U,	// VMOVUPDYmr
+    974148383U,	// VMOVUPDYrm
+    135287583U,	// VMOVUPDYrr
+    135287583U,	// VMOVUPDYrr_REV
+    1643295U,	// VMOVUPDZ128mr
+    21566239U,	// VMOVUPDZ128mrk
+    537940767U,	// VMOVUPDZ128rm
+    88101663U,	// VMOVUPDZ128rmk
+    2302694175U,	// VMOVUPDZ128rmkz
+    135287583U,	// VMOVUPDZ128rr
+    135287583U,	// VMOVUPDZ128rr_alt
+    88101663U,	// VMOVUPDZ128rrk
+    88101663U,	// VMOVUPDZ128rrk_alt
+    2302694175U,	// VMOVUPDZ128rrkz
+    2302694175U,	// VMOVUPDZ128rrkz_alt
+    1676063U,	// VMOVUPDZ256mr
+    21599007U,	// VMOVUPDZ256mrk
+    974148383U,	// VMOVUPDZ256rm
+    88101663U,	// VMOVUPDZ256rmk
+    2302694175U,	// VMOVUPDZ256rmkz
+    135287583U,	// VMOVUPDZ256rr
+    135287583U,	// VMOVUPDZ256rr_alt
+    88101663U,	// VMOVUPDZ256rrk
+    88101663U,	// VMOVUPDZ256rrk_alt
+    2302694175U,	// VMOVUPDZ256rrkz
+    2302694175U,	// VMOVUPDZ256rrkz_alt
+    1708831U,	// VMOVUPDZmr
+    21631775U,	// VMOVUPDZmrk
+    1007702815U,	// VMOVUPDZrm
+    88101663U,	// VMOVUPDZrmk
+    2302694175U,	// VMOVUPDZrmkz
+    135287583U,	// VMOVUPDZrr
+    135287583U,	// VMOVUPDZrr_alt
+    88101663U,	// VMOVUPDZrrk
+    88101663U,	// VMOVUPDZrrk_alt
+    2302694175U,	// VMOVUPDZrrkz
+    2302694175U,	// VMOVUPDZrrkz_alt
+    1643295U,	// VMOVUPDmr
+    537940767U,	// VMOVUPDrm
+    135287583U,	// VMOVUPDrr
+    135287583U,	// VMOVUPDrr_REV
+    1679334U,	// VMOVUPSYmr
+    974151654U,	// VMOVUPSYrm
+    135290854U,	// VMOVUPSYrr
+    135290854U,	// VMOVUPSYrr_REV
+    1646566U,	// VMOVUPSZ128mr
+    21569510U,	// VMOVUPSZ128mrk
+    537944038U,	// VMOVUPSZ128rm
+    88104934U,	// VMOVUPSZ128rmk
+    2302697446U,	// VMOVUPSZ128rmkz
+    135290854U,	// VMOVUPSZ128rr
+    135290854U,	// VMOVUPSZ128rr_alt
+    88104934U,	// VMOVUPSZ128rrk
+    88104934U,	// VMOVUPSZ128rrk_alt
+    2302697446U,	// VMOVUPSZ128rrkz
+    2302697446U,	// VMOVUPSZ128rrkz_alt
+    1679334U,	// VMOVUPSZ256mr
+    21602278U,	// VMOVUPSZ256mrk
+    974151654U,	// VMOVUPSZ256rm
+    88104934U,	// VMOVUPSZ256rmk
+    2302697446U,	// VMOVUPSZ256rmkz
+    135290854U,	// VMOVUPSZ256rr
+    135290854U,	// VMOVUPSZ256rr_alt
+    88104934U,	// VMOVUPSZ256rrk
+    88104934U,	// VMOVUPSZ256rrk_alt
+    2302697446U,	// VMOVUPSZ256rrkz
+    2302697446U,	// VMOVUPSZ256rrkz_alt
+    1712102U,	// VMOVUPSZmr
+    21635046U,	// VMOVUPSZmrk
+    1007706086U,	// VMOVUPSZrm
+    88104934U,	// VMOVUPSZrmk
+    2302697446U,	// VMOVUPSZrmkz
+    135290854U,	// VMOVUPSZrr
+    135290854U,	// VMOVUPSZrr_alt
+    88104934U,	// VMOVUPSZrrk
+    88104934U,	// VMOVUPSZrrk_alt
+    2302697446U,	// VMOVUPSZrrkz
+    2302697446U,	// VMOVUPSZrrkz_alt
+    1646566U,	// VMOVUPSmr
+    537944038U,	// VMOVUPSrm
+    135290854U,	// VMOVUPSrr
+    135290854U,	// VMOVUPSrr_REV
+    336616456U,	// VMOVZPQILo2PQIZrm
+    135289864U,	// VMOVZPQILo2PQIZrr
+    336616456U,	// VMOVZPQILo2PQIrm
+    135289864U,	// VMOVZPQILo2PQIrr
+    168844296U,	// VMOVZQI2PQIrm
+    135289864U,	// VMOVZQI2PQIrr
+    2282775265U,	// VMPSADBWYrmi
+    2282775265U,	// VMPSADBWYrri
+    2282775265U,	// VMPSADBWrmi
+    2282775265U,	// VMPSADBWrri
+    86104U,	// VMPTRLDm
+    90735U,	// VMPTRSTm
+    1118033U,	// VMREAD32rm
+    135286609U,	// VMREAD32rr
+    1134417U,	// VMREAD64rm
+    135286609U,	// VMREAD64rr
+    11474U,	// VMRESUME
+    12311U,	// VMRUN32
+    12366U,	// VMRUN64
+    12300U,	// VMSAVE32
+    12355U,	// VMSAVE64
+    2282771110U,	// VMULPDYrm
+    2282771110U,	// VMULPDYrr
+    2282767116U,	// VMULPDZrm
+    2282767116U,	// VMULPDZrmb
+    155206412U,	// VMULPDZrmbk
+    2302690060U,	// VMULPDZrmbkz
+    155210406U,	// VMULPDZrmk
+    2302694054U,	// VMULPDZrmkz
+    2282767116U,	// VMULPDZrr
+    155206412U,	// VMULPDZrrk
+    2302690060U,	// VMULPDZrrkz
+    2282771110U,	// VMULPDrm
+    2282771110U,	// VMULPDrr
+    2282774348U,	// VMULPSYrm
+    2282774348U,	// VMULPSYrr
+    2282768864U,	// VMULPSZrm
+    2282768864U,	// VMULPSZrmb
+    155208160U,	// VMULPSZrmbk
+    2302691808U,	// VMULPSZrmbkz
+    155213644U,	// VMULPSZrmk
+    2302697292U,	// VMULPSZrmkz
+    2282768864U,	// VMULPSZrr
+    155208160U,	// VMULPSZrrk
+    2302691808U,	// VMULPSZrrkz
+    2282774348U,	// VMULPSrm
+    2282774348U,	// VMULPSrr
+    2282771625U,	// VMULSDZrm
+    2282771625U,	// VMULSDZrr
+    2282771625U,	// VMULSDrm
+    2282771625U,	// VMULSDrm_Int
+    2282771625U,	// VMULSDrr
+    2282771625U,	// VMULSDrr_Int
+    2282774842U,	// VMULSSZrm
+    2282774842U,	// VMULSSZrr
+    2282774842U,	// VMULSSrm
+    2282774842U,	// VMULSSrm_Int
+    2282774842U,	// VMULSSrr
+    2282774842U,	// VMULSSrr_Int
+    101734093U,	// VMWRITE32rm
+    135288525U,	// VMWRITE32rr
+    168842957U,	// VMWRITE64rm
+    135288525U,	// VMWRITE64rr
+    11562U,	// VMXOFF
+    88298U,	// VMXON
+    2282771188U,	// VORPDYrm
+    2282771188U,	// VORPDYrr
+    2282771188U,	// VORPDrm
+    2282771188U,	// VORPDrr
+    2282774426U,	// VORPSYrm
+    2282774426U,	// VORPSYrr
+    2282774426U,	// VORPSrm
+    2282774426U,	// VORPSrr
+    336612895U,	// VPABSBrm128
+    873483807U,	// VPABSBrm256
+    135286303U,	// VPABSBrr128
+    135286303U,	// VPABSBrr256
+    940590173U,	// VPABSDZrm
+    2249213021U,	// VPABSDZrmb
+    155206749U,	// VPABSDZrmbk
+    2302690397U,	// VPABSDZrmbkz
+    155206749U,	// VPABSDZrmk
+    2302690397U,	// VPABSDZrmkz
+    135283805U,	// VPABSDZrr
+    155206749U,	// VPABSDZrrk
+    2302690397U,	// VPABSDZrrkz
+    336614474U,	// VPABSDrm128
+    873485386U,	// VPABSDrm256
+    135287882U,	// VPABSDrr128
+    135287882U,	// VPABSDrr256
+    940591040U,	// VPABSQZrm
+    168839104U,	// VPABSQZrmb
+    155207616U,	// VPABSQZrmbk
+    2302691264U,	// VPABSQZrmbkz
+    155207616U,	// VPABSQZrmk
+    2302691264U,	// VPABSQZrmkz
+    135284672U,	// VPABSQZrr
+    155207616U,	// VPABSQZrrk
+    2302691264U,	// VPABSQZrrkz
+    336618539U,	// VPABSWrm128
+    873489451U,	// VPABSWrm256
+    135291947U,	// VPABSWrr128
+    135291947U,	// VPABSWrr256
+    2282775410U,	// VPACKSSDWYrm
+    2282775410U,	// VPACKSSDWYrr
+    2282775410U,	// VPACKSSDWrm
+    2282775410U,	// VPACKSSDWrr
+    2282770142U,	// VPACKSSWBYrm
+    2282770142U,	// VPACKSSWBYrr
+    2282770142U,	// VPACKSSWBrm
+    2282770142U,	// VPACKSSWBrr
+    2282775421U,	// VPACKUSDWYrm
+    2282775421U,	// VPACKUSDWYrr
+    2282775421U,	// VPACKUSDWrm
+    2282775421U,	// VPACKUSDWrr
+    2282770153U,	// VPACKUSWBYrm
+    2282770153U,	// VPACKUSWBYrr
+    2282770153U,	// VPACKUSWBrm
+    2282770153U,	// VPACKUSWBrr
+    2282769858U,	// VPADDBYrm
+    2282769858U,	// VPADDBYrr
+    2282769858U,	// VPADDBrm
+    2282769858U,	// VPADDBrr
+    2282770370U,	// VPADDDYrm
+    2282770370U,	// VPADDDYrr
+    2282766578U,	// VPADDDZrm
+    2282766578U,	// VPADDDZrmb
+    88097010U,	// VPADDDZrmbk
+    2302689522U,	// VPADDDZrmbkz
+    88097010U,	// VPADDDZrmk
+    2302689522U,	// VPADDDZrmkz
+    2282766578U,	// VPADDDZrr
+    88097010U,	// VPADDDZrrk
+    2302689522U,	// VPADDDZrrkz
+    2282770370U,	// VPADDDrm
+    2282770370U,	// VPADDDrr
+    2282773118U,	// VPADDQYrm
+    2282773118U,	// VPADDQYrr
+    2282767944U,	// VPADDQZrm
+    2282767944U,	// VPADDQZrmb
+    88098376U,	// VPADDQZrmbk
+    2302690888U,	// VPADDQZrmbkz
+    88098376U,	// VPADDQZrmk
+    2302690888U,	// VPADDQZrmkz
+    2282767944U,	// VPADDQZrr
+    88098376U,	// VPADDQZrrk
+    2302690888U,	// VPADDQZrrkz
+    2282773118U,	// VPADDQrm
+    2282773118U,	// VPADDQrr
+    2282769968U,	// VPADDSBYrm
+    2282769968U,	// VPADDSBYrr
+    2282769968U,	// VPADDSBrm
+    2282769968U,	// VPADDSBrr
+    2282775644U,	// VPADDSWYrm
+    2282775644U,	// VPADDSWYrr
+    2282775644U,	// VPADDSWrm
+    2282775644U,	// VPADDSWrr
+    2282770010U,	// VPADDUSBYrm
+    2282770010U,	// VPADDUSBYrr
+    2282770010U,	// VPADDUSBrm
+    2282770010U,	// VPADDUSBrr
+    2282775717U,	// VPADDUSWYrm
+    2282775717U,	// VPADDUSWYrr
+    2282775717U,	// VPADDUSWrm
+    2282775717U,	// VPADDUSWrr
+    2282775392U,	// VPADDWYrm
+    2282775392U,	// VPADDWYrr
+    2282775392U,	// VPADDWrm
+    2282775392U,	// VPADDWrr
+    2282773614U,	// VPALIGNR128rm
+    2282773614U,	// VPALIGNR128rr
+    2282773614U,	// VPALIGNR256rm
+    2282773614U,	// VPALIGNR256rr
+    2282766595U,	// VPANDDZrm
+    2282766595U,	// VPANDDZrmb
+    88097027U,	// VPANDDZrmbk
+    2302689539U,	// VPANDDZrmbkz
+    88097027U,	// VPANDDZrmk
+    2302689539U,	// VPANDDZrmkz
+    2282766595U,	// VPANDDZrr
+    88097027U,	// VPANDDZrrk
+    2302689539U,	// VPANDDZrrkz
+    2282766722U,	// VPANDNDZrm
+    2282766722U,	// VPANDNDZrmb
+    88097154U,	// VPANDNDZrmbk
+    2302689666U,	// VPANDNDZrmbkz
+    88097154U,	// VPANDNDZrmk
+    2302689666U,	// VPANDNDZrmkz
+    2282766722U,	// VPANDNDZrr
+    88097154U,	// VPANDNDZrrk
+    2302689666U,	// VPANDNDZrrkz
+    2282768221U,	// VPANDNQZrm
+    2282768221U,	// VPANDNQZrmb
+    88098653U,	// VPANDNQZrmbk
+    2302691165U,	// VPANDNQZrmbkz
+    88098653U,	// VPANDNQZrmk
+    2302691165U,	// VPANDNQZrmkz
+    2282768221U,	// VPANDNQZrr
+    88098653U,	// VPANDNQZrrk
+    2302691165U,	// VPANDNQZrrkz
+    2282772691U,	// VPANDNYrm
+    2282772691U,	// VPANDNYrr
+    2282772691U,	// VPANDNrm
+    2282772691U,	// VPANDNrr
+    2282767997U,	// VPANDQZrm
+    2282767997U,	// VPANDQZrmb
+    88098429U,	// VPANDQZrmbk
+    2302690941U,	// VPANDQZrmbkz
+    88098429U,	// VPANDQZrmk
+    2302690941U,	// VPANDQZrmkz
+    2282767997U,	// VPANDQZrr
+    88098429U,	// VPANDQZrrk
+    2302690941U,	// VPANDQZrrkz
+    2282770545U,	// VPANDYrm
+    2282770545U,	// VPANDYrr
+    2282770545U,	// VPANDrm
+    2282770545U,	// VPANDrr
+    2282769875U,	// VPAVGBYrm
+    2282769875U,	// VPAVGBYrr
+    2282769875U,	// VPAVGBrm
+    2282769875U,	// VPAVGBrr
+    2282775447U,	// VPAVGWYrm
+    2282775447U,	// VPAVGWYrr
+    2282775447U,	// VPAVGWrm
+    2282775447U,	// VPAVGWrr
+    2282770378U,	// VPBLENDDYrmi
+    2282770378U,	// VPBLENDDYrri
+    2282770378U,	// VPBLENDDrmi
+    2282770378U,	// VPBLENDDrri
+    155205965U,	// VPBLENDMDZrm
+    155205965U,	// VPBLENDMDZrr
+    155207464U,	// VPBLENDMQZrm
+    155207464U,	// VPBLENDMQZrr
+    2282770124U,	// VPBLENDVBYrm
+    2282770124U,	// VPBLENDVBYrr
+    2282770124U,	// VPBLENDVBrm
+    2282770124U,	// VPBLENDVBrr
+    2282775400U,	// VPBLENDWYrmi
+    2282775400U,	// VPBLENDWYrri
+    2282775400U,	// VPBLENDWrmi
+    2282775400U,	// VPBLENDWrri
+    504385173U,	// VPBROADCASTBYrm
+    135286421U,	// VPBROADCASTBYrr
+    504385173U,	// VPBROADCASTBrm
+    135286421U,	// VPBROADCASTBrr
+    101733677U,	// VPBROADCASTDYrm
+    135288109U,	// VPBROADCASTDYrr
+    2302690508U,	// VPBROADCASTDZkrm
+    2302690508U,	// VPBROADCASTDZkrr
+    101729484U,	// VPBROADCASTDZrm
+    135283916U,	// VPBROADCASTDZrr
+    2302690508U,	// VPBROADCASTDrZkrr
+    135283916U,	// VPBROADCASTDrZrr
+    101733677U,	// VPBROADCASTDrm
+    135288109U,	// VPBROADCASTDrr
+    135284164U,	// VPBROADCASTMB2Qrr
+    135282870U,	// VPBROADCASTMW2Drr
+    168844234U,	// VPBROADCASTQYrm
+    135289802U,	// VPBROADCASTQYrr
+    2302691337U,	// VPBROADCASTQZkrm
+    2302691337U,	// VPBROADCASTQZkrr
+    168839177U,	// VPBROADCASTQZrm
+    135284745U,	// VPBROADCASTQZrr
+    2302691337U,	// VPBROADCASTQrZkrr
+    135284745U,	// VPBROADCASTQrZrr
+    168844234U,	// VPBROADCASTQrm
+    135289802U,	// VPBROADCASTQrr
+    370173137U,	// VPBROADCASTWYrm
+    135292113U,	// VPBROADCASTWYrr
+    370173137U,	// VPBROADCASTWrm
+    135292113U,	// VPBROADCASTWrr
+    2282773213U,	// VPCLMULQDQrm
+    2282773213U,	// VPCLMULQDQrr
+    2282775231U,	// VPCMOVmr
+    2282775231U,	// VPCMOVmrY
+    2282775231U,	// VPCMOVrm
+    2282775231U,	// VPCMOVrmY
+    2282775231U,	// VPCMOVrr
+    2282775231U,	// VPCMOVrrY
+    1166372375U,	// VPCMPDZrmi
+    2282771127U,	// VPCMPDZrmi_alt
+    155210423U,	// VPCMPDZrmik_alt
+    92646935U,	// VPCMPDZrri
+    2282771127U,	// VPCMPDZrri_alt
+    155210423U,	// VPCMPDZrrik_alt
+    2282769923U,	// VPCMPEQBYrm
+    2282769923U,	// VPCMPEQBYrr
+    2282769923U,	// VPCMPEQBrm
+    2282769923U,	// VPCMPEQBrr
+    2282771288U,	// VPCMPEQDYrm
+    2282771288U,	// VPCMPEQDYrr
+    2282767210U,	// VPCMPEQDZrm
+    2282767210U,	// VPCMPEQDZrr
+    2282771288U,	// VPCMPEQDrm
+    2282771288U,	// VPCMPEQDrr
+    2282773354U,	// VPCMPEQQYrm
+    2282773354U,	// VPCMPEQQYrr
+    2282768241U,	// VPCMPEQQZrm
+    2282768241U,	// VPCMPEQQZrr
+    2282773354U,	// VPCMPEQQrm
+    2282773354U,	// VPCMPEQQrr
+    2282775552U,	// VPCMPEQWYrm
+    2282775552U,	// VPCMPEQWYrr
+    2282775552U,	// VPCMPEQWrm
+    2282775552U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    2484098801U,	// VPCMPESTRIrm
-    2282772209U,	// VPCMPESTRIrr
+    2484099009U,	// VPCMPESTRIrm
+    2282772417U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    2484099051U,	// VPCMPESTRM128rm
-    2282772459U,	// VPCMPESTRM128rr
-    2282769843U,	// VPCMPGTBYrm
-    2282769843U,	// VPCMPGTBYrr
-    2282769843U,	// VPCMPGTBrm
-    2282769843U,	// VPCMPGTBrr
-    2282771531U,	// VPCMPGTDYrm
-    2282771531U,	// VPCMPGTDYrr
-    2282767444U,	// VPCMPGTDZrm
-    2282767444U,	// VPCMPGTDZrr
-    2282771531U,	// VPCMPGTDrm
-    2282771531U,	// VPCMPGTDrr
-    2282773207U,	// VPCMPGTQYrm
-    2282773207U,	// VPCMPGTQYrr
-    2282768205U,	// VPCMPGTQZrm
-    2282768205U,	// VPCMPGTQZrr
-    2282773207U,	// VPCMPGTQrm
-    2282773207U,	// VPCMPGTQrr
-    2282775535U,	// VPCMPGTWYrm
-    2282775535U,	// VPCMPGTWYrr
-    2282775535U,	// VPCMPGTWrm
-    2282775535U,	// VPCMPGTWrr
+    2484099259U,	// VPCMPESTRM128rm
+    2282772667U,	// VPCMPESTRM128rr
+    2282770051U,	// VPCMPGTBYrm
+    2282770051U,	// VPCMPGTBYrr
+    2282770051U,	// VPCMPGTBrm
+    2282770051U,	// VPCMPGTBrr
+    2282771739U,	// VPCMPGTDYrm
+    2282771739U,	// VPCMPGTDYrr
+    2282767534U,	// VPCMPGTDZrm
+    2282767534U,	// VPCMPGTDZrr
+    2282771739U,	// VPCMPGTDrm
+    2282771739U,	// VPCMPGTDrr
+    2282773415U,	// VPCMPGTQYrm
+    2282773415U,	// VPCMPGTQYrr
+    2282768363U,	// VPCMPGTQZrm
+    2282768363U,	// VPCMPGTQZrr
+    2282773415U,	// VPCMPGTQrm
+    2282773415U,	// VPCMPGTQrr
+    2282775743U,	// VPCMPGTWYrm
+    2282775743U,	// VPCMPGTWYrr
+    2282775743U,	// VPCMPGTWrm
+    2282775743U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    2484098813U,	// VPCMPISTRIrm
-    2282772221U,	// VPCMPISTRIrr
+    2484099021U,	// VPCMPISTRIrm
+    2282772429U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    2484099063U,	// VPCMPISTRM128rm
-    2282772471U,	// VPCMPISTRM128rr
-    1199927320U,	// VPCMPQZrmi
-    2282773138U,	// VPCMPQZrmi_alt
-    92647448U,	// VPCMPQZrri
-    2282773138U,	// VPCMPQZrri_alt
-    1200975896U,	// VPCMPUDZrmi
-    2282771581U,	// VPCMPUDZrmi_alt
-    93696024U,	// VPCMPUDZrri
-    2282771581U,	// VPCMPUDZrri_alt
-    1202024472U,	// VPCMPUQZrmi
-    2282773265U,	// VPCMPUQZrmi_alt
-    94744600U,	// VPCMPUQZrri
-    2282773265U,	// VPCMPUQZrri_alt
-    2282769698U,	// VPCOMBmi
-    2282769698U,	// VPCOMBri
-    2282770321U,	// VPCOMDmi
-    2282770321U,	// VPCOMDri
-    2282773122U,	// VPCOMQmi
-    2282773122U,	// VPCOMQri
-    2282769875U,	// VPCOMUBmi
-    2282769875U,	// VPCOMUBri
-    2282771563U,	// VPCOMUDmi
-    2282771563U,	// VPCOMUDri
-    2282773256U,	// VPCOMUQmi
-    2282773256U,	// VPCOMUQri
-    2282775577U,	// VPCOMUWmi
-    2282775577U,	// VPCOMUWri
-    2282775327U,	// VPCOMWmi
-    2282775327U,	// VPCOMWri
-    940590150U,	// VPCONFLICTDrm
-    2249212998U,	// VPCONFLICTDrmb
-    88097862U,	// VPCONFLICTDrmbk
-    155206726U,	// VPCONFLICTDrmbkz
-    88097862U,	// VPCONFLICTDrmk
-    155206726U,	// VPCONFLICTDrmkz
-    135283782U,	// VPCONFLICTDrr
-    88097862U,	// VPCONFLICTDrrk
-    155206726U,	// VPCONFLICTDrrkz
-    940590911U,	// VPCONFLICTQrm
-    168838975U,	// VPCONFLICTQrmb
-    88098623U,	// VPCONFLICTQrmbk
-    155207487U,	// VPCONFLICTQrmbkz
-    88098623U,	// VPCONFLICTQrmk
-    155207487U,	// VPCONFLICTQrmkz
-    135284543U,	// VPCONFLICTQrr
-    88098623U,	// VPCONFLICTQrrk
-    155207487U,	// VPCONFLICTQrrkz
-    2282769441U,	// VPERM2F128rm
-    2282769441U,	// VPERM2F128rr
-    2282769496U,	// VPERM2I128rm
-    2282769496U,	// VPERM2I128rr
-    2282770329U,	// VPERMDYrm
-    2282770329U,	// VPERMDYrr
-    2282766616U,	// VPERMDZrm
-    2282766616U,	// VPERMDZrr
-    2215657563U,	// VPERMI2Drm
-    2215657563U,	// VPERMI2Drr
-    2215657884U,	// VPERMI2PDrm
-    2215657884U,	// VPERMI2PDrr
-    2215659545U,	// VPERMI2PSrm
-    2215659545U,	// VPERMI2PSrr
-    2215658849U,	// VPERMI2Qrm
-    2215658849U,	// VPERMI2Qrr
-    2282770550U,	// VPERMIL2PDmr
-    2282770550U,	// VPERMIL2PDmrY
-    2282770550U,	// VPERMIL2PDrm
-    2282770550U,	// VPERMIL2PDrmY
-    2282770550U,	// VPERMIL2PDrr
-    2282770550U,	// VPERMIL2PDrrY
-    2282773787U,	// VPERMIL2PSmr
-    2282773787U,	// VPERMIL2PSmrY
-    2282773787U,	// VPERMIL2PSrm
-    2282773787U,	// VPERMIL2PSrmY
-    2282773787U,	// VPERMIL2PSrr
-    2282773787U,	// VPERMIL2PSrrY
-    3121631680U,	// VPERMILPDYmi
-    2282770880U,	// VPERMILPDYri
-    2282770880U,	// VPERMILPDYrm
-    2282770880U,	// VPERMILPDYrr
-    3088073396U,	// VPERMILPDZmi
-    2282767028U,	// VPERMILPDZri
-    2685424064U,	// VPERMILPDmi
-    2282770880U,	// VPERMILPDri
-    2282770880U,	// VPERMILPDrm
-    2282770880U,	// VPERMILPDrr
-    3121634918U,	// VPERMILPSYmi
-    2282774118U,	// VPERMILPSYri
-    2282774118U,	// VPERMILPSYrm
-    2282774118U,	// VPERMILPSYrr
-    3088075045U,	// VPERMILPSZmi
-    2282768677U,	// VPERMILPSZri
-    2685427302U,	// VPERMILPSmi
-    2282774118U,	// VPERMILPSri
-    2282774118U,	// VPERMILPSrm
-    2282774118U,	// VPERMILPSrr
-    3054522863U,	// VPERMPDYmi
-    2282770927U,	// VPERMPDYri
-    3155182293U,	// VPERMPDZmi
-    2282767061U,	// VPERMPDZri
-    2282767061U,	// VPERMPDZrm
-    2282767061U,	// VPERMPDZrr
-    2282774157U,	// VPERMPSYrm
-    2282774157U,	// VPERMPSYrr
-    2282768710U,	// VPERMPSZrm
-    2282768710U,	// VPERMPSZrr
-    3054525066U,	// VPERMQYmi
-    2282773130U,	// VPERMQYri
-    3088074435U,	// VPERMQZmi
-    2282768067U,	// VPERMQZri
-    2282768067U,	// VPERMQZrm
-    2282768067U,	// VPERMQZrr
-    2215657574U,	// VPERMT2Drm
-    2215657574U,	// VPERMT2Drr
-    2215657933U,	// VPERMT2PDrm
-    2215657933U,	// VPERMT2PDrr
-    2215659582U,	// VPERMT2PSrm
-    2215659582U,	// VPERMT2PSrr
-    2215658860U,	// VPERMT2Qrm
-    2215658860U,	// VPERMT2Qrr
-    2148633926U,	// VPEXTRBmr
-    2282769734U,	// VPEXTRBrr
-    2148602541U,	// VPEXTRDmr
-    2282771117U,	// VPEXTRDrr
-    2148620985U,	// VPEXTRQmr
-    2282773177U,	// VPEXTRQrr
-    2148574034U,	// VPEXTRWmr
-    2282775378U,	// VPEXTRWri
-    2282775378U,	// VPEXTRWrr_REV
-    1141919492U,	// VPGATHERDDYrm
-    3275767999U,	// VPGATHERDDZrm
-    1141919492U,	// VPGATHERDDrm
-    1074813465U,	// VPGATHERDQYrm
-    1128285733U,	// VPGATHERDQZrm
-    1074813465U,	// VPGATHERDQrm
-    1141920402U,	// VPGATHERQDYrm
-    1128284979U,	// VPGATHERQDZrm
-    1141920402U,	// VPGATHERQDrm
-    1074813604U,	// VPGATHERQQYrm
-    1128285942U,	// VPGATHERQQZrm
-    1074813604U,	// VPGATHERQQrm
-    336613017U,	// VPHADDBDrm
-    135286425U,	// VPHADDBDrr
-    336615738U,	// VPHADDBQrm
-    135289146U,	// VPHADDBQrr
-    336618020U,	// VPHADDBWrm
-    135291428U,	// VPHADDBWrr
-    336615862U,	// VPHADDDQrm
-    135289270U,	// VPHADDDQrr
-    2282770153U,	// VPHADDDYrm
-    2282770153U,	// VPHADDDYrr
-    2282770153U,	// VPHADDDrm
-    2282770153U,	// VPHADDDrr
-    2282775426U,	// VPHADDSWrm128
-    2282775426U,	// VPHADDSWrm256
-    2282775426U,	// VPHADDSWrr128
-    2282775426U,	// VPHADDSWrr256
-    336613027U,	// VPHADDUBDrm
-    135286435U,	// VPHADDUBDrr
-    336615748U,	// VPHADDUBQrm
-    135289156U,	// VPHADDUBQrr
-    336618054U,	// VPHADDUBWrm
-    135291462U,	// VPHADDUBWrr
-    336615983U,	// VPHADDUDQrm
-    135289391U,	// VPHADDUDQrr
-    336614687U,	// VPHADDUWDrm
-    135288095U,	// VPHADDUWDrr
-    336616265U,	// VPHADDUWQrm
-    135289673U,	// VPHADDUWQrr
-    336614599U,	// VPHADDWDrm
-    135288007U,	// VPHADDWDrr
-    336616255U,	// VPHADDWQrm
-    135289663U,	// VPHADDWQrr
-    2282775175U,	// VPHADDWYrm
-    2282775175U,	// VPHADDWYrr
-    2282775175U,	// VPHADDWrm
-    2282775175U,	// VPHADDWrr
-    336618539U,	// VPHMINPOSUWrm128
-    135291947U,	// VPHMINPOSUWrr128
-    336617991U,	// VPHSUBBWrm
-    135291399U,	// VPHSUBBWrr
-    336615844U,	// VPHSUBDQrm
-    135289252U,	// VPHSUBDQrr
-    2282770094U,	// VPHSUBDYrm
-    2282770094U,	// VPHSUBDYrr
-    2282770094U,	// VPHSUBDrm
-    2282770094U,	// VPHSUBDrr
-    2282775407U,	// VPHSUBSWrm128
-    2282775407U,	// VPHSUBSWrm256
-    2282775407U,	// VPHSUBSWrr128
-    2282775407U,	// VPHSUBSWrr256
-    336614589U,	// VPHSUBWDrm
-    135287997U,	// VPHSUBWDrr
-    2282775121U,	// VPHSUBWYrm
-    2282775121U,	// VPHSUBWYrr
-    2282775121U,	// VPHSUBWrm
-    2282775121U,	// VPHSUBWrr
-    2282769725U,	// VPINSRBrm
-    2282769725U,	// VPINSRBrr
-    2282771108U,	// VPINSRDrm
-    2282771108U,	// VPINSRDrr
-    2282773168U,	// VPINSRQrm
-    2282773168U,	// VPINSRQrr
-    2282775369U,	// VPINSRWrmi
-    2282775369U,	// VPINSRWrri
-    2282770192U,	// VPMACSDDrm
-    2282770192U,	// VPMACSDDrr
-    2282772085U,	// VPMACSDQHrm
-    2282772085U,	// VPMACSDQHrr
-    2282772371U,	// VPMACSDQLrm
-    2282772371U,	// VPMACSDQLrr
-    2282770202U,	// VPMACSSDDrm
-    2282770202U,	// VPMACSSDDrr
-    2282772096U,	// VPMACSSDQHrm
-    2282772096U,	// VPMACSSDQHrr
-    2282772382U,	// VPMACSSDQLrm
-    2282772382U,	// VPMACSSDQLrr
-    2282771720U,	// VPMACSSWDrm
-    2282771720U,	// VPMACSSWDrr
-    2282775627U,	// VPMACSSWWrm
-    2282775627U,	// VPMACSSWWrr
-    2282771699U,	// VPMACSWDrm
-    2282771699U,	// VPMACSWDrr
-    2282775617U,	// VPMACSWWrm
-    2282775617U,	// VPMACSWWrr
-    2282771731U,	// VPMADCSSWDrm
-    2282771731U,	// VPMADCSSWDrr
-    2282771709U,	// VPMADCSWDrm
-    2282771709U,	// VPMADCSWDrr
-    2282775395U,	// VPMADDUBSWrm128
-    2282775395U,	// VPMADDUBSWrm256
-    2282775395U,	// VPMADDUBSWrr128
-    2282775395U,	// VPMADDUBSWrr256
-    2282771665U,	// VPMADDWDYrm
-    2282771665U,	// VPMADDWDYrr
-    2282771665U,	// VPMADDWDrm
-    2282771665U,	// VPMADDWDrr
-    1041880234U,	// VPMASKMOVDYmr
-    2282771626U,	// VPMASKMOVDYrm
-    2148799658U,	// VPMASKMOVDmr
-    2282771626U,	// VPMASKMOVDrm
-    1041881900U,	// VPMASKMOVQYmr
-    2282773292U,	// VPMASKMOVQYrm
-    2148801324U,	// VPMASKMOVQmr
-    2282773292U,	// VPMASKMOVQrm
-    2282769828U,	// VPMAXSBYrm
-    2282769828U,	// VPMAXSBYrr
-    2282769828U,	// VPMAXSBrm
-    2282769828U,	// VPMAXSBrr
-    2282771505U,	// VPMAXSDYrm
-    2282771505U,	// VPMAXSDYrr
-    2282767420U,	// VPMAXSDZrm
-    2282767420U,	// VPMAXSDZrmb
-    2282767420U,	// VPMAXSDZrr
-    2282771505U,	// VPMAXSDrm
-    2282771505U,	// VPMAXSDrr
-    2282768181U,	// VPMAXSQZrm
-    2282768181U,	// VPMAXSQZrmb
-    2282768181U,	// VPMAXSQZrr
-    2282775526U,	// VPMAXSWYrm
-    2282775526U,	// VPMAXSWYrr
-    2282775526U,	// VPMAXSWrm
-    2282775526U,	// VPMAXSWrr
-    2282769907U,	// VPMAXUBYrm
-    2282769907U,	// VPMAXUBYrr
-    2282769907U,	// VPMAXUBrm
-    2282769907U,	// VPMAXUBrr
-    2282771590U,	// VPMAXUDYrm
-    2282771590U,	// VPMAXUDYrr
-    2282767480U,	// VPMAXUDZrm
-    2282767480U,	// VPMAXUDZrmb
-    2282767480U,	// VPMAXUDZrr
-    2282771590U,	// VPMAXUDrm
-    2282771590U,	// VPMAXUDrr
-    2282768241U,	// VPMAXUQZrm
-    2282768241U,	// VPMAXUQZrmb
-    2282768241U,	// VPMAXUQZrr
-    2282775608U,	// VPMAXUWYrm
-    2282775608U,	// VPMAXUWYrr
-    2282775608U,	// VPMAXUWrm
-    2282775608U,	// VPMAXUWrr
-    2282769769U,	// VPMINSBYrm
-    2282769769U,	// VPMINSBYrr
-    2282769769U,	// VPMINSBrm
-    2282769769U,	// VPMINSBrr
-    2282771425U,	// VPMINSDYrm
-    2282771425U,	// VPMINSDYrr
-    2282767386U,	// VPMINSDZrm
-    2282767386U,	// VPMINSDZrmb
-    2282767386U,	// VPMINSDZrr
-    2282771425U,	// VPMINSDrm
-    2282771425U,	// VPMINSDrr
-    2282768171U,	// VPMINSQZrm
-    2282768171U,	// VPMINSQZrmb
-    2282768171U,	// VPMINSQZrr
-    2282775457U,	// VPMINSWYrm
-    2282775457U,	// VPMINSWYrr
-    2282775457U,	// VPMINSWrm
-    2282775457U,	// VPMINSWrr
-    2282769884U,	// VPMINUBYrm
-    2282769884U,	// VPMINUBYrr
-    2282769884U,	// VPMINUBrm
-    2282769884U,	// VPMINUBrr
-    2282771572U,	// VPMINUDYrm
-    2282771572U,	// VPMINUDYrr
-    2282767470U,	// VPMINUDZrm
-    2282767470U,	// VPMINUDZrmb
-    2282767470U,	// VPMINUDZrr
-    2282771572U,	// VPMINUDrm
-    2282771572U,	// VPMINUDrr
-    2282768231U,	// VPMINUQZrm
-    2282768231U,	// VPMINUQZrmb
-    2282768231U,	// VPMINUQZrr
-    2282775586U,	// VPMINUWYrm
-    2282775586U,	// VPMINUWYrr
-    2282775586U,	// VPMINUWrm
-    2282775586U,	// VPMINUWrr
-    155205680U,	// VPMOVDBkrr
-    1310768U,	// VPMOVDBmr
-    135282736U,	// VPMOVDBrr
-    155208300U,	// VPMOVDWkrr
-    641644U,	// VPMOVDWmr
-    135285356U,	// VPMOVDWrr
-    135286031U,	// VPMOVMSKBYrr
-    135286031U,	// VPMOVMSKBrr
-    155205713U,	// VPMOVQBkrr
-    1310801U,	// VPMOVQBmr
-    135282769U,	// VPMOVQBrr
-    155206501U,	// VPMOVQDkrr
-    639845U,	// VPMOVQDmr
-    135283557U,	// VPMOVQDrr
-    155208353U,	// VPMOVQWkrr
-    1313441U,	// VPMOVQWmr
-    135285409U,	// VPMOVQWrr
-    155205669U,	// VPMOVSDBkrr
-    1310757U,	// VPMOVSDBmr
-    135282725U,	// VPMOVSDBrr
-    155208289U,	// VPMOVSDWkrr
-    641633U,	// VPMOVSDWmr
-    135285345U,	// VPMOVSDWrr
-    155205702U,	// VPMOVSQBkrr
-    1310790U,	// VPMOVSQBmr
-    135282758U,	// VPMOVSQBrr
-    155206490U,	// VPMOVSQDkrr
-    639834U,	// VPMOVSQDmr
-    135283546U,	// VPMOVSQDrr
-    155208342U,	// VPMOVSQWkrr
-    1313430U,	// VPMOVSQWmr
-    135285398U,	// VPMOVSQWrr
-    101732031U,	// VPMOVSXBDYrm
-    135286463U,	// VPMOVSXBDYrr
-    336609429U,	// VPMOVSXBDZrm
-    135282837U,	// VPMOVSXBDZrr
-    101732031U,	// VPMOVSXBDrm
-    135286463U,	// VPMOVSXBDrr
-    370170199U,	// VPMOVSXBQYrm
-    135289175U,	// VPMOVSXBQYrr
-    336610697U,	// VPMOVSXBQZrm
-    135284105U,	// VPMOVSXBQZrr
-    370170199U,	// VPMOVSXBQrm
-    135289175U,	// VPMOVSXBQrr
-    336618082U,	// VPMOVSXBWYrm
-    135291490U,	// VPMOVSXBWYrr
-    168845922U,	// VPMOVSXBWrm
-    135291490U,	// VPMOVSXBWrr
-    336616004U,	// VPMOVSXDQYrm
-    135289412U,	// VPMOVSXDQYrr
-    907036289U,	// VPMOVSXDQZrm
-    135284353U,	// VPMOVSXDQZrr
-    168843844U,	// VPMOVSXDQrm
-    135289412U,	// VPMOVSXDQrr
-    336614698U,	// VPMOVSXWDYrm
-    135288106U,	// VPMOVSXWDYrr
-    907035808U,	// VPMOVSXWDZrm
-    135283872U,	// VPMOVSXWDZrr
-    168842538U,	// VPMOVSXWDrm
-    135288106U,	// VPMOVSXWDrr
-    101735252U,	// VPMOVSXWQYrm
-    135289684U,	// VPMOVSXWQYrr
-    336611225U,	// VPMOVSXWQZrm
-    135284633U,	// VPMOVSXWQZrr
-    101735252U,	// VPMOVSXWQrm
-    135289684U,	// VPMOVSXWQrr
-    155205657U,	// VPMOVUSDBkrr
-    1310745U,	// VPMOVUSDBmr
-    135282713U,	// VPMOVUSDBrr
-    155208277U,	// VPMOVUSDWkrr
-    641621U,	// VPMOVUSDWmr
-    135285333U,	// VPMOVUSDWrr
-    155205690U,	// VPMOVUSQBkrr
-    1310778U,	// VPMOVUSQBmr
-    135282746U,	// VPMOVUSQBrr
-    155206478U,	// VPMOVUSQDkrr
-    639822U,	// VPMOVUSQDmr
-    135283534U,	// VPMOVUSQDrr
-    155208330U,	// VPMOVUSQWkrr
-    1313418U,	// VPMOVUSQWmr
-    135285386U,	// VPMOVUSQWrr
-    101732042U,	// VPMOVZXBDYrm
-    135286474U,	// VPMOVZXBDYrr
-    336609441U,	// VPMOVZXBDZrm
-    135282849U,	// VPMOVZXBDZrr
-    101732042U,	// VPMOVZXBDrm
-    135286474U,	// VPMOVZXBDrr
-    370170210U,	// VPMOVZXBQYrm
-    135289186U,	// VPMOVZXBQYrr
-    336610709U,	// VPMOVZXBQZrm
-    135284117U,	// VPMOVZXBQZrr
-    370170210U,	// VPMOVZXBQrm
-    135289186U,	// VPMOVZXBQrr
-    336618093U,	// VPMOVZXBWYrm
-    135291501U,	// VPMOVZXBWYrr
-    168845933U,	// VPMOVZXBWrm
-    135291501U,	// VPMOVZXBWrr
-    336616015U,	// VPMOVZXDQYrm
-    135289423U,	// VPMOVZXDQYrr
-    907036301U,	// VPMOVZXDQZrm
-    135284365U,	// VPMOVZXDQZrr
-    168843855U,	// VPMOVZXDQrm
-    135289423U,	// VPMOVZXDQrr
-    336614709U,	// VPMOVZXWDYrm
-    135288117U,	// VPMOVZXWDYrr
-    907035820U,	// VPMOVZXWDZrm
-    135283884U,	// VPMOVZXWDZrr
-    168842549U,	// VPMOVZXWDrm
-    135288117U,	// VPMOVZXWDrr
-    101735263U,	// VPMOVZXWQYrm
-    135289695U,	// VPMOVZXWQYrr
-    336611237U,	// VPMOVZXWQZrm
-    135284645U,	// VPMOVZXWQZrr
-    101735263U,	// VPMOVZXWQrm
-    135289695U,	// VPMOVZXWQrr
-    2282772970U,	// VPMULDQYrm
-    2282772970U,	// VPMULDQYrr
-    2282767862U,	// VPMULDQZrm
-    2282767862U,	// VPMULDQZrr
-    2282772970U,	// VPMULDQrm
-    2282772970U,	// VPMULDQrr
-    2282775480U,	// VPMULHRSWrm128
-    2282775480U,	// VPMULHRSWrm256
-    2282775480U,	// VPMULHRSWrr128
-    2282775480U,	// VPMULHRSWrr256
-    2282775567U,	// VPMULHUWYrm
-    2282775567U,	// VPMULHUWYrr
-    2282775567U,	// VPMULHUWrm
-    2282775567U,	// VPMULHUWrr
-    2282775268U,	// VPMULHWYrm
-    2282775268U,	// VPMULHWYrr
-    2282775268U,	// VPMULHWrm
-    2282775268U,	// VPMULHWrr
-    2282770295U,	// VPMULLDYrm
-    2282770295U,	// VPMULLDYrr
-    2282766573U,	// VPMULLDZrm
-    2282766573U,	// VPMULLDZrmb
-    2282766573U,	// VPMULLDZrr
-    2282770295U,	// VPMULLDrm
-    2282770295U,	// VPMULLDrr
-    2282775310U,	// VPMULLWYrm
-    2282775310U,	// VPMULLWYrr
-    2282775310U,	// VPMULLWrm
-    2282775310U,	// VPMULLWrr
-    2282773050U,	// VPMULUDQYrm
-    2282773050U,	// VPMULUDQYrr
-    2282767990U,	// VPMULUDQZrm
-    2282767990U,	// VPMULUDQZrr
-    2282773050U,	// VPMULUDQrm
-    2282773050U,	// VPMULUDQrr
-    2282767215U,	// VPORDZrm
-    2282767215U,	// VPORDZrmb
-    2282767215U,	// VPORDZrr
-    2282768145U,	// VPORQZrm
-    2282768145U,	// VPORQZrmb
-    2282768145U,	// VPORQZrr
-    2282773416U,	// VPORYrm
-    2282773416U,	// VPORYrr
-    2282773416U,	// VPORrm
-    2282773416U,	// VPORrr
-    2282772451U,	// VPPERMmr
-    2282772451U,	// VPPERMrm
-    2282772451U,	// VPPERMrr
-    2484096445U,	// VPROTBmi
-    2484096445U,	// VPROTBmr
-    2282769853U,	// VPROTBri
-    2282769853U,	// VPROTBrm
-    2282769853U,	// VPROTBrr
-    2484098133U,	// VPROTDmi
-    2484098133U,	// VPROTDmr
-    2282771541U,	// VPROTDri
-    2282771541U,	// VPROTDrm
-    2282771541U,	// VPROTDrr
-    2484099817U,	// VPROTQmi
-    2484099817U,	// VPROTQmr
-    2282773225U,	// VPROTQri
-    2282773225U,	// VPROTQrm
-    2282773225U,	// VPROTQrr
-    2484102137U,	// VPROTWmi
-    2484102137U,	// VPROTWmr
-    2282775545U,	// VPROTWri
-    2282775545U,	// VPROTWrm
-    2282775545U,	// VPROTWrr
-    2282775067U,	// VPSADBWYrm
-    2282775067U,	// VPSADBWYrr
-    2282775067U,	// VPSADBWrm
-    2282775067U,	// VPSADBWrr
-    688332U,	// VPSCATTERDDZmr
-    706098U,	// VPSCATTERDQZmr
-    705344U,	// VPSCATTERQDZmr
-    706307U,	// VPSCATTERQQZmr
-    2484096221U,	// VPSHABmr
-    2282769629U,	// VPSHABrm
-    2282769629U,	// VPSHABrr
-    2484096649U,	// VPSHADmr
-    2282770057U,	// VPSHADrm
-    2282770057U,	// VPSHADrr
-    2484099378U,	// VPSHAQmr
-    2282772786U,	// VPSHAQrm
-    2282772786U,	// VPSHAQrr
-    2484101623U,	// VPSHAWmr
-    2282775031U,	// VPSHAWrm
-    2282775031U,	// VPSHAWrr
-    2484096282U,	// VPSHLBmr
-    2282769690U,	// VPSHLBrm
-    2282769690U,	// VPSHLBrr
-    2484096865U,	// VPSHLDmr
-    2282770273U,	// VPSHLDrm
-    2282770273U,	// VPSHLDrr
-    2484099690U,	// VPSHLQmr
-    2282773098U,	// VPSHLQrm
-    2282773098U,	// VPSHLQrr
-    2484101886U,	// VPSHLWmr
-    2282775294U,	// VPSHLWrm
-    2282775294U,	// VPSHLWrr
-    2282769658U,	// VPSHUFBYrm
-    2282769658U,	// VPSHUFBYrr
-    2282769658U,	// VPSHUFBrm
-    2282769658U,	// VPSHUFBrr
-    3054522164U,	// VPSHUFDYmi
-    2282770228U,	// VPSHUFDYri
-    3088072922U,	// VPSHUFDZmi
-    2282766554U,	// VPSHUFDZri
-    2484096820U,	// VPSHUFDmi
-    2282770228U,	// VPSHUFDri
-    3054527194U,	// VPSHUFHWYmi
-    2282775258U,	// VPSHUFHWYri
-    2484101850U,	// VPSHUFHWmi
-    2282775258U,	// VPSHUFHWri
-    3054527220U,	// VPSHUFLWYmi
-    2282775284U,	// VPSHUFLWYri
-    2484101876U,	// VPSHUFLWmi
-    2282775284U,	// VPSHUFLWri
-    2282769706U,	// VPSIGNBYrm
-    2282769706U,	// VPSIGNBYrr
-    2282769706U,	// VPSIGNBrm
-    2282769706U,	// VPSIGNBrr
-    2282770352U,	// VPSIGNDYrm
-    2282770352U,	// VPSIGNDYrr
-    2282770352U,	// VPSIGNDrm
-    2282770352U,	// VPSIGNDrr
-    2282775335U,	// VPSIGNWYrm
-    2282775335U,	// VPSIGNWYrr
-    2282775335U,	// VPSIGNWrm
-    2282775335U,	// VPSIGNWrr
-    2282772952U,	// VPSLLDQYri
-    2282772952U,	// VPSLLDQri
-    2282770287U,	// VPSLLDYri
-    2282770287U,	// VPSLLDYrm
-    2282770287U,	// VPSLLDYrr
-    3088072932U,	// VPSLLDZmi
-    155205860U,	// VPSLLDZmik
-    2282766564U,	// VPSLLDZri
-    155205860U,	// VPSLLDZrik
-    2282766564U,	// VPSLLDZrm
-    155205860U,	// VPSLLDZrmk
-    2282766564U,	// VPSLLDZrr
-    155205860U,	// VPSLLDZrrk
-    2282770287U,	// VPSLLDri
-    2282770287U,	// VPSLLDrm
-    2282770287U,	// VPSLLDrr
-    2282773106U,	// VPSLLQYri
-    2282773106U,	// VPSLLQYrm
-    2282773106U,	// VPSLLQYrr
-    3088074393U,	// VPSLLQZmi
-    155207321U,	// VPSLLQZmik
-    2282768025U,	// VPSLLQZri
-    155207321U,	// VPSLLQZrik
-    2282768025U,	// VPSLLQZrm
-    155207321U,	// VPSLLQZrmk
-    2282768025U,	// VPSLLQZrr
-    155207321U,	// VPSLLQZrrk
-    2282773106U,	// VPSLLQri
-    2282773106U,	// VPSLLQrm
-    2282773106U,	// VPSLLQrr
-    2282771608U,	// VPSLLVDYrm
-    2282771608U,	// VPSLLVDYrr
-    2282767500U,	// VPSLLVDZrm
-    2282767500U,	// VPSLLVDZrr
-    2282771608U,	// VPSLLVDrm
-    2282771608U,	// VPSLLVDrr
-    2282773274U,	// VPSLLVQYrm
-    2282773274U,	// VPSLLVQYrr
-    2282768261U,	// VPSLLVQZrm
-    2282768261U,	// VPSLLVQZrr
-    2282773274U,	// VPSLLVQrm
-    2282773274U,	// VPSLLVQrr
-    2282775302U,	// VPSLLWYri
-    2282775302U,	// VPSLLWYrm
-    2282775302U,	// VPSLLWYrr
-    2282775302U,	// VPSLLWri
-    2282775302U,	// VPSLLWrm
-    2282775302U,	// VPSLLWrr
-    2282770065U,	// VPSRADYri
-    2282770065U,	// VPSRADYrm
-    2282770065U,	// VPSRADYrr
-    3088072835U,	// VPSRADZmi
-    155205763U,	// VPSRADZmik
-    2282766467U,	// VPSRADZri
-    155205763U,	// VPSRADZrik
-    2282766467U,	// VPSRADZrm
-    155205763U,	// VPSRADZrmk
-    2282766467U,	// VPSRADZrr
-    155205763U,	// VPSRADZrrk
-    2282770065U,	// VPSRADri
-    2282770065U,	// VPSRADrm
-    2282770065U,	// VPSRADrr
-    3088074103U,	// VPSRAQZmi
-    155207031U,	// VPSRAQZmik
-    2282767735U,	// VPSRAQZri
-    155207031U,	// VPSRAQZrik
-    2282767735U,	// VPSRAQZrm
-    155207031U,	// VPSRAQZrmk
-    2282767735U,	// VPSRAQZrr
-    155207031U,	// VPSRAQZrrk
-    2282771599U,	// VPSRAVDYrm
-    2282771599U,	// VPSRAVDYrr
-    2282767490U,	// VPSRAVDZrm
-    2282767490U,	// VPSRAVDZrr
-    2282771599U,	// VPSRAVDrm
-    2282771599U,	// VPSRAVDrr
-    2282768251U,	// VPSRAVQZrm
-    2282768251U,	// VPSRAVQZrr
-    2282775039U,	// VPSRAWYri
-    2282775039U,	// VPSRAWYrm
-    2282775039U,	// VPSRAWYrr
-    2282775039U,	// VPSRAWri
-    2282775039U,	// VPSRAWrm
-    2282775039U,	// VPSRAWrr
-    2282772961U,	// VPSRLDQYri
-    2282772961U,	// VPSRLDQri
-    2282770304U,	// VPSRLDYri
-    2282770304U,	// VPSRLDYrm
-    2282770304U,	// VPSRLDYrr
-    3088072951U,	// VPSRLDZmi
-    155205879U,	// VPSRLDZmik
-    2282766583U,	// VPSRLDZri
-    155205879U,	// VPSRLDZrik
-    2282766583U,	// VPSRLDZrm
-    155205879U,	// VPSRLDZrmk
-    2282766583U,	// VPSRLDZrr
-    155205879U,	// VPSRLDZrrk
-    2282770304U,	// VPSRLDri
-    2282770304U,	// VPSRLDrm
-    2282770304U,	// VPSRLDrr
-    2282773114U,	// VPSRLQYri
-    2282773114U,	// VPSRLQYrm
-    2282773114U,	// VPSRLQYrr
-    3088074402U,	// VPSRLQZmi
-    155207330U,	// VPSRLQZmik
-    2282768034U,	// VPSRLQZri
-    155207330U,	// VPSRLQZrik
-    2282768034U,	// VPSRLQZrm
-    155207330U,	// VPSRLQZrmk
-    2282768034U,	// VPSRLQZrr
-    155207330U,	// VPSRLQZrrk
-    2282773114U,	// VPSRLQri
-    2282773114U,	// VPSRLQrm
-    2282773114U,	// VPSRLQrr
-    2282771617U,	// VPSRLVDYrm
-    2282771617U,	// VPSRLVDYrr
-    2282767510U,	// VPSRLVDZrm
-    2282767510U,	// VPSRLVDZrr
-    2282771617U,	// VPSRLVDrm
-    2282771617U,	// VPSRLVDrr
-    2282773283U,	// VPSRLVQYrm
-    2282773283U,	// VPSRLVQYrr
-    2282768271U,	// VPSRLVQZrm
-    2282768271U,	// VPSRLVQZrr
-    2282773283U,	// VPSRLVQrm
-    2282773283U,	// VPSRLVQrr
-    2282775319U,	// VPSRLWYri
-    2282775319U,	// VPSRLWYrm
-    2282775319U,	// VPSRLWYrr
-    2282775319U,	// VPSRLWri
-    2282775319U,	// VPSRLWrm
-    2282775319U,	// VPSRLWrr
-    2282769642U,	// VPSUBBYrm
-    2282769642U,	// VPSUBBYrr
-    2282769642U,	// VPSUBBrm
-    2282769642U,	// VPSUBBrr
-    2282770103U,	// VPSUBDYrm
-    2282770103U,	// VPSUBDYrr
-    2282766476U,	// VPSUBDZrm
-    2282766476U,	// VPSUBDZrmb
-    2282766476U,	// VPSUBDZrr
-    2282770103U,	// VPSUBDrm
-    2282770103U,	// VPSUBDrr
-    2282772815U,	// VPSUBQYrm
-    2282772815U,	// VPSUBQYrr
-    2282767744U,	// VPSUBQZrm
-    2282767744U,	// VPSUBQZrmb
-    2282767744U,	// VPSUBQZrr
-    2282772815U,	// VPSUBQrm
-    2282772815U,	// VPSUBQrr
-    2282769751U,	// VPSUBSBYrm
-    2282769751U,	// VPSUBSBYrr
-    2282769751U,	// VPSUBSBrm
-    2282769751U,	// VPSUBSBrr
-    2282775417U,	// VPSUBSWYrm
-    2282775417U,	// VPSUBSWYrr
-    2282775417U,	// VPSUBSWrm
-    2282775417U,	// VPSUBSWrr
-    2282769792U,	// VPSUBUSBYrm
-    2282769792U,	// VPSUBUSBYrr
-    2282769792U,	// VPSUBUSBrm
-    2282769792U,	// VPSUBUSBrr
-    2282775499U,	// VPSUBUSWYrm
-    2282775499U,	// VPSUBUSWYrr
-    2282775499U,	// VPSUBUSWrm
-    2282775499U,	// VPSUBUSWrr
-    2282775130U,	// VPSUBWYrm
-    2282775130U,	// VPSUBWYrr
-    2282775130U,	// VPSUBWrm
-    2282775130U,	// VPSUBWrr
-    2282766625U,	// VPTESTMDZrm
-    2282766625U,	// VPTESTMDZrr
-    2282768076U,	// VPTESTMQZrm
-    2282768076U,	// VPTESTMQZrr
-    2282766604U,	// VPTESTNMDZrm
-    2282766604U,	// VPTESTNMDZrr
-    2282768055U,	// VPTESTNMQZrm
-    2282768055U,	// VPTESTNMQZrr
-    907043194U,	// VPTESTYrm
-    135291258U,	// VPTESTYrr
-    537944442U,	// VPTESTrm
-    135291258U,	// VPTESTrr
-    2282775086U,	// VPUNPCKHBWYrm
-    2282775086U,	// VPUNPCKHBWYrr
-    2282775086U,	// VPUNPCKHBWrm
-    2282775086U,	// VPUNPCKHBWrr
-    2282772928U,	// VPUNPCKHDQYrm
-    2282772928U,	// VPUNPCKHDQYrr
-    2282767836U,	// VPUNPCKHDQZrm
-    2282767836U,	// VPUNPCKHDQZrr
-    2282772928U,	// VPUNPCKHDQrm
-    2282772928U,	// VPUNPCKHDQrr
-    2282772979U,	// VPUNPCKHQDQYrm
-    2282772979U,	// VPUNPCKHQDQYrr
-    2282767881U,	// VPUNPCKHQDQZrm
-    2282767881U,	// VPUNPCKHQDQZrr
-    2282772979U,	// VPUNPCKHQDQrm
-    2282772979U,	// VPUNPCKHQDQrr
-    2282771675U,	// VPUNPCKHWDYrm
-    2282771675U,	// VPUNPCKHWDYrr
-    2282771675U,	// VPUNPCKHWDrm
-    2282771675U,	// VPUNPCKHWDrr
-    2282775098U,	// VPUNPCKLBWYrm
-    2282775098U,	// VPUNPCKLBWYrr
-    2282775098U,	// VPUNPCKLBWrm
-    2282775098U,	// VPUNPCKLBWrr
-    2282772940U,	// VPUNPCKLDQYrm
-    2282772940U,	// VPUNPCKLDQYrr
-    2282767849U,	// VPUNPCKLDQZrm
-    2282767849U,	// VPUNPCKLDQZrr
-    2282772940U,	// VPUNPCKLDQrm
-    2282772940U,	// VPUNPCKLDQrr
-    2282772992U,	// VPUNPCKLQDQYrm
-    2282772992U,	// VPUNPCKLQDQYrr
-    2282767895U,	// VPUNPCKLQDQZrm
-    2282767895U,	// VPUNPCKLQDQZrr
-    2282772992U,	// VPUNPCKLQDQrm
-    2282772992U,	// VPUNPCKLQDQrr
-    2282771687U,	// VPUNPCKLWDYrm
-    2282771687U,	// VPUNPCKLWDYrr
-    2282771687U,	// VPUNPCKLWDrm
-    2282771687U,	// VPUNPCKLWDrr
-    2282767223U,	// VPXORDZrm
-    2282767223U,	// VPXORDZrmb
-    2282767223U,	// VPXORDZrr
-    2282768153U,	// VPXORQZrm
-    2282768153U,	// VPXORQZrmb
-    2282768153U,	// VPXORQZrr
-    2282773444U,	// VPXORYrm
-    2282773444U,	// VPXORYrr
-    2282773444U,	// VPXORrm
-    2282773444U,	// VPXORrr
-    1007698485U,	// VRCP14PDZm
-    135283253U,	// VRCP14PDZr
-    1007700134U,	// VRCP14PSZm
-    135284902U,	// VRCP14PSZr
-    2282767315U,	// VRCP14SDrm
-    2282767315U,	// VRCP14SDrr
-    2282768876U,	// VRCP14SSrm
-    2282768876U,	// VRCP14SSrr
-    1007698509U,	// VRCP28PDZm
-    135283277U,	// VRCP28PDZr
-    2282766925U,	// VRCP28PDZrb
-    1007700158U,	// VRCP28PSZm
-    135284926U,	// VRCP28PSZr
-    2282768574U,	// VRCP28PSZrb
-    2282767339U,	// VRCP28SDrm
-    2282767339U,	// VRCP28SDrr
-    2282767339U,	// VRCP28SDrrb
-    2282768900U,	// VRCP28SSrm
-    2282768900U,	// VRCP28SSrr
-    2282768900U,	// VRCP28SSrrb
-    974151335U,	// VRCPPSYm
-    974151335U,	// VRCPPSYm_Int
-    135290535U,	// VRCPPSYr
-    135290535U,	// VRCPPSYr_Int
-    537943719U,	// VRCPPSm
-    537943719U,	// VRCPPSm_Int
-    135290535U,	// VRCPPSr
-    135290535U,	// VRCPPSr_Int
-    2282774650U,	// VRCPSSm
-    2282774650U,	// VRCPSSm_Int
-    2282774650U,	// VRCPSSr
-    3155182236U,	// VRNDSCALEPDZm
-    2282767004U,	// VRNDSCALEPDZr
-    3155183885U,	// VRNDSCALEPSZm
-    2282768653U,	// VRNDSCALEPSZr
-    2282767372U,	// VRNDSCALESDm
-    2282767372U,	// VRNDSCALESDr
-    2282768924U,	// VRNDSCALESSm
-    2282768924U,	// VRNDSCALESSr
-    2685424002U,	// VROUNDPDm
-    2282770818U,	// VROUNDPDr
-    2685427220U,	// VROUNDPSm
-    2282774036U,	// VROUNDPSr
-    2282771388U,	// VROUNDSDm
-    2282771388U,	// VROUNDSDr
-    2282771388U,	// VROUNDSDr_Int
-    2282774605U,	// VROUNDSSm
-    2282774605U,	// VROUNDSSr
-    2282774605U,	// VROUNDSSr_Int
-    3121631618U,	// VROUNDYPDm
-    2282770818U,	// VROUNDYPDr
-    3121634836U,	// VROUNDYPSm
-    2282774036U,	// VROUNDYPSr
-    1007698496U,	// VRSQRT14PDZm
-    135283264U,	// VRSQRT14PDZr
-    1007700145U,	// VRSQRT14PSZm
-    135284913U,	// VRSQRT14PSZr
-    2282767326U,	// VRSQRT14SDrm
-    2282767326U,	// VRSQRT14SDrr
-    2282768887U,	// VRSQRT14SSrm
-    2282768887U,	// VRSQRT14SSrr
-    1007698520U,	// VRSQRT28PDZm
-    135283288U,	// VRSQRT28PDZr
-    2282766936U,	// VRSQRT28PDZrb
-    1007700169U,	// VRSQRT28PSZm
-    135284937U,	// VRSQRT28PSZr
-    2282768585U,	// VRSQRT28PSZrb
-    2282767350U,	// VRSQRT28SDrm
-    2282767350U,	// VRSQRT28SDrr
-    2282767350U,	// VRSQRT28SDrrb
-    2282768911U,	// VRSQRT28SSrm
-    2282768911U,	// VRSQRT28SSrr
-    2282768911U,	// VRSQRT28SSrrb
-    974151418U,	// VRSQRTPSYm
-    974151418U,	// VRSQRTPSYm_Int
-    135290618U,	// VRSQRTPSYr
-    135290618U,	// VRSQRTPSYr_Int
-    537943802U,	// VRSQRTPSm
-    537943802U,	// VRSQRTPSm_Int
-    135290618U,	// VRSQRTPSr
-    135290618U,	// VRSQRTPSr_Int
-    2282774675U,	// VRSQRTSSm
-    2282774675U,	// VRSQRTSSm_Int
-    2282774675U,	// VRSQRTSSr
-    705166U,	// VSCATTERDPDZmr
-    690431U,	// VSCATTERDPSZmr
-    705278U,	// VSCATTERQPDZmr
-    706927U,	// VSCATTERQPSZmr
-    2282770840U,	// VSHUFPDYrmi
-    2282770840U,	// VSHUFPDYrri
-    2282767018U,	// VSHUFPDZrmi
-    2282767018U,	// VSHUFPDZrri
-    2282770840U,	// VSHUFPDrmi
-    2282770840U,	// VSHUFPDrri
-    2282774058U,	// VSHUFPSYrmi
-    2282774058U,	// VSHUFPSYrri
-    2282768667U,	// VSHUFPSZrmi
-    2282768667U,	// VSHUFPSZrri
-    2282774058U,	// VSHUFPSrmi
-    2282774058U,	// VSHUFPSrri
-    974148157U,	// VSQRTPDYm
-    135287357U,	// VSQRTPDYr
-    1007702589U,	// VSQRTPDZm_Int
-    135287357U,	// VSQRTPDZr_Int
-    1007702589U,	// VSQRTPDZrm
-    135287357U,	// VSQRTPDZrr
-    537940541U,	// VSQRTPDm
-    135287357U,	// VSQRTPDr
-    974151428U,	// VSQRTPSYm
-    135290628U,	// VSQRTPSYr
-    1007705860U,	// VSQRTPSZm_Int
-    135290628U,	// VSQRTPSZr_Int
-    1007705860U,	// VSQRTPSZrm
-    135290628U,	// VSQRTPSZrr
-    537943812U,	// VSQRTPSm
-    135290628U,	// VSQRTPSr
-    2282771466U,	// VSQRTSDZm
-    2282771466U,	// VSQRTSDZm_Int
-    2282771466U,	// VSQRTSDZr
-    2282771466U,	// VSQRTSDZr_Int
-    2282771466U,	// VSQRTSDm
-    2282771466U,	// VSQRTSDm_Int
-    2282771466U,	// VSQRTSDr
-    2282774685U,	// VSQRTSSZm
-    2282774685U,	// VSQRTSSZm_Int
-    2282774685U,	// VSQRTSSZr
-    2282774685U,	// VSQRTSSZr_Int
-    2282774685U,	// VSQRTSSm
-    2282774685U,	// VSQRTSSm_Int
-    2282774685U,	// VSQRTSSr
-    72672U,	// VSTMXCSR
-    2282770741U,	// VSUBPDYrm
-    2282770741U,	// VSUBPDYrr
-    2282766959U,	// VSUBPDZrm
-    2282766959U,	// VSUBPDZrmb
-    2282766959U,	// VSUBPDZrr
-    2282770741U,	// VSUBPDrm
-    2282770741U,	// VSUBPDrr
-    2282773959U,	// VSUBPSYrm
-    2282773959U,	// VSUBPSYrr
-    2282768608U,	// VSUBPSZrm
-    2282768608U,	// VSUBPSZrmb
-    2282768608U,	// VSUBPSZrr
-    2282773959U,	// VSUBPSrm
-    2282773959U,	// VSUBPSrr
-    2282771351U,	// VSUBSDZrm
-    2282771351U,	// VSUBSDZrr
-    2282771351U,	// VSUBSDrm
-    2282771351U,	// VSUBSDrm_Int
-    2282771351U,	// VSUBSDrr
-    2282771351U,	// VSUBSDrr_Int
-    2282774568U,	// VSUBSSZrm
-    2282774568U,	// VSUBSSZrr
-    2282774568U,	// VSUBSSrm
-    2282774568U,	// VSUBSSrm_Int
-    2282774568U,	// VSUBSSrr
-    2282774568U,	// VSUBSSrr_Int
-    974148166U,	// VTESTPDYrm
-    135287366U,	// VTESTPDYrr
-    537940550U,	// VTESTPDrm
-    135287366U,	// VTESTPDrr
-    974151437U,	// VTESTPSYrm
-    135290637U,	// VTESTPSYrr
-    537943821U,	// VTESTPSrm
-    135290637U,	// VTESTPSrr
-    571495366U,	// VUCOMISDZrm
-    135287750U,	// VUCOMISDZrr
-    571495366U,	// VUCOMISDrm
-    135287750U,	// VUCOMISDrr
-    605053015U,	// VUCOMISSZrm
-    135290967U,	// VUCOMISSZrr
-    605053015U,	// VUCOMISSrm
-    135290967U,	// VUCOMISSrr
-    2282770849U,	// VUNPCKHPDYrm
-    2282770849U,	// VUNPCKHPDYrr
-    2282770849U,	// VUNPCKHPDZrm
-    2282770849U,	// VUNPCKHPDZrr
-    2282770849U,	// VUNPCKHPDrm
-    2282770849U,	// VUNPCKHPDrr
-    2282774067U,	// VUNPCKHPSYrm
-    2282774067U,	// VUNPCKHPSYrr
-    2282774067U,	// VUNPCKHPSZrm
-    2282774067U,	// VUNPCKHPSZrr
-    2282774067U,	// VUNPCKHPSrm
-    2282774067U,	// VUNPCKHPSrr
-    2282770891U,	// VUNPCKLPDYrm
-    2282770891U,	// VUNPCKLPDYrr
-    2282770891U,	// VUNPCKLPDZrm
-    2282770891U,	// VUNPCKLPDZrr
-    2282770891U,	// VUNPCKLPDrm
-    2282770891U,	// VUNPCKLPDrr
-    2282774129U,	// VUNPCKLPSYrm
-    2282774129U,	// VUNPCKLPSYrr
-    2282774129U,	// VUNPCKLPSZrm
-    2282774129U,	// VUNPCKLPSZrr
-    2282774129U,	// VUNPCKLPSrm
-    2282774129U,	// VUNPCKLPSrr
-    2282770987U,	// VXORPDYrm
-    2282770987U,	// VXORPDYrr
-    2282770987U,	// VXORPDrm
-    2282770987U,	// VXORPDrr
-    2282774225U,	// VXORPSYrm
-    2282774225U,	// VXORPSYrr
-    2282774225U,	// VXORPSrm
-    2282774225U,	// VXORPSrr
-    12208U,	// VZEROALL
-    12408U,	// VZEROUPPER
+    2484099271U,	// VPCMPISTRM128rm
+    2282772679U,	// VPCMPISTRM128rr
+    1167420951U,	// VPCMPQZrmi
+    2282773346U,	// VPCMPQZrmi_alt
+    155212642U,	// VPCMPQZrmik_alt
+    93695511U,	// VPCMPQZrri
+    2282773346U,	// VPCMPQZrri_alt
+    155212642U,	// VPCMPQZrrik_alt
+    1168469527U,	// VPCMPUDZrmi
+    2282771789U,	// VPCMPUDZrmi_alt
+    155211085U,	// VPCMPUDZrmik_alt
+    94744087U,	// VPCMPUDZrri
+    2282771789U,	// VPCMPUDZrri_alt
+    155211085U,	// VPCMPUDZrrik_alt
+    1169518103U,	// VPCMPUQZrmi
+    2282773473U,	// VPCMPUQZrmi_alt
+    155212769U,	// VPCMPUQZrmik_alt
+    95792663U,	// VPCMPUQZrri
+    2282773473U,	// VPCMPUQZrri_alt
+    155212769U,	// VPCMPUQZrrik_alt
+    2282769906U,	// VPCOMBmi
+    2282769906U,	// VPCOMBri
+    2282770529U,	// VPCOMDmi
+    2282770529U,	// VPCOMDri
+    2282773330U,	// VPCOMQmi
+    2282773330U,	// VPCOMQri
+    2282770083U,	// VPCOMUBmi
+    2282770083U,	// VPCOMUBri
+    2282771771U,	// VPCOMUDmi
+    2282771771U,	// VPCOMUDri
+    2282773464U,	// VPCOMUQmi
+    2282773464U,	// VPCOMUQri
+    2282775785U,	// VPCOMUWmi
+    2282775785U,	// VPCOMUWri
+    2282775535U,	// VPCOMWmi
+    2282775535U,	// VPCOMWri
+    940590240U,	// VPCONFLICTDrm
+    2249213088U,	// VPCONFLICTDrmb
+    88097952U,	// VPCONFLICTDrmbk
+    2302690464U,	// VPCONFLICTDrmbkz
+    88097952U,	// VPCONFLICTDrmk
+    2302690464U,	// VPCONFLICTDrmkz
+    135283872U,	// VPCONFLICTDrr
+    88097952U,	// VPCONFLICTDrrk
+    2302690464U,	// VPCONFLICTDrrkz
+    940591069U,	// VPCONFLICTQrm
+    168839133U,	// VPCONFLICTQrmb
+    88098781U,	// VPCONFLICTQrmbk
+    2302691293U,	// VPCONFLICTQrmbkz
+    88098781U,	// VPCONFLICTQrmk
+    2302691293U,	// VPCONFLICTQrmkz
+    135284701U,	// VPCONFLICTQrr
+    88098781U,	// VPCONFLICTQrrk
+    2302691293U,	// VPCONFLICTQrrkz
+    2282769639U,	// VPERM2F128rm
+    2282769639U,	// VPERM2F128rr
+    2282769694U,	// VPERM2I128rm
+    2282769694U,	// VPERM2I128rr
+    2282770537U,	// VPERMDYrm
+    2282770537U,	// VPERMDYrr
+    2282766693U,	// VPERMDZrm
+    2282766693U,	// VPERMDZrr
+    2215657632U,	// VPERMI2Drm
+    88096928U,	// VPERMI2Drmk
+    2235580576U,	// VPERMI2Drmkz
+    2215657632U,	// VPERMI2Drr
+    88096928U,	// VPERMI2Drrk
+    2235580576U,	// VPERMI2Drrkz
+    2215657970U,	// VPERMI2PDrm
+    88097266U,	// VPERMI2PDrmk
+    2235580914U,	// VPERMI2PDrmkz
+    2215657970U,	// VPERMI2PDrr
+    88097266U,	// VPERMI2PDrrk
+    2235580914U,	// VPERMI2PDrrkz
+    2215659730U,	// VPERMI2PSrm
+    88099026U,	// VPERMI2PSrmk
+    2235582674U,	// VPERMI2PSrmkz
+    2215659730U,	// VPERMI2PSrr
+    88099026U,	// VPERMI2PSrrk
+    2235582674U,	// VPERMI2PSrrkz
+    2215658966U,	// VPERMI2Qrm
+    88098262U,	// VPERMI2Qrmk
+    2235581910U,	// VPERMI2Qrmkz
+    2215658966U,	// VPERMI2Qrr
+    88098262U,	// VPERMI2Qrrk
+    2235581910U,	// VPERMI2Qrrkz
+    2282770758U,	// VPERMIL2PDmr
+    2282770758U,	// VPERMIL2PDmrY
+    2282770758U,	// VPERMIL2PDrm
+    2282770758U,	// VPERMIL2PDrmY
+    2282770758U,	// VPERMIL2PDrr
+    2282770758U,	// VPERMIL2PDrrY
+    2282773995U,	// VPERMIL2PSmr
+    2282773995U,	// VPERMIL2PSmrY
+    2282773995U,	// VPERMIL2PSrm
+    2282773995U,	// VPERMIL2PSrmY
+    2282773995U,	// VPERMIL2PSrr
+    2282773995U,	// VPERMIL2PSrrY
+    3121631888U,	// VPERMILPDYmi
+    2282771088U,	// VPERMILPDYri
+    2282771088U,	// VPERMILPDYrm
+    2282771088U,	// VPERMILPDYrr
+    3088073472U,	// VPERMILPDZmi
+    2282767104U,	// VPERMILPDZri
+    2685424272U,	// VPERMILPDmi
+    2282771088U,	// VPERMILPDri
+    2282771088U,	// VPERMILPDrm
+    2282771088U,	// VPERMILPDrr
+    3121635126U,	// VPERMILPSYmi
+    2282774326U,	// VPERMILPSYri
+    2282774326U,	// VPERMILPSYrm
+    2282774326U,	// VPERMILPSYrr
+    3088075220U,	// VPERMILPSZmi
+    2282768852U,	// VPERMILPSZri
+    2685427510U,	// VPERMILPSmi
+    2282774326U,	// VPERMILPSri
+    2282774326U,	// VPERMILPSrm
+    2282774326U,	// VPERMILPSrr
+    3020968639U,	// VPERMPDYmi
+    2282771135U,	// VPERMPDYri
+    3155182369U,	// VPERMPDZmi
+    2282767137U,	// VPERMPDZri
+    2282767137U,	// VPERMPDZrm
+    2282767137U,	// VPERMPDZrr
+    2282774365U,	// VPERMPSYrm
+    2282774365U,	// VPERMPSYrr
+    2282768885U,	// VPERMPSZrm
+    2282768885U,	// VPERMPSZrr
+    3020970842U,	// VPERMQYmi
+    2282773338U,	// VPERMQYri
+    3088074560U,	// VPERMQZmi
+    2282768192U,	// VPERMQZri
+    2282768192U,	// VPERMQZrm
+    2282768192U,	// VPERMQZrr
+    2215657643U,	// VPERMT2Drm
+    88096939U,	// VPERMT2Drmk
+    2235580587U,	// VPERMT2Drmkz
+    2215657643U,	// VPERMT2Drr
+    88096939U,	// VPERMT2Drrk
+    2235580587U,	// VPERMT2Drrkz
+    2215658019U,	// VPERMT2PDrm
+    88097315U,	// VPERMT2PDrmk
+    2235580963U,	// VPERMT2PDrmkz
+    2215658019U,	// VPERMT2PDrr
+    88097315U,	// VPERMT2PDrrk
+    2235580963U,	// VPERMT2PDrrkz
+    2215659767U,	// VPERMT2PSrm
+    88099063U,	// VPERMT2PSrmk
+    2235582711U,	// VPERMT2PSrmkz
+    2215659767U,	// VPERMT2PSrr
+    88099063U,	// VPERMT2PSrrk
+    2235582711U,	// VPERMT2PSrrkz
+    2215658977U,	// VPERMT2Qrm
+    88098273U,	// VPERMT2Qrmk
+    2235581921U,	// VPERMT2Qrmkz
+    2215658977U,	// VPERMT2Qrr
+    88098273U,	// VPERMT2Qrrk
+    2235581921U,	// VPERMT2Qrrkz
+    2148634134U,	// VPEXTRBmr
+    2282769942U,	// VPEXTRBrr
+    2148602749U,	// VPEXTRDmr
+    2282771325U,	// VPEXTRDrr
+    2148621193U,	// VPEXTRQmr
+    2282773385U,	// VPEXTRQrr
+    2148574242U,	// VPEXTRWmr
+    2282775586U,	// VPEXTRWri
+    2282775586U,	// VPEXTRWrr_REV
+    1108365268U,	// VPGATHERDDYrm
+    1094729996U,	// VPGATHERDDZrm
+    1108365268U,	// VPGATHERDDrm
+    1041259241U,	// VPGATHERDQYrm
+    3242215074U,	// VPGATHERDQZrm
+    1041259241U,	// VPGATHERDQrm
+    1108366178U,	// VPGATHERQDYrm
+    3242214261U,	// VPGATHERQDZrm
+    1108366178U,	// VPGATHERQDrm
+    1041259380U,	// VPGATHERQQYrm
+    3242215292U,	// VPGATHERQQZrm
+    1041259380U,	// VPGATHERQQrm
+    336613225U,	// VPHADDBDrm
+    135286633U,	// VPHADDBDrr
+    336615946U,	// VPHADDBQrm
+    135289354U,	// VPHADDBQrr
+    336618228U,	// VPHADDBWrm
+    135291636U,	// VPHADDBWrr
+    336616070U,	// VPHADDDQrm
+    135289478U,	// VPHADDDQrr
+    2282770361U,	// VPHADDDYrm
+    2282770361U,	// VPHADDDYrr
+    2282770361U,	// VPHADDDrm
+    2282770361U,	// VPHADDDrr
+    2282775634U,	// VPHADDSWrm128
+    2282775634U,	// VPHADDSWrm256
+    2282775634U,	// VPHADDSWrr128
+    2282775634U,	// VPHADDSWrr256
+    336613235U,	// VPHADDUBDrm
+    135286643U,	// VPHADDUBDrr
+    336615956U,	// VPHADDUBQrm
+    135289364U,	// VPHADDUBQrr
+    336618262U,	// VPHADDUBWrm
+    135291670U,	// VPHADDUBWrr
+    336616191U,	// VPHADDUDQrm
+    135289599U,	// VPHADDUDQrr
+    336614895U,	// VPHADDUWDrm
+    135288303U,	// VPHADDUWDrr
+    336616473U,	// VPHADDUWQrm
+    135289881U,	// VPHADDUWQrr
+    336614807U,	// VPHADDWDrm
+    135288215U,	// VPHADDWDrr
+    336616463U,	// VPHADDWQrm
+    135289871U,	// VPHADDWQrr
+    2282775383U,	// VPHADDWYrm
+    2282775383U,	// VPHADDWYrr
+    2282775383U,	// VPHADDWrm
+    2282775383U,	// VPHADDWrr
+    336618747U,	// VPHMINPOSUWrm128
+    135292155U,	// VPHMINPOSUWrr128
+    336618199U,	// VPHSUBBWrm
+    135291607U,	// VPHSUBBWrr
+    336616052U,	// VPHSUBDQrm
+    135289460U,	// VPHSUBDQrr
+    2282770302U,	// VPHSUBDYrm
+    2282770302U,	// VPHSUBDYrr
+    2282770302U,	// VPHSUBDrm
+    2282770302U,	// VPHSUBDrr
+    2282775615U,	// VPHSUBSWrm128
+    2282775615U,	// VPHSUBSWrm256
+    2282775615U,	// VPHSUBSWrr128
+    2282775615U,	// VPHSUBSWrr256
+    336614797U,	// VPHSUBWDrm
+    135288205U,	// VPHSUBWDrr
+    2282775329U,	// VPHSUBWYrm
+    2282775329U,	// VPHSUBWYrr
+    2282775329U,	// VPHSUBWrm
+    2282775329U,	// VPHSUBWrr
+    2282769933U,	// VPINSRBrm
+    2282769933U,	// VPINSRBrr
+    2282771316U,	// VPINSRDrm
+    2282771316U,	// VPINSRDrr
+    2282773376U,	// VPINSRQrm
+    2282773376U,	// VPINSRQrr
+    2282775577U,	// VPINSRWrmi
+    2282775577U,	// VPINSRWrri
+    940590265U,	// VPLZCNTDrm
+    2249213113U,	// VPLZCNTDrmb
+    88097977U,	// VPLZCNTDrmbk
+    2302690489U,	// VPLZCNTDrmbkz
+    88097977U,	// VPLZCNTDrmk
+    2302690489U,	// VPLZCNTDrmkz
+    135283897U,	// VPLZCNTDrr
+    88097977U,	// VPLZCNTDrrk
+    2302690489U,	// VPLZCNTDrrkz
+    940591094U,	// VPLZCNTQrm
+    168839158U,	// VPLZCNTQrmb
+    88098806U,	// VPLZCNTQrmbk
+    2302691318U,	// VPLZCNTQrmbkz
+    88098806U,	// VPLZCNTQrmk
+    2302691318U,	// VPLZCNTQrmkz
+    135284726U,	// VPLZCNTQrr
+    88098806U,	// VPLZCNTQrrk
+    2302691318U,	// VPLZCNTQrrkz
+    2282770400U,	// VPMACSDDrm
+    2282770400U,	// VPMACSDDrr
+    2282772293U,	// VPMACSDQHrm
+    2282772293U,	// VPMACSDQHrr
+    2282772579U,	// VPMACSDQLrm
+    2282772579U,	// VPMACSDQLrr
+    2282770410U,	// VPMACSSDDrm
+    2282770410U,	// VPMACSSDDrr
+    2282772304U,	// VPMACSSDQHrm
+    2282772304U,	// VPMACSSDQHrr
+    2282772590U,	// VPMACSSDQLrm
+    2282772590U,	// VPMACSSDQLrr
+    2282771928U,	// VPMACSSWDrm
+    2282771928U,	// VPMACSSWDrr
+    2282775835U,	// VPMACSSWWrm
+    2282775835U,	// VPMACSSWWrr
+    2282771907U,	// VPMACSWDrm
+    2282771907U,	// VPMACSWDrr
+    2282775825U,	// VPMACSWWrm
+    2282775825U,	// VPMACSWWrr
+    2282771939U,	// VPMADCSSWDrm
+    2282771939U,	// VPMADCSSWDrr
+    2282771917U,	// VPMADCSWDrm
+    2282771917U,	// VPMADCSWDrr
+    2282775603U,	// VPMADDUBSWrm128
+    2282775603U,	// VPMADDUBSWrm256
+    2282775603U,	// VPMADDUBSWrr128
+    2282775603U,	// VPMADDUBSWrr256
+    2282771873U,	// VPMADDWDYrm
+    2282771873U,	// VPMADDWDYrr
+    2282771873U,	// VPMADDWDrm
+    2282771873U,	// VPMADDWDrr
+    2149176698U,	// VPMASKMOVDYmr
+    2282771834U,	// VPMASKMOVDYrm
+    2148799866U,	// VPMASKMOVDmr
+    2282771834U,	// VPMASKMOVDrm
+    2149178364U,	// VPMASKMOVQYmr
+    2282773500U,	// VPMASKMOVQYrm
+    2148801532U,	// VPMASKMOVQmr
+    2282773500U,	// VPMASKMOVQrm
+    2282770036U,	// VPMAXSBYrm
+    2282770036U,	// VPMAXSBYrr
+    2282770036U,	// VPMAXSBrm
+    2282770036U,	// VPMAXSBrr
+    2282771713U,	// VPMAXSDYrm
+    2282771713U,	// VPMAXSDYrr
+    2282767510U,	// VPMAXSDZrm
+    2282767510U,	// VPMAXSDZrmb
+    88097942U,	// VPMAXSDZrmbk
+    2302690454U,	// VPMAXSDZrmbkz
+    88097942U,	// VPMAXSDZrmk
+    2302690454U,	// VPMAXSDZrmkz
+    2282767510U,	// VPMAXSDZrr
+    88097942U,	// VPMAXSDZrrk
+    2302690454U,	// VPMAXSDZrrkz
+    2282771713U,	// VPMAXSDrm
+    2282771713U,	// VPMAXSDrr
+    2282768339U,	// VPMAXSQZrm
+    2282768339U,	// VPMAXSQZrmb
+    88098771U,	// VPMAXSQZrmbk
+    2302691283U,	// VPMAXSQZrmbkz
+    88098771U,	// VPMAXSQZrmk
+    2302691283U,	// VPMAXSQZrmkz
+    2282768339U,	// VPMAXSQZrr
+    88098771U,	// VPMAXSQZrrk
+    2302691283U,	// VPMAXSQZrrkz
+    2282775734U,	// VPMAXSWYrm
+    2282775734U,	// VPMAXSWYrr
+    2282775734U,	// VPMAXSWrm
+    2282775734U,	// VPMAXSWrr
+    2282770115U,	// VPMAXUBYrm
+    2282770115U,	// VPMAXUBYrr
+    2282770115U,	// VPMAXUBrm
+    2282770115U,	// VPMAXUBrr
+    2282771798U,	// VPMAXUDYrm
+    2282771798U,	// VPMAXUDYrr
+    2282767589U,	// VPMAXUDZrm
+    2282767589U,	// VPMAXUDZrmb
+    88098021U,	// VPMAXUDZrmbk
+    2302690533U,	// VPMAXUDZrmbkz
+    88098021U,	// VPMAXUDZrmk
+    2302690533U,	// VPMAXUDZrmkz
+    2282767589U,	// VPMAXUDZrr
+    88098021U,	// VPMAXUDZrrk
+    2302690533U,	// VPMAXUDZrrkz
+    2282771798U,	// VPMAXUDrm
+    2282771798U,	// VPMAXUDrr
+    2282768418U,	// VPMAXUQZrm
+    2282768418U,	// VPMAXUQZrmb
+    88098850U,	// VPMAXUQZrmbk
+    2302691362U,	// VPMAXUQZrmbkz
+    88098850U,	// VPMAXUQZrmk
+    2302691362U,	// VPMAXUQZrmkz
+    2282768418U,	// VPMAXUQZrr
+    88098850U,	// VPMAXUQZrrk
+    2302691362U,	// VPMAXUQZrrkz
+    2282775816U,	// VPMAXUWYrm
+    2282775816U,	// VPMAXUWYrr
+    2282775816U,	// VPMAXUWrm
+    2282775816U,	// VPMAXUWrr
+    2282769977U,	// VPMINSBYrm
+    2282769977U,	// VPMINSBYrr
+    2282769977U,	// VPMINSBrm
+    2282769977U,	// VPMINSBrr
+    2282771633U,	// VPMINSDYrm
+    2282771633U,	// VPMINSDYrr
+    2282767476U,	// VPMINSDZrm
+    2282767476U,	// VPMINSDZrmb
+    88097908U,	// VPMINSDZrmbk
+    2302690420U,	// VPMINSDZrmbkz
+    88097908U,	// VPMINSDZrmk
+    2302690420U,	// VPMINSDZrmkz
+    2282767476U,	// VPMINSDZrr
+    88097908U,	// VPMINSDZrrk
+    2302690420U,	// VPMINSDZrrkz
+    2282771633U,	// VPMINSDrm
+    2282771633U,	// VPMINSDrr
+    2282768329U,	// VPMINSQZrm
+    2282768329U,	// VPMINSQZrmb
+    88098761U,	// VPMINSQZrmbk
+    2302691273U,	// VPMINSQZrmbkz
+    88098761U,	// VPMINSQZrmk
+    2302691273U,	// VPMINSQZrmkz
+    2282768329U,	// VPMINSQZrr
+    88098761U,	// VPMINSQZrrk
+    2302691273U,	// VPMINSQZrrkz
+    2282775665U,	// VPMINSWYrm
+    2282775665U,	// VPMINSWYrr
+    2282775665U,	// VPMINSWrm
+    2282775665U,	// VPMINSWrr
+    2282770092U,	// VPMINUBYrm
+    2282770092U,	// VPMINUBYrr
+    2282770092U,	// VPMINUBrm
+    2282770092U,	// VPMINUBrr
+    2282771780U,	// VPMINUDYrm
+    2282771780U,	// VPMINUDYrr
+    2282767579U,	// VPMINUDZrm
+    2282767579U,	// VPMINUDZrmb
+    88098011U,	// VPMINUDZrmbk
+    2302690523U,	// VPMINUDZrmbkz
+    88098011U,	// VPMINUDZrmk
+    2302690523U,	// VPMINUDZrmkz
+    2282767579U,	// VPMINUDZrr
+    88098011U,	// VPMINUDZrrk
+    2302690523U,	// VPMINUDZrrkz
+    2282771780U,	// VPMINUDrm
+    2282771780U,	// VPMINUDrr
+    2282768408U,	// VPMINUQZrm
+    2282768408U,	// VPMINUQZrmb
+    88098840U,	// VPMINUQZrmbk
+    2302691352U,	// VPMINUQZrmbkz
+    88098840U,	// VPMINUQZrmk
+    2302691352U,	// VPMINUQZrmkz
+    2282768408U,	// VPMINUQZrr
+    88098840U,	// VPMINUQZrrk
+    2302691352U,	// VPMINUQZrrkz
+    2282775794U,	// VPMINUWYrm
+    2282775794U,	// VPMINUWYrr
+    2282775794U,	// VPMINUWrm
+    2282775794U,	// VPMINUWrr
+    1310788U,	// VPMOVDBmr
+    21233732U,	// VPMOVDBmrk
+    135282756U,	// VPMOVDBrr
+    155205700U,	// VPMOVDBrrk
+    2302689348U,	// VPMOVDBrrkz
+    1690385U,	// VPMOVDWmr
+    21613329U,	// VPMOVDWmrk
+    135285521U,	// VPMOVDWrr
+    155208465U,	// VPMOVDWrrk
+    2302692113U,	// VPMOVDWrrkz
+    135286239U,	// VPMOVMSKBYrr
+    135286239U,	// VPMOVMSKBrr
+    1310830U,	// VPMOVQBmr
+    21233774U,	// VPMOVQBmrk
+    135282798U,	// VPMOVQBrr
+    155205742U,	// VPMOVQBrrk
+    2302689390U,	// VPMOVQBrrkz
+    1688487U,	// VPMOVQDmr
+    21611431U,	// VPMOVQDmrk
+    135283623U,	// VPMOVQDrr
+    155206567U,	// VPMOVQDrrk
+    2302690215U,	// VPMOVQDrrkz
+    1313606U,	// VPMOVQWmr
+    21236550U,	// VPMOVQWmrk
+    135285574U,	// VPMOVQWrr
+    155208518U,	// VPMOVQWrrk
+    2302692166U,	// VPMOVQWrrkz
+    1310777U,	// VPMOVSDBmr
+    21233721U,	// VPMOVSDBmrk
+    135282745U,	// VPMOVSDBrr
+    155205689U,	// VPMOVSDBrrk
+    2302689337U,	// VPMOVSDBrrkz
+    1690374U,	// VPMOVSDWmr
+    21613318U,	// VPMOVSDWmrk
+    135285510U,	// VPMOVSDWrr
+    155208454U,	// VPMOVSDWrrk
+    2302692102U,	// VPMOVSDWrrkz
+    1310819U,	// VPMOVSQBmr
+    21233763U,	// VPMOVSQBmrk
+    135282787U,	// VPMOVSQBrr
+    155205731U,	// VPMOVSQBrrk
+    2302689379U,	// VPMOVSQBrrkz
+    1688476U,	// VPMOVSQDmr
+    21611420U,	// VPMOVSQDmrk
+    135283612U,	// VPMOVSQDrr
+    155206556U,	// VPMOVSQDrrk
+    2302690204U,	// VPMOVSQDrrkz
+    1313595U,	// VPMOVSQWmr
+    21236539U,	// VPMOVSQWmrk
+    135285563U,	// VPMOVSQWrr
+    155208507U,	// VPMOVSQWrrk
+    2302692155U,	// VPMOVSQWrrkz
+    101732239U,	// VPMOVSXBDYrm
+    135286671U,	// VPMOVSXBDYrr
+    336609498U,	// VPMOVSXBDZrm
+    155205850U,	// VPMOVSXBDZrmk
+    2302689498U,	// VPMOVSXBDZrmkz
+    135282906U,	// VPMOVSXBDZrr
+    155205850U,	// VPMOVSXBDZrrk
+    2302689498U,	// VPMOVSXBDZrrkz
+    101732239U,	// VPMOVSXBDrm
+    135286671U,	// VPMOVSXBDrr
+    370170407U,	// VPMOVSXBQYrm
+    135289383U,	// VPMOVSXBQYrr
+    336610814U,	// VPMOVSXBQZrm
+    155207166U,	// VPMOVSXBQZrmk
+    2302690814U,	// VPMOVSXBQZrmkz
+    135284222U,	// VPMOVSXBQZrr
+    155207166U,	// VPMOVSXBQZrrk
+    2302690814U,	// VPMOVSXBQZrrkz
+    370170407U,	// VPMOVSXBQrm
+    135289383U,	// VPMOVSXBQrr
+    336618290U,	// VPMOVSXBWYrm
+    135291698U,	// VPMOVSXBWYrr
+    168846130U,	// VPMOVSXBWrm
+    135291698U,	// VPMOVSXBWrr
+    336616212U,	// VPMOVSXDQYrm
+    135289620U,	// VPMOVSXDQYrr
+    873481982U,	// VPMOVSXDQZrm
+    155207422U,	// VPMOVSXDQZrmk
+    2302691070U,	// VPMOVSXDQZrmkz
+    135284478U,	// VPMOVSXDQZrr
+    155207422U,	// VPMOVSXDQZrrk
+    2302691070U,	// VPMOVSXDQZrrkz
+    168844052U,	// VPMOVSXDQrm
+    135289620U,	// VPMOVSXDQrr
+    336614906U,	// VPMOVSXWDYrm
+    135288314U,	// VPMOVSXWDYrr
+    873481493U,	// VPMOVSXWDZrm
+    155206933U,	// VPMOVSXWDZrmk
+    2302690581U,	// VPMOVSXWDZrmkz
+    135283989U,	// VPMOVSXWDZrr
+    155206933U,	// VPMOVSXWDZrrk
+    2302690581U,	// VPMOVSXWDZrrkz
+    168842746U,	// VPMOVSXWDrm
+    135288314U,	// VPMOVSXWDrr
+    101735460U,	// VPMOVSXWQYrm
+    135289892U,	// VPMOVSXWQYrr
+    336611410U,	// VPMOVSXWQZrm
+    155207762U,	// VPMOVSXWQZrmk
+    2302691410U,	// VPMOVSXWQZrmkz
+    135284818U,	// VPMOVSXWQZrr
+    155207762U,	// VPMOVSXWQZrrk
+    2302691410U,	// VPMOVSXWQZrrkz
+    101735460U,	// VPMOVSXWQrm
+    135289892U,	// VPMOVSXWQrr
+    1310765U,	// VPMOVUSDBmr
+    21233709U,	// VPMOVUSDBmrk
+    135282733U,	// VPMOVUSDBrr
+    155205677U,	// VPMOVUSDBrrk
+    2302689325U,	// VPMOVUSDBrrkz
+    1690362U,	// VPMOVUSDWmr
+    21613306U,	// VPMOVUSDWmrk
+    135285498U,	// VPMOVUSDWrr
+    155208442U,	// VPMOVUSDWrrk
+    2302692090U,	// VPMOVUSDWrrkz
+    1310807U,	// VPMOVUSQBmr
+    21233751U,	// VPMOVUSQBmrk
+    135282775U,	// VPMOVUSQBrr
+    155205719U,	// VPMOVUSQBrrk
+    2302689367U,	// VPMOVUSQBrrkz
+    1688464U,	// VPMOVUSQDmr
+    21611408U,	// VPMOVUSQDmrk
+    135283600U,	// VPMOVUSQDrr
+    155206544U,	// VPMOVUSQDrrk
+    2302690192U,	// VPMOVUSQDrrkz
+    1313583U,	// VPMOVUSQWmr
+    21236527U,	// VPMOVUSQWmrk
+    135285551U,	// VPMOVUSQWrr
+    155208495U,	// VPMOVUSQWrrk
+    2302692143U,	// VPMOVUSQWrrkz
+    101732250U,	// VPMOVZXBDYrm
+    135286682U,	// VPMOVZXBDYrr
+    336609510U,	// VPMOVZXBDZrm
+    155205862U,	// VPMOVZXBDZrmk
+    2302689510U,	// VPMOVZXBDZrmkz
+    135282918U,	// VPMOVZXBDZrr
+    155205862U,	// VPMOVZXBDZrrk
+    2302689510U,	// VPMOVZXBDZrrkz
+    101732250U,	// VPMOVZXBDrm
+    135286682U,	// VPMOVZXBDrr
+    370170418U,	// VPMOVZXBQYrm
+    135289394U,	// VPMOVZXBQYrr
+    336610826U,	// VPMOVZXBQZrm
+    155207178U,	// VPMOVZXBQZrmk
+    2302690826U,	// VPMOVZXBQZrmkz
+    135284234U,	// VPMOVZXBQZrr
+    155207178U,	// VPMOVZXBQZrrk
+    2302690826U,	// VPMOVZXBQZrrkz
+    370170418U,	// VPMOVZXBQrm
+    135289394U,	// VPMOVZXBQrr
+    336618301U,	// VPMOVZXBWYrm
+    135291709U,	// VPMOVZXBWYrr
+    168846141U,	// VPMOVZXBWrm
+    135291709U,	// VPMOVZXBWrr
+    336616223U,	// VPMOVZXDQYrm
+    135289631U,	// VPMOVZXDQYrr
+    873481994U,	// VPMOVZXDQZrm
+    155207434U,	// VPMOVZXDQZrmk
+    2302691082U,	// VPMOVZXDQZrmkz
+    135284490U,	// VPMOVZXDQZrr
+    155207434U,	// VPMOVZXDQZrrk
+    2302691082U,	// VPMOVZXDQZrrkz
+    168844063U,	// VPMOVZXDQrm
+    135289631U,	// VPMOVZXDQrr
+    336614917U,	// VPMOVZXWDYrm
+    135288325U,	// VPMOVZXWDYrr
+    873481505U,	// VPMOVZXWDZrm
+    155206945U,	// VPMOVZXWDZrmk
+    2302690593U,	// VPMOVZXWDZrmkz
+    135284001U,	// VPMOVZXWDZrr
+    155206945U,	// VPMOVZXWDZrrk
+    2302690593U,	// VPMOVZXWDZrrkz
+    168842757U,	// VPMOVZXWDrm
+    135288325U,	// VPMOVZXWDrr
+    101735471U,	// VPMOVZXWQYrm
+    135289903U,	// VPMOVZXWQYrr
+    336611422U,	// VPMOVZXWQZrm
+    155207774U,	// VPMOVZXWQZrmk
+    2302691422U,	// VPMOVZXWQZrmkz
+    135284830U,	// VPMOVZXWQZrr
+    155207774U,	// VPMOVZXWQZrrk
+    2302691422U,	// VPMOVZXWQZrrkz
+    101735471U,	// VPMOVZXWQrm
+    135289903U,	// VPMOVZXWQrr
+    2282773178U,	// VPMULDQYrm
+    2282773178U,	// VPMULDQYrr
+    2282767979U,	// VPMULDQZrm
+    2282767979U,	// VPMULDQZrmb
+    155207275U,	// VPMULDQZrmbk
+    2302690923U,	// VPMULDQZrmbkz
+    155207275U,	// VPMULDQZrmk
+    2302690923U,	// VPMULDQZrmkz
+    2282767979U,	// VPMULDQZrr
+    155207275U,	// VPMULDQZrrk
+    2302690923U,	// VPMULDQZrrkz
+    2282773178U,	// VPMULDQrm
+    2282773178U,	// VPMULDQrr
+    2282775688U,	// VPMULHRSWrm128
+    2282775688U,	// VPMULHRSWrm256
+    2282775688U,	// VPMULHRSWrr128
+    2282775688U,	// VPMULHRSWrr256
+    2282775775U,	// VPMULHUWYrm
+    2282775775U,	// VPMULHUWYrr
+    2282775775U,	// VPMULHUWrm
+    2282775775U,	// VPMULHUWrr
+    2282775476U,	// VPMULHWYrm
+    2282775476U,	// VPMULHWYrr
+    2282775476U,	// VPMULHWrm
+    2282775476U,	// VPMULHWrr
+    2282770503U,	// VPMULLDYrm
+    2282770503U,	// VPMULLDYrr
+    2282766650U,	// VPMULLDZrm
+    2282766650U,	// VPMULLDZrmb
+    88097082U,	// VPMULLDZrmbk
+    2302689594U,	// VPMULLDZrmbkz
+    88097082U,	// VPMULLDZrmk
+    2302689594U,	// VPMULLDZrmkz
+    2282766650U,	// VPMULLDZrr
+    88097082U,	// VPMULLDZrrk
+    2302689594U,	// VPMULLDZrrkz
+    2282770503U,	// VPMULLDrm
+    2282770503U,	// VPMULLDrr
+    2282775518U,	// VPMULLWYrm
+    2282775518U,	// VPMULLWYrr
+    2282775518U,	// VPMULLWrm
+    2282775518U,	// VPMULLWrr
+    2282773258U,	// VPMULUDQYrm
+    2282773258U,	// VPMULUDQYrr
+    2282768115U,	// VPMULUDQZrm
+    2282768115U,	// VPMULUDQZrmb
+    155207411U,	// VPMULUDQZrmbk
+    2302691059U,	// VPMULUDQZrmbkz
+    155207411U,	// VPMULUDQZrmk
+    2302691059U,	// VPMULUDQZrmkz
+    2282768115U,	// VPMULUDQZrr
+    155207411U,	// VPMULUDQZrrk
+    2302691059U,	// VPMULUDQZrrkz
+    2282773258U,	// VPMULUDQrm
+    2282773258U,	// VPMULUDQrr
+    2282767297U,	// VPORDZrm
+    2282767297U,	// VPORDZrmb
+    88097729U,	// VPORDZrmbk
+    2302690241U,	// VPORDZrmbkz
+    88097729U,	// VPORDZrmk
+    2302690241U,	// VPORDZrmkz
+    2282767297U,	// VPORDZrr
+    88097729U,	// VPORDZrrk
+    2302690241U,	// VPORDZrrkz
+    2282768295U,	// VPORQZrm
+    2282768295U,	// VPORQZrmb
+    88098727U,	// VPORQZrmbk
+    2302691239U,	// VPORQZrmbkz
+    88098727U,	// VPORQZrmk
+    2302691239U,	// VPORQZrmkz
+    2282768295U,	// VPORQZrr
+    88098727U,	// VPORQZrrk
+    2302691239U,	// VPORQZrrkz
+    2282773624U,	// VPORYrm
+    2282773624U,	// VPORYrr
+    2282773624U,	// VPORrm
+    2282773624U,	// VPORrr
+    2282772659U,	// VPPERMmr
+    2282772659U,	// VPPERMrm
+    2282772659U,	// VPPERMrr
+    2484096653U,	// VPROTBmi
+    2484096653U,	// VPROTBmr
+    2282770061U,	// VPROTBri
+    2282770061U,	// VPROTBrm
+    2282770061U,	// VPROTBrr
+    2484098341U,	// VPROTDmi
+    2484098341U,	// VPROTDmr
+    2282771749U,	// VPROTDri
+    2282771749U,	// VPROTDrm
+    2282771749U,	// VPROTDrr
+    2484100025U,	// VPROTQmi
+    2484100025U,	// VPROTQmr
+    2282773433U,	// VPROTQri
+    2282773433U,	// VPROTQrm
+    2282773433U,	// VPROTQrr
+    2484102345U,	// VPROTWmi
+    2484102345U,	// VPROTWmr
+    2282775753U,	// VPROTWri
+    2282775753U,	// VPROTWrm
+    2282775753U,	// VPROTWrr
+    2282775275U,	// VPSADBWYrm
+    2282775275U,	// VPSADBWYrr
+    2282775275U,	// VPSADBWrm
+    2282775275U,	// VPSADBWrr
+    688409U,	// VPSCATTERDDZmr
+    706223U,	// VPSCATTERDQZmr
+    705410U,	// VPSCATTERQDZmr
+    706441U,	// VPSCATTERQQZmr
+    2484096429U,	// VPSHABmr
+    2282769837U,	// VPSHABrm
+    2282769837U,	// VPSHABrr
+    2484096857U,	// VPSHADmr
+    2282770265U,	// VPSHADrm
+    2282770265U,	// VPSHADrr
+    2484099586U,	// VPSHAQmr
+    2282772994U,	// VPSHAQrm
+    2282772994U,	// VPSHAQrr
+    2484101831U,	// VPSHAWmr
+    2282775239U,	// VPSHAWrm
+    2282775239U,	// VPSHAWrr
+    2484096490U,	// VPSHLBmr
+    2282769898U,	// VPSHLBrm
+    2282769898U,	// VPSHLBrr
+    2484097073U,	// VPSHLDmr
+    2282770481U,	// VPSHLDrm
+    2282770481U,	// VPSHLDrr
+    2484099898U,	// VPSHLQmr
+    2282773306U,	// VPSHLQrm
+    2282773306U,	// VPSHLQrr
+    2484102094U,	// VPSHLWmr
+    2282775502U,	// VPSHLWrm
+    2282775502U,	// VPSHLWrr
+    2282769866U,	// VPSHUFBYrm
+    2282769866U,	// VPSHUFBYrr
+    2282769866U,	// VPSHUFBrm
+    2282769866U,	// VPSHUFBrr
+    3020967940U,	// VPSHUFDYmi
+    2282770436U,	// VPSHUFDYri
+    3088072999U,	// VPSHUFDZmi
+    2282766631U,	// VPSHUFDZri
+    2484097028U,	// VPSHUFDmi
+    2282770436U,	// VPSHUFDri
+    3020972970U,	// VPSHUFHWYmi
+    2282775466U,	// VPSHUFHWYri
+    2484102058U,	// VPSHUFHWmi
+    2282775466U,	// VPSHUFHWri
+    3020972996U,	// VPSHUFLWYmi
+    2282775492U,	// VPSHUFLWYri
+    2484102084U,	// VPSHUFLWmi
+    2282775492U,	// VPSHUFLWri
+    2282769914U,	// VPSIGNBYrm
+    2282769914U,	// VPSIGNBYrr
+    2282769914U,	// VPSIGNBrm
+    2282769914U,	// VPSIGNBrr
+    2282770560U,	// VPSIGNDYrm
+    2282770560U,	// VPSIGNDYrr
+    2282770560U,	// VPSIGNDrm
+    2282770560U,	// VPSIGNDrr
+    2282775543U,	// VPSIGNWYrm
+    2282775543U,	// VPSIGNWYrr
+    2282775543U,	// VPSIGNWrm
+    2282775543U,	// VPSIGNWrr
+    2282773160U,	// VPSLLDQYri
+    2282773160U,	// VPSLLDQri
+    2282770495U,	// VPSLLDYri
+    2282770495U,	// VPSLLDYrm
+    2282770495U,	// VPSLLDYrr
+    3088073009U,	// VPSLLDZmi
+    155205937U,	// VPSLLDZmik
+    2282766641U,	// VPSLLDZri
+    155205937U,	// VPSLLDZrik
+    2282766641U,	// VPSLLDZrm
+    155205937U,	// VPSLLDZrmk
+    2282766641U,	// VPSLLDZrr
+    155205937U,	// VPSLLDZrrk
+    2282770495U,	// VPSLLDri
+    2282770495U,	// VPSLLDrm
+    2282770495U,	// VPSLLDrr
+    2282773314U,	// VPSLLQYri
+    2282773314U,	// VPSLLQYrm
+    2282773314U,	// VPSLLQYrr
+    3088074518U,	// VPSLLQZmi
+    155207446U,	// VPSLLQZmik
+    2282768150U,	// VPSLLQZri
+    155207446U,	// VPSLLQZrik
+    2282768150U,	// VPSLLQZrm
+    155207446U,	// VPSLLQZrmk
+    2282768150U,	// VPSLLQZrr
+    155207446U,	// VPSLLQZrrk
+    2282773314U,	// VPSLLQri
+    2282773314U,	// VPSLLQrm
+    2282773314U,	// VPSLLQrr
+    2282771816U,	// VPSLLVDYrm
+    2282771816U,	// VPSLLVDYrr
+    2282767609U,	// VPSLLVDZrm
+    2282767609U,	// VPSLLVDZrr
+    2282771816U,	// VPSLLVDrm
+    2282771816U,	// VPSLLVDrr
+    2282773482U,	// VPSLLVQYrm
+    2282773482U,	// VPSLLVQYrr
+    2282768438U,	// VPSLLVQZrm
+    2282768438U,	// VPSLLVQZrr
+    2282773482U,	// VPSLLVQrm
+    2282773482U,	// VPSLLVQrr
+    2282775510U,	// VPSLLWYri
+    2282775510U,	// VPSLLWYrm
+    2282775510U,	// VPSLLWYrr
+    2282775510U,	// VPSLLWri
+    2282775510U,	// VPSLLWrm
+    2282775510U,	// VPSLLWrr
+    2282770273U,	// VPSRADYri
+    2282770273U,	// VPSRADYrm
+    2282770273U,	// VPSRADYrr
+    3088072904U,	// VPSRADZmi
+    155205832U,	// VPSRADZmik
+    2282766536U,	// VPSRADZri
+    155205832U,	// VPSRADZrik
+    2282766536U,	// VPSRADZrm
+    155205832U,	// VPSRADZrmk
+    2282766536U,	// VPSRADZrr
+    155205832U,	// VPSRADZrrk
+    2282770273U,	// VPSRADri
+    2282770273U,	// VPSRADrm
+    2282770273U,	// VPSRADrr
+    3088074220U,	// VPSRAQZmi
+    155207148U,	// VPSRAQZmik
+    2282767852U,	// VPSRAQZri
+    155207148U,	// VPSRAQZrik
+    2282767852U,	// VPSRAQZrm
+    155207148U,	// VPSRAQZrmk
+    2282767852U,	// VPSRAQZrr
+    155207148U,	// VPSRAQZrrk
+    2282771807U,	// VPSRAVDYrm
+    2282771807U,	// VPSRAVDYrr
+    2282767599U,	// VPSRAVDZrm
+    2282767599U,	// VPSRAVDZrr
+    2282771807U,	// VPSRAVDrm
+    2282771807U,	// VPSRAVDrr
+    2282768428U,	// VPSRAVQZrm
+    2282768428U,	// VPSRAVQZrr
+    2282775247U,	// VPSRAWYri
+    2282775247U,	// VPSRAWYrm
+    2282775247U,	// VPSRAWYrr
+    2282775247U,	// VPSRAWri
+    2282775247U,	// VPSRAWrm
+    2282775247U,	// VPSRAWrr
+    2282773169U,	// VPSRLDQYri
+    2282773169U,	// VPSRLDQri
+    2282770512U,	// VPSRLDYri
+    2282770512U,	// VPSRLDYrm
+    2282770512U,	// VPSRLDYrr
+    3088073028U,	// VPSRLDZmi
+    155205956U,	// VPSRLDZmik
+    2282766660U,	// VPSRLDZri
+    155205956U,	// VPSRLDZrik
+    2282766660U,	// VPSRLDZrm
+    155205956U,	// VPSRLDZrmk
+    2282766660U,	// VPSRLDZrr
+    155205956U,	// VPSRLDZrrk
+    2282770512U,	// VPSRLDri
+    2282770512U,	// VPSRLDrm
+    2282770512U,	// VPSRLDrr
+    2282773322U,	// VPSRLQYri
+    2282773322U,	// VPSRLQYrm
+    2282773322U,	// VPSRLQYrr
+    3088074527U,	// VPSRLQZmi
+    155207455U,	// VPSRLQZmik
+    2282768159U,	// VPSRLQZri
+    155207455U,	// VPSRLQZrik
+    2282768159U,	// VPSRLQZrm
+    155207455U,	// VPSRLQZrmk
+    2282768159U,	// VPSRLQZrr
+    155207455U,	// VPSRLQZrrk
+    2282773322U,	// VPSRLQri
+    2282773322U,	// VPSRLQrm
+    2282773322U,	// VPSRLQrr
+    2282771825U,	// VPSRLVDYrm
+    2282771825U,	// VPSRLVDYrr
+    2282767619U,	// VPSRLVDZrm
+    2282767619U,	// VPSRLVDZrr
+    2282771825U,	// VPSRLVDrm
+    2282771825U,	// VPSRLVDrr
+    2282773491U,	// VPSRLVQYrm
+    2282773491U,	// VPSRLVQYrr
+    2282768448U,	// VPSRLVQZrm
+    2282768448U,	// VPSRLVQZrr
+    2282773491U,	// VPSRLVQrm
+    2282773491U,	// VPSRLVQrr
+    2282775527U,	// VPSRLWYri
+    2282775527U,	// VPSRLWYrm
+    2282775527U,	// VPSRLWYrr
+    2282775527U,	// VPSRLWri
+    2282775527U,	// VPSRLWrm
+    2282775527U,	// VPSRLWrr
+    2282769850U,	// VPSUBBYrm
+    2282769850U,	// VPSUBBYrr
+    2282769850U,	// VPSUBBrm
+    2282769850U,	// VPSUBBrr
+    2282770311U,	// VPSUBDYrm
+    2282770311U,	// VPSUBDYrr
+    2282766545U,	// VPSUBDZrm
+    2282766545U,	// VPSUBDZrmb
+    88096977U,	// VPSUBDZrmbk
+    2302689489U,	// VPSUBDZrmbkz
+    88096977U,	// VPSUBDZrmk
+    2302689489U,	// VPSUBDZrmkz
+    2282766545U,	// VPSUBDZrr
+    88096977U,	// VPSUBDZrrk
+    2302689489U,	// VPSUBDZrrkz
+    2282770311U,	// VPSUBDrm
+    2282770311U,	// VPSUBDrr
+    2282773023U,	// VPSUBQYrm
+    2282773023U,	// VPSUBQYrr
+    2282767861U,	// VPSUBQZrm
+    2282767861U,	// VPSUBQZrmb
+    88098293U,	// VPSUBQZrmbk
+    2302690805U,	// VPSUBQZrmbkz
+    88098293U,	// VPSUBQZrmk
+    2302690805U,	// VPSUBQZrmkz
+    2282767861U,	// VPSUBQZrr
+    88098293U,	// VPSUBQZrrk
+    2302690805U,	// VPSUBQZrrkz
+    2282773023U,	// VPSUBQrm
+    2282773023U,	// VPSUBQrr
+    2282769959U,	// VPSUBSBYrm
+    2282769959U,	// VPSUBSBYrr
+    2282769959U,	// VPSUBSBrm
+    2282769959U,	// VPSUBSBrr
+    2282775625U,	// VPSUBSWYrm
+    2282775625U,	// VPSUBSWYrr
+    2282775625U,	// VPSUBSWrm
+    2282775625U,	// VPSUBSWrr
+    2282770000U,	// VPSUBUSBYrm
+    2282770000U,	// VPSUBUSBYrr
+    2282770000U,	// VPSUBUSBrm
+    2282770000U,	// VPSUBUSBrr
+    2282775707U,	// VPSUBUSWYrm
+    2282775707U,	// VPSUBUSWYrr
+    2282775707U,	// VPSUBUSWrm
+    2282775707U,	// VPSUBUSWrr
+    2282775338U,	// VPSUBWYrm
+    2282775338U,	// VPSUBWYrr
+    2282775338U,	// VPSUBWrm
+    2282775338U,	// VPSUBWrr
+    2282766702U,	// VPTESTMDZrm
+    2282766702U,	// VPTESTMDZrr
+    2282768201U,	// VPTESTMQZrm
+    2282768201U,	// VPTESTMQZrr
+    2282766681U,	// VPTESTNMDZrm
+    2282766681U,	// VPTESTNMDZrr
+    2282768180U,	// VPTESTNMQZrm
+    2282768180U,	// VPTESTNMQZrr
+    873488970U,	// VPTESTYrm
+    135291466U,	// VPTESTYrr
+    537944650U,	// VPTESTrm
+    135291466U,	// VPTESTrr
+    2282775294U,	// VPUNPCKHBWYrm
+    2282775294U,	// VPUNPCKHBWYrr
+    2282775294U,	// VPUNPCKHBWrm
+    2282775294U,	// VPUNPCKHBWrr
+    2282773136U,	// VPUNPCKHDQYrm
+    2282773136U,	// VPUNPCKHDQYrr
+    2282767953U,	// VPUNPCKHDQZrm
+    2282767953U,	// VPUNPCKHDQZrr
+    2282773136U,	// VPUNPCKHDQrm
+    2282773136U,	// VPUNPCKHDQrr
+    2282773187U,	// VPUNPCKHQDQYrm
+    2282773187U,	// VPUNPCKHQDQYrr
+    2282768006U,	// VPUNPCKHQDQZrm
+    2282768006U,	// VPUNPCKHQDQZrr
+    2282773187U,	// VPUNPCKHQDQrm
+    2282773187U,	// VPUNPCKHQDQrr
+    2282771883U,	// VPUNPCKHWDYrm
+    2282771883U,	// VPUNPCKHWDYrr
+    2282771883U,	// VPUNPCKHWDrm
+    2282771883U,	// VPUNPCKHWDrr
+    2282775306U,	// VPUNPCKLBWYrm
+    2282775306U,	// VPUNPCKLBWYrr
+    2282775306U,	// VPUNPCKLBWrm
+    2282775306U,	// VPUNPCKLBWrr
+    2282773148U,	// VPUNPCKLDQYrm
+    2282773148U,	// VPUNPCKLDQYrr
+    2282767966U,	// VPUNPCKLDQZrm
+    2282767966U,	// VPUNPCKLDQZrr
+    2282773148U,	// VPUNPCKLDQrm
+    2282773148U,	// VPUNPCKLDQrr
+    2282773200U,	// VPUNPCKLQDQYrm
+    2282773200U,	// VPUNPCKLQDQYrr
+    2282768020U,	// VPUNPCKLQDQZrm
+    2282768020U,	// VPUNPCKLQDQZrr
+    2282773200U,	// VPUNPCKLQDQrm
+    2282773200U,	// VPUNPCKLQDQrr
+    2282771895U,	// VPUNPCKLWDYrm
+    2282771895U,	// VPUNPCKLWDYrr
+    2282771895U,	// VPUNPCKLWDrm
+    2282771895U,	// VPUNPCKLWDrr
+    2282767313U,	// VPXORDZrm
+    2282767313U,	// VPXORDZrmb
+    88097745U,	// VPXORDZrmbk
+    2302690257U,	// VPXORDZrmbkz
+    88097745U,	// VPXORDZrmk
+    2302690257U,	// VPXORDZrmkz
+    2282767313U,	// VPXORDZrr
+    88097745U,	// VPXORDZrrk
+    2302690257U,	// VPXORDZrrkz
+    2282768311U,	// VPXORQZrm
+    2282768311U,	// VPXORQZrmb
+    88098743U,	// VPXORQZrmbk
+    2302691255U,	// VPXORQZrmbkz
+    88098743U,	// VPXORQZrmk
+    2302691255U,	// VPXORQZrmkz
+    2282768311U,	// VPXORQZrr
+    88098743U,	// VPXORQZrrk
+    2302691255U,	// VPXORQZrrkz
+    2282773652U,	// VPXORYrm
+    2282773652U,	// VPXORYrr
+    2282773652U,	// VPXORrm
+    2282773652U,	// VPXORrr
+    1007698571U,	// VRCP14PDZm
+    135283339U,	// VRCP14PDZr
+    1007700319U,	// VRCP14PSZm
+    135285087U,	// VRCP14PSZr
+    2282767405U,	// VRCP14SDrm
+    2282767405U,	// VRCP14SDrr
+    2282769041U,	// VRCP14SSrm
+    2282769041U,	// VRCP14SSrr
+    1007698595U,	// VRCP28PDZm
+    135283363U,	// VRCP28PDZr
+    135283363U,	// VRCP28PDZrb
+    1007700343U,	// VRCP28PSZm
+    135285111U,	// VRCP28PSZr
+    135285111U,	// VRCP28PSZrb
+    2282767429U,	// VRCP28SDrm
+    2282767429U,	// VRCP28SDrr
+    2282767429U,	// VRCP28SDrrb
+    2282769065U,	// VRCP28SSrm
+    2282769065U,	// VRCP28SSrr
+    2282769065U,	// VRCP28SSrrb
+    974151543U,	// VRCPPSYm
+    974151543U,	// VRCPPSYm_Int
+    135290743U,	// VRCPPSYr
+    135290743U,	// VRCPPSYr_Int
+    537943927U,	// VRCPPSm
+    537943927U,	// VRCPPSm_Int
+    135290743U,	// VRCPPSr
+    135290743U,	// VRCPPSr_Int
+    2282774858U,	// VRCPSSm
+    2282774858U,	// VRCPSSm_Int
+    2282774858U,	// VRCPSSr
+    3155182312U,	// VRNDSCALEPDZm
+    2282767080U,	// VRNDSCALEPDZr
+    3155184060U,	// VRNDSCALEPSZm
+    2282768828U,	// VRNDSCALEPSZr
+    2282767462U,	// VRNDSCALESDm
+    2282767462U,	// VRNDSCALESDr
+    2282769089U,	// VRNDSCALESSm
+    2282769089U,	// VRNDSCALESSr
+    2685424210U,	// VROUNDPDm
+    2282771026U,	// VROUNDPDr
+    2685427428U,	// VROUNDPSm
+    2282774244U,	// VROUNDPSr
+    2282771596U,	// VROUNDSDm
+    2282771596U,	// VROUNDSDr
+    2282771596U,	// VROUNDSDr_Int
+    2282774813U,	// VROUNDSSm
+    2282774813U,	// VROUNDSSr
+    2282774813U,	// VROUNDSSr_Int
+    3121631826U,	// VROUNDYPDm
+    2282771026U,	// VROUNDYPDr
+    3121635044U,	// VROUNDYPSm
+    2282774244U,	// VROUNDYPSr
+    1007698582U,	// VRSQRT14PDZm
+    135283350U,	// VRSQRT14PDZr
+    1007700330U,	// VRSQRT14PSZm
+    135285098U,	// VRSQRT14PSZr
+    2282767416U,	// VRSQRT14SDrm
+    2282767416U,	// VRSQRT14SDrr
+    2282769052U,	// VRSQRT14SSrm
+    2282769052U,	// VRSQRT14SSrr
+    1007698606U,	// VRSQRT28PDZm
+    135283374U,	// VRSQRT28PDZr
+    135283374U,	// VRSQRT28PDZrb
+    1007700354U,	// VRSQRT28PSZm
+    135285122U,	// VRSQRT28PSZr
+    135285122U,	// VRSQRT28PSZrb
+    2282767440U,	// VRSQRT28SDrm
+    2282767440U,	// VRSQRT28SDrr
+    2282767440U,	// VRSQRT28SDrrb
+    2282769076U,	// VRSQRT28SSrm
+    2282769076U,	// VRSQRT28SSrr
+    2282769076U,	// VRSQRT28SSrrb
+    974151626U,	// VRSQRTPSYm
+    974151626U,	// VRSQRTPSYm_Int
+    135290826U,	// VRSQRTPSYr
+    135290826U,	// VRSQRTPSYr_Int
+    537944010U,	// VRSQRTPSm
+    537944010U,	// VRSQRTPSm_Int
+    135290826U,	// VRSQRTPSr
+    135290826U,	// VRSQRTPSr_Int
+    2282774883U,	// VRSQRTSSm
+    2282774883U,	// VRSQRTSSm_Int
+    2282774883U,	// VRSQRTSSr
+    705242U,	// VSCATTERDPDZmr
+    690606U,	// VSCATTERDPSZmr
+    124809398U,	// VSCATTERPF0DPDm
+    124809538U,	// VSCATTERPF0DPSm
+    191918332U,	// VSCATTERPF0QPDm
+    191918472U,	// VSCATTERPF0QPSm
+    124809433U,	// VSCATTERPF1DPDm
+    124809573U,	// VSCATTERPF1DPSm
+    191918367U,	// VSCATTERPF1QPDm
+    191918507U,	// VSCATTERPF1QPSm
+    705354U,	// VSCATTERQPDZmr
+    707102U,	// VSCATTERQPSZmr
+    2282771048U,	// VSHUFPDYrmi
+    2282771048U,	// VSHUFPDYrri
+    2282767094U,	// VSHUFPDZrmi
+    2282767094U,	// VSHUFPDZrri
+    2282771048U,	// VSHUFPDrmi
+    2282771048U,	// VSHUFPDrri
+    2282774266U,	// VSHUFPSYrmi
+    2282774266U,	// VSHUFPSYrri
+    2282768842U,	// VSHUFPSZrmi
+    2282768842U,	// VSHUFPSZrri
+    2282774266U,	// VSHUFPSrmi
+    2282774266U,	// VSHUFPSrri
+    974148365U,	// VSQRTPDYm
+    135287565U,	// VSQRTPDYr
+    1007702797U,	// VSQRTPDZrm
+    135287565U,	// VSQRTPDZrr
+    537940749U,	// VSQRTPDm
+    135287565U,	// VSQRTPDr
+    974151636U,	// VSQRTPSYm
+    135290836U,	// VSQRTPSYr
+    1007706068U,	// VSQRTPSZrm
+    135290836U,	// VSQRTPSZrr
+    537944020U,	// VSQRTPSm
+    135290836U,	// VSQRTPSr
+    2282771674U,	// VSQRTSDZm
+    2282771674U,	// VSQRTSDZm_Int
+    2282771674U,	// VSQRTSDZr
+    2282771674U,	// VSQRTSDZr_Int
+    2282771674U,	// VSQRTSDm
+    2282771674U,	// VSQRTSDm_Int
+    2282771674U,	// VSQRTSDr
+    2282774893U,	// VSQRTSSZm
+    2282774893U,	// VSQRTSSZm_Int
+    2282774893U,	// VSQRTSSZr
+    2282774893U,	// VSQRTSSZr_Int
+    2282774893U,	// VSQRTSSm
+    2282774893U,	// VSQRTSSm_Int
+    2282774893U,	// VSQRTSSr
+    72880U,	// VSTMXCSR
+    2282770949U,	// VSUBPDYrm
+    2282770949U,	// VSUBPDYrr
+    2282767035U,	// VSUBPDZrm
+    2282767035U,	// VSUBPDZrmb
+    155206331U,	// VSUBPDZrmbk
+    2302689979U,	// VSUBPDZrmbkz
+    155210245U,	// VSUBPDZrmk
+    2302693893U,	// VSUBPDZrmkz
+    2282767035U,	// VSUBPDZrr
+    155206331U,	// VSUBPDZrrk
+    2302689979U,	// VSUBPDZrrkz
+    2282770949U,	// VSUBPDrm
+    2282770949U,	// VSUBPDrr
+    2282774167U,	// VSUBPSYrm
+    2282774167U,	// VSUBPSYrr
+    2282768783U,	// VSUBPSZrm
+    2282768783U,	// VSUBPSZrmb
+    155208079U,	// VSUBPSZrmbk
+    2302691727U,	// VSUBPSZrmbkz
+    155213463U,	// VSUBPSZrmk
+    2302697111U,	// VSUBPSZrmkz
+    2282768783U,	// VSUBPSZrr
+    155208079U,	// VSUBPSZrrk
+    2302691727U,	// VSUBPSZrrkz
+    2282774167U,	// VSUBPSrm
+    2282774167U,	// VSUBPSrr
+    2282771559U,	// VSUBSDZrm
+    2282771559U,	// VSUBSDZrr
+    2282771559U,	// VSUBSDrm
+    2282771559U,	// VSUBSDrm_Int
+    2282771559U,	// VSUBSDrr
+    2282771559U,	// VSUBSDrr_Int
+    2282774776U,	// VSUBSSZrm
+    2282774776U,	// VSUBSSZrr
+    2282774776U,	// VSUBSSrm
+    2282774776U,	// VSUBSSrm_Int
+    2282774776U,	// VSUBSSrr
+    2282774776U,	// VSUBSSrr_Int
+    974148374U,	// VTESTPDYrm
+    135287574U,	// VTESTPDYrr
+    537940758U,	// VTESTPDrm
+    135287574U,	// VTESTPDrr
+    974151645U,	// VTESTPSYrm
+    135290845U,	// VTESTPSYrr
+    537944029U,	// VTESTPSrm
+    135290845U,	// VTESTPSrr
+    571495574U,	// VUCOMISDZrm
+    135287958U,	// VUCOMISDZrr
+    571495574U,	// VUCOMISDrm
+    135287958U,	// VUCOMISDrr
+    605053223U,	// VUCOMISSZrm
+    135291175U,	// VUCOMISSZrr
+    605053223U,	// VUCOMISSrm
+    135291175U,	// VUCOMISSrr
+    2282771057U,	// VUNPCKHPDYrm
+    2282771057U,	// VUNPCKHPDYrr
+    2282771057U,	// VUNPCKHPDZrm
+    2282771057U,	// VUNPCKHPDZrr
+    2282771057U,	// VUNPCKHPDrm
+    2282771057U,	// VUNPCKHPDrr
+    2282774275U,	// VUNPCKHPSYrm
+    2282774275U,	// VUNPCKHPSYrr
+    2282774275U,	// VUNPCKHPSZrm
+    2282774275U,	// VUNPCKHPSZrr
+    2282774275U,	// VUNPCKHPSrm
+    2282774275U,	// VUNPCKHPSrr
+    2282771099U,	// VUNPCKLPDYrm
+    2282771099U,	// VUNPCKLPDYrr
+    2282771099U,	// VUNPCKLPDZrm
+    2282771099U,	// VUNPCKLPDZrr
+    2282771099U,	// VUNPCKLPDrm
+    2282771099U,	// VUNPCKLPDrr
+    2282774337U,	// VUNPCKLPSYrm
+    2282774337U,	// VUNPCKLPSYrr
+    2282774337U,	// VUNPCKLPSZrm
+    2282774337U,	// VUNPCKLPSZrr
+    2282774337U,	// VUNPCKLPSrm
+    2282774337U,	// VUNPCKLPSrr
+    2282771195U,	// VXORPDYrm
+    2282771195U,	// VXORPDYrr
+    2282771195U,	// VXORPDrm
+    2282771195U,	// VXORPDrr
+    2282774433U,	// VXORPSYrm
+    2282774433U,	// VXORPSYrr
+    2282774433U,	// VXORPSrm
+    2282774433U,	// VXORPSrr
+    11695U,	// VZEROALL
+    11895U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    153456U,	// W64ALLOCA
-    12663U,	// WAIT
-    11967U,	// WBINVD
-    12265U,	// WIN_ALLOCA
-    12141U,	// WIN_FTOL_32
-    12141U,	// WIN_FTOL_64
-    21977U,	// WRFSBASE
-    21977U,	// WRFSBASE64
-    21997U,	// WRGSBASE
-    21997U,	// WRGSBASE64
-    12442U,	// WRMSR
-    24911U,	// XABORT
-    12038U,	// XACQUIRE_PREFIX
-    1085155U,	// XADD16rm
-    135286499U,	// XADD16rr
-    1117923U,	// XADD32rm
-    135286499U,	// XADD32rr
-    1134307U,	// XADD64rm
-    135286499U,	// XADD64rr
-    1150691U,	// XADD8rm
-    135286499U,	// XADD8rr
-    11707U,	// XBEGIN
-    153611U,	// XBEGIN_4
-    26101U,	// XCHG16ar
-    464443U,	// XCHG16rm
-    726587U,	// XCHG16rr
-    26264U,	// XCHG32ar
-    26264U,	// XCHG32ar64
-    480827U,	// XCHG32rm
-    726587U,	// XCHG32rr
-    26388U,	// XCHG64ar
-    497211U,	// XCHG64rm
-    726587U,	// XCHG64rr
-    513595U,	// XCHG8rm
-    726587U,	// XCHG8rr
-    22116U,	// XCH_F
-    11856U,	// XCRYPTCBC
-    11800U,	// XCRYPTCFB
-    12448U,	// XCRYPTCTR
-    11790U,	// XCRYPTECB
-    11810U,	// XCRYPTOFB
-    11932U,	// XEND
-    12712U,	// XGETBV
-    11840U,	// XLAT
-    26128U,	// XOR16i16
-    1088454U,	// XOR16mi
-    1088454U,	// XOR16mi8
-    1088454U,	// XOR16mr
-    35707846U,	// XOR16ri
-    35707846U,	// XOR16ri8
-    3201990U,	// XOR16rm
-    35707846U,	// XOR16rr
-    68180934U,	// XOR16rr_REV
-    26294U,	// XOR32i32
-    1121222U,	// XOR32mi
-    1121222U,	// XOR32mi8
-    1121222U,	// XOR32mr
-    35707846U,	// XOR32ri
-    35707846U,	// XOR32ri8
-    4250566U,	// XOR32rm
-    35707846U,	// XOR32rr
-    68180934U,	// XOR32rr_REV
-    26433U,	// XOR64i32
-    1137606U,	// XOR64mi32
-    1137606U,	// XOR64mi8
-    1137606U,	// XOR64mr
-    35707846U,	// XOR64ri32
-    35707846U,	// XOR64ri8
-    5299142U,	// XOR64rm
-    35707846U,	// XOR64rr
-    68180934U,	// XOR64rr_REV
-    26016U,	// XOR8i8
-    1153990U,	// XOR8mi
-    1153990U,	// XOR8mr
-    35707846U,	// XOR8ri
-    35707846U,	// XOR8ri8
-    6347718U,	// XOR8rm
-    35707846U,	// XOR8rr
-    68180934U,	// XOR8rr_REV
-    202396204U,	// XORPDrm
-    68178476U,	// XORPDrr
-    202399442U,	// XORPSrm
-    68181714U,	// XORPSrr
-    12054U,	// XRELEASE_PREFIX
-    285628U,	// XRSTOR
-    281484U,	// XRSTOR64
-    284186U,	// XSAVE
-    281474U,	// XSAVE64
-    287045U,	// XSAVEOPT
-    281494U,	// XSAVEOPT64
-    12719U,	// XSETBV
-    11434U,	// XSHA1
-    11669U,	// XSHA256
-    12047U,	// XSTORE
-    12701U,	// XTEST
+    153664U,	// W64ALLOCA
+    12156U,	// WAIT
+    11423U,	// WBINVD
+    11752U,	// WIN_ALLOCA
+    11628U,	// WIN_FTOL_32
+    11628U,	// WIN_FTOL_64
+    22185U,	// WRFSBASE
+    22185U,	// WRFSBASE64
+    22205U,	// WRGSBASE
+    22205U,	// WRGSBASE64
+    11929U,	// WRMSR
+    25119U,	// XABORT
+    11494U,	// XACQUIRE_PREFIX
+    1085363U,	// XADD16rm
+    135286707U,	// XADD16rr
+    1118131U,	// XADD32rm
+    135286707U,	// XADD32rr
+    1134515U,	// XADD64rm
+    135286707U,	// XADD64rr
+    1150899U,	// XADD8rm
+    135286707U,	// XADD8rr
+    11163U,	// XBEGIN
+    153819U,	// XBEGIN_4
+    26309U,	// XCHG16ar
+    464651U,	// XCHG16rm
+    726795U,	// XCHG16rr
+    26472U,	// XCHG32ar
+    26472U,	// XCHG32ar64
+    481035U,	// XCHG32rm
+    726795U,	// XCHG32rr
+    26596U,	// XCHG64ar
+    497419U,	// XCHG64rm
+    726795U,	// XCHG64rr
+    513803U,	// XCHG8rm
+    726795U,	// XCHG8rr
+    22324U,	// XCH_F
+    11312U,	// XCRYPTCBC
+    11256U,	// XCRYPTCFB
+    11935U,	// XCRYPTCTR
+    11246U,	// XCRYPTECB
+    11266U,	// XCRYPTOFB
+    11388U,	// XEND
+    12211U,	// XGETBV
+    11296U,	// XLAT
+    26336U,	// XOR16i16
+    1088662U,	// XOR16mi
+    1088662U,	// XOR16mi8
+    1088662U,	// XOR16mr
+    35708054U,	// XOR16ri
+    35708054U,	// XOR16ri8
+    3202198U,	// XOR16rm
+    35708054U,	// XOR16rr
+    68181142U,	// XOR16rr_REV
+    26502U,	// XOR32i32
+    1121430U,	// XOR32mi
+    1121430U,	// XOR32mi8
+    1121430U,	// XOR32mr
+    35708054U,	// XOR32ri
+    35708054U,	// XOR32ri8
+    4250774U,	// XOR32rm
+    35708054U,	// XOR32rr
+    68181142U,	// XOR32rr_REV
+    26641U,	// XOR64i32
+    1137814U,	// XOR64mi32
+    1137814U,	// XOR64mi8
+    1137814U,	// XOR64mr
+    35708054U,	// XOR64ri32
+    35708054U,	// XOR64ri8
+    5299350U,	// XOR64rm
+    35708054U,	// XOR64rr
+    68181142U,	// XOR64rr_REV
+    26224U,	// XOR8i8
+    1154198U,	// XOR8mi
+    1154198U,	// XOR8mr
+    35708054U,	// XOR8ri
+    35708054U,	// XOR8ri8
+    6347926U,	// XOR8rm
+    35708054U,	// XOR8rr
+    68181142U,	// XOR8rr_REV
+    202396412U,	// XORPDrm
+    68178684U,	// XORPDrr
+    202399650U,	// XORPSrm
+    68181922U,	// XORPSrr
+    11510U,	// XRELEASE_PREFIX
+    285836U,	// XRSTOR
+    281660U,	// XRSTOR64
+    284394U,	// XSAVE
+    281650U,	// XSAVE64
+    287253U,	// XSAVEOPT
+    281670U,	// XSAVEOPT64
+    12218U,	// XSETBV
+    10890U,	// XSHA1
+    11125U,	// XSHA256
+    11503U,	// XSTORE
+    12194U,	// XTEST
     0U
   };
 
   static const uint32_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -5487,6 +6158,7 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// AAA
     0U,	// AAD8i8
     0U,	// AAM8i8
@@ -5687,49 +6359,6 @@
     0U,	// ANDPSrr
     0U,	// ARPL16mr
     0U,	// ARPL16rr
-    0U,	// ATOMADD6432
-    0U,	// ATOMAND16
-    0U,	// ATOMAND32
-    0U,	// ATOMAND64
-    0U,	// ATOMAND6432
-    0U,	// ATOMAND8
-    0U,	// ATOMMAX16
-    0U,	// ATOMMAX32
-    0U,	// ATOMMAX64
-    0U,	// ATOMMAX6432
-    0U,	// ATOMMAX8
-    0U,	// ATOMMIN16
-    0U,	// ATOMMIN32
-    0U,	// ATOMMIN64
-    0U,	// ATOMMIN6432
-    0U,	// ATOMMIN8
-    0U,	// ATOMNAND16
-    0U,	// ATOMNAND32
-    0U,	// ATOMNAND64
-    0U,	// ATOMNAND6432
-    0U,	// ATOMNAND8
-    0U,	// ATOMOR16
-    0U,	// ATOMOR32
-    0U,	// ATOMOR64
-    0U,	// ATOMOR6432
-    0U,	// ATOMOR8
-    0U,	// ATOMSUB6432
-    0U,	// ATOMSWAP6432
-    0U,	// ATOMUMAX16
-    0U,	// ATOMUMAX32
-    0U,	// ATOMUMAX64
-    0U,	// ATOMUMAX6432
-    0U,	// ATOMUMAX8
-    0U,	// ATOMUMIN16
-    0U,	// ATOMUMIN32
-    0U,	// ATOMUMIN64
-    0U,	// ATOMUMIN6432
-    0U,	// ATOMUMIN8
-    0U,	// ATOMXOR16
-    0U,	// ATOMXOR32
-    0U,	// ATOMXOR64
-    0U,	// ATOMXOR6432
-    0U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
@@ -6068,18 +6697,18 @@
     32U,	// CMPPSrmi_alt
     0U,	// CMPPSrri
     40U,	// CMPPSrri_alt
-    0U,	// CMPS16
-    0U,	// CMPS32
-    0U,	// CMPS64
-    0U,	// CMPS8
+    0U,	// CMPSB
     0U,	// CMPSDrm
     32U,	// CMPSDrm_alt
     0U,	// CMPSDrr
     40U,	// CMPSDrr_alt
+    0U,	// CMPSL
+    0U,	// CMPSQ
     0U,	// CMPSSrm
     32U,	// CMPSSrm_alt
     0U,	// CMPSSrr
     40U,	// CMPSSrr_alt
+    0U,	// CMPSW
     0U,	// CMPXCHG16B
     0U,	// CMPXCHG16rm
     0U,	// CMPXCHG16rr
@@ -6250,6 +6879,8 @@
     0U,	// EH_SjLj_SetJmp32
     0U,	// EH_SjLj_SetJmp64
     0U,	// EH_SjLj_Setup
+    0U,	// ENCLS
+    0U,	// ENCLU
     0U,	// ENTER
     0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
@@ -6324,7 +6955,6 @@
     0U,	// FXTRACT
     0U,	// FYL2X
     0U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
     0U,	// FsANDNPDrm
     0U,	// FsANDNPDrr
     0U,	// FsANDNPSrm
@@ -6404,13 +7034,10 @@
     8U,	// IMUL64rri8
     0U,	// IMUL8m
     0U,	// IMUL8r
-    0U,	// IN16
     0U,	// IN16ri
     0U,	// IN16rr
-    0U,	// IN32
     0U,	// IN32ri
     0U,	// IN32rr
-    0U,	// IN8
     0U,	// IN8ri
     0U,	// IN8rr
     0U,	// INC16m
@@ -6427,10 +7054,13 @@
     0U,	// INC64r
     0U,	// INC8m
     0U,	// INC8r
+    0U,	// INSB
     32U,	// INSERTPSrm
     40U,	// INSERTPSrr
     0U,	// INSERTQ
     48U,	// INSERTQI
+    0U,	// INSL
+    0U,	// INSW
     0U,	// INT
     0U,	// INT1
     0U,	// INT3
@@ -6640,14 +7270,41 @@
     0U,	// JS_1
     0U,	// JS_2
     0U,	// JS_4
+    8U,	// KANDBrr
+    8U,	// KANDDrr
+    8U,	// KANDNBrr
+    8U,	// KANDNDrr
+    8U,	// KANDNQrr
     8U,	// KANDNWrr
+    8U,	// KANDQrr
     8U,	// KANDWrr
+    0U,	// KMOVBkk
+    0U,	// KMOVBkm
+    0U,	// KMOVBkr
+    0U,	// KMOVBmk
+    0U,	// KMOVBrk
+    0U,	// KMOVDkk
+    0U,	// KMOVDkm
+    0U,	// KMOVDkr
+    0U,	// KMOVDmk
+    0U,	// KMOVDrk
+    0U,	// KMOVQkk
+    0U,	// KMOVQkm
+    0U,	// KMOVQkr
+    0U,	// KMOVQmk
+    0U,	// KMOVQrk
     0U,	// KMOVWkk
     0U,	// KMOVWkm
     0U,	// KMOVWkr
     0U,	// KMOVWmk
     0U,	// KMOVWrk
+    0U,	// KNOTBrr
+    0U,	// KNOTDrr
+    0U,	// KNOTQrr
     0U,	// KNOTWrr
+    8U,	// KORBrr
+    8U,	// KORDrr
+    8U,	// KORQrr
     0U,	// KORTESTWrr
     8U,	// KORWrr
     0U,	// KSET0B
@@ -6657,7 +7314,13 @@
     8U,	// KSHIFTLWri
     8U,	// KSHIFTRWri
     8U,	// KUNPCKBWrr
+    8U,	// KXNORBrr
+    8U,	// KXNORDrr
+    8U,	// KXNORQrr
     8U,	// KXNORWrr
+    8U,	// KXORBrr
+    8U,	// KXORDrr
+    8U,	// KXORQrr
     8U,	// KXORWrr
     0U,	// LAHF
     0U,	// LAR16rm
@@ -6889,6 +7552,7 @@
     0U,	// MMX_MOVQ64mr
     0U,	// MMX_MOVQ64rm
     0U,	// MMX_MOVQ64rr
+    0U,	// MMX_MOVQ64rr_REV
     0U,	// MMX_PABSBrm64
     0U,	// MMX_PABSBrr64
     0U,	// MMX_PABSDrm64
@@ -8023,12 +8687,20 @@
     0U,	// SBB8rm
     0U,	// SBB8rr
     0U,	// SBB8rr_REV
-    0U,	// SCAS16
-    0U,	// SCAS32
-    0U,	// SCAS64
-    0U,	// SCAS8
+    0U,	// SCASB
+    0U,	// SCASL
+    0U,	// SCASQ
+    0U,	// SCASW
     0U,	// SEG_ALLOCA_32
     0U,	// SEG_ALLOCA_64
+    0U,	// SEH_EndPrologue
+    0U,	// SEH_Epilogue
+    0U,	// SEH_PushFrame
+    0U,	// SEH_PushReg
+    0U,	// SEH_SaveReg
+    0U,	// SEH_SaveXMM
+    0U,	// SEH_SetFrame
+    0U,	// SEH_StackAlloc
     0U,	// SETAEm
     0U,	// SETAEr
     0U,	// SETAm
@@ -8423,14 +9095,26 @@
     8U,	// VADDPDYrr
     80U,	// VADDPDZrm
     568U,	// VADDPDZrmb
+    35082U,	// VADDPDZrmbk
+    35082U,	// VADDPDZrmbkz
+    4362U,	// VADDPDZrmk
+    4362U,	// VADDPDZrmkz
     8U,	// VADDPDZrr
+    71946U,	// VADDPDZrrk
+    71946U,	// VADDPDZrrkz
     88U,	// VADDPDrm
     8U,	// VADDPDrr
     72U,	// VADDPSYrm
     8U,	// VADDPSYrr
     80U,	// VADDPSZrm
     832U,	// VADDPSZrmb
+    106762U,	// VADDPSZrmbk
+    106762U,	// VADDPSZrmbkz
+    4362U,	// VADDPSZrmk
+    4362U,	// VADDPSZrmkz
     8U,	// VADDPSZrr
+    71946U,	// VADDPSZrrk
+    71946U,	// VADDPSZrrkz
     88U,	// VADDPSrm
     8U,	// VADDPSrr
     56U,	// VADDSDZrm
@@ -8465,10 +9149,14 @@
     0U,	// VAESIMCrr
     0U,	// VAESKEYGENASSIST128rm
     8U,	// VAESKEYGENASSIST128rr
-    33128U,	// VALIGNDrmi
-    35080U,	// VALIGNDrri
-    33128U,	// VALIGNQrmi
-    35080U,	// VALIGNQrri
+    65896U,	// VALIGNDrmi
+    71944U,	// VALIGNDrri
+    141610U,	// VALIGNDrrik
+    268554U,	// VALIGNDrrikz
+    65896U,	// VALIGNQrmi
+    71944U,	// VALIGNQrri
+    141610U,	// VALIGNQrrik
+    268554U,	// VALIGNQrrikz
     72U,	// VANDNPDYrm
     8U,	// VANDNPDYrr
     88U,	// VANDNPDrm
@@ -8487,27 +9175,31 @@
     8U,	// VANDPSrr
     8U,	// VASTART_SAVE_XMM_REGS
     4362U,	// VBLENDMPDZrm
-    35082U,	// VBLENDMPDZrr
+    71946U,	// VBLENDMPDZrr
     4362U,	// VBLENDMPSZrm
-    35082U,	// VBLENDMPSZrr
-    33096U,	// VBLENDPDYrmi
-    35080U,	// VBLENDPDYrri
-    33112U,	// VBLENDPDrmi
-    35080U,	// VBLENDPDrri
-    33096U,	// VBLENDPSYrmi
-    35080U,	// VBLENDPSYrri
-    33112U,	// VBLENDPSrmi
-    35080U,	// VBLENDPSrri
-    33096U,	// VBLENDVPDYrm
-    35080U,	// VBLENDVPDYrr
-    33112U,	// VBLENDVPDrm
-    35080U,	// VBLENDVPDrr
-    33096U,	// VBLENDVPSYrm
-    35080U,	// VBLENDVPSYrr
-    33112U,	// VBLENDVPSrm
-    35080U,	// VBLENDVPSrr
+    71946U,	// VBLENDMPSZrr
+    65864U,	// VBLENDPDYrmi
+    71944U,	// VBLENDPDYrri
+    65880U,	// VBLENDPDrmi
+    71944U,	// VBLENDPDrri
+    65864U,	// VBLENDPSYrmi
+    71944U,	// VBLENDPSYrri
+    65880U,	// VBLENDPSrmi
+    71944U,	// VBLENDPSrri
+    65864U,	// VBLENDVPDYrm
+    71944U,	// VBLENDVPDYrr
+    65880U,	// VBLENDVPDrm
+    71944U,	// VBLENDVPDrr
+    65864U,	// VBLENDVPSYrm
+    71944U,	// VBLENDVPSYrr
+    65880U,	// VBLENDVPSrm
+    71944U,	// VBLENDVPSrr
     0U,	// VBROADCASTF128
     0U,	// VBROADCASTI128
+    98U,	// VBROADCASTI32X4krm
+    0U,	// VBROADCASTI32X4rm
+    114U,	// VBROADCASTI64X4krm
+    0U,	// VBROADCASTI64X4rm
     0U,	// VBROADCASTSDYrm
     0U,	// VBROADCASTSDYrr
     0U,	// VBROADCASTSDZrm
@@ -8519,47 +9211,47 @@
     0U,	// VBROADCASTSSrm
     0U,	// VBROADCASTSSrr
     72U,	// VCMPPDYrmi
-    33096U,	// VCMPPDYrmi_alt
+    65864U,	// VCMPPDYrmi_alt
     8U,	// VCMPPDYrri
-    35080U,	// VCMPPDYrri_alt
+    71944U,	// VCMPPDYrri_alt
     0U,	// VCMPPDZrmi
-    33104U,	// VCMPPDZrmi_alt
+    65872U,	// VCMPPDZrmi_alt
     0U,	// VCMPPDZrri
-    35080U,	// VCMPPDZrri_alt
-    2U,	// VCMPPDZrrib
+    71944U,	// VCMPPDZrri_alt
+    3U,	// VCMPPDZrrib
     88U,	// VCMPPDrmi
-    33112U,	// VCMPPDrmi_alt
+    65880U,	// VCMPPDrmi_alt
     8U,	// VCMPPDrri
-    35080U,	// VCMPPDrri_alt
+    71944U,	// VCMPPDrri_alt
     72U,	// VCMPPSYrmi
-    33096U,	// VCMPPSYrmi_alt
+    65864U,	// VCMPPSYrmi_alt
     8U,	// VCMPPSYrri
-    35080U,	// VCMPPSYrri_alt
+    71944U,	// VCMPPSYrri_alt
     0U,	// VCMPPSZrmi
-    33104U,	// VCMPPSZrmi_alt
+    65872U,	// VCMPPSZrmi_alt
     0U,	// VCMPPSZrri
-    35080U,	// VCMPPSZrri_alt
-    2U,	// VCMPPSZrrib
+    71944U,	// VCMPPSZrri_alt
+    3U,	// VCMPPSZrrib
     88U,	// VCMPPSrmi
-    33112U,	// VCMPPSrmi_alt
+    65880U,	// VCMPPSrmi_alt
     8U,	// VCMPPSrri
-    35080U,	// VCMPPSrri_alt
+    71944U,	// VCMPPSrri_alt
     56U,	// VCMPSDZrm
-    33080U,	// VCMPSDZrmi_alt
+    65848U,	// VCMPSDZrmi_alt
     8U,	// VCMPSDZrr
-    35080U,	// VCMPSDZrri_alt
+    71944U,	// VCMPSDZrri_alt
     56U,	// VCMPSDrm
-    33080U,	// VCMPSDrm_alt
+    65848U,	// VCMPSDrm_alt
     8U,	// VCMPSDrr
-    35080U,	// VCMPSDrr_alt
+    71944U,	// VCMPSDrr_alt
     64U,	// VCMPSSZrm
-    33088U,	// VCMPSSZrmi_alt
+    65856U,	// VCMPSSZrmi_alt
     8U,	// VCMPSSZrr
-    35080U,	// VCMPSSZrri_alt
+    71944U,	// VCMPSSZrri_alt
     64U,	// VCMPSSrm
-    33088U,	// VCMPSSrm_alt
+    65856U,	// VCMPSSrm_alt
     8U,	// VCMPSSrr
-    35080U,	// VCMPSSrr_alt
+    71944U,	// VCMPSSrr_alt
     0U,	// VCOMISDZrm
     0U,	// VCOMISDZrr
     0U,	// VCOMISDrm
@@ -8578,7 +9270,7 @@
     0U,	// VCVTDQ2PSYrr
     0U,	// VCVTDQ2PSZrm
     0U,	// VCVTDQ2PSZrr
-    112U,	// VCVTDQ2PSZrrb
+    120U,	// VCVTDQ2PSZrrb
     0U,	// VCVTDQ2PSrm
     0U,	// VCVTDQ2PSrr
     0U,	// VCVTPD2DQXrm
@@ -8586,18 +9278,18 @@
     0U,	// VCVTPD2DQYrr
     0U,	// VCVTPD2DQZrm
     0U,	// VCVTPD2DQZrr
-    112U,	// VCVTPD2DQZrrb
+    120U,	// VCVTPD2DQZrrb
     0U,	// VCVTPD2DQrr
     0U,	// VCVTPD2PSXrm
     0U,	// VCVTPD2PSYrm
     0U,	// VCVTPD2PSYrr
     0U,	// VCVTPD2PSZrm
     0U,	// VCVTPD2PSZrr
-    112U,	// VCVTPD2PSZrrb
+    120U,	// VCVTPD2PSZrrb
     0U,	// VCVTPD2PSrr
     0U,	// VCVTPD2UDQZrm
     0U,	// VCVTPD2UDQZrr
-    112U,	// VCVTPD2UDQZrrb
+    120U,	// VCVTPD2UDQZrrb
     0U,	// VCVTPH2PSYrm
     0U,	// VCVTPH2PSYrr
     0U,	// VCVTPH2PSZrm
@@ -8608,7 +9300,7 @@
     0U,	// VCVTPS2DQYrr
     0U,	// VCVTPS2DQZrm
     0U,	// VCVTPS2DQZrr
-    112U,	// VCVTPS2DQZrrb
+    120U,	// VCVTPS2DQZrrb
     0U,	// VCVTPS2DQrm
     0U,	// VCVTPS2DQrr
     0U,	// VCVTPS2PDYrm
@@ -8625,7 +9317,7 @@
     8U,	// VCVTPS2PHrr
     0U,	// VCVTPS2UDQZrm
     0U,	// VCVTPS2UDQZrr
-    112U,	// VCVTPS2UDQZrrb
+    120U,	// VCVTPS2UDQZrrb
     0U,	// VCVTSD2SI64Zrm
     0U,	// VCVTSD2SI64Zrr
     0U,	// VCVTSD2SI64rm
@@ -8718,7 +9410,7 @@
     0U,	// VCVTUDQ2PDZrr
     0U,	// VCVTUDQ2PSZrm
     0U,	// VCVTUDQ2PSZrr
-    112U,	// VCVTUDQ2PSZrrb
+    120U,	// VCVTUDQ2PSZrrb
     16U,	// VCVTUSI2SDZrm
     8U,	// VCVTUSI2SDZrr
     16U,	// VCVTUSI2SSZrm
@@ -8731,14 +9423,26 @@
     8U,	// VDIVPDYrr
     80U,	// VDIVPDZrm
     568U,	// VDIVPDZrmb
+    35082U,	// VDIVPDZrmbk
+    35082U,	// VDIVPDZrmbkz
+    4362U,	// VDIVPDZrmk
+    4362U,	// VDIVPDZrmkz
     8U,	// VDIVPDZrr
+    71946U,	// VDIVPDZrrk
+    71946U,	// VDIVPDZrrkz
     88U,	// VDIVPDrm
     8U,	// VDIVPDrr
     72U,	// VDIVPSYrm
     8U,	// VDIVPSYrr
     80U,	// VDIVPSZrm
     832U,	// VDIVPSZrmb
+    106762U,	// VDIVPSZrmbk
+    106762U,	// VDIVPSZrmbkz
+    4362U,	// VDIVPSZrmk
+    4362U,	// VDIVPSZrmkz
     8U,	// VDIVPSZrr
+    71946U,	// VDIVPSZrrk
+    71946U,	// VDIVPSZrrkz
     88U,	// VDIVPSrm
     8U,	// VDIVPSrr
     56U,	// VDIVSDZrm
@@ -8753,12 +9457,12 @@
     64U,	// VDIVSSrm_Int
     8U,	// VDIVSSrr
     8U,	// VDIVSSrr_Int
-    33112U,	// VDPPDrmi
-    35080U,	// VDPPDrri
-    33144U,	// VDPPSYrmi
-    35080U,	// VDPPSYrri
-    33112U,	// VDPPSrmi
-    35080U,	// VDPPSrri
+    65880U,	// VDPPDrmi
+    71944U,	// VDPPDrri
+    65904U,	// VDPPSYrmi
+    71944U,	// VDPPSYrri
+    65880U,	// VDPPSrmi
+    71944U,	// VDPPSrri
     0U,	// VERRm
     0U,	// VERRr
     0U,	// VERWm
@@ -8789,14 +9493,14 @@
     128U,	// VFMADD213PSZm
     912U,	// VFMADD213PSZmb
     40U,	// VFMADD213PSZr
-    33112U,	// VFMADDPD4mr
-    33096U,	// VFMADDPD4mrY
-    39176U,	// VFMADDPD4rm
-    41224U,	// VFMADDPD4rmY
-    35080U,	// VFMADDPD4rr
-    35080U,	// VFMADDPD4rrY
-    35080U,	// VFMADDPD4rrY_REV
-    35080U,	// VFMADDPD4rr_REV
+    65880U,	// VFMADDPD4mr
+    65864U,	// VFMADDPD4mrY
+    78088U,	// VFMADDPD4rm
+    80136U,	// VFMADDPD4rmY
+    71944U,	// VFMADDPD4rr
+    71944U,	// VFMADDPD4rrY
+    71944U,	// VFMADDPD4rrY_REV
+    71944U,	// VFMADDPD4rr_REV
     152U,	// VFMADDPDr132m
     160U,	// VFMADDPDr132mY
     40U,	// VFMADDPDr132r
@@ -8809,14 +9513,14 @@
     160U,	// VFMADDPDr231mY
     40U,	// VFMADDPDr231r
     40U,	// VFMADDPDr231rY
-    33112U,	// VFMADDPS4mr
-    33096U,	// VFMADDPS4mrY
-    39176U,	// VFMADDPS4rm
-    41224U,	// VFMADDPS4rmY
-    35080U,	// VFMADDPS4rr
-    35080U,	// VFMADDPS4rrY
-    35080U,	// VFMADDPS4rrY_REV
-    35080U,	// VFMADDPS4rr_REV
+    65880U,	// VFMADDPS4mr
+    65864U,	// VFMADDPS4mrY
+    78088U,	// VFMADDPS4rm
+    80136U,	// VFMADDPS4rmY
+    71944U,	// VFMADDPS4rr
+    71944U,	// VFMADDPS4rrY
+    71944U,	// VFMADDPS4rrY_REV
+    71944U,	// VFMADDPS4rr_REV
     152U,	// VFMADDPSr132m
     160U,	// VFMADDPSr132mY
     40U,	// VFMADDPSr132r
@@ -8829,13 +9533,13 @@
     160U,	// VFMADDPSr231mY
     40U,	// VFMADDPSr231r
     40U,	// VFMADDPSr231rY
-    33080U,	// VFMADDSD4mr
-    33080U,	// VFMADDSD4mr_Int
-    10504U,	// VFMADDSD4rm
-    10504U,	// VFMADDSD4rm_Int
-    35080U,	// VFMADDSD4rr
-    35080U,	// VFMADDSD4rr_Int
-    35080U,	// VFMADDSD4rr_REV
+    65848U,	// VFMADDSD4mr
+    65848U,	// VFMADDSD4mr_Int
+    67848U,	// VFMADDSD4rm
+    67848U,	// VFMADDSD4rm_Int
+    71944U,	// VFMADDSD4rr
+    71944U,	// VFMADDSD4rr_Int
+    71944U,	// VFMADDSD4rr_REV
     152U,	// VFMADDSDZm
     40U,	// VFMADDSDZr
     136U,	// VFMADDSDr132m
@@ -8844,13 +9548,13 @@
     40U,	// VFMADDSDr213r
     136U,	// VFMADDSDr231m
     40U,	// VFMADDSDr231r
-    33088U,	// VFMADDSS4mr
-    33088U,	// VFMADDSS4mr_Int
-    12552U,	// VFMADDSS4rm
-    12552U,	// VFMADDSS4rm_Int
-    35080U,	// VFMADDSS4rr
-    35080U,	// VFMADDSS4rr_Int
-    35080U,	// VFMADDSS4rr_REV
+    65856U,	// VFMADDSS4mr
+    65856U,	// VFMADDSS4mr_Int
+    73992U,	// VFMADDSS4rm
+    73992U,	// VFMADDSS4rm_Int
+    71944U,	// VFMADDSS4rr
+    71944U,	// VFMADDSS4rr_Int
+    71944U,	// VFMADDSS4rr_REV
     152U,	// VFMADDSSZm
     40U,	// VFMADDSSZr
     144U,	// VFMADDSSr132m
@@ -8869,14 +9573,14 @@
     128U,	// VFMADDSUB213PSZm
     912U,	// VFMADDSUB213PSZmb
     40U,	// VFMADDSUB213PSZr
-    33112U,	// VFMADDSUBPD4mr
-    33096U,	// VFMADDSUBPD4mrY
-    39176U,	// VFMADDSUBPD4rm
-    41224U,	// VFMADDSUBPD4rmY
-    35080U,	// VFMADDSUBPD4rr
-    35080U,	// VFMADDSUBPD4rrY
-    35080U,	// VFMADDSUBPD4rrY_REV
-    35080U,	// VFMADDSUBPD4rr_REV
+    65880U,	// VFMADDSUBPD4mr
+    65864U,	// VFMADDSUBPD4mrY
+    78088U,	// VFMADDSUBPD4rm
+    80136U,	// VFMADDSUBPD4rmY
+    71944U,	// VFMADDSUBPD4rr
+    71944U,	// VFMADDSUBPD4rrY
+    71944U,	// VFMADDSUBPD4rrY_REV
+    71944U,	// VFMADDSUBPD4rr_REV
     152U,	// VFMADDSUBPDr132m
     160U,	// VFMADDSUBPDr132mY
     40U,	// VFMADDSUBPDr132r
@@ -8889,14 +9593,14 @@
     160U,	// VFMADDSUBPDr231mY
     40U,	// VFMADDSUBPDr231r
     40U,	// VFMADDSUBPDr231rY
-    33112U,	// VFMADDSUBPS4mr
-    33096U,	// VFMADDSUBPS4mrY
-    39176U,	// VFMADDSUBPS4rm
-    41224U,	// VFMADDSUBPS4rmY
-    35080U,	// VFMADDSUBPS4rr
-    35080U,	// VFMADDSUBPS4rrY
-    35080U,	// VFMADDSUBPS4rrY_REV
-    35080U,	// VFMADDSUBPS4rr_REV
+    65880U,	// VFMADDSUBPS4mr
+    65864U,	// VFMADDSUBPS4mrY
+    78088U,	// VFMADDSUBPS4rm
+    80136U,	// VFMADDSUBPS4rmY
+    71944U,	// VFMADDSUBPS4rr
+    71944U,	// VFMADDSUBPS4rrY
+    71944U,	// VFMADDSUBPS4rrY_REV
+    71944U,	// VFMADDSUBPS4rr_REV
     152U,	// VFMADDSUBPSr132m
     160U,	// VFMADDSUBPSr132mY
     40U,	// VFMADDSUBPSr132r
@@ -8929,14 +9633,14 @@
     128U,	// VFMSUBADD213PSZm
     912U,	// VFMSUBADD213PSZmb
     40U,	// VFMSUBADD213PSZr
-    33112U,	// VFMSUBADDPD4mr
-    33096U,	// VFMSUBADDPD4mrY
-    39176U,	// VFMSUBADDPD4rm
-    41224U,	// VFMSUBADDPD4rmY
-    35080U,	// VFMSUBADDPD4rr
-    35080U,	// VFMSUBADDPD4rrY
-    35080U,	// VFMSUBADDPD4rrY_REV
-    35080U,	// VFMSUBADDPD4rr_REV
+    65880U,	// VFMSUBADDPD4mr
+    65864U,	// VFMSUBADDPD4mrY
+    78088U,	// VFMSUBADDPD4rm
+    80136U,	// VFMSUBADDPD4rmY
+    71944U,	// VFMSUBADDPD4rr
+    71944U,	// VFMSUBADDPD4rrY
+    71944U,	// VFMSUBADDPD4rrY_REV
+    71944U,	// VFMSUBADDPD4rr_REV
     152U,	// VFMSUBADDPDr132m
     160U,	// VFMSUBADDPDr132mY
     40U,	// VFMSUBADDPDr132r
@@ -8949,14 +9653,14 @@
     160U,	// VFMSUBADDPDr231mY
     40U,	// VFMSUBADDPDr231r
     40U,	// VFMSUBADDPDr231rY
-    33112U,	// VFMSUBADDPS4mr
-    33096U,	// VFMSUBADDPS4mrY
-    39176U,	// VFMSUBADDPS4rm
-    41224U,	// VFMSUBADDPS4rmY
-    35080U,	// VFMSUBADDPS4rr
-    35080U,	// VFMSUBADDPS4rrY
-    35080U,	// VFMSUBADDPS4rrY_REV
-    35080U,	// VFMSUBADDPS4rr_REV
+    65880U,	// VFMSUBADDPS4mr
+    65864U,	// VFMSUBADDPS4mrY
+    78088U,	// VFMSUBADDPS4rm
+    80136U,	// VFMSUBADDPS4rmY
+    71944U,	// VFMSUBADDPS4rr
+    71944U,	// VFMSUBADDPS4rrY
+    71944U,	// VFMSUBADDPS4rrY_REV
+    71944U,	// VFMSUBADDPS4rr_REV
     152U,	// VFMSUBADDPSr132m
     160U,	// VFMSUBADDPSr132mY
     40U,	// VFMSUBADDPSr132r
@@ -8969,14 +9673,14 @@
     160U,	// VFMSUBADDPSr231mY
     40U,	// VFMSUBADDPSr231r
     40U,	// VFMSUBADDPSr231rY
-    33112U,	// VFMSUBPD4mr
-    33096U,	// VFMSUBPD4mrY
-    39176U,	// VFMSUBPD4rm
-    41224U,	// VFMSUBPD4rmY
-    35080U,	// VFMSUBPD4rr
-    35080U,	// VFMSUBPD4rrY
-    35080U,	// VFMSUBPD4rrY_REV
-    35080U,	// VFMSUBPD4rr_REV
+    65880U,	// VFMSUBPD4mr
+    65864U,	// VFMSUBPD4mrY
+    78088U,	// VFMSUBPD4rm
+    80136U,	// VFMSUBPD4rmY
+    71944U,	// VFMSUBPD4rr
+    71944U,	// VFMSUBPD4rrY
+    71944U,	// VFMSUBPD4rrY_REV
+    71944U,	// VFMSUBPD4rr_REV
     152U,	// VFMSUBPDr132m
     160U,	// VFMSUBPDr132mY
     40U,	// VFMSUBPDr132r
@@ -8989,14 +9693,14 @@
     160U,	// VFMSUBPDr231mY
     40U,	// VFMSUBPDr231r
     40U,	// VFMSUBPDr231rY
-    33112U,	// VFMSUBPS4mr
-    33096U,	// VFMSUBPS4mrY
-    39176U,	// VFMSUBPS4rm
-    41224U,	// VFMSUBPS4rmY
-    35080U,	// VFMSUBPS4rr
-    35080U,	// VFMSUBPS4rrY
-    35080U,	// VFMSUBPS4rrY_REV
-    35080U,	// VFMSUBPS4rr_REV
+    65880U,	// VFMSUBPS4mr
+    65864U,	// VFMSUBPS4mrY
+    78088U,	// VFMSUBPS4rm
+    80136U,	// VFMSUBPS4rmY
+    71944U,	// VFMSUBPS4rr
+    71944U,	// VFMSUBPS4rrY
+    71944U,	// VFMSUBPS4rrY_REV
+    71944U,	// VFMSUBPS4rr_REV
     152U,	// VFMSUBPSr132m
     160U,	// VFMSUBPSr132mY
     40U,	// VFMSUBPSr132r
@@ -9009,13 +9713,13 @@
     160U,	// VFMSUBPSr231mY
     40U,	// VFMSUBPSr231r
     40U,	// VFMSUBPSr231rY
-    33080U,	// VFMSUBSD4mr
-    33080U,	// VFMSUBSD4mr_Int
-    10504U,	// VFMSUBSD4rm
-    10504U,	// VFMSUBSD4rm_Int
-    35080U,	// VFMSUBSD4rr
-    35080U,	// VFMSUBSD4rr_Int
-    35080U,	// VFMSUBSD4rr_REV
+    65848U,	// VFMSUBSD4mr
+    65848U,	// VFMSUBSD4mr_Int
+    67848U,	// VFMSUBSD4rm
+    67848U,	// VFMSUBSD4rm_Int
+    71944U,	// VFMSUBSD4rr
+    71944U,	// VFMSUBSD4rr_Int
+    71944U,	// VFMSUBSD4rr_REV
     152U,	// VFMSUBSDZm
     40U,	// VFMSUBSDZr
     136U,	// VFMSUBSDr132m
@@ -9024,13 +9728,13 @@
     40U,	// VFMSUBSDr213r
     136U,	// VFMSUBSDr231m
     40U,	// VFMSUBSDr231r
-    33088U,	// VFMSUBSS4mr
-    33088U,	// VFMSUBSS4mr_Int
-    12552U,	// VFMSUBSS4rm
-    12552U,	// VFMSUBSS4rm_Int
-    35080U,	// VFMSUBSS4rr
-    35080U,	// VFMSUBSS4rr_Int
-    35080U,	// VFMSUBSS4rr_REV
+    65856U,	// VFMSUBSS4mr
+    65856U,	// VFMSUBSS4mr_Int
+    73992U,	// VFMSUBSS4rm
+    73992U,	// VFMSUBSS4rm_Int
+    71944U,	// VFMSUBSS4rr
+    71944U,	// VFMSUBSS4rr_Int
+    71944U,	// VFMSUBSS4rr_REV
     152U,	// VFMSUBSSZm
     40U,	// VFMSUBSSZr
     144U,	// VFMSUBSSr132m
@@ -9049,14 +9753,14 @@
     128U,	// VFNMADD213PSZm
     912U,	// VFNMADD213PSZmb
     40U,	// VFNMADD213PSZr
-    33112U,	// VFNMADDPD4mr
-    33096U,	// VFNMADDPD4mrY
-    39176U,	// VFNMADDPD4rm
-    41224U,	// VFNMADDPD4rmY
-    35080U,	// VFNMADDPD4rr
-    35080U,	// VFNMADDPD4rrY
-    35080U,	// VFNMADDPD4rrY_REV
-    35080U,	// VFNMADDPD4rr_REV
+    65880U,	// VFNMADDPD4mr
+    65864U,	// VFNMADDPD4mrY
+    78088U,	// VFNMADDPD4rm
+    80136U,	// VFNMADDPD4rmY
+    71944U,	// VFNMADDPD4rr
+    71944U,	// VFNMADDPD4rrY
+    71944U,	// VFNMADDPD4rrY_REV
+    71944U,	// VFNMADDPD4rr_REV
     152U,	// VFNMADDPDr132m
     160U,	// VFNMADDPDr132mY
     40U,	// VFNMADDPDr132r
@@ -9069,14 +9773,14 @@
     160U,	// VFNMADDPDr231mY
     40U,	// VFNMADDPDr231r
     40U,	// VFNMADDPDr231rY
-    33112U,	// VFNMADDPS4mr
-    33096U,	// VFNMADDPS4mrY
-    39176U,	// VFNMADDPS4rm
-    41224U,	// VFNMADDPS4rmY
-    35080U,	// VFNMADDPS4rr
-    35080U,	// VFNMADDPS4rrY
-    35080U,	// VFNMADDPS4rrY_REV
-    35080U,	// VFNMADDPS4rr_REV
+    65880U,	// VFNMADDPS4mr
+    65864U,	// VFNMADDPS4mrY
+    78088U,	// VFNMADDPS4rm
+    80136U,	// VFNMADDPS4rmY
+    71944U,	// VFNMADDPS4rr
+    71944U,	// VFNMADDPS4rrY
+    71944U,	// VFNMADDPS4rrY_REV
+    71944U,	// VFNMADDPS4rr_REV
     152U,	// VFNMADDPSr132m
     160U,	// VFNMADDPSr132mY
     40U,	// VFNMADDPSr132r
@@ -9089,13 +9793,13 @@
     160U,	// VFNMADDPSr231mY
     40U,	// VFNMADDPSr231r
     40U,	// VFNMADDPSr231rY
-    33080U,	// VFNMADDSD4mr
-    33080U,	// VFNMADDSD4mr_Int
-    10504U,	// VFNMADDSD4rm
-    10504U,	// VFNMADDSD4rm_Int
-    35080U,	// VFNMADDSD4rr
-    35080U,	// VFNMADDSD4rr_Int
-    35080U,	// VFNMADDSD4rr_REV
+    65848U,	// VFNMADDSD4mr
+    65848U,	// VFNMADDSD4mr_Int
+    67848U,	// VFNMADDSD4rm
+    67848U,	// VFNMADDSD4rm_Int
+    71944U,	// VFNMADDSD4rr
+    71944U,	// VFNMADDSD4rr_Int
+    71944U,	// VFNMADDSD4rr_REV
     152U,	// VFNMADDSDZm
     40U,	// VFNMADDSDZr
     136U,	// VFNMADDSDr132m
@@ -9104,13 +9808,13 @@
     40U,	// VFNMADDSDr213r
     136U,	// VFNMADDSDr231m
     40U,	// VFNMADDSDr231r
-    33088U,	// VFNMADDSS4mr
-    33088U,	// VFNMADDSS4mr_Int
-    12552U,	// VFNMADDSS4rm
-    12552U,	// VFNMADDSS4rm_Int
-    35080U,	// VFNMADDSS4rr
-    35080U,	// VFNMADDSS4rr_Int
-    35080U,	// VFNMADDSS4rr_REV
+    65856U,	// VFNMADDSS4mr
+    65856U,	// VFNMADDSS4mr_Int
+    73992U,	// VFNMADDSS4rm
+    73992U,	// VFNMADDSS4rm_Int
+    71944U,	// VFNMADDSS4rr
+    71944U,	// VFNMADDSS4rr_Int
+    71944U,	// VFNMADDSS4rr_REV
     152U,	// VFNMADDSSZm
     40U,	// VFNMADDSSZr
     144U,	// VFNMADDSSr132m
@@ -9129,14 +9833,14 @@
     128U,	// VFNMSUB213PSZm
     912U,	// VFNMSUB213PSZmb
     40U,	// VFNMSUB213PSZr
-    33112U,	// VFNMSUBPD4mr
-    33096U,	// VFNMSUBPD4mrY
-    39176U,	// VFNMSUBPD4rm
-    41224U,	// VFNMSUBPD4rmY
-    35080U,	// VFNMSUBPD4rr
-    35080U,	// VFNMSUBPD4rrY
-    35080U,	// VFNMSUBPD4rrY_REV
-    35080U,	// VFNMSUBPD4rr_REV
+    65880U,	// VFNMSUBPD4mr
+    65864U,	// VFNMSUBPD4mrY
+    78088U,	// VFNMSUBPD4rm
+    80136U,	// VFNMSUBPD4rmY
+    71944U,	// VFNMSUBPD4rr
+    71944U,	// VFNMSUBPD4rrY
+    71944U,	// VFNMSUBPD4rrY_REV
+    71944U,	// VFNMSUBPD4rr_REV
     152U,	// VFNMSUBPDr132m
     160U,	// VFNMSUBPDr132mY
     40U,	// VFNMSUBPDr132r
@@ -9149,14 +9853,14 @@
     160U,	// VFNMSUBPDr231mY
     40U,	// VFNMSUBPDr231r
     40U,	// VFNMSUBPDr231rY
-    33112U,	// VFNMSUBPS4mr
-    33096U,	// VFNMSUBPS4mrY
-    39176U,	// VFNMSUBPS4rm
-    41224U,	// VFNMSUBPS4rmY
-    35080U,	// VFNMSUBPS4rr
-    35080U,	// VFNMSUBPS4rrY
-    35080U,	// VFNMSUBPS4rrY_REV
-    35080U,	// VFNMSUBPS4rr_REV
+    65880U,	// VFNMSUBPS4mr
+    65864U,	// VFNMSUBPS4mrY
+    78088U,	// VFNMSUBPS4rm
+    80136U,	// VFNMSUBPS4rmY
+    71944U,	// VFNMSUBPS4rr
+    71944U,	// VFNMSUBPS4rrY
+    71944U,	// VFNMSUBPS4rrY_REV
+    71944U,	// VFNMSUBPS4rr_REV
     152U,	// VFNMSUBPSr132m
     160U,	// VFNMSUBPSr132mY
     40U,	// VFNMSUBPSr132r
@@ -9169,13 +9873,13 @@
     160U,	// VFNMSUBPSr231mY
     40U,	// VFNMSUBPSr231r
     40U,	// VFNMSUBPSr231rY
-    33080U,	// VFNMSUBSD4mr
-    33080U,	// VFNMSUBSD4mr_Int
-    10504U,	// VFNMSUBSD4rm
-    10504U,	// VFNMSUBSD4rm_Int
-    35080U,	// VFNMSUBSD4rr
-    35080U,	// VFNMSUBSD4rr_Int
-    35080U,	// VFNMSUBSD4rr_REV
+    65848U,	// VFNMSUBSD4mr
+    65848U,	// VFNMSUBSD4mr_Int
+    67848U,	// VFNMSUBSD4rm
+    67848U,	// VFNMSUBSD4rm_Int
+    71944U,	// VFNMSUBSD4rr
+    71944U,	// VFNMSUBSD4rr_Int
+    71944U,	// VFNMSUBSD4rr_REV
     152U,	// VFNMSUBSDZm
     40U,	// VFNMSUBSDZr
     136U,	// VFNMSUBSDr132m
@@ -9184,13 +9888,13 @@
     40U,	// VFNMSUBSDr213r
     136U,	// VFNMSUBSDr231m
     40U,	// VFNMSUBSDr231r
-    33088U,	// VFNMSUBSS4mr
-    33088U,	// VFNMSUBSS4mr_Int
-    12552U,	// VFNMSUBSS4rm
-    12552U,	// VFNMSUBSS4rm_Int
-    35080U,	// VFNMSUBSS4rr
-    35080U,	// VFNMSUBSS4rr_Int
-    35080U,	// VFNMSUBSS4rr_REV
+    65856U,	// VFNMSUBSS4mr
+    65856U,	// VFNMSUBSS4mr_Int
+    73992U,	// VFNMSUBSS4rm
+    73992U,	// VFNMSUBSS4rm_Int
+    71944U,	// VFNMSUBSS4rr
+    71944U,	// VFNMSUBSS4rr_Int
+    71944U,	// VFNMSUBSS4rr_REV
     152U,	// VFNMSUBSSZm
     40U,	// VFNMSUBSSZr
     144U,	// VFNMSUBSSr132m
@@ -9231,8 +9935,16 @@
     3U,	// VGATHERDPDZrm
     0U,	// VGATHERDPDrm
     0U,	// VGATHERDPSYrm
-    3U,	// VGATHERDPSZrm
+    4U,	// VGATHERDPSZrm
     0U,	// VGATHERDPSrm
+    0U,	// VGATHERPF0DPDm
+    0U,	// VGATHERPF0DPSm
+    0U,	// VGATHERPF0QPDm
+    0U,	// VGATHERPF0QPSm
+    0U,	// VGATHERPF1DPDm
+    0U,	// VGATHERPF1DPSm
+    0U,	// VGATHERPF1QPDm
+    0U,	// VGATHERPF1QPSm
     0U,	// VGATHERQPDYrm
     3U,	// VGATHERQPDZrm
     0U,	// VGATHERQPDrm
@@ -9255,22 +9967,22 @@
     8U,	// VHSUBPSYrr
     88U,	// VHSUBPSrm
     8U,	// VHSUBPSrr
-    33112U,	// VINSERTF128rm
-    35080U,	// VINSERTF128rr
-    33112U,	// VINSERTF32x4rm
-    35080U,	// VINSERTF32x4rr
-    33144U,	// VINSERTF64x4rm
-    35080U,	// VINSERTF64x4rr
-    33120U,	// VINSERTI128rm
-    35080U,	// VINSERTI128rr
-    33120U,	// VINSERTI32x4rm
-    35080U,	// VINSERTI32x4rr
-    33144U,	// VINSERTI64x4rm
-    35080U,	// VINSERTI64x4rr
-    33088U,	// VINSERTPSrm
-    35080U,	// VINSERTPSrr
-    33088U,	// VINSERTPSzrm
-    35080U,	// VINSERTPSzrr
+    65880U,	// VINSERTF128rm
+    71944U,	// VINSERTF128rr
+    65880U,	// VINSERTF32x4rm
+    71944U,	// VINSERTF32x4rr
+    65904U,	// VINSERTF64x4rm
+    71944U,	// VINSERTF64x4rr
+    65888U,	// VINSERTI128rm
+    71944U,	// VINSERTI128rr
+    65888U,	// VINSERTI32x4rm
+    71944U,	// VINSERTI32x4rr
+    65904U,	// VINSERTI64x4rm
+    71944U,	// VINSERTI64x4rr
+    65856U,	// VINSERTPSrm
+    71944U,	// VINSERTPSrr
+    65856U,	// VINSERTPSzrm
+    71944U,	// VINSERTPSzrr
     0U,	// VLDDQUYrm
     0U,	// VLDDQUrm
     0U,	// VLDMXCSR
@@ -9300,14 +10012,26 @@
     8U,	// VMAXPDYrr
     80U,	// VMAXPDZrm
     568U,	// VMAXPDZrmb
+    35082U,	// VMAXPDZrmbk
+    35082U,	// VMAXPDZrmbkz
+    4362U,	// VMAXPDZrmk
+    4362U,	// VMAXPDZrmkz
     8U,	// VMAXPDZrr
+    71946U,	// VMAXPDZrrk
+    71946U,	// VMAXPDZrrkz
     88U,	// VMAXPDrm
     8U,	// VMAXPDrr
     72U,	// VMAXPSYrm
     8U,	// VMAXPSYrr
     80U,	// VMAXPSZrm
     832U,	// VMAXPSZrmb
+    106762U,	// VMAXPSZrmbk
+    106762U,	// VMAXPSZrmbkz
+    4362U,	// VMAXPSZrmk
+    4362U,	// VMAXPSZrmkz
     8U,	// VMAXPSZrr
+    71946U,	// VMAXPSZrrk
+    71946U,	// VMAXPSZrrkz
     88U,	// VMAXPSrm
     8U,	// VMAXPSrr
     56U,	// VMAXSDZrm
@@ -9341,14 +10065,26 @@
     8U,	// VMINPDYrr
     80U,	// VMINPDZrm
     568U,	// VMINPDZrmb
+    35082U,	// VMINPDZrmbk
+    35082U,	// VMINPDZrmbkz
+    4362U,	// VMINPDZrmk
+    4362U,	// VMINPDZrmkz
     8U,	// VMINPDZrr
+    71946U,	// VMINPDZrrk
+    71946U,	// VMINPDZrrkz
     88U,	// VMINPDrm
     8U,	// VMINPDrr
     72U,	// VMINPSYrm
     8U,	// VMINPSYrr
     80U,	// VMINPSZrm
     832U,	// VMINPSZrmb
+    106762U,	// VMINPSZrmbk
+    106762U,	// VMINPSZrmbkz
+    4362U,	// VMINPSZrmk
+    4362U,	// VMINPSZrmkz
     8U,	// VMINPSZrr
+    71946U,	// VMINPSZrrk
+    71946U,	// VMINPSZrrkz
     88U,	// VMINPSrm
     8U,	// VMINPSrr
     56U,	// VMINSDZrm
@@ -9376,11 +10112,39 @@
     0U,	// VMOVAPDYrm
     0U,	// VMOVAPDYrr
     0U,	// VMOVAPDYrr_REV
+    0U,	// VMOVAPDZ128mr
+    2U,	// VMOVAPDZ128mrk
+    0U,	// VMOVAPDZ128rm
+    154U,	// VMOVAPDZ128rmk
+    90U,	// VMOVAPDZ128rmkz
+    0U,	// VMOVAPDZ128rr
+    0U,	// VMOVAPDZ128rr_alt
+    42U,	// VMOVAPDZ128rrk
+    42U,	// VMOVAPDZ128rrk_alt
+    10U,	// VMOVAPDZ128rrkz
+    10U,	// VMOVAPDZ128rrkz_alt
+    0U,	// VMOVAPDZ256mr
+    2U,	// VMOVAPDZ256mrk
+    0U,	// VMOVAPDZ256rm
+    162U,	// VMOVAPDZ256rmk
+    74U,	// VMOVAPDZ256rmkz
+    0U,	// VMOVAPDZ256rr
+    0U,	// VMOVAPDZ256rr_alt
+    42U,	// VMOVAPDZ256rrk
+    42U,	// VMOVAPDZ256rrk_alt
+    10U,	// VMOVAPDZ256rrkz
+    10U,	// VMOVAPDZ256rrkz_alt
     0U,	// VMOVAPDZmr
+    2U,	// VMOVAPDZmrk
     0U,	// VMOVAPDZrm
     130U,	// VMOVAPDZrmk
+    82U,	// VMOVAPDZrmkz
     0U,	// VMOVAPDZrr
+    0U,	// VMOVAPDZrr_alt
     42U,	// VMOVAPDZrrk
+    42U,	// VMOVAPDZrrk_alt
+    10U,	// VMOVAPDZrrkz
+    10U,	// VMOVAPDZrrkz_alt
     0U,	// VMOVAPDmr
     0U,	// VMOVAPDrm
     0U,	// VMOVAPDrr
@@ -9389,11 +10153,39 @@
     0U,	// VMOVAPSYrm
     0U,	// VMOVAPSYrr
     0U,	// VMOVAPSYrr_REV
+    0U,	// VMOVAPSZ128mr
+    2U,	// VMOVAPSZ128mrk
+    0U,	// VMOVAPSZ128rm
+    154U,	// VMOVAPSZ128rmk
+    90U,	// VMOVAPSZ128rmkz
+    0U,	// VMOVAPSZ128rr
+    0U,	// VMOVAPSZ128rr_alt
+    42U,	// VMOVAPSZ128rrk
+    42U,	// VMOVAPSZ128rrk_alt
+    10U,	// VMOVAPSZ128rrkz
+    10U,	// VMOVAPSZ128rrkz_alt
+    0U,	// VMOVAPSZ256mr
+    2U,	// VMOVAPSZ256mrk
+    0U,	// VMOVAPSZ256rm
+    162U,	// VMOVAPSZ256rmk
+    74U,	// VMOVAPSZ256rmkz
+    0U,	// VMOVAPSZ256rr
+    0U,	// VMOVAPSZ256rr_alt
+    42U,	// VMOVAPSZ256rrk
+    42U,	// VMOVAPSZ256rrk_alt
+    10U,	// VMOVAPSZ256rrkz
+    10U,	// VMOVAPSZ256rrkz_alt
     0U,	// VMOVAPSZmr
+    2U,	// VMOVAPSZmrk
     0U,	// VMOVAPSZrm
     130U,	// VMOVAPSZrmk
+    82U,	// VMOVAPSZrmkz
     0U,	// VMOVAPSZrr
+    0U,	// VMOVAPSZrr_alt
     42U,	// VMOVAPSZrrk
+    42U,	// VMOVAPSZrrk_alt
+    10U,	// VMOVAPSZrrkz
+    10U,	// VMOVAPSZrrkz_alt
     0U,	// VMOVAPSmr
     0U,	// VMOVAPSrm
     0U,	// VMOVAPSrr
@@ -9412,12 +10204,72 @@
     0U,	// VMOVDI2SSZrr
     0U,	// VMOVDI2SSrm
     0U,	// VMOVDI2SSrr
-    0U,	// VMOVDQA32mr
-    0U,	// VMOVDQA32rm
-    0U,	// VMOVDQA32rr
-    0U,	// VMOVDQA64mr
-    0U,	// VMOVDQA64rm
-    0U,	// VMOVDQA64rr
+    0U,	// VMOVDQA32Z128mr
+    2U,	// VMOVDQA32Z128mrk
+    0U,	// VMOVDQA32Z128rm
+    170U,	// VMOVDQA32Z128rmk
+    98U,	// VMOVDQA32Z128rmkz
+    0U,	// VMOVDQA32Z128rr
+    0U,	// VMOVDQA32Z128rr_alt
+    42U,	// VMOVDQA32Z128rrk
+    42U,	// VMOVDQA32Z128rrk_alt
+    10U,	// VMOVDQA32Z128rrkz
+    10U,	// VMOVDQA32Z128rrkz_alt
+    0U,	// VMOVDQA32Z256mr
+    2U,	// VMOVDQA32Z256mrk
+    0U,	// VMOVDQA32Z256rm
+    178U,	// VMOVDQA32Z256rmk
+    114U,	// VMOVDQA32Z256rmkz
+    0U,	// VMOVDQA32Z256rr
+    0U,	// VMOVDQA32Z256rr_alt
+    42U,	// VMOVDQA32Z256rrk
+    42U,	// VMOVDQA32Z256rrk_alt
+    10U,	// VMOVDQA32Z256rrkz
+    10U,	// VMOVDQA32Z256rrkz_alt
+    0U,	// VMOVDQA32Zmr
+    2U,	// VMOVDQA32Zmrk
+    0U,	// VMOVDQA32Zrm
+    186U,	// VMOVDQA32Zrmk
+    106U,	// VMOVDQA32Zrmkz
+    0U,	// VMOVDQA32Zrr
+    0U,	// VMOVDQA32Zrr_alt
+    42U,	// VMOVDQA32Zrrk
+    42U,	// VMOVDQA32Zrrk_alt
+    10U,	// VMOVDQA32Zrrkz
+    10U,	// VMOVDQA32Zrrkz_alt
+    0U,	// VMOVDQA64Z128mr
+    2U,	// VMOVDQA64Z128mrk
+    0U,	// VMOVDQA64Z128rm
+    170U,	// VMOVDQA64Z128rmk
+    98U,	// VMOVDQA64Z128rmkz
+    0U,	// VMOVDQA64Z128rr
+    0U,	// VMOVDQA64Z128rr_alt
+    42U,	// VMOVDQA64Z128rrk
+    42U,	// VMOVDQA64Z128rrk_alt
+    10U,	// VMOVDQA64Z128rrkz
+    10U,	// VMOVDQA64Z128rrkz_alt
+    0U,	// VMOVDQA64Z256mr
+    2U,	// VMOVDQA64Z256mrk
+    0U,	// VMOVDQA64Z256rm
+    178U,	// VMOVDQA64Z256rmk
+    114U,	// VMOVDQA64Z256rmkz
+    0U,	// VMOVDQA64Z256rr
+    0U,	// VMOVDQA64Z256rr_alt
+    42U,	// VMOVDQA64Z256rrk
+    42U,	// VMOVDQA64Z256rrk_alt
+    10U,	// VMOVDQA64Z256rrkz
+    10U,	// VMOVDQA64Z256rrkz_alt
+    0U,	// VMOVDQA64Zmr
+    2U,	// VMOVDQA64Zmrk
+    0U,	// VMOVDQA64Zrm
+    186U,	// VMOVDQA64Zrmk
+    106U,	// VMOVDQA64Zrmkz
+    0U,	// VMOVDQA64Zrr
+    0U,	// VMOVDQA64Zrr_alt
+    42U,	// VMOVDQA64Zrrk
+    42U,	// VMOVDQA64Zrrk_alt
+    10U,	// VMOVDQA64Zrrkz
+    10U,	// VMOVDQA64Zrrkz_alt
     0U,	// VMOVDQAYmr
     0U,	// VMOVDQAYrm
     0U,	// VMOVDQAYrr
@@ -9426,18 +10278,138 @@
     0U,	// VMOVDQArm
     0U,	// VMOVDQArr
     0U,	// VMOVDQArr_REV
-    0U,	// VMOVDQU32mr
-    0U,	// VMOVDQU32rm
-    170U,	// VMOVDQU32rmk
-    0U,	// VMOVDQU32rr
-    42U,	// VMOVDQU32rrk
-    12U,	// VMOVDQU32rrkz
-    0U,	// VMOVDQU64mr
-    0U,	// VMOVDQU64rm
-    170U,	// VMOVDQU64rmk
-    0U,	// VMOVDQU64rr
-    42U,	// VMOVDQU64rrk
-    12U,	// VMOVDQU64rrkz
+    0U,	// VMOVDQU16Z128mr
+    2U,	// VMOVDQU16Z128mrk
+    0U,	// VMOVDQU16Z128rm
+    170U,	// VMOVDQU16Z128rmk
+    98U,	// VMOVDQU16Z128rmkz
+    0U,	// VMOVDQU16Z128rr
+    0U,	// VMOVDQU16Z128rr_alt
+    42U,	// VMOVDQU16Z128rrk
+    42U,	// VMOVDQU16Z128rrk_alt
+    10U,	// VMOVDQU16Z128rrkz
+    10U,	// VMOVDQU16Z128rrkz_alt
+    0U,	// VMOVDQU16Z256mr
+    2U,	// VMOVDQU16Z256mrk
+    0U,	// VMOVDQU16Z256rm
+    178U,	// VMOVDQU16Z256rmk
+    114U,	// VMOVDQU16Z256rmkz
+    0U,	// VMOVDQU16Z256rr
+    0U,	// VMOVDQU16Z256rr_alt
+    42U,	// VMOVDQU16Z256rrk
+    42U,	// VMOVDQU16Z256rrk_alt
+    10U,	// VMOVDQU16Z256rrkz
+    10U,	// VMOVDQU16Z256rrkz_alt
+    0U,	// VMOVDQU16Zmr
+    2U,	// VMOVDQU16Zmrk
+    0U,	// VMOVDQU16Zrm
+    186U,	// VMOVDQU16Zrmk
+    106U,	// VMOVDQU16Zrmkz
+    0U,	// VMOVDQU16Zrr
+    0U,	// VMOVDQU16Zrr_alt
+    42U,	// VMOVDQU16Zrrk
+    42U,	// VMOVDQU16Zrrk_alt
+    10U,	// VMOVDQU16Zrrkz
+    10U,	// VMOVDQU16Zrrkz_alt
+    0U,	// VMOVDQU32Z128mr
+    2U,	// VMOVDQU32Z128mrk
+    0U,	// VMOVDQU32Z128rm
+    170U,	// VMOVDQU32Z128rmk
+    98U,	// VMOVDQU32Z128rmkz
+    0U,	// VMOVDQU32Z128rr
+    0U,	// VMOVDQU32Z128rr_alt
+    42U,	// VMOVDQU32Z128rrk
+    42U,	// VMOVDQU32Z128rrk_alt
+    10U,	// VMOVDQU32Z128rrkz
+    10U,	// VMOVDQU32Z128rrkz_alt
+    0U,	// VMOVDQU32Z256mr
+    2U,	// VMOVDQU32Z256mrk
+    0U,	// VMOVDQU32Z256rm
+    178U,	// VMOVDQU32Z256rmk
+    114U,	// VMOVDQU32Z256rmkz
+    0U,	// VMOVDQU32Z256rr
+    0U,	// VMOVDQU32Z256rr_alt
+    42U,	// VMOVDQU32Z256rrk
+    42U,	// VMOVDQU32Z256rrk_alt
+    10U,	// VMOVDQU32Z256rrkz
+    10U,	// VMOVDQU32Z256rrkz_alt
+    0U,	// VMOVDQU32Zmr
+    2U,	// VMOVDQU32Zmrk
+    0U,	// VMOVDQU32Zrm
+    186U,	// VMOVDQU32Zrmk
+    106U,	// VMOVDQU32Zrmkz
+    0U,	// VMOVDQU32Zrr
+    0U,	// VMOVDQU32Zrr_alt
+    42U,	// VMOVDQU32Zrrk
+    42U,	// VMOVDQU32Zrrk_alt
+    10U,	// VMOVDQU32Zrrkz
+    10U,	// VMOVDQU32Zrrkz_alt
+    0U,	// VMOVDQU64Z128mr
+    2U,	// VMOVDQU64Z128mrk
+    0U,	// VMOVDQU64Z128rm
+    170U,	// VMOVDQU64Z128rmk
+    98U,	// VMOVDQU64Z128rmkz
+    0U,	// VMOVDQU64Z128rr
+    0U,	// VMOVDQU64Z128rr_alt
+    42U,	// VMOVDQU64Z128rrk
+    42U,	// VMOVDQU64Z128rrk_alt
+    10U,	// VMOVDQU64Z128rrkz
+    10U,	// VMOVDQU64Z128rrkz_alt
+    0U,	// VMOVDQU64Z256mr
+    2U,	// VMOVDQU64Z256mrk
+    0U,	// VMOVDQU64Z256rm
+    178U,	// VMOVDQU64Z256rmk
+    114U,	// VMOVDQU64Z256rmkz
+    0U,	// VMOVDQU64Z256rr
+    0U,	// VMOVDQU64Z256rr_alt
+    42U,	// VMOVDQU64Z256rrk
+    42U,	// VMOVDQU64Z256rrk_alt
+    10U,	// VMOVDQU64Z256rrkz
+    10U,	// VMOVDQU64Z256rrkz_alt
+    0U,	// VMOVDQU64Zmr
+    2U,	// VMOVDQU64Zmrk
+    0U,	// VMOVDQU64Zrm
+    186U,	// VMOVDQU64Zrmk
+    106U,	// VMOVDQU64Zrmkz
+    0U,	// VMOVDQU64Zrr
+    0U,	// VMOVDQU64Zrr_alt
+    42U,	// VMOVDQU64Zrrk
+    42U,	// VMOVDQU64Zrrk_alt
+    10U,	// VMOVDQU64Zrrkz
+    10U,	// VMOVDQU64Zrrkz_alt
+    0U,	// VMOVDQU8Z128mr
+    2U,	// VMOVDQU8Z128mrk
+    0U,	// VMOVDQU8Z128rm
+    170U,	// VMOVDQU8Z128rmk
+    98U,	// VMOVDQU8Z128rmkz
+    0U,	// VMOVDQU8Z128rr
+    0U,	// VMOVDQU8Z128rr_alt
+    42U,	// VMOVDQU8Z128rrk
+    42U,	// VMOVDQU8Z128rrk_alt
+    10U,	// VMOVDQU8Z128rrkz
+    10U,	// VMOVDQU8Z128rrkz_alt
+    0U,	// VMOVDQU8Z256mr
+    2U,	// VMOVDQU8Z256mrk
+    0U,	// VMOVDQU8Z256rm
+    178U,	// VMOVDQU8Z256rmk
+    114U,	// VMOVDQU8Z256rmkz
+    0U,	// VMOVDQU8Z256rr
+    0U,	// VMOVDQU8Z256rr_alt
+    42U,	// VMOVDQU8Z256rrk
+    42U,	// VMOVDQU8Z256rrk_alt
+    10U,	// VMOVDQU8Z256rrkz
+    10U,	// VMOVDQU8Z256rrkz_alt
+    0U,	// VMOVDQU8Zmr
+    2U,	// VMOVDQU8Zmrk
+    0U,	// VMOVDQU8Zrm
+    186U,	// VMOVDQU8Zrmk
+    106U,	// VMOVDQU8Zrmkz
+    0U,	// VMOVDQU8Zrr
+    0U,	// VMOVDQU8Zrr_alt
+    42U,	// VMOVDQU8Zrrk
+    42U,	// VMOVDQU8Zrrk_alt
+    10U,	// VMOVDQU8Zrrkz
+    10U,	// VMOVDQU8Zrrkz_alt
     0U,	// VMOVDQUYmr
     0U,	// VMOVDQUYrm
     0U,	// VMOVDQUYrr
@@ -9463,12 +10435,16 @@
     0U,	// VMOVMSKPSYrr
     0U,	// VMOVMSKPSrr
     0U,	// VMOVNTDQAYrm
+    0U,	// VMOVNTDQAZrm
     0U,	// VMOVNTDQArm
     0U,	// VMOVNTDQYmr
+    0U,	// VMOVNTDQZmr
     0U,	// VMOVNTDQmr
     0U,	// VMOVNTPDYmr
+    0U,	// VMOVNTPDZmr
     0U,	// VMOVNTPDmr
     0U,	// VMOVNTPSYmr
+    0U,	// VMOVNTPSZmr
     0U,	// VMOVNTPSmr
     0U,	// VMOVPDI2DIZmr
     0U,	// VMOVPDI2DIZrr
@@ -9485,7 +10461,7 @@
     0U,	// VMOVSDZrm
     8U,	// VMOVSDZrr
     8U,	// VMOVSDZrr_REV
-    14634U,	// VMOVSDZrrk
+    76074U,	// VMOVSDZrrk
     0U,	// VMOVSDmr
     0U,	// VMOVSDrm
     8U,	// VMOVSDrr
@@ -9514,7 +10490,7 @@
     0U,	// VMOVSSZrm
     8U,	// VMOVSSZrr
     8U,	// VMOVSSZrr_REV
-    14634U,	// VMOVSSZrrk
+    76074U,	// VMOVSSZrrk
     0U,	// VMOVSSmr
     0U,	// VMOVSSrm
     8U,	// VMOVSSrr
@@ -9523,11 +10499,39 @@
     0U,	// VMOVUPDYrm
     0U,	// VMOVUPDYrr
     0U,	// VMOVUPDYrr_REV
+    0U,	// VMOVUPDZ128mr
+    2U,	// VMOVUPDZ128mrk
+    0U,	// VMOVUPDZ128rm
+    154U,	// VMOVUPDZ128rmk
+    90U,	// VMOVUPDZ128rmkz
+    0U,	// VMOVUPDZ128rr
+    0U,	// VMOVUPDZ128rr_alt
+    42U,	// VMOVUPDZ128rrk
+    42U,	// VMOVUPDZ128rrk_alt
+    10U,	// VMOVUPDZ128rrkz
+    10U,	// VMOVUPDZ128rrkz_alt
+    0U,	// VMOVUPDZ256mr
+    2U,	// VMOVUPDZ256mrk
+    0U,	// VMOVUPDZ256rm
+    162U,	// VMOVUPDZ256rmk
+    74U,	// VMOVUPDZ256rmkz
+    0U,	// VMOVUPDZ256rr
+    0U,	// VMOVUPDZ256rr_alt
+    42U,	// VMOVUPDZ256rrk
+    42U,	// VMOVUPDZ256rrk_alt
+    10U,	// VMOVUPDZ256rrkz
+    10U,	// VMOVUPDZ256rrkz_alt
     0U,	// VMOVUPDZmr
+    2U,	// VMOVUPDZmrk
     0U,	// VMOVUPDZrm
     130U,	// VMOVUPDZrmk
+    82U,	// VMOVUPDZrmkz
     0U,	// VMOVUPDZrr
+    0U,	// VMOVUPDZrr_alt
     42U,	// VMOVUPDZrrk
+    42U,	// VMOVUPDZrrk_alt
+    10U,	// VMOVUPDZrrkz
+    10U,	// VMOVUPDZrrkz_alt
     0U,	// VMOVUPDmr
     0U,	// VMOVUPDrm
     0U,	// VMOVUPDrr
@@ -9536,11 +10540,39 @@
     0U,	// VMOVUPSYrm
     0U,	// VMOVUPSYrr
     0U,	// VMOVUPSYrr_REV
+    0U,	// VMOVUPSZ128mr
+    2U,	// VMOVUPSZ128mrk
+    0U,	// VMOVUPSZ128rm
+    154U,	// VMOVUPSZ128rmk
+    90U,	// VMOVUPSZ128rmkz
+    0U,	// VMOVUPSZ128rr
+    0U,	// VMOVUPSZ128rr_alt
+    42U,	// VMOVUPSZ128rrk
+    42U,	// VMOVUPSZ128rrk_alt
+    10U,	// VMOVUPSZ128rrkz
+    10U,	// VMOVUPSZ128rrkz_alt
+    0U,	// VMOVUPSZ256mr
+    2U,	// VMOVUPSZ256mrk
+    0U,	// VMOVUPSZ256rm
+    162U,	// VMOVUPSZ256rmk
+    74U,	// VMOVUPSZ256rmkz
+    0U,	// VMOVUPSZ256rr
+    0U,	// VMOVUPSZ256rr_alt
+    42U,	// VMOVUPSZ256rrk
+    42U,	// VMOVUPSZ256rrk_alt
+    10U,	// VMOVUPSZ256rrkz
+    10U,	// VMOVUPSZ256rrkz_alt
     0U,	// VMOVUPSZmr
+    2U,	// VMOVUPSZmrk
     0U,	// VMOVUPSZrm
     130U,	// VMOVUPSZrmk
+    82U,	// VMOVUPSZrmkz
     0U,	// VMOVUPSZrr
+    0U,	// VMOVUPSZrr_alt
     42U,	// VMOVUPSZrrk
+    42U,	// VMOVUPSZrrk_alt
+    10U,	// VMOVUPSZrrkz
+    10U,	// VMOVUPSZrrkz_alt
     0U,	// VMOVUPSmr
     0U,	// VMOVUPSrm
     0U,	// VMOVUPSrr
@@ -9551,10 +10583,10 @@
     0U,	// VMOVZPQILo2PQIrr
     0U,	// VMOVZQI2PQIrm
     0U,	// VMOVZQI2PQIrr
-    33144U,	// VMPSADBWYrmi
-    35080U,	// VMPSADBWYrri
-    33120U,	// VMPSADBWrmi
-    35080U,	// VMPSADBWrri
+    65904U,	// VMPSADBWYrmi
+    71944U,	// VMPSADBWYrri
+    65888U,	// VMPSADBWrmi
+    71944U,	// VMPSADBWrri
     0U,	// VMPTRLDm
     0U,	// VMPTRSTm
     0U,	// VMREAD32rm
@@ -9570,14 +10602,26 @@
     8U,	// VMULPDYrr
     80U,	// VMULPDZrm
     568U,	// VMULPDZrmb
+    35082U,	// VMULPDZrmbk
+    35082U,	// VMULPDZrmbkz
+    4362U,	// VMULPDZrmk
+    4362U,	// VMULPDZrmkz
     8U,	// VMULPDZrr
+    71946U,	// VMULPDZrrk
+    71946U,	// VMULPDZrrkz
     88U,	// VMULPDrm
     8U,	// VMULPDrr
     72U,	// VMULPSYrm
     8U,	// VMULPSYrr
     80U,	// VMULPSZrm
     832U,	// VMULPSZrmb
+    106762U,	// VMULPSZrmbk
+    106762U,	// VMULPSZrmbkz
+    4362U,	// VMULPSZrmk
+    4362U,	// VMULPSZrmkz
     8U,	// VMULPSZrr
+    71946U,	// VMULPSZrrk
+    71946U,	// VMULPSZrrkz
     88U,	// VMULPSrm
     8U,	// VMULPSrr
     56U,	// VMULSDZrm
@@ -9610,131 +10654,181 @@
     0U,	// VPABSBrm256
     0U,	// VPABSBrr128
     0U,	// VPABSBrr256
-    0U,	// VPABSDrm
+    0U,	// VPABSDZrm
+    4U,	// VPABSDZrmb
+    786U,	// VPABSDZrmbk
+    786U,	// VPABSDZrmbkz
+    106U,	// VPABSDZrmk
+    106U,	// VPABSDZrmkz
+    0U,	// VPABSDZrr
+    10U,	// VPABSDZrrk
+    10U,	// VPABSDZrrkz
     0U,	// VPABSDrm128
     0U,	// VPABSDrm256
-    0U,	// VPABSDrr
     0U,	// VPABSDrr128
     0U,	// VPABSDrr256
-    0U,	// VPABSQrm
-    0U,	// VPABSQrr
+    0U,	// VPABSQZrm
+    5U,	// VPABSQZrmb
+    538U,	// VPABSQZrmbk
+    538U,	// VPABSQZrmbkz
+    106U,	// VPABSQZrmk
+    106U,	// VPABSQZrmkz
+    0U,	// VPABSQZrr
+    10U,	// VPABSQZrrk
+    10U,	// VPABSQZrrkz
     0U,	// VPABSWrm128
     0U,	// VPABSWrm256
     0U,	// VPABSWrr128
     0U,	// VPABSWrr256
-    120U,	// VPACKSSDWYrm
+    112U,	// VPACKSSDWYrm
     8U,	// VPACKSSDWYrr
     96U,	// VPACKSSDWrm
     8U,	// VPACKSSDWrr
-    120U,	// VPACKSSWBYrm
+    112U,	// VPACKSSWBYrm
     8U,	// VPACKSSWBYrr
     96U,	// VPACKSSWBrm
     8U,	// VPACKSSWBrr
-    120U,	// VPACKUSDWYrm
+    112U,	// VPACKUSDWYrm
     8U,	// VPACKUSDWYrr
     96U,	// VPACKUSDWrm
     8U,	// VPACKUSDWrr
-    120U,	// VPACKUSWBYrm
+    112U,	// VPACKUSWBYrm
     8U,	// VPACKUSWBYrr
     96U,	// VPACKUSWBrm
     8U,	// VPACKUSWBrr
-    120U,	// VPADDBYrm
+    112U,	// VPADDBYrm
     8U,	// VPADDBYrr
     96U,	// VPADDBrm
     8U,	// VPADDBrr
-    120U,	// VPADDDYrm
+    112U,	// VPADDDYrm
     8U,	// VPADDDYrr
     104U,	// VPADDDZrm
     784U,	// VPADDDZrmb
+    16682U,	// VPADDDZrmbk
+    18698U,	// VPADDDZrmbkz
+    20778U,	// VPADDDZrmk
+    88330U,	// VPADDDZrmkz
     8U,	// VPADDDZrr
+    76074U,	// VPADDDZrrk
+    71946U,	// VPADDDZrrkz
     96U,	// VPADDDrm
     8U,	// VPADDDrr
-    120U,	// VPADDQYrm
+    112U,	// VPADDQYrm
     8U,	// VPADDQYrr
     104U,	// VPADDQZrm
     536U,	// VPADDQZrmb
+    24874U,	// VPADDQZrmbk
+    26890U,	// VPADDQZrmbkz
+    20778U,	// VPADDQZrmk
+    88330U,	// VPADDQZrmkz
     8U,	// VPADDQZrr
+    76074U,	// VPADDQZrrk
+    71946U,	// VPADDQZrrkz
     96U,	// VPADDQrm
     8U,	// VPADDQrr
-    120U,	// VPADDSBYrm
+    112U,	// VPADDSBYrm
     8U,	// VPADDSBYrr
     96U,	// VPADDSBrm
     8U,	// VPADDSBrr
-    120U,	// VPADDSWYrm
+    112U,	// VPADDSWYrm
     8U,	// VPADDSWYrr
     96U,	// VPADDSWrm
     8U,	// VPADDSWrr
-    120U,	// VPADDUSBYrm
+    112U,	// VPADDUSBYrm
     8U,	// VPADDUSBYrr
     96U,	// VPADDUSBrm
     8U,	// VPADDUSBrr
-    120U,	// VPADDUSWYrm
+    112U,	// VPADDUSWYrm
     8U,	// VPADDUSWYrr
     96U,	// VPADDUSWrm
     8U,	// VPADDUSWrr
-    120U,	// VPADDWYrm
+    112U,	// VPADDWYrm
     8U,	// VPADDWYrr
     96U,	// VPADDWrm
     8U,	// VPADDWrr
-    33120U,	// VPALIGNR128rm
-    35080U,	// VPALIGNR128rr
-    33144U,	// VPALIGNR256rm
-    35080U,	// VPALIGNR256rr
+    65888U,	// VPALIGNR128rm
+    71944U,	// VPALIGNR128rr
+    65904U,	// VPALIGNR256rm
+    71944U,	// VPALIGNR256rr
     104U,	// VPANDDZrm
     784U,	// VPANDDZrmb
+    16682U,	// VPANDDZrmbk
+    18698U,	// VPANDDZrmbkz
+    20778U,	// VPANDDZrmk
+    88330U,	// VPANDDZrmkz
     8U,	// VPANDDZrr
+    76074U,	// VPANDDZrrk
+    71946U,	// VPANDDZrrkz
     104U,	// VPANDNDZrm
     784U,	// VPANDNDZrmb
+    16682U,	// VPANDNDZrmbk
+    18698U,	// VPANDNDZrmbkz
+    20778U,	// VPANDNDZrmk
+    88330U,	// VPANDNDZrmkz
     8U,	// VPANDNDZrr
+    76074U,	// VPANDNDZrrk
+    71946U,	// VPANDNDZrrkz
     104U,	// VPANDNQZrm
     536U,	// VPANDNQZrmb
+    24874U,	// VPANDNQZrmbk
+    26890U,	// VPANDNQZrmbkz
+    20778U,	// VPANDNQZrmk
+    88330U,	// VPANDNQZrmkz
     8U,	// VPANDNQZrr
-    120U,	// VPANDNYrm
+    76074U,	// VPANDNQZrrk
+    71946U,	// VPANDNQZrrkz
+    112U,	// VPANDNYrm
     8U,	// VPANDNYrr
     96U,	// VPANDNrm
     8U,	// VPANDNrr
     104U,	// VPANDQZrm
     536U,	// VPANDQZrmb
+    24874U,	// VPANDQZrmbk
+    26890U,	// VPANDQZrmbkz
+    20778U,	// VPANDQZrmk
+    88330U,	// VPANDQZrmkz
     8U,	// VPANDQZrr
-    120U,	// VPANDYrm
+    76074U,	// VPANDQZrrk
+    71946U,	// VPANDQZrrkz
+    112U,	// VPANDYrm
     8U,	// VPANDYrr
     96U,	// VPANDrm
     8U,	// VPANDrr
-    120U,	// VPAVGBYrm
+    112U,	// VPAVGBYrm
     8U,	// VPAVGBYrr
     96U,	// VPAVGBrm
     8U,	// VPAVGBrr
-    120U,	// VPAVGWYrm
+    112U,	// VPAVGWYrm
     8U,	// VPAVGWYrr
     96U,	// VPAVGWrm
     8U,	// VPAVGWrr
-    33144U,	// VPBLENDDYrmi
-    35080U,	// VPBLENDDYrri
-    33120U,	// VPBLENDDrmi
-    35080U,	// VPBLENDDrri
+    65904U,	// VPBLENDDYrmi
+    71944U,	// VPBLENDDYrri
+    65888U,	// VPBLENDDrmi
+    71944U,	// VPBLENDDrri
     4362U,	// VPBLENDMDZrm
-    35082U,	// VPBLENDMDZrr
+    71946U,	// VPBLENDMDZrr
     4362U,	// VPBLENDMQZrm
-    35082U,	// VPBLENDMQZrr
-    33144U,	// VPBLENDVBYrm
-    35080U,	// VPBLENDVBYrr
-    33120U,	// VPBLENDVBrm
-    35080U,	// VPBLENDVBrr
-    33144U,	// VPBLENDWYrmi
-    35080U,	// VPBLENDWYrri
-    33120U,	// VPBLENDWrmi
-    35080U,	// VPBLENDWrri
+    71946U,	// VPBLENDMQZrr
+    65904U,	// VPBLENDVBYrm
+    71944U,	// VPBLENDVBYrr
+    65888U,	// VPBLENDVBrm
+    71944U,	// VPBLENDVBrr
+    65904U,	// VPBLENDWYrmi
+    71944U,	// VPBLENDWYrri
+    65888U,	// VPBLENDWrmi
+    71944U,	// VPBLENDWrri
     0U,	// VPBROADCASTBYrm
     0U,	// VPBROADCASTBYrr
     0U,	// VPBROADCASTBrm
     0U,	// VPBROADCASTBrr
     0U,	// VPBROADCASTDYrm
     0U,	// VPBROADCASTDYrr
-    20U,	// VPBROADCASTDZkrm
-    12U,	// VPBROADCASTDZkrr
+    18U,	// VPBROADCASTDZkrm
+    10U,	// VPBROADCASTDZkrr
     0U,	// VPBROADCASTDZrm
     0U,	// VPBROADCASTDZrr
-    12U,	// VPBROADCASTDrZkrr
+    10U,	// VPBROADCASTDrZkrr
     0U,	// VPBROADCASTDrZrr
     0U,	// VPBROADCASTDrm
     0U,	// VPBROADCASTDrr
@@ -9742,11 +10836,11 @@
     0U,	// VPBROADCASTMW2Drr
     0U,	// VPBROADCASTQYrm
     0U,	// VPBROADCASTQYrr
-    28U,	// VPBROADCASTQZkrm
-    12U,	// VPBROADCASTQZkrr
+    26U,	// VPBROADCASTQZkrm
+    10U,	// VPBROADCASTQZkrr
     0U,	// VPBROADCASTQZrm
     0U,	// VPBROADCASTQZrr
-    12U,	// VPBROADCASTQrZkrr
+    10U,	// VPBROADCASTQrZkrr
     0U,	// VPBROADCASTQrZrr
     0U,	// VPBROADCASTQrm
     0U,	// VPBROADCASTQrr
@@ -9754,35 +10848,37 @@
     0U,	// VPBROADCASTWYrr
     0U,	// VPBROADCASTWrm
     0U,	// VPBROADCASTWrr
-    33120U,	// VPCLMULQDQrm
-    35080U,	// VPCLMULQDQrr
-    33120U,	// VPCMOVmr
-    33096U,	// VPCMOVmrY
-    16648U,	// VPCMOVrm
-    18696U,	// VPCMOVrmY
-    35080U,	// VPCMOVrr
-    35080U,	// VPCMOVrrY
+    65888U,	// VPCLMULQDQrm
+    71944U,	// VPCLMULQDQrr
+    65888U,	// VPCMOVmr
+    65864U,	// VPCMOVmrY
+    28936U,	// VPCMOVrm
+    30984U,	// VPCMOVrmY
+    71944U,	// VPCMOVrr
+    71944U,	// VPCMOVrrY
     0U,	// VPCMPDZrmi
-    33128U,	// VPCMPDZrmi_alt
+    65896U,	// VPCMPDZrmi_alt
+    22794U,	// VPCMPDZrmik_alt
     0U,	// VPCMPDZrri
-    35080U,	// VPCMPDZrri_alt
-    120U,	// VPCMPEQBYrm
+    71944U,	// VPCMPDZrri_alt
+    268554U,	// VPCMPDZrrik_alt
+    112U,	// VPCMPEQBYrm
     8U,	// VPCMPEQBYrr
     96U,	// VPCMPEQBrm
     8U,	// VPCMPEQBrr
-    120U,	// VPCMPEQDYrm
+    112U,	// VPCMPEQDYrm
     8U,	// VPCMPEQDYrr
     104U,	// VPCMPEQDZrm
     8U,	// VPCMPEQDZrr
     96U,	// VPCMPEQDrm
     8U,	// VPCMPEQDrr
-    120U,	// VPCMPEQQYrm
+    112U,	// VPCMPEQQYrm
     8U,	// VPCMPEQQYrr
     104U,	// VPCMPEQQZrm
     8U,	// VPCMPEQQZrr
     96U,	// VPCMPEQQrm
     8U,	// VPCMPEQQrr
-    120U,	// VPCMPEQWYrm
+    112U,	// VPCMPEQWYrm
     8U,	// VPCMPEQWYrr
     96U,	// VPCMPEQWrm
     8U,	// VPCMPEQWrr
@@ -9794,23 +10890,23 @@
     0U,	// VPCMPESTRM128REG
     0U,	// VPCMPESTRM128rm
     8U,	// VPCMPESTRM128rr
-    120U,	// VPCMPGTBYrm
+    112U,	// VPCMPGTBYrm
     8U,	// VPCMPGTBYrr
     96U,	// VPCMPGTBrm
     8U,	// VPCMPGTBrr
-    120U,	// VPCMPGTDYrm
+    112U,	// VPCMPGTDYrm
     8U,	// VPCMPGTDYrr
     104U,	// VPCMPGTDZrm
     8U,	// VPCMPGTDZrr
     96U,	// VPCMPGTDrm
     8U,	// VPCMPGTDrr
-    120U,	// VPCMPGTQYrm
+    112U,	// VPCMPGTQYrm
     8U,	// VPCMPGTQYrr
     104U,	// VPCMPGTQZrm
     8U,	// VPCMPGTQZrr
     96U,	// VPCMPGTQrm
     8U,	// VPCMPGTQrr
-    120U,	// VPCMPGTWYrm
+    112U,	// VPCMPGTWYrm
     8U,	// VPCMPGTWYrr
     96U,	// VPCMPGTWrm
     8U,	// VPCMPGTWrr
@@ -9823,82 +10919,104 @@
     0U,	// VPCMPISTRM128rm
     8U,	// VPCMPISTRM128rr
     0U,	// VPCMPQZrmi
-    33128U,	// VPCMPQZrmi_alt
+    65896U,	// VPCMPQZrmi_alt
+    22794U,	// VPCMPQZrmik_alt
     0U,	// VPCMPQZrri
-    35080U,	// VPCMPQZrri_alt
+    71944U,	// VPCMPQZrri_alt
+    268554U,	// VPCMPQZrrik_alt
     0U,	// VPCMPUDZrmi
-    33128U,	// VPCMPUDZrmi_alt
+    65896U,	// VPCMPUDZrmi_alt
+    22794U,	// VPCMPUDZrmik_alt
     0U,	// VPCMPUDZrri
-    35080U,	// VPCMPUDZrri_alt
+    71944U,	// VPCMPUDZrri_alt
+    268554U,	// VPCMPUDZrrik_alt
     0U,	// VPCMPUQZrmi
-    33128U,	// VPCMPUQZrmi_alt
+    65896U,	// VPCMPUQZrmi_alt
+    22794U,	// VPCMPUQZrmik_alt
     0U,	// VPCMPUQZrri
-    35080U,	// VPCMPUQZrri_alt
-    33120U,	// VPCOMBmi
-    35080U,	// VPCOMBri
-    33120U,	// VPCOMDmi
-    35080U,	// VPCOMDri
-    33120U,	// VPCOMQmi
-    35080U,	// VPCOMQri
-    33120U,	// VPCOMUBmi
-    35080U,	// VPCOMUBri
-    33120U,	// VPCOMUDmi
-    35080U,	// VPCOMUDri
-    33120U,	// VPCOMUQmi
-    35080U,	// VPCOMUQri
-    33120U,	// VPCOMUWmi
-    35080U,	// VPCOMUWri
-    33120U,	// VPCOMWmi
-    35080U,	// VPCOMWri
+    71944U,	// VPCMPUQZrri_alt
+    268554U,	// VPCMPUQZrrik_alt
+    65888U,	// VPCOMBmi
+    71944U,	// VPCOMBri
+    65888U,	// VPCOMDmi
+    71944U,	// VPCOMDri
+    65888U,	// VPCOMQmi
+    71944U,	// VPCOMQri
+    65888U,	// VPCOMUBmi
+    71944U,	// VPCOMUBri
+    65888U,	// VPCOMUDmi
+    71944U,	// VPCOMUDri
+    65888U,	// VPCOMUQmi
+    71944U,	// VPCOMUQri
+    65888U,	// VPCOMUWmi
+    71944U,	// VPCOMUWri
+    65888U,	// VPCOMWmi
+    71944U,	// VPCOMWri
     0U,	// VPCONFLICTDrm
     4U,	// VPCONFLICTDrmb
-    178U,	// VPCONFLICTDrmbk
-    788U,	// VPCONFLICTDrmbkz
-    170U,	// VPCONFLICTDrmk
-    108U,	// VPCONFLICTDrmkz
+    194U,	// VPCONFLICTDrmbk
+    786U,	// VPCONFLICTDrmbkz
+    186U,	// VPCONFLICTDrmk
+    106U,	// VPCONFLICTDrmkz
     0U,	// VPCONFLICTDrr
     42U,	// VPCONFLICTDrrk
-    12U,	// VPCONFLICTDrrkz
+    10U,	// VPCONFLICTDrrkz
     0U,	// VPCONFLICTQrm
     5U,	// VPCONFLICTQrmb
-    186U,	// VPCONFLICTQrmbk
-    540U,	// VPCONFLICTQrmbkz
-    170U,	// VPCONFLICTQrmk
-    108U,	// VPCONFLICTQrmkz
+    202U,	// VPCONFLICTQrmbk
+    538U,	// VPCONFLICTQrmbkz
+    186U,	// VPCONFLICTQrmk
+    106U,	// VPCONFLICTQrmkz
     0U,	// VPCONFLICTQrr
     42U,	// VPCONFLICTQrrk
-    12U,	// VPCONFLICTQrrkz
-    33096U,	// VPERM2F128rm
-    35080U,	// VPERM2F128rr
-    33096U,	// VPERM2I128rm
-    35080U,	// VPERM2I128rr
-    120U,	// VPERMDYrm
+    10U,	// VPCONFLICTQrrkz
+    65864U,	// VPERM2F128rm
+    71944U,	// VPERM2F128rr
+    65864U,	// VPERM2I128rm
+    71944U,	// VPERM2I128rr
+    112U,	// VPERMDYrm
     8U,	// VPERMDYrr
     104U,	// VPERMDZrm
     8U,	// VPERMDZrr
-    168U,	// VPERMI2Drm
+    184U,	// VPERMI2Drm
+    20778U,	// VPERMI2Drmk
+    20778U,	// VPERMI2Drmkz
     40U,	// VPERMI2Drr
-    168U,	// VPERMI2PDrm
+    76074U,	// VPERMI2Drrk
+    76074U,	// VPERMI2Drrkz
+    184U,	// VPERMI2PDrm
+    20778U,	// VPERMI2PDrmk
+    20778U,	// VPERMI2PDrmkz
     40U,	// VPERMI2PDrr
-    168U,	// VPERMI2PSrm
+    76074U,	// VPERMI2PDrrk
+    76074U,	// VPERMI2PDrrkz
+    184U,	// VPERMI2PSrm
+    20778U,	// VPERMI2PSrmk
+    20778U,	// VPERMI2PSrmkz
     40U,	// VPERMI2PSrr
-    168U,	// VPERMI2Qrm
+    76074U,	// VPERMI2PSrrk
+    76074U,	// VPERMI2PSrrkz
+    184U,	// VPERMI2Qrm
+    20778U,	// VPERMI2Qrmk
+    20778U,	// VPERMI2Qrmkz
     40U,	// VPERMI2Qrr
+    76074U,	// VPERMI2Qrrk
+    76074U,	// VPERMI2Qrrkz
     344U,	// VPERMIL2PDmr
     328U,	// VPERMIL2PDmrY
-    6408U,	// VPERMIL2PDrm
-    8456U,	// VPERMIL2PDrmY
-    67848U,	// VPERMIL2PDrr
-    67848U,	// VPERMIL2PDrrY
+    12552U,	// VPERMIL2PDrm
+    14600U,	// VPERMIL2PDrmY
+    268552U,	// VPERMIL2PDrr
+    268552U,	// VPERMIL2PDrrY
     344U,	// VPERMIL2PSmr
     328U,	// VPERMIL2PSmrY
-    6408U,	// VPERMIL2PSrm
-    8456U,	// VPERMIL2PSrmY
-    67848U,	// VPERMIL2PSrr
-    67848U,	// VPERMIL2PSrrY
+    12552U,	// VPERMIL2PSrm
+    14600U,	// VPERMIL2PSrmY
+    268552U,	// VPERMIL2PSrr
+    268552U,	// VPERMIL2PSrrY
     0U,	// VPERMILPDYmi
     8U,	// VPERMILPDYri
-    120U,	// VPERMILPDYrm
+    112U,	// VPERMILPDYrm
     8U,	// VPERMILPDYrr
     0U,	// VPERMILPDZmi
     8U,	// VPERMILPDZri
@@ -9908,7 +11026,7 @@
     8U,	// VPERMILPDrr
     0U,	// VPERMILPSYmi
     8U,	// VPERMILPSYri
-    120U,	// VPERMILPSYrm
+    112U,	// VPERMILPSYrm
     8U,	// VPERMILPSYrr
     0U,	// VPERMILPSZmi
     8U,	// VPERMILPSZri
@@ -9922,7 +11040,7 @@
     8U,	// VPERMPDZri
     80U,	// VPERMPDZrm
     8U,	// VPERMPDZrr
-    120U,	// VPERMPSYrm
+    112U,	// VPERMPSYrm
     8U,	// VPERMPSYrr
     80U,	// VPERMPSZrm
     8U,	// VPERMPSZrr
@@ -9932,14 +11050,30 @@
     8U,	// VPERMQZri
     104U,	// VPERMQZrm
     8U,	// VPERMQZrr
-    168U,	// VPERMT2Drm
+    184U,	// VPERMT2Drm
+    20778U,	// VPERMT2Drmk
+    20778U,	// VPERMT2Drmkz
     40U,	// VPERMT2Drr
-    168U,	// VPERMT2PDrm
+    76074U,	// VPERMT2Drrk
+    76074U,	// VPERMT2Drrkz
+    184U,	// VPERMT2PDrm
+    20778U,	// VPERMT2PDrmk
+    20778U,	// VPERMT2PDrmkz
     40U,	// VPERMT2PDrr
-    168U,	// VPERMT2PSrm
+    76074U,	// VPERMT2PDrrk
+    76074U,	// VPERMT2PDrrkz
+    184U,	// VPERMT2PSrm
+    20778U,	// VPERMT2PSrmk
+    20778U,	// VPERMT2PSrmkz
     40U,	// VPERMT2PSrr
-    168U,	// VPERMT2Qrm
+    76074U,	// VPERMT2PSrrk
+    76074U,	// VPERMT2PSrrkz
+    184U,	// VPERMT2Qrm
+    20778U,	// VPERMT2Qrmk
+    20778U,	// VPERMT2Qrmkz
     40U,	// VPERMT2Qrr
+    76074U,	// VPERMT2Qrrk
+    76074U,	// VPERMT2Qrrkz
     0U,	// VPEXTRBmr
     8U,	// VPEXTRBrr
     0U,	// VPEXTRDmr
@@ -9950,7 +11084,7 @@
     8U,	// VPEXTRWri
     8U,	// VPEXTRWrr_REV
     0U,	// VPGATHERDDYrm
-    3U,	// VPGATHERDDZrm
+    4U,	// VPGATHERDDZrm
     0U,	// VPGATHERDDrm
     0U,	// VPGATHERDQYrm
     3U,	// VPGATHERDQZrm
@@ -9969,12 +11103,12 @@
     0U,	// VPHADDBWrr
     0U,	// VPHADDDQrm
     0U,	// VPHADDDQrr
-    120U,	// VPHADDDYrm
+    112U,	// VPHADDDYrm
     8U,	// VPHADDDYrr
     96U,	// VPHADDDrm
     8U,	// VPHADDDrr
     96U,	// VPHADDSWrm128
-    120U,	// VPHADDSWrm256
+    112U,	// VPHADDSWrm256
     8U,	// VPHADDSWrr128
     8U,	// VPHADDSWrr256
     0U,	// VPHADDUBDrm
@@ -9993,7 +11127,7 @@
     0U,	// VPHADDWDrr
     0U,	// VPHADDWQrm
     0U,	// VPHADDWQrr
-    120U,	// VPHADDWYrm
+    112U,	// VPHADDWYrm
     8U,	// VPHADDWYrr
     96U,	// VPHADDWrm
     8U,	// VPHADDWrr
@@ -10003,182 +11137,276 @@
     0U,	// VPHSUBBWrr
     0U,	// VPHSUBDQrm
     0U,	// VPHSUBDQrr
-    120U,	// VPHSUBDYrm
+    112U,	// VPHSUBDYrm
     8U,	// VPHSUBDYrr
     96U,	// VPHSUBDrm
     8U,	// VPHSUBDrr
     96U,	// VPHSUBSWrm128
-    120U,	// VPHSUBSWrm256
+    112U,	// VPHSUBSWrm256
     8U,	// VPHSUBSWrr128
     8U,	// VPHSUBSWrr256
     0U,	// VPHSUBWDrm
     0U,	// VPHSUBWDrr
-    120U,	// VPHSUBWYrm
+    112U,	// VPHSUBWYrm
     8U,	// VPHSUBWYrr
     96U,	// VPHSUBWrm
     8U,	// VPHSUBWrr
-    192U,	// VPINSRBrm
-    35080U,	// VPINSRBrr
-    33040U,	// VPINSRDrm
-    35080U,	// VPINSRDrr
-    33048U,	// VPINSRQrm
-    35080U,	// VPINSRQrr
-    200U,	// VPINSRWrmi
-    35080U,	// VPINSRWrri
-    33120U,	// VPMACSDDrm
-    35080U,	// VPMACSDDrr
-    33120U,	// VPMACSDQHrm
-    35080U,	// VPMACSDQHrr
-    33120U,	// VPMACSDQLrm
-    35080U,	// VPMACSDQLrr
-    33120U,	// VPMACSSDDrm
-    35080U,	// VPMACSSDDrr
-    33120U,	// VPMACSSDQHrm
-    35080U,	// VPMACSSDQHrr
-    33120U,	// VPMACSSDQLrm
-    35080U,	// VPMACSSDQLrr
-    33120U,	// VPMACSSWDrm
-    35080U,	// VPMACSSWDrr
-    33120U,	// VPMACSSWWrm
-    35080U,	// VPMACSSWWrr
-    33120U,	// VPMACSWDrm
-    35080U,	// VPMACSWDrr
-    33120U,	// VPMACSWWrm
-    35080U,	// VPMACSWWrr
-    33120U,	// VPMADCSSWDrm
-    35080U,	// VPMADCSSWDrr
-    33120U,	// VPMADCSWDrm
-    35080U,	// VPMADCSWDrr
+    208U,	// VPINSRBrm
+    71944U,	// VPINSRBrr
+    65808U,	// VPINSRDrm
+    71944U,	// VPINSRDrr
+    65816U,	// VPINSRQrm
+    71944U,	// VPINSRQrr
+    216U,	// VPINSRWrmi
+    71944U,	// VPINSRWrri
+    0U,	// VPLZCNTDrm
+    4U,	// VPLZCNTDrmb
+    194U,	// VPLZCNTDrmbk
+    786U,	// VPLZCNTDrmbkz
+    186U,	// VPLZCNTDrmk
+    106U,	// VPLZCNTDrmkz
+    0U,	// VPLZCNTDrr
+    42U,	// VPLZCNTDrrk
+    10U,	// VPLZCNTDrrkz
+    0U,	// VPLZCNTQrm
+    5U,	// VPLZCNTQrmb
+    202U,	// VPLZCNTQrmbk
+    538U,	// VPLZCNTQrmbkz
+    186U,	// VPLZCNTQrmk
+    106U,	// VPLZCNTQrmkz
+    0U,	// VPLZCNTQrr
+    42U,	// VPLZCNTQrrk
+    10U,	// VPLZCNTQrrkz
+    65888U,	// VPMACSDDrm
+    71944U,	// VPMACSDDrr
+    65888U,	// VPMACSDQHrm
+    71944U,	// VPMACSDQHrr
+    65888U,	// VPMACSDQLrm
+    71944U,	// VPMACSDQLrr
+    65888U,	// VPMACSSDDrm
+    71944U,	// VPMACSSDDrr
+    65888U,	// VPMACSSDQHrm
+    71944U,	// VPMACSSDQHrr
+    65888U,	// VPMACSSDQLrm
+    71944U,	// VPMACSSDQLrr
+    65888U,	// VPMACSSWDrm
+    71944U,	// VPMACSSWDrr
+    65888U,	// VPMACSSWWrm
+    71944U,	// VPMACSSWWrr
+    65888U,	// VPMACSWDrm
+    71944U,	// VPMACSWDrr
+    65888U,	// VPMACSWWrm
+    71944U,	// VPMACSWWrr
+    65888U,	// VPMADCSSWDrm
+    71944U,	// VPMADCSSWDrr
+    65888U,	// VPMADCSWDrm
+    71944U,	// VPMADCSWDrr
     96U,	// VPMADDUBSWrm128
-    120U,	// VPMADDUBSWrm256
+    112U,	// VPMADDUBSWrm256
     8U,	// VPMADDUBSWrr128
     8U,	// VPMADDUBSWrr256
-    120U,	// VPMADDWDYrm
+    112U,	// VPMADDWDYrm
     8U,	// VPMADDWDYrr
     96U,	// VPMADDWDrm
     8U,	// VPMADDWDrr
     0U,	// VPMASKMOVDYmr
-    120U,	// VPMASKMOVDYrm
+    112U,	// VPMASKMOVDYrm
     0U,	// VPMASKMOVDmr
     96U,	// VPMASKMOVDrm
     0U,	// VPMASKMOVQYmr
-    120U,	// VPMASKMOVQYrm
+    112U,	// VPMASKMOVQYrm
     0U,	// VPMASKMOVQmr
     96U,	// VPMASKMOVQrm
-    120U,	// VPMAXSBYrm
+    112U,	// VPMAXSBYrm
     8U,	// VPMAXSBYrr
     96U,	// VPMAXSBrm
     8U,	// VPMAXSBrr
-    120U,	// VPMAXSDYrm
+    112U,	// VPMAXSDYrm
     8U,	// VPMAXSDYrr
     104U,	// VPMAXSDZrm
     784U,	// VPMAXSDZrmb
+    16682U,	// VPMAXSDZrmbk
+    18698U,	// VPMAXSDZrmbkz
+    20778U,	// VPMAXSDZrmk
+    88330U,	// VPMAXSDZrmkz
     8U,	// VPMAXSDZrr
+    76074U,	// VPMAXSDZrrk
+    71946U,	// VPMAXSDZrrkz
     96U,	// VPMAXSDrm
     8U,	// VPMAXSDrr
     104U,	// VPMAXSQZrm
     536U,	// VPMAXSQZrmb
+    24874U,	// VPMAXSQZrmbk
+    26890U,	// VPMAXSQZrmbkz
+    20778U,	// VPMAXSQZrmk
+    88330U,	// VPMAXSQZrmkz
     8U,	// VPMAXSQZrr
-    120U,	// VPMAXSWYrm
+    76074U,	// VPMAXSQZrrk
+    71946U,	// VPMAXSQZrrkz
+    112U,	// VPMAXSWYrm
     8U,	// VPMAXSWYrr
     96U,	// VPMAXSWrm
     8U,	// VPMAXSWrr
-    120U,	// VPMAXUBYrm
+    112U,	// VPMAXUBYrm
     8U,	// VPMAXUBYrr
     96U,	// VPMAXUBrm
     8U,	// VPMAXUBrr
-    120U,	// VPMAXUDYrm
+    112U,	// VPMAXUDYrm
     8U,	// VPMAXUDYrr
     104U,	// VPMAXUDZrm
     784U,	// VPMAXUDZrmb
+    16682U,	// VPMAXUDZrmbk
+    18698U,	// VPMAXUDZrmbkz
+    20778U,	// VPMAXUDZrmk
+    88330U,	// VPMAXUDZrmkz
     8U,	// VPMAXUDZrr
+    76074U,	// VPMAXUDZrrk
+    71946U,	// VPMAXUDZrrkz
     96U,	// VPMAXUDrm
     8U,	// VPMAXUDrr
     104U,	// VPMAXUQZrm
     536U,	// VPMAXUQZrmb
+    24874U,	// VPMAXUQZrmbk
+    26890U,	// VPMAXUQZrmbkz
+    20778U,	// VPMAXUQZrmk
+    88330U,	// VPMAXUQZrmkz
     8U,	// VPMAXUQZrr
-    120U,	// VPMAXUWYrm
+    76074U,	// VPMAXUQZrrk
+    71946U,	// VPMAXUQZrrkz
+    112U,	// VPMAXUWYrm
     8U,	// VPMAXUWYrr
     96U,	// VPMAXUWrm
     8U,	// VPMAXUWrr
-    120U,	// VPMINSBYrm
+    112U,	// VPMINSBYrm
     8U,	// VPMINSBYrr
     96U,	// VPMINSBrm
     8U,	// VPMINSBrr
-    120U,	// VPMINSDYrm
+    112U,	// VPMINSDYrm
     8U,	// VPMINSDYrr
     104U,	// VPMINSDZrm
     784U,	// VPMINSDZrmb
+    16682U,	// VPMINSDZrmbk
+    18698U,	// VPMINSDZrmbkz
+    20778U,	// VPMINSDZrmk
+    88330U,	// VPMINSDZrmkz
     8U,	// VPMINSDZrr
+    76074U,	// VPMINSDZrrk
+    71946U,	// VPMINSDZrrkz
     96U,	// VPMINSDrm
     8U,	// VPMINSDrr
     104U,	// VPMINSQZrm
     536U,	// VPMINSQZrmb
+    24874U,	// VPMINSQZrmbk
+    26890U,	// VPMINSQZrmbkz
+    20778U,	// VPMINSQZrmk
+    88330U,	// VPMINSQZrmkz
     8U,	// VPMINSQZrr
-    120U,	// VPMINSWYrm
+    76074U,	// VPMINSQZrrk
+    71946U,	// VPMINSQZrrkz
+    112U,	// VPMINSWYrm
     8U,	// VPMINSWYrr
     96U,	// VPMINSWrm
     8U,	// VPMINSWrr
-    120U,	// VPMINUBYrm
+    112U,	// VPMINUBYrm
     8U,	// VPMINUBYrr
     96U,	// VPMINUBrm
     8U,	// VPMINUBrr
-    120U,	// VPMINUDYrm
+    112U,	// VPMINUDYrm
     8U,	// VPMINUDYrr
     104U,	// VPMINUDZrm
     784U,	// VPMINUDZrmb
+    16682U,	// VPMINUDZrmbk
+    18698U,	// VPMINUDZrmbkz
+    20778U,	// VPMINUDZrmk
+    88330U,	// VPMINUDZrmkz
     8U,	// VPMINUDZrr
+    76074U,	// VPMINUDZrrk
+    71946U,	// VPMINUDZrrkz
     96U,	// VPMINUDrm
     8U,	// VPMINUDrr
     104U,	// VPMINUQZrm
     536U,	// VPMINUQZrmb
+    24874U,	// VPMINUQZrmbk
+    26890U,	// VPMINUQZrmbkz
+    20778U,	// VPMINUQZrmk
+    88330U,	// VPMINUQZrmkz
     8U,	// VPMINUQZrr
-    120U,	// VPMINUWYrm
+    76074U,	// VPMINUQZrrk
+    71946U,	// VPMINUQZrrkz
+    112U,	// VPMINUWYrm
     8U,	// VPMINUWYrr
     96U,	// VPMINUWrm
     8U,	// VPMINUWrr
-    12U,	// VPMOVDBkrr
     0U,	// VPMOVDBmr
+    2U,	// VPMOVDBmrk
     0U,	// VPMOVDBrr
-    12U,	// VPMOVDWkrr
+    10U,	// VPMOVDBrrk
+    10U,	// VPMOVDBrrkz
     0U,	// VPMOVDWmr
+    2U,	// VPMOVDWmrk
     0U,	// VPMOVDWrr
+    10U,	// VPMOVDWrrk
+    10U,	// VPMOVDWrrkz
     0U,	// VPMOVMSKBYrr
     0U,	// VPMOVMSKBrr
-    12U,	// VPMOVQBkrr
     0U,	// VPMOVQBmr
+    2U,	// VPMOVQBmrk
     0U,	// VPMOVQBrr
-    12U,	// VPMOVQDkrr
+    10U,	// VPMOVQBrrk
+    10U,	// VPMOVQBrrkz
     0U,	// VPMOVQDmr
+    2U,	// VPMOVQDmrk
     0U,	// VPMOVQDrr
-    12U,	// VPMOVQWkrr
+    10U,	// VPMOVQDrrk
+    10U,	// VPMOVQDrrkz
     0U,	// VPMOVQWmr
+    2U,	// VPMOVQWmrk
     0U,	// VPMOVQWrr
-    12U,	// VPMOVSDBkrr
+    10U,	// VPMOVQWrrk
+    10U,	// VPMOVQWrrkz
     0U,	// VPMOVSDBmr
+    2U,	// VPMOVSDBmrk
     0U,	// VPMOVSDBrr
-    12U,	// VPMOVSDWkrr
+    10U,	// VPMOVSDBrrk
+    10U,	// VPMOVSDBrrkz
     0U,	// VPMOVSDWmr
+    2U,	// VPMOVSDWmrk
     0U,	// VPMOVSDWrr
-    12U,	// VPMOVSQBkrr
+    10U,	// VPMOVSDWrrk
+    10U,	// VPMOVSDWrrkz
     0U,	// VPMOVSQBmr
+    2U,	// VPMOVSQBmrk
     0U,	// VPMOVSQBrr
-    12U,	// VPMOVSQDkrr
+    10U,	// VPMOVSQBrrk
+    10U,	// VPMOVSQBrrkz
     0U,	// VPMOVSQDmr
+    2U,	// VPMOVSQDmrk
     0U,	// VPMOVSQDrr
-    12U,	// VPMOVSQWkrr
+    10U,	// VPMOVSQDrrk
+    10U,	// VPMOVSQDrrkz
     0U,	// VPMOVSQWmr
+    2U,	// VPMOVSQWmrk
     0U,	// VPMOVSQWrr
+    10U,	// VPMOVSQWrrk
+    10U,	// VPMOVSQWrrkz
     0U,	// VPMOVSXBDYrm
     0U,	// VPMOVSXBDYrr
     0U,	// VPMOVSXBDZrm
+    98U,	// VPMOVSXBDZrmk
+    98U,	// VPMOVSXBDZrmkz
     0U,	// VPMOVSXBDZrr
+    10U,	// VPMOVSXBDZrrk
+    10U,	// VPMOVSXBDZrrkz
     0U,	// VPMOVSXBDrm
     0U,	// VPMOVSXBDrr
     0U,	// VPMOVSXBQYrm
     0U,	// VPMOVSXBQYrr
     0U,	// VPMOVSXBQZrm
+    98U,	// VPMOVSXBQZrmk
+    98U,	// VPMOVSXBQZrmkz
     0U,	// VPMOVSXBQZrr
+    10U,	// VPMOVSXBQZrrk
+    10U,	// VPMOVSXBQZrrkz
     0U,	// VPMOVSXBQrm
     0U,	// VPMOVSXBQrr
     0U,	// VPMOVSXBWYrm
@@ -10188,46 +11416,76 @@
     0U,	// VPMOVSXDQYrm
     0U,	// VPMOVSXDQYrr
     0U,	// VPMOVSXDQZrm
+    114U,	// VPMOVSXDQZrmk
+    114U,	// VPMOVSXDQZrmkz
     0U,	// VPMOVSXDQZrr
+    10U,	// VPMOVSXDQZrrk
+    10U,	// VPMOVSXDQZrrkz
     0U,	// VPMOVSXDQrm
     0U,	// VPMOVSXDQrr
     0U,	// VPMOVSXWDYrm
     0U,	// VPMOVSXWDYrr
     0U,	// VPMOVSXWDZrm
+    114U,	// VPMOVSXWDZrmk
+    114U,	// VPMOVSXWDZrmkz
     0U,	// VPMOVSXWDZrr
+    10U,	// VPMOVSXWDZrrk
+    10U,	// VPMOVSXWDZrrkz
     0U,	// VPMOVSXWDrm
     0U,	// VPMOVSXWDrr
     0U,	// VPMOVSXWQYrm
     0U,	// VPMOVSXWQYrr
     0U,	// VPMOVSXWQZrm
+    98U,	// VPMOVSXWQZrmk
+    98U,	// VPMOVSXWQZrmkz
     0U,	// VPMOVSXWQZrr
+    10U,	// VPMOVSXWQZrrk
+    10U,	// VPMOVSXWQZrrkz
     0U,	// VPMOVSXWQrm
     0U,	// VPMOVSXWQrr
-    12U,	// VPMOVUSDBkrr
     0U,	// VPMOVUSDBmr
+    2U,	// VPMOVUSDBmrk
     0U,	// VPMOVUSDBrr
-    12U,	// VPMOVUSDWkrr
+    10U,	// VPMOVUSDBrrk
+    10U,	// VPMOVUSDBrrkz
     0U,	// VPMOVUSDWmr
+    2U,	// VPMOVUSDWmrk
     0U,	// VPMOVUSDWrr
-    12U,	// VPMOVUSQBkrr
+    10U,	// VPMOVUSDWrrk
+    10U,	// VPMOVUSDWrrkz
     0U,	// VPMOVUSQBmr
+    2U,	// VPMOVUSQBmrk
     0U,	// VPMOVUSQBrr
-    12U,	// VPMOVUSQDkrr
+    10U,	// VPMOVUSQBrrk
+    10U,	// VPMOVUSQBrrkz
     0U,	// VPMOVUSQDmr
+    2U,	// VPMOVUSQDmrk
     0U,	// VPMOVUSQDrr
-    12U,	// VPMOVUSQWkrr
+    10U,	// VPMOVUSQDrrk
+    10U,	// VPMOVUSQDrrkz
     0U,	// VPMOVUSQWmr
+    2U,	// VPMOVUSQWmrk
     0U,	// VPMOVUSQWrr
+    10U,	// VPMOVUSQWrrk
+    10U,	// VPMOVUSQWrrkz
     0U,	// VPMOVZXBDYrm
     0U,	// VPMOVZXBDYrr
     0U,	// VPMOVZXBDZrm
+    98U,	// VPMOVZXBDZrmk
+    98U,	// VPMOVZXBDZrmkz
     0U,	// VPMOVZXBDZrr
+    10U,	// VPMOVZXBDZrrk
+    10U,	// VPMOVZXBDZrrkz
     0U,	// VPMOVZXBDrm
     0U,	// VPMOVZXBDrr
     0U,	// VPMOVZXBQYrm
     0U,	// VPMOVZXBQYrr
     0U,	// VPMOVZXBQZrm
+    98U,	// VPMOVZXBQZrmk
+    98U,	// VPMOVZXBQZrmkz
     0U,	// VPMOVZXBQZrr
+    10U,	// VPMOVZXBQZrrk
+    10U,	// VPMOVZXBQZrrkz
     0U,	// VPMOVZXBQrm
     0U,	// VPMOVZXBQrr
     0U,	// VPMOVZXBWYrm
@@ -10237,69 +11495,113 @@
     0U,	// VPMOVZXDQYrm
     0U,	// VPMOVZXDQYrr
     0U,	// VPMOVZXDQZrm
+    114U,	// VPMOVZXDQZrmk
+    114U,	// VPMOVZXDQZrmkz
     0U,	// VPMOVZXDQZrr
+    10U,	// VPMOVZXDQZrrk
+    10U,	// VPMOVZXDQZrrkz
     0U,	// VPMOVZXDQrm
     0U,	// VPMOVZXDQrr
     0U,	// VPMOVZXWDYrm
     0U,	// VPMOVZXWDYrr
     0U,	// VPMOVZXWDZrm
+    114U,	// VPMOVZXWDZrmk
+    114U,	// VPMOVZXWDZrmkz
     0U,	// VPMOVZXWDZrr
+    10U,	// VPMOVZXWDZrrk
+    10U,	// VPMOVZXWDZrrkz
     0U,	// VPMOVZXWDrm
     0U,	// VPMOVZXWDrr
     0U,	// VPMOVZXWQYrm
     0U,	// VPMOVZXWQYrr
     0U,	// VPMOVZXWQZrm
+    98U,	// VPMOVZXWQZrmk
+    98U,	// VPMOVZXWQZrmkz
     0U,	// VPMOVZXWQZrr
+    10U,	// VPMOVZXWQZrrk
+    10U,	// VPMOVZXWQZrrkz
     0U,	// VPMOVZXWQrm
     0U,	// VPMOVZXWQrr
-    120U,	// VPMULDQYrm
+    112U,	// VPMULDQYrm
     8U,	// VPMULDQYrr
     104U,	// VPMULDQZrm
+    536U,	// VPMULDQZrmb
+    26890U,	// VPMULDQZrmbk
+    26890U,	// VPMULDQZrmbkz
+    88330U,	// VPMULDQZrmk
+    88330U,	// VPMULDQZrmkz
     8U,	// VPMULDQZrr
+    71946U,	// VPMULDQZrrk
+    71946U,	// VPMULDQZrrkz
     96U,	// VPMULDQrm
     8U,	// VPMULDQrr
     96U,	// VPMULHRSWrm128
-    120U,	// VPMULHRSWrm256
+    112U,	// VPMULHRSWrm256
     8U,	// VPMULHRSWrr128
     8U,	// VPMULHRSWrr256
-    120U,	// VPMULHUWYrm
+    112U,	// VPMULHUWYrm
     8U,	// VPMULHUWYrr
     96U,	// VPMULHUWrm
     8U,	// VPMULHUWrr
-    120U,	// VPMULHWYrm
+    112U,	// VPMULHWYrm
     8U,	// VPMULHWYrr
     96U,	// VPMULHWrm
     8U,	// VPMULHWrr
-    120U,	// VPMULLDYrm
+    112U,	// VPMULLDYrm
     8U,	// VPMULLDYrr
     104U,	// VPMULLDZrm
     784U,	// VPMULLDZrmb
+    16682U,	// VPMULLDZrmbk
+    18698U,	// VPMULLDZrmbkz
+    20778U,	// VPMULLDZrmk
+    88330U,	// VPMULLDZrmkz
     8U,	// VPMULLDZrr
+    76074U,	// VPMULLDZrrk
+    71946U,	// VPMULLDZrrkz
     96U,	// VPMULLDrm
     8U,	// VPMULLDrr
-    120U,	// VPMULLWYrm
+    112U,	// VPMULLWYrm
     8U,	// VPMULLWYrr
     96U,	// VPMULLWrm
     8U,	// VPMULLWrr
-    120U,	// VPMULUDQYrm
+    112U,	// VPMULUDQYrm
     8U,	// VPMULUDQYrr
     104U,	// VPMULUDQZrm
+    536U,	// VPMULUDQZrmb
+    26890U,	// VPMULUDQZrmbk
+    26890U,	// VPMULUDQZrmbkz
+    88330U,	// VPMULUDQZrmk
+    88330U,	// VPMULUDQZrmkz
     8U,	// VPMULUDQZrr
+    71946U,	// VPMULUDQZrrk
+    71946U,	// VPMULUDQZrrkz
     96U,	// VPMULUDQrm
     8U,	// VPMULUDQrr
     104U,	// VPORDZrm
     784U,	// VPORDZrmb
+    16682U,	// VPORDZrmbk
+    18698U,	// VPORDZrmbkz
+    20778U,	// VPORDZrmk
+    88330U,	// VPORDZrmkz
     8U,	// VPORDZrr
+    76074U,	// VPORDZrrk
+    71946U,	// VPORDZrrkz
     104U,	// VPORQZrm
     536U,	// VPORQZrmb
+    24874U,	// VPORQZrmbk
+    26890U,	// VPORQZrmbkz
+    20778U,	// VPORQZrmk
+    88330U,	// VPORQZrmkz
     8U,	// VPORQZrr
-    120U,	// VPORYrm
+    76074U,	// VPORQZrrk
+    71946U,	// VPORQZrrkz
+    112U,	// VPORYrm
     8U,	// VPORYrr
     96U,	// VPORrm
     8U,	// VPORrr
-    33120U,	// VPPERMmr
-    16648U,	// VPPERMrm
-    35080U,	// VPPERMrr
+    65888U,	// VPPERMmr
+    28936U,	// VPPERMrm
+    71944U,	// VPPERMrr
     0U,	// VPROTBmi
     0U,	// VPROTBmr
     8U,	// VPROTBri
@@ -10320,7 +11622,7 @@
     8U,	// VPROTWri
     96U,	// VPROTWrm
     8U,	// VPROTWrr
-    120U,	// VPSADBWYrm
+    112U,	// VPSADBWYrm
     8U,	// VPSADBWYrr
     96U,	// VPSADBWrm
     8U,	// VPSADBWrr
@@ -10352,7 +11654,7 @@
     0U,	// VPSHLWmr
     96U,	// VPSHLWrm
     8U,	// VPSHLWrr
-    120U,	// VPSHUFBYrm
+    112U,	// VPSHUFBYrm
     8U,	// VPSHUFBYrr
     96U,	// VPSHUFBrm
     8U,	// VPSHUFBrr
@@ -10370,15 +11672,15 @@
     8U,	// VPSHUFLWYri
     0U,	// VPSHUFLWmi
     8U,	// VPSHUFLWri
-    120U,	// VPSIGNBYrm
+    112U,	// VPSIGNBYrm
     8U,	// VPSIGNBYrr
     96U,	// VPSIGNBrm
     8U,	// VPSIGNBrr
-    120U,	// VPSIGNDYrm
+    112U,	// VPSIGNDYrm
     8U,	// VPSIGNDYrr
     96U,	// VPSIGNDrm
     8U,	// VPSIGNDrr
-    120U,	// VPSIGNWYrm
+    112U,	// VPSIGNWYrm
     8U,	// VPSIGNWYrr
     96U,	// VPSIGNWrm
     8U,	// VPSIGNWrr
@@ -10388,13 +11690,13 @@
     96U,	// VPSLLDYrm
     8U,	// VPSLLDYrr
     0U,	// VPSLLDZmi
-    33130U,	// VPSLLDZmik
+    65898U,	// VPSLLDZmik
     8U,	// VPSLLDZri
-    35082U,	// VPSLLDZrik
+    71946U,	// VPSLLDZrik
     96U,	// VPSLLDZrm
-    16650U,	// VPSLLDZrmk
+    28938U,	// VPSLLDZrmk
     8U,	// VPSLLDZrr
-    35082U,	// VPSLLDZrrk
+    71946U,	// VPSLLDZrrk
     8U,	// VPSLLDri
     96U,	// VPSLLDrm
     8U,	// VPSLLDrr
@@ -10402,23 +11704,23 @@
     96U,	// VPSLLQYrm
     8U,	// VPSLLQYrr
     0U,	// VPSLLQZmi
-    33130U,	// VPSLLQZmik
+    65898U,	// VPSLLQZmik
     8U,	// VPSLLQZri
-    35082U,	// VPSLLQZrik
+    71946U,	// VPSLLQZrik
     96U,	// VPSLLQZrm
-    16650U,	// VPSLLQZrmk
+    28938U,	// VPSLLQZrmk
     8U,	// VPSLLQZrr
-    35082U,	// VPSLLQZrrk
+    71946U,	// VPSLLQZrrk
     8U,	// VPSLLQri
     96U,	// VPSLLQrm
     8U,	// VPSLLQrr
-    120U,	// VPSLLVDYrm
+    112U,	// VPSLLVDYrm
     8U,	// VPSLLVDYrr
     104U,	// VPSLLVDZrm
     8U,	// VPSLLVDZrr
     96U,	// VPSLLVDrm
     8U,	// VPSLLVDrr
-    120U,	// VPSLLVQYrm
+    112U,	// VPSLLVQYrm
     8U,	// VPSLLVQYrr
     104U,	// VPSLLVQZrm
     8U,	// VPSLLVQZrr
@@ -10434,25 +11736,25 @@
     96U,	// VPSRADYrm
     8U,	// VPSRADYrr
     0U,	// VPSRADZmi
-    33130U,	// VPSRADZmik
+    65898U,	// VPSRADZmik
     8U,	// VPSRADZri
-    35082U,	// VPSRADZrik
+    71946U,	// VPSRADZrik
     96U,	// VPSRADZrm
-    16650U,	// VPSRADZrmk
+    28938U,	// VPSRADZrmk
     8U,	// VPSRADZrr
-    35082U,	// VPSRADZrrk
+    71946U,	// VPSRADZrrk
     8U,	// VPSRADri
     96U,	// VPSRADrm
     8U,	// VPSRADrr
     0U,	// VPSRAQZmi
-    33130U,	// VPSRAQZmik
+    65898U,	// VPSRAQZmik
     8U,	// VPSRAQZri
-    35082U,	// VPSRAQZrik
+    71946U,	// VPSRAQZrik
     96U,	// VPSRAQZrm
-    16650U,	// VPSRAQZrmk
+    28938U,	// VPSRAQZrmk
     8U,	// VPSRAQZrr
-    35082U,	// VPSRAQZrrk
-    120U,	// VPSRAVDYrm
+    71946U,	// VPSRAQZrrk
+    112U,	// VPSRAVDYrm
     8U,	// VPSRAVDYrr
     104U,	// VPSRAVDZrm
     8U,	// VPSRAVDZrr
@@ -10472,13 +11774,13 @@
     96U,	// VPSRLDYrm
     8U,	// VPSRLDYrr
     0U,	// VPSRLDZmi
-    33130U,	// VPSRLDZmik
+    65898U,	// VPSRLDZmik
     8U,	// VPSRLDZri
-    35082U,	// VPSRLDZrik
+    71946U,	// VPSRLDZrik
     96U,	// VPSRLDZrm
-    16650U,	// VPSRLDZrmk
+    28938U,	// VPSRLDZrmk
     8U,	// VPSRLDZrr
-    35082U,	// VPSRLDZrrk
+    71946U,	// VPSRLDZrrk
     8U,	// VPSRLDri
     96U,	// VPSRLDrm
     8U,	// VPSRLDrr
@@ -10486,23 +11788,23 @@
     96U,	// VPSRLQYrm
     8U,	// VPSRLQYrr
     0U,	// VPSRLQZmi
-    33130U,	// VPSRLQZmik
+    65898U,	// VPSRLQZmik
     8U,	// VPSRLQZri
-    35082U,	// VPSRLQZrik
+    71946U,	// VPSRLQZrik
     96U,	// VPSRLQZrm
-    16650U,	// VPSRLQZrmk
+    28938U,	// VPSRLQZrmk
     8U,	// VPSRLQZrr
-    35082U,	// VPSRLQZrrk
+    71946U,	// VPSRLQZrrk
     8U,	// VPSRLQri
     96U,	// VPSRLQrm
     8U,	// VPSRLQrr
-    120U,	// VPSRLVDYrm
+    112U,	// VPSRLVDYrm
     8U,	// VPSRLVDYrr
     104U,	// VPSRLVDZrm
     8U,	// VPSRLVDZrr
     96U,	// VPSRLVDrm
     8U,	// VPSRLVDrr
-    120U,	// VPSRLVQYrm
+    112U,	// VPSRLVQYrm
     8U,	// VPSRLVQYrr
     104U,	// VPSRLVQZrm
     8U,	// VPSRLVQZrr
@@ -10514,41 +11816,53 @@
     8U,	// VPSRLWri
     96U,	// VPSRLWrm
     8U,	// VPSRLWrr
-    120U,	// VPSUBBYrm
+    112U,	// VPSUBBYrm
     8U,	// VPSUBBYrr
     96U,	// VPSUBBrm
     8U,	// VPSUBBrr
-    120U,	// VPSUBDYrm
+    112U,	// VPSUBDYrm
     8U,	// VPSUBDYrr
     104U,	// VPSUBDZrm
     784U,	// VPSUBDZrmb
+    16682U,	// VPSUBDZrmbk
+    18698U,	// VPSUBDZrmbkz
+    20778U,	// VPSUBDZrmk
+    88330U,	// VPSUBDZrmkz
     8U,	// VPSUBDZrr
+    76074U,	// VPSUBDZrrk
+    71946U,	// VPSUBDZrrkz
     96U,	// VPSUBDrm
     8U,	// VPSUBDrr
-    120U,	// VPSUBQYrm
+    112U,	// VPSUBQYrm
     8U,	// VPSUBQYrr
     104U,	// VPSUBQZrm
     536U,	// VPSUBQZrmb
+    24874U,	// VPSUBQZrmbk
+    26890U,	// VPSUBQZrmbkz
+    20778U,	// VPSUBQZrmk
+    88330U,	// VPSUBQZrmkz
     8U,	// VPSUBQZrr
+    76074U,	// VPSUBQZrrk
+    71946U,	// VPSUBQZrrkz
     96U,	// VPSUBQrm
     8U,	// VPSUBQrr
-    120U,	// VPSUBSBYrm
+    112U,	// VPSUBSBYrm
     8U,	// VPSUBSBYrr
     96U,	// VPSUBSBrm
     8U,	// VPSUBSBrr
-    120U,	// VPSUBSWYrm
+    112U,	// VPSUBSWYrm
     8U,	// VPSUBSWYrr
     96U,	// VPSUBSWrm
     8U,	// VPSUBSWrr
-    120U,	// VPSUBUSBYrm
+    112U,	// VPSUBUSBYrm
     8U,	// VPSUBUSBYrr
     96U,	// VPSUBUSBrm
     8U,	// VPSUBUSBrr
-    120U,	// VPSUBUSWYrm
+    112U,	// VPSUBUSWYrm
     8U,	// VPSUBUSWYrr
     96U,	// VPSUBUSWrm
     8U,	// VPSUBUSWrr
-    120U,	// VPSUBWYrm
+    112U,	// VPSUBWYrm
     8U,	// VPSUBWYrr
     96U,	// VPSUBWrm
     8U,	// VPSUBWrr
@@ -10564,53 +11878,65 @@
     0U,	// VPTESTYrr
     0U,	// VPTESTrm
     0U,	// VPTESTrr
-    120U,	// VPUNPCKHBWYrm
+    112U,	// VPUNPCKHBWYrm
     8U,	// VPUNPCKHBWYrr
     96U,	// VPUNPCKHBWrm
     8U,	// VPUNPCKHBWrr
-    120U,	// VPUNPCKHDQYrm
+    112U,	// VPUNPCKHDQYrm
     8U,	// VPUNPCKHDQYrr
     104U,	// VPUNPCKHDQZrm
     8U,	// VPUNPCKHDQZrr
     96U,	// VPUNPCKHDQrm
     8U,	// VPUNPCKHDQrr
-    120U,	// VPUNPCKHQDQYrm
+    112U,	// VPUNPCKHQDQYrm
     8U,	// VPUNPCKHQDQYrr
     104U,	// VPUNPCKHQDQZrm
     8U,	// VPUNPCKHQDQZrr
     96U,	// VPUNPCKHQDQrm
     8U,	// VPUNPCKHQDQrr
-    120U,	// VPUNPCKHWDYrm
+    112U,	// VPUNPCKHWDYrm
     8U,	// VPUNPCKHWDYrr
     96U,	// VPUNPCKHWDrm
     8U,	// VPUNPCKHWDrr
-    120U,	// VPUNPCKLBWYrm
+    112U,	// VPUNPCKLBWYrm
     8U,	// VPUNPCKLBWYrr
     96U,	// VPUNPCKLBWrm
     8U,	// VPUNPCKLBWrr
-    120U,	// VPUNPCKLDQYrm
+    112U,	// VPUNPCKLDQYrm
     8U,	// VPUNPCKLDQYrr
     104U,	// VPUNPCKLDQZrm
     8U,	// VPUNPCKLDQZrr
     96U,	// VPUNPCKLDQrm
     8U,	// VPUNPCKLDQrr
-    120U,	// VPUNPCKLQDQYrm
+    112U,	// VPUNPCKLQDQYrm
     8U,	// VPUNPCKLQDQYrr
     104U,	// VPUNPCKLQDQZrm
     8U,	// VPUNPCKLQDQZrr
     96U,	// VPUNPCKLQDQrm
     8U,	// VPUNPCKLQDQrr
-    120U,	// VPUNPCKLWDYrm
+    112U,	// VPUNPCKLWDYrm
     8U,	// VPUNPCKLWDYrr
     96U,	// VPUNPCKLWDrm
     8U,	// VPUNPCKLWDrr
     104U,	// VPXORDZrm
     784U,	// VPXORDZrmb
+    16682U,	// VPXORDZrmbk
+    18698U,	// VPXORDZrmbkz
+    20778U,	// VPXORDZrmk
+    88330U,	// VPXORDZrmkz
     8U,	// VPXORDZrr
+    76074U,	// VPXORDZrrk
+    71946U,	// VPXORDZrrkz
     104U,	// VPXORQZrm
     536U,	// VPXORQZrmb
+    24874U,	// VPXORQZrmbk
+    26890U,	// VPXORQZrmbkz
+    20778U,	// VPXORQZrmk
+    88330U,	// VPXORQZrmkz
     8U,	// VPXORQZrr
-    120U,	// VPXORYrm
+    76074U,	// VPXORQZrrk
+    71946U,	// VPXORQZrrkz
+    112U,	// VPXORYrm
     8U,	// VPXORYrr
     96U,	// VPXORrm
     8U,	// VPXORrr
@@ -10624,10 +11950,10 @@
     8U,	// VRCP14SSrr
     0U,	// VRCP28PDZm
     0U,	// VRCP28PDZr
-    2U,	// VRCP28PDZrb
+    3U,	// VRCP28PDZrb
     0U,	// VRCP28PSZm
     0U,	// VRCP28PSZr
-    2U,	// VRCP28PSZrb
+    3U,	// VRCP28PSZrb
     56U,	// VRCP28SDrm
     8U,	// VRCP28SDrr
     1032U,	// VRCP28SDrrb
@@ -10657,12 +11983,12 @@
     8U,	// VROUNDPDr
     0U,	// VROUNDPSm
     8U,	// VROUNDPSr
-    33080U,	// VROUNDSDm
-    35080U,	// VROUNDSDr
-    35080U,	// VROUNDSDr_Int
-    33088U,	// VROUNDSSm
-    35080U,	// VROUNDSSr
-    35080U,	// VROUNDSSr_Int
+    65848U,	// VROUNDSDm
+    71944U,	// VROUNDSDr
+    71944U,	// VROUNDSDr_Int
+    65856U,	// VROUNDSSm
+    71944U,	// VROUNDSSr
+    71944U,	// VROUNDSSr_Int
     0U,	// VROUNDYPDm
     8U,	// VROUNDYPDr
     0U,	// VROUNDYPSm
@@ -10677,10 +12003,10 @@
     8U,	// VRSQRT14SSrr
     0U,	// VRSQRT28PDZm
     0U,	// VRSQRT28PDZr
-    2U,	// VRSQRT28PDZrb
+    3U,	// VRSQRT28PDZrb
     0U,	// VRSQRT28PSZm
     0U,	// VRSQRT28PSZr
-    2U,	// VRSQRT28PSZrb
+    3U,	// VRSQRT28PSZrb
     56U,	// VRSQRT28SDrm
     8U,	// VRSQRT28SDrr
     1032U,	// VRSQRT28SDrrb
@@ -10700,32 +12026,36 @@
     8U,	// VRSQRTSSr
     0U,	// VSCATTERDPDZmr
     0U,	// VSCATTERDPSZmr
+    0U,	// VSCATTERPF0DPDm
+    0U,	// VSCATTERPF0DPSm
+    0U,	// VSCATTERPF0QPDm
+    0U,	// VSCATTERPF0QPSm
+    0U,	// VSCATTERPF1DPDm
+    0U,	// VSCATTERPF1DPSm
+    0U,	// VSCATTERPF1QPDm
+    0U,	// VSCATTERPF1QPSm
     0U,	// VSCATTERQPDZmr
     0U,	// VSCATTERQPSZmr
-    33096U,	// VSHUFPDYrmi
-    35080U,	// VSHUFPDYrri
-    33104U,	// VSHUFPDZrmi
-    35080U,	// VSHUFPDZrri
-    33112U,	// VSHUFPDrmi
-    35080U,	// VSHUFPDrri
-    33096U,	// VSHUFPSYrmi
-    35080U,	// VSHUFPSYrri
-    33104U,	// VSHUFPSZrmi
-    35080U,	// VSHUFPSZrri
-    33112U,	// VSHUFPSrmi
-    35080U,	// VSHUFPSrri
+    65864U,	// VSHUFPDYrmi
+    71944U,	// VSHUFPDYrri
+    65872U,	// VSHUFPDZrmi
+    71944U,	// VSHUFPDZrri
+    65880U,	// VSHUFPDrmi
+    71944U,	// VSHUFPDrri
+    65864U,	// VSHUFPSYrmi
+    71944U,	// VSHUFPSYrri
+    65872U,	// VSHUFPSZrmi
+    71944U,	// VSHUFPSZrri
+    65880U,	// VSHUFPSrmi
+    71944U,	// VSHUFPSrri
     0U,	// VSQRTPDYm
     0U,	// VSQRTPDYr
-    0U,	// VSQRTPDZm_Int
-    0U,	// VSQRTPDZr_Int
     0U,	// VSQRTPDZrm
     0U,	// VSQRTPDZrr
     0U,	// VSQRTPDm
     0U,	// VSQRTPDr
     0U,	// VSQRTPSYm
     0U,	// VSQRTPSYr
-    0U,	// VSQRTPSZm_Int
-    0U,	// VSQRTPSZr_Int
     0U,	// VSQRTPSZrm
     0U,	// VSQRTPSZrr
     0U,	// VSQRTPSm
@@ -10749,14 +12079,26 @@
     8U,	// VSUBPDYrr
     80U,	// VSUBPDZrm
     568U,	// VSUBPDZrmb
+    35082U,	// VSUBPDZrmbk
+    35082U,	// VSUBPDZrmbkz
+    4362U,	// VSUBPDZrmk
+    4362U,	// VSUBPDZrmkz
     8U,	// VSUBPDZrr
+    71946U,	// VSUBPDZrrk
+    71946U,	// VSUBPDZrrkz
     88U,	// VSUBPDrm
     8U,	// VSUBPDrr
     72U,	// VSUBPSYrm
     8U,	// VSUBPSYrr
     80U,	// VSUBPSZrm
     832U,	// VSUBPSZrmb
+    106762U,	// VSUBPSZrmbk
+    106762U,	// VSUBPSZrmbkz
+    4362U,	// VSUBPSZrmk
+    4362U,	// VSUBPSZrmkz
     8U,	// VSUBPSZrr
+    71946U,	// VSUBPSZrrk
+    71946U,	// VSUBPSZrrkz
     88U,	// VSUBPSrm
     8U,	// VSUBPSrr
     56U,	// VSUBSDZrm
@@ -10923,1276 +12265,1282 @@
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
-  /* 0 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 32, 9, 0,
-  /* 12 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 32, 9, 0,
-  /* 24 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
-  /* 36 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
-  /* 47 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
-  /* 57 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
-  /* 69 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
-  /* 80 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
-  /* 90 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
-  /* 101 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
-  /* 112 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
-  /* 130 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
-  /* 139 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
-  /* 148 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
-  /* 160 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
-  /* 172 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
-  /* 181 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
-  /* 190 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 203 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 217 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
-  /* 227 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
-  /* 236 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
-  /* 246 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
-  /* 255 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
-  /* 267 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
-  /* 279 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
-  /* 288 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
-  /* 299 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
-  /* 309 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
-  /* 319 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 336 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 350 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 365 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 382 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 396 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 411 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
-  /* 423 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 435 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 448 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
-  /* 460 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
-  /* 472 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 503 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 518 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 535 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 549 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 564 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 575 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 588 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 599 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 612 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 32, 9, 0,
-  /* 622 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
-  /* 631 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
-  /* 640 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 653 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 667 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
-  /* 681 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
-  /* 691 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
-  /* 703 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
-  /* 712 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
-  /* 724 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
-  /* 734 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
-  /* 743 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
-  /* 752 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 765 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 779 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 32, 9, 0,
-  /* 789 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
-  /* 798 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
-  /* 807 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
-  /* 818 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 831 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 845 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
-  /* 857 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
-  /* 868 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
-  /* 878 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
-  /* 886 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 895 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
-  /* 907 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
-  /* 920 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 934 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 949 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 963 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 978 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
-  /* 989 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
-  /* 1002 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1013 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1026 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
-  /* 1035 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
-  /* 1049 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
-  /* 1059 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
-  /* 1074 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
-  /* 1083 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
-  /* 1093 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
-  /* 1107 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
-  /* 1118 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
-  /* 1133 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
-  /* 1143 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
-  /* 1153 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
-  /* 1163 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
-  /* 1173 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
-  /* 1183 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
-  /* 1195 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
-  /* 1207 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
-  /* 1219 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1232 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1244 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1257 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1269 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1283 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1296 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1310 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1323 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
-  /* 1334 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
-  /* 1346 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
-  /* 1358 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
-  /* 1376 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
-  /* 1387 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
-  /* 1398 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
-  /* 1407 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
-  /* 1416 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
-  /* 1428 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
-  /* 1440 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1453 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1465 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1478 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1490 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
-  /* 1499 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
-  /* 1512 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
-  /* 1525 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
-  /* 1535 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1544 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
-  /* 1558 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
-  /* 1572 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1585 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1599 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1613 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1626 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1640 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1653 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
-  /* 1664 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
-  /* 1676 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
-  /* 1688 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
-  /* 1697 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
-  /* 1706 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
-  /* 1718 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
-  /* 1730 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
-  /* 1739 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
-  /* 1750 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 1760 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
-  /* 1770 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
-  /* 1781 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1794 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1808 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
-  /* 1816 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 1825 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
-  /* 1834 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
-  /* 1844 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
-  /* 1854 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
-  /* 1868 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
-  /* 1879 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
-  /* 1894 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
-  /* 1904 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
-  /* 1914 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
-  /* 1924 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
-  /* 1934 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
-  /* 1944 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
-  /* 1956 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
-  /* 1968 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 1985 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 1999 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2014 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2031 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2045 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2060 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
-  /* 2072 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
-  /* 2084 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2096 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2109 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
-  /* 2121 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2138 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2152 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2167 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2184 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2198 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2213 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2224 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2237 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2248 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2261 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 32, 9, 0,
-  /* 2271 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
-  /* 2280 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
-  /* 2289 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2302 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2316 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
-  /* 2330 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
-  /* 2340 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
-  /* 2352 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
-  /* 2361 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
-  /* 2373 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
-  /* 2383 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
-  /* 2392 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
-  /* 2401 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2414 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2428 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 32, 9, 0,
-  /* 2438 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
-  /* 2447 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
-  /* 2456 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
-  /* 2468 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
-  /* 2481 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2495 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2510 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2524 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2539 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
-  /* 2550 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
-  /* 2563 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
-  /* 2574 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
-  /* 2587 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
-  /* 2601 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
-  /* 2616 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
-  /* 2625 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
-  /* 2636 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
-  /* 2644 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
-  /* 2656 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
-  /* 2667 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
-  /* 2677 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
-  /* 2688 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
-  /* 2697 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
-  /* 2709 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
-  /* 2720 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
-  /* 2730 */ 'k', 'o', 'r', 'w', 32, 9, 0,
-  /* 2737 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
-  /* 2746 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
-  /* 2754 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
-  /* 2765 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
-  /* 2773 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
-  /* 2784 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
-  /* 2792 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 2804 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 2814 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 2826 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 2838 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 2848 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 2858 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 2869 */ 'c', 'r', 'c', '3', '2', 9, 0,
-  /* 2876 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 2886 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 2898 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 2911 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 2923 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 2933 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 2944 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
-  /* 2954 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
-  /* 2965 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
-  /* 2977 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 2988 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3003 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3017 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3032 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3046 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3061 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3075 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3090 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3104 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 3116 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3130 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3143 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3159 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 3171 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3185 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3198 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3214 */ 'l', 'e', 'a', 9, 0,
-  /* 3219 */ 'j', 'a', 9, 0,
-  /* 3223 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 3234 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 3243 */ 's', 'e', 't', 'a', 9, 0,
-  /* 3249 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 3262 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
-  /* 3269 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 3281 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 3292 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 3300 */ 's', 'b', 'b', 9, 0,
-  /* 3305 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 3313 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3321 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 3330 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 3338 */ 'j', 'b', 9, 0,
-  /* 3342 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 3353 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 3361 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 3369 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 3378 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 3388 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 3397 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 3406 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 3414 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 3423 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 3432 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 3441 */ 's', 't', 'o', 's', 'b', 9, 0,
-  /* 3448 */ 'c', 'm', 'p', 's', 'b', 9, 0,
-  /* 3455 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 3465 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 3475 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 3484 */ 'm', 'o', 'v', 's', 'b', 9, 0,
-  /* 3491 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 3500 */ 's', 'e', 't', 'b', 9, 0,
-  /* 3506 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 3516 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 3524 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 3538 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 3547 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 3556 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 3563 */ 'f', 'i', 's', 'u', 'b', 9, 0,
-  /* 3570 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 3579 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 3590 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 3597 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 3608 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 3619 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 3626 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3634 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3643 */ 'a', 'd', 'c', 9, 0,
-  /* 3648 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 3657 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 3664 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 3671 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 3679 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 3688 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 3697 */ 'i', 'n', 'c', 9, 0,
-  /* 3702 */ 'b', 't', 'c', 9, 0,
-  /* 3707 */ 'a', 'a', 'd', 9, 0,
-  /* 3712 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
-  /* 3720 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 3728 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 3736 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 3746 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 3757 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 3766 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 3774 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 3785 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 3796 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 3803 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
-  /* 3810 */ 'x', 'a', 'd', 'd', 9, 0,
-  /* 3816 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3825 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3833 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 3843 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 3855 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 3865 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 3876 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
-  /* 3884 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 3891 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 3900 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 3907 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 3916 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 3925 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 3931 */ 'f', 'l', 'd', 9, 0,
-  /* 3936 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 3944 */ 'f', 'i', 'l', 'd', 9, 0,
-  /* 3950 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 3958 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 3967 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 3975 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 3984 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 3992 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 4000 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 4007 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
-  /* 4015 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 4024 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 4031 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4047 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4060 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4074 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4090 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4103 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4117 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4133 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4146 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4160 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4176 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4189 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4203 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 4213 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 4225 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 4236 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 4247 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4263 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4276 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4290 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4306 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4319 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4333 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 4342 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 4350 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4363 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4374 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4383 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4393 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4404 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4412 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4425 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4434 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4444 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4455 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4463 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4471 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4481 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4491 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 4503 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 4512 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 4523 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 4532 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 4543 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 4554 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 4565 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 4573 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 4582 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 4590 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 4599 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 4608 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 4616 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 4623 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 4631 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 4643 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4650 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4658 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 4668 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 4677 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 4686 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 4695 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 4706 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 4714 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 4726 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 4734 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 4743 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 4753 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 4765 */ 's', 'h', 'r', 'd', 9, 0,
-  /* 4771 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 4780 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 4789 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4802 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4816 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4829 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4843 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4856 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4870 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4883 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4897 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 4908 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 4920 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 4931 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4944 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4958 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4971 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4985 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 4993 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5003 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5014 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5022 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5032 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5043 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5051 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 5061 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5071 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5080 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 5088 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5097 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5105 */ 's', 't', 'o', 's', 'd', 9, 0,
-  /* 5112 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 5120 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 5129 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 5138 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 5152 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 5160 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 5168 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5177 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5185 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 5194 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 5204 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 5212 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 5226 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 5235 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 5244 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 5253 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 5262 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 5271 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 5280 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 5289 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5301 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5308 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 5318 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5328 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5338 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 5350 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 5362 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 5372 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 5383 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5394 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5406 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 5417 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 5428 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 5439 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
-  /* 5447 */ 'j', 'a', 'e', 9, 0,
-  /* 5452 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 5459 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
-  /* 5467 */ 'j', 'b', 'e', 9, 0,
-  /* 5472 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 5479 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 5487 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 5494 */ 'j', 'g', 'e', 9, 0,
-  /* 5499 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 5508 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 5515 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
-  /* 5523 */ 'j', 'e', 9, 0,
-  /* 5527 */ 'j', 'l', 'e', 9, 0,
-  /* 5532 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 5539 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
-  /* 5547 */ 'j', 'n', 'e', 9, 0,
-  /* 5552 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 5560 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 5567 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 5575 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 5582 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5592 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5602 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5612 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5622 */ 's', 'e', 't', 'e', 9, 0,
-  /* 5628 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
-  /* 5637 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 5648 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 5656 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 5664 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 5671 */ 'b', 's', 'f', 9, 0,
-  /* 5676 */ 'r', 'e', 't', 'f', 9, 0,
-  /* 5682 */ 'n', 'e', 'g', 9, 0,
-  /* 5687 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
-  /* 5696 */ 'j', 'g', 9, 0,
-  /* 5700 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 5708 */ 's', 'e', 't', 'g', 9, 0,
-  /* 5714 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
-  /* 5721 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 5731 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 5737 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 5748 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 5759 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 5771 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 5780 */ 'p', 'u', 's', 'h', 9, 0,
-  /* 5786 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 5792 */ 'b', 'z', 'h', 'i', 9, 0,
-  /* 5798 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5805 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5813 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5824 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5834 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5845 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5855 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5863 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5872 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 5884 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 5896 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5908 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5919 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5931 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5942 */ 'b', 'l', 's', 'i', 9, 0,
-  /* 5948 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
-  /* 5956 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 5964 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
-  /* 5972 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 5979 */ 's', 'a', 'l', 9, 0,
-  /* 5984 */ 'r', 'c', 'l', 9, 0,
-  /* 5989 */ 's', 'h', 'l', 9, 0,
-  /* 5994 */ 'j', 'l', 9, 0,
-  /* 5998 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
-  /* 6005 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6014 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6023 */ 'r', 'o', 'l', 9, 0,
-  /* 6028 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6034 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 6045 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 6057 */ 'l', 's', 'l', 9, 0,
-  /* 6062 */ 's', 'e', 't', 'l', 9, 0,
-  /* 6068 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 6075 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
-  /* 6082 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
-  /* 6089 */ 'a', 'a', 'm', 9, 0,
-  /* 6094 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 6100 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
-  /* 6107 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 6114 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 6122 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 6134 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 6146 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 6154 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 6162 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 6169 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 6176 */ 'j', 'o', 9, 0,
-  /* 6180 */ 'j', 'n', 'o', 9, 0,
-  /* 6185 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 6192 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
-  /* 6200 */ 's', 'e', 't', 'o', 9, 0,
-  /* 6206 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
-  /* 6213 */ 'b', 's', 'w', 'a', 'p', 9, 0,
-  /* 6220 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 6227 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 6234 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 6241 */ 'p', 'd', 'e', 'p', 9, 0,
-  /* 6247 */ 'j', 'p', 9, 0,
-  /* 6251 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 6258 */ 'c', 'm', 'p', 9, 0,
-  /* 6263 */ 'l', 'j', 'm', 'p', 9, 0,
-  /* 6269 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6276 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6284 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6292 */ 'j', 'n', 'p', 9, 0,
-  /* 6297 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 6304 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
-  /* 6312 */ 'n', 'o', 'p', 9, 0,
-  /* 6317 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 6323 */ 'p', 'o', 'p', 9, 0,
-  /* 6328 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 6336 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 6344 */ 's', 'e', 't', 'p', 9, 0,
-  /* 6350 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 6357 */ 'f', 's', 't', 'p', 9, 0,
-  /* 6363 */ 'f', 'i', 's', 't', 'p', 9, 0,
-  /* 6370 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
-  /* 6378 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 6388 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 6399 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 6410 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 6426 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 6433 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
-  /* 6440 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 6449 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 6457 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 6467 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 6478 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 6486 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 6497 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 6508 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6520 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6531 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 6540 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6552 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6563 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 6573 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 6581 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 6591 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 6603 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 6615 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 6624 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 6633 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 6642 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 6655 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6668 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6680 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 6692 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 6702 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 6713 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 6723 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 6734 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 6745 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 6754 */ 'r', 'e', 't', 'f', 'q', 9, 0,
-  /* 6761 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 6769 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 6777 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 6785 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 6793 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 6801 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 6809 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 6819 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 6831 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 6840 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 6849 */ 's', 't', 'o', 's', 'q', 9, 0,
-  /* 6856 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 6863 */ 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 6870 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 6880 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 6888 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 6896 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 6905 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 6919 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 6928 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 6937 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 6946 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 6955 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6967 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6974 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 6984 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 6995 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 7006 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 7017 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 7026 */ 'l', 'a', 'r', 9, 0,
-  /* 7031 */ 's', 'a', 'r', 9, 0,
-  /* 7036 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 7044 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
-  /* 7052 */ 'r', 'c', 'r', 9, 0,
-  /* 7057 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 7064 */ 's', 'h', 'r', 9, 0,
-  /* 7069 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 7079 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 7085 */ 'r', 'o', 'r', 9, 0,
-  /* 7090 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7098 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7107 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 7114 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 7120 */ 'b', 's', 'r', 9, 0,
-  /* 7125 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7135 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7145 */ 'b', 'l', 's', 'r', 9, 0,
-  /* 7151 */ 'b', 't', 'r', 9, 0,
-  /* 7156 */ 'l', 't', 'r', 9, 0,
-  /* 7161 */ 's', 't', 'r', 9, 0,
-  /* 7166 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 7173 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7180 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7188 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
-  /* 7196 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 7202 */ 'l', 'd', 's', 9, 0,
-  /* 7207 */ 'l', 'e', 's', 9, 0,
-  /* 7212 */ 'l', 'f', 's', 9, 0,
-  /* 7217 */ 'l', 'g', 's', 9, 0,
-  /* 7222 */ 'j', 's', 9, 0,
-  /* 7226 */ 'j', 'n', 's', 9, 0,
-  /* 7231 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 7238 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
-  /* 7246 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7262 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7275 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7289 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7305 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7318 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7332 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7348 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7361 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7375 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7391 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7404 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7418 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 7429 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 7440 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 7450 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 7462 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 7473 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7502 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7516 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7532 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7545 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7559 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 7568 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7581 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7592 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7601 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7611 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7622 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7630 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7643 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7652 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7662 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7673 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7681 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 7689 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 7699 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 7709 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 7721 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 7730 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 7741 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 7751 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 7760 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 7771 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 7781 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 7792 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 7803 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 7811 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 7820 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 7829 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 7838 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 7846 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 7854 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 7861 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 7869 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 7881 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 7888 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 7896 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 7908 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 7918 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 7929 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7939 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7948 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 7957 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 7966 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 7977 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 7985 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 7997 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 8005 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 8014 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8027 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8041 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8054 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8068 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8081 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8095 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8108 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8122 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 8133 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8144 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8156 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8169 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8183 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8196 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8210 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8220 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8231 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8239 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8249 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8260 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8268 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 8278 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8288 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8297 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 8305 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 8313 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 8321 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 8329 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 8338 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8348 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8357 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 8371 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 8379 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 8387 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 8395 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 8404 */ 'b', 't', 's', 9, 0,
-  /* 8409 */ 's', 'e', 't', 's', 9, 0,
-  /* 8415 */ 'c', 'm', 'o', 'v', 's', 9, 0,
-  /* 8422 */ 'b', 't', 9, 0,
-  /* 8426 */ 'l', 'g', 'd', 't', 9, 0,
-  /* 8432 */ 's', 'g', 'd', 't', 9, 0,
-  /* 8438 */ 'l', 'i', 'd', 't', 9, 0,
-  /* 8444 */ 's', 'i', 'd', 't', 9, 0,
-  /* 8450 */ 'l', 'l', 'd', 't', 9, 0,
-  /* 8456 */ 's', 'l', 'd', 't', 9, 0,
-  /* 8462 */ 'r', 'e', 't', 9, 0,
-  /* 8467 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 8476 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
-  /* 8484 */ 'l', 'z', 'c', 'n', 't', 9, 0,
-  /* 8491 */ 't', 'z', 'c', 'n', 't', 9, 0,
-  /* 8498 */ 'i', 'n', 't', 9, 0,
-  /* 8503 */ 'n', 'o', 't', 9, 0,
-  /* 8508 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 8516 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 8526 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 8534 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 8543 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8556 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8569 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 8577 */ 'f', 's', 't', 9, 0,
-  /* 8582 */ 'f', 'i', 's', 't', 9, 0,
-  /* 8588 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 8606 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 8615 */ 'o', 'u', 't', 9, 0,
-  /* 8620 */ 'p', 'e', 'x', 't', 9, 0,
-  /* 8626 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 8634 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8647 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8656 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 8662 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
-  /* 8669 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 8677 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 8686 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 8694 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 8702 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 8710 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 8720 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8730 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8739 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 8749 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 8761 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 8773 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 8784 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 8793 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 8801 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 8812 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 8823 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 8830 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 8838 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8847 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8855 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 8865 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 8876 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 8887 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 8894 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 8902 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 8910 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 8921 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 8931 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 8940 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 8947 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 8957 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 8965 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 8973 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 8982 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 8990 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 8998 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 9007 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 9017 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 9023 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 9032 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 9041 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 9050 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 9058 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 9070 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9080 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9089 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9099 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9108 */ 'l', 'm', 's', 'w', 9, 0,
-  /* 9114 */ 's', 'm', 's', 'w', 9, 0,
-  /* 9120 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 9129 */ 's', 't', 'o', 's', 'w', 9, 0,
-  /* 9136 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 9143 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 9154 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 9162 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 9172 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 9182 */ 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 9189 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 9198 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 9208 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 9216 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 9230 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 9240 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 9249 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 9258 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 9271 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 9280 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 9290 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 9301 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 9308 */ 'a', 'd', 'c', 'x', 9, 0,
-  /* 9314 */ 's', 'h', 'l', 'x', 9, 0,
-  /* 9320 */ 'm', 'u', 'l', 'x', 9, 0,
-  /* 9326 */ 'a', 'd', 'o', 'x', 9, 0,
-  /* 9332 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9345 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9357 */ 's', 'a', 'r', 'x', 9, 0,
-  /* 9363 */ 's', 'h', 'r', 'x', 9, 0,
-  /* 9369 */ 'r', 'o', 'r', 'x', 9, 0,
-  /* 9375 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 9387 */ 'm', 'o', 'v', 's', 'x', 9, 0,
-  /* 9394 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
-  /* 9401 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 9408 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 9414 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 9421 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9437 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9452 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9469 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9485 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9501 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9516 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9532 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9547 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9556 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9567 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9578 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9587 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
-  /* 9596 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9605 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9614 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
-  /* 9622 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
-  /* 9631 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
-  /* 9640 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
-  /* 9652 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
-  /* 9662 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
-  /* 9671 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9680 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9689 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
-  /* 9698 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9707 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9716 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
-  /* 9726 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
-  /* 9734 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
-  /* 9743 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
-  /* 9752 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
-  /* 9764 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
-  /* 9774 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
-  /* 9783 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9794 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9805 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9815 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9825 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9835 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9845 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9855 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9867 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9879 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9890 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9899 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9909 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9919 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9932 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9943 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9953 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9963 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9973 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9983 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9993 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10003 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10014 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10024 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10036 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10048 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10058 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10071 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10082 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
-  /* 10093 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
-  /* 10104 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
-  /* 10115 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 10126 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 10149 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 10173 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10193 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10213 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10233 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10254 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10275 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10296 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10318 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10339 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10361 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10383 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10403 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10424 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10445 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10467 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10486 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10506 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10526 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10547 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10567 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10586 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10606 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10626 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10645 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10663 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10682 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10701 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10721 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10740 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10760 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10780 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10800 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10820 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10840 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10860 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10880 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10899 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10919 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10939 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10958 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10976 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10995 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11014 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11034 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11053 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11073 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11092 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11112 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11130 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11149 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11168 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11188 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11206 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11225 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11243 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11262 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11280 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11297 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11315 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11333 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11352 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11373 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11394 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 's', 't', '(', '0', ')', 0,
-  /* 11415 */ 'f', 's', 't', 'p', 9, 's', 't', '(', '7', ')', ',', 32, 's', 't', '(', '0', ')', 0,
-  /* 11433 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 11439 */ 'f', 'l', 'd', '1', 0,
-  /* 11444 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 11451 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 11457 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 11465 */ 'i', 'n', 't', '1', 0,
-  /* 11470 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 11489 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 11507 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 11520 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11533 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11551 */ 'u', 'd', '2', 0,
-  /* 11555 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 11562 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 11569 */ 'i', 'n', 't', '3', 0,
-  /* 11574 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 11593 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 11611 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 11624 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11637 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11655 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 11661 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 11668 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 11676 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 11689 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 11696 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 11706 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 11715 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 11733 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 11749 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 11761 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 11776 */ 'a', 'a', 'a', 0,
-  /* 11780 */ 'd', 'a', 'a', 0,
-  /* 11784 */ 'u', 'd', '2', 'b', 0,
-  /* 11789 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 11799 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 11809 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 11819 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 11829 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 11839 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 11845 */ 'c', 'l', 'a', 'c', 0,
-  /* 11850 */ 's', 't', 'a', 'c', 0,
-  /* 11855 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 11865 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 11872 */ 's', 'a', 'l', 'c', 0,
-  /* 11877 */ 'c', 'l', 'c', 0,
-  /* 11881 */ 'c', 'm', 'c', 0,
-  /* 11885 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 11891 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 11898 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 11904 */ 's', 't', 'c', 0,
-  /* 11908 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 11915 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 11921 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 11927 */ 'c', 'l', 'd', 0,
-  /* 11931 */ 'x', 'e', 'n', 'd', 0,
-  /* 11936 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 11946 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 11956 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 11962 */ 's', 't', 'd', 0,
-  /* 11966 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 11973 */ 'c', 'w', 'd', 0,
-  /* 11977 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 11984 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11991 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11998 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 12005 */ 'c', 'w', 'd', 'e', 0,
-  /* 12010 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 12017 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 12026 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 12032 */ 'c', 'd', 'q', 'e', 0,
-  /* 12037 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 12046 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 12053 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 12062 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 12068 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 12074 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 12081 */ 'l', 'a', 'h', 'f', 0,
-  /* 12086 */ 's', 'a', 'h', 'f', 0,
-  /* 12091 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 12097 */ 'p', 'o', 'p', 'f', 0,
-  /* 12102 */ 'r', 'e', 't', 'f', 0,
-  /* 12107 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 12116 */ 'c', 'l', 'g', 'i', 0,
-  /* 12121 */ 's', 't', 'g', 'i', 0,
-  /* 12126 */ 'c', 'l', 'i', 0,
-  /* 12130 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 12136 */ 's', 't', 'i', 0,
-  /* 12140 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 12155 */ 'l', 'o', 'c', 'k', 0,
-  /* 12160 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 12171 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 12178 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 12184 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12192 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12199 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 12207 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 12216 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 12224 */ 'f', 'x', 'a', 'm', 0,
-  /* 12229 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 12235 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 12242 */ 'r', 's', 'm', 0,
-  /* 12246 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 12253 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 12259 */ 'f', 's', 'i', 'n', 0,
-  /* 12264 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 12291 */ 'c', 'q', 'o', 0,
-  /* 12295 */ 'i', 'n', 't', 'o', 0,
-  /* 12300 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 12307 */ 'r', 'e', 'p', 0,
-  /* 12311 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 12317 */ 'v', 'c', 'm', 'p', 0,
-  /* 12322 */ 'f', 'n', 'o', 'p', 0,
-  /* 12327 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12334 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12342 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 12350 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 12358 */ 'c', 'd', 'q', 0,
-  /* 12362 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 12369 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 12375 */ 'r', 'e', 't', 'f', 'q', 0,
-  /* 12381 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 12391 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 12401 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 12407 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 12418 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 12427 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 12435 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 12441 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 12447 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 12457 */ 'a', 'a', 's', 0,
-  /* 12461 */ 'd', 'a', 's', 0,
-  /* 12465 */ 'f', 'a', 'b', 's', 0,
-  /* 12470 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 12478 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 12486 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 12493 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 12501 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 12508 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 12516 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 12523 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 12531 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 12538 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 12545 */ 'f', 'c', 'h', 's', 0,
-  /* 12550 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 12595 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 12601 */ 'f', 'c', 'o', 's', 0,
-  /* 12606 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 12614 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 12622 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 12629 */ 'c', 'l', 't', 's', 0,
-  /* 12634 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 12641 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 12649 */ 'i', 'r', 'e', 't', 0,
-  /* 12654 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 12661 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 12667 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 12674 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 12682 */ 'h', 'l', 't', 0,
-  /* 12686 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 12694 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 12700 */ 'x', 't', 'e', 's', 't', 0,
-  /* 12706 */ 'f', 't', 's', 't', 0,
-  /* 12711 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 12718 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 12725 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 12732 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 12738 */ 'c', 'b', 'w', 0,
-  /* 12742 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 12752 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 12762 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 12768 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
-  /* 12778 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 12789 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 12800 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 12811 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 12821 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 12832 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 12844 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 12855 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 12866 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 12876 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12893 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12910 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 12920 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12930 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12941 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 12948 */ 'f', 'l', 'd', 'z', 0,
+  /* 0 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '3', '2', 'x', '4', 32, 9, 0,
+  /* 18 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '6', '4', 'x', '4', 32, 9, 0,
+  /* 36 */ 'k', 'a', 'n', 'd', 'b', 32, 9, 0,
+  /* 44 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
+  /* 56 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
+  /* 67 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
+  /* 77 */ 'k', 'a', 'n', 'd', 'n', 'b', 32, 9, 0,
+  /* 86 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
+  /* 98 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
+  /* 109 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
+  /* 119 */ 'k', 'o', 'r', 'b', 32, 9, 0,
+  /* 126 */ 'k', 'x', 'n', 'o', 'r', 'b', 32, 9, 0,
+  /* 135 */ 'k', 'x', 'o', 'r', 'b', 32, 9, 0,
+  /* 143 */ 'k', 'n', 'o', 't', 'b', 32, 9, 0,
+  /* 151 */ 'k', 'm', 'o', 'v', 'b', 32, 9, 0,
+  /* 159 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
+  /* 170 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
+  /* 181 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
+  /* 199 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
+  /* 208 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
+  /* 217 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
+  /* 229 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
+  /* 241 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
+  /* 250 */ 'k', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 258 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 267 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 280 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 294 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
+  /* 304 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
+  /* 313 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
+  /* 323 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
+  /* 332 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
+  /* 344 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
+  /* 356 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
+  /* 365 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
+  /* 376 */ 'k', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 385 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 395 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
+  /* 405 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 422 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 436 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 451 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 468 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 482 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 497 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
+  /* 509 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 521 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 534 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
+  /* 546 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
+  /* 558 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 575 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 589 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 604 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 621 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 635 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 650 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 661 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 674 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 685 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 698 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
+  /* 707 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
+  /* 716 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 729 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 743 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
+  /* 757 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
+  /* 767 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
+  /* 779 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
+  /* 788 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
+  /* 800 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
+  /* 810 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
+  /* 819 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
+  /* 828 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 841 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 855 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
+  /* 864 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
+  /* 873 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
+  /* 884 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 897 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 911 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
+  /* 923 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
+  /* 934 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
+  /* 944 */ 'k', 'o', 'r', 'd', 32, 9, 0,
+  /* 951 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
+  /* 960 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
+  /* 968 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 976 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 985 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 997 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 1010 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1024 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1039 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1053 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1068 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1079 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1092 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1103 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1116 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
+  /* 1125 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
+  /* 1139 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
+  /* 1149 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
+  /* 1164 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
+  /* 1173 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
+  /* 1183 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
+  /* 1197 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
+  /* 1208 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
+  /* 1219 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
+  /* 1227 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
+  /* 1242 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
+  /* 1252 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
+  /* 1262 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
+  /* 1272 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
+  /* 1282 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
+  /* 1292 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
+  /* 1300 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
+  /* 1312 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
+  /* 1324 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
+  /* 1336 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1349 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1361 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1374 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1386 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1400 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1413 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1427 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1440 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
+  /* 1451 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
+  /* 1463 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
+  /* 1475 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
+  /* 1493 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
+  /* 1504 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
+  /* 1515 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
+  /* 1524 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
+  /* 1533 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
+  /* 1545 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
+  /* 1557 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1570 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1582 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1595 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1607 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
+  /* 1616 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
+  /* 1629 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
+  /* 1642 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
+  /* 1652 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1660 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1669 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
+  /* 1683 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
+  /* 1697 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1710 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1724 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1738 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1751 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1765 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1778 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
+  /* 1789 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
+  /* 1801 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
+  /* 1813 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
+  /* 1822 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
+  /* 1831 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
+  /* 1843 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
+  /* 1855 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
+  /* 1864 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
+  /* 1875 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 1884 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 1894 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
+  /* 1904 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
+  /* 1915 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1928 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1942 */ 'k', 'o', 'r', 'q', 32, 9, 0,
+  /* 1949 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
+  /* 1958 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
+  /* 1966 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 1974 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 1983 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
+  /* 1992 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
+  /* 2002 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
+  /* 2012 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
+  /* 2026 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
+  /* 2037 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
+  /* 2048 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
+  /* 2056 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
+  /* 2071 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
+  /* 2081 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
+  /* 2091 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
+  /* 2101 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
+  /* 2111 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
+  /* 2121 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
+  /* 2129 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
+  /* 2141 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
+  /* 2153 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2170 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2184 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2199 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2216 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2230 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2245 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
+  /* 2257 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
+  /* 2269 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2281 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2294 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
+  /* 2306 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2323 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2337 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2352 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2369 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2383 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2398 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2409 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2422 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2433 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2446 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
+  /* 2455 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
+  /* 2464 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2477 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2491 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
+  /* 2505 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
+  /* 2515 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
+  /* 2527 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
+  /* 2536 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
+  /* 2548 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
+  /* 2558 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
+  /* 2567 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
+  /* 2576 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2589 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2603 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
+  /* 2612 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
+  /* 2621 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2633 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2646 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2660 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2675 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2689 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2704 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
+  /* 2715 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
+  /* 2728 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
+  /* 2739 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
+  /* 2752 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
+  /* 2766 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
+  /* 2781 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
+  /* 2790 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 2801 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 2809 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 2821 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 2832 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 2842 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 2853 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 2862 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 2874 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 2885 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 2895 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 2902 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 2911 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 2919 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 2930 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 2938 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 2949 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 2957 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 2969 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 2979 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 2991 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 3003 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 3013 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 3023 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 3034 */ 'c', 'r', 'c', '3', '2', 9, 0,
+  /* 3041 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
+  /* 3052 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 3062 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 3074 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 3087 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 3099 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 3109 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 3120 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
+  /* 3130 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
+  /* 3141 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
+  /* 3153 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
+  /* 3164 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 3175 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3190 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3204 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3219 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3233 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3248 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3262 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3277 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3291 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
+  /* 3302 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3314 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3328 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3341 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3357 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3369 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3383 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3396 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3412 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
+  /* 3422 */ 'l', 'e', 'a', 9, 0,
+  /* 3427 */ 'j', 'a', 9, 0,
+  /* 3431 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3442 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3451 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3457 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3470 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
+  /* 3477 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3489 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3500 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3508 */ 's', 'b', 'b', 9, 0,
+  /* 3513 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3521 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3529 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3538 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3546 */ 'j', 'b', 9, 0,
+  /* 3550 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3561 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3569 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3577 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3586 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3596 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 3605 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 3614 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 3622 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 3631 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 3640 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 3649 */ 's', 't', 'o', 's', 'b', 9, 0,
+  /* 3656 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 3663 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 3673 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 3683 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 3692 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 3699 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 3708 */ 's', 'e', 't', 'b', 9, 0,
+  /* 3714 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 3724 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 3732 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 3746 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 3755 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 3764 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 3771 */ 'f', 'i', 's', 'u', 'b', 9, 0,
+  /* 3778 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 3787 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 3798 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 3805 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 3816 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 3827 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 3834 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3842 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3851 */ 'a', 'd', 'c', 9, 0,
+  /* 3856 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 3865 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 3872 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 3879 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 3887 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 3896 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 3905 */ 'i', 'n', 'c', 9, 0,
+  /* 3910 */ 'b', 't', 'c', 9, 0,
+  /* 3915 */ 'a', 'a', 'd', 9, 0,
+  /* 3920 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
+  /* 3928 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 3936 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 3944 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 3954 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 3965 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 3974 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 3982 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 3993 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 4004 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 4011 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
+  /* 4018 */ 'x', 'a', 'd', 'd', 9, 0,
+  /* 4024 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4033 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4041 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 4051 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 4063 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 4073 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 4084 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
+  /* 4092 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 4099 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 4108 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 4115 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 4124 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 4133 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 4139 */ 'f', 'l', 'd', 9, 0,
+  /* 4144 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 4152 */ 'f', 'i', 'l', 'd', 9, 0,
+  /* 4158 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 4166 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 4175 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 4183 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 4192 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 4200 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4208 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4215 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
+  /* 4223 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4232 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4239 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4255 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4268 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4282 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4298 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4311 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4325 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4341 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4354 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4368 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4384 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4397 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4411 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4421 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4433 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4444 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4455 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4471 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4484 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4498 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4514 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4527 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4541 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4550 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4558 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4571 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4582 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4591 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4601 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4612 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4620 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4633 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4642 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4652 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4663 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4671 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4679 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4689 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4699 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 4711 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 4720 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 4731 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 4740 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 4751 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 4762 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 4773 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 4781 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 4790 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 4798 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 4807 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 4816 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 4824 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 4831 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 4839 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 4851 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4858 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4866 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 4876 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 4885 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 4894 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 4903 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 4914 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 4922 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 4934 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 4942 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 4951 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 4961 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 4973 */ 's', 'h', 'r', 'd', 9, 0,
+  /* 4979 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 4988 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 4997 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5010 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5024 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5037 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5051 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5064 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5078 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5091 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5105 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 5116 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 5128 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 5139 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5152 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5166 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5179 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5193 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 5201 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5211 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5222 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5230 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5240 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5251 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5259 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5269 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5279 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5288 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5296 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5305 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5313 */ 's', 't', 'o', 's', 'd', 9, 0,
+  /* 5320 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5328 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5337 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5346 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5360 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5368 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5376 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5385 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5393 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5402 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5412 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5420 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5434 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5443 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5452 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5461 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5470 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5479 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5488 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5497 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5509 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5516 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5526 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5536 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5546 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5558 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5570 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5580 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5591 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5602 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5614 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5625 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5636 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5647 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
+  /* 5655 */ 'j', 'a', 'e', 9, 0,
+  /* 5660 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 5667 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
+  /* 5675 */ 'j', 'b', 'e', 9, 0,
+  /* 5680 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 5687 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 5695 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 5702 */ 'j', 'g', 'e', 9, 0,
+  /* 5707 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 5716 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 5723 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
+  /* 5731 */ 'j', 'e', 9, 0,
+  /* 5735 */ 'j', 'l', 'e', 9, 0,
+  /* 5740 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 5747 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
+  /* 5755 */ 'j', 'n', 'e', 9, 0,
+  /* 5760 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 5768 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 5775 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 5783 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 5790 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5800 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5810 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5820 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5830 */ 's', 'e', 't', 'e', 9, 0,
+  /* 5836 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
+  /* 5845 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 5856 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 5864 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 5872 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 5879 */ 'b', 's', 'f', 9, 0,
+  /* 5884 */ 'r', 'e', 't', 'f', 9, 0,
+  /* 5890 */ 'n', 'e', 'g', 9, 0,
+  /* 5895 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
+  /* 5904 */ 'j', 'g', 9, 0,
+  /* 5908 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 5916 */ 's', 'e', 't', 'g', 9, 0,
+  /* 5922 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
+  /* 5929 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 5939 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 5945 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 5956 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 5967 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 5979 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 5988 */ 'p', 'u', 's', 'h', 9, 0,
+  /* 5994 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 6000 */ 'b', 'z', 'h', 'i', 9, 0,
+  /* 6006 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6013 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6021 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6032 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6042 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6053 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6063 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6071 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6080 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 6092 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 6104 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6116 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6127 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6139 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6150 */ 'b', 'l', 's', 'i', 9, 0,
+  /* 6156 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
+  /* 6164 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 6172 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
+  /* 6180 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 6187 */ 's', 'a', 'l', 9, 0,
+  /* 6192 */ 'r', 'c', 'l', 9, 0,
+  /* 6197 */ 's', 'h', 'l', 9, 0,
+  /* 6202 */ 'j', 'l', 9, 0,
+  /* 6206 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 6213 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6222 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6231 */ 'r', 'o', 'l', 9, 0,
+  /* 6236 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6242 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6253 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 6265 */ 'l', 's', 'l', 9, 0,
+  /* 6270 */ 's', 'e', 't', 'l', 9, 0,
+  /* 6276 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 6283 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 6290 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 6297 */ 'a', 'a', 'm', 9, 0,
+  /* 6302 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 6308 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 6315 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 6322 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 6330 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 6342 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 6354 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 6362 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 6370 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 6377 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 6384 */ 'j', 'o', 9, 0,
+  /* 6388 */ 'j', 'n', 'o', 9, 0,
+  /* 6393 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 6400 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 6408 */ 's', 'e', 't', 'o', 9, 0,
+  /* 6414 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 6421 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 6428 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 6435 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 6442 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 6449 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 6455 */ 'j', 'p', 9, 0,
+  /* 6459 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 6466 */ 'c', 'm', 'p', 9, 0,
+  /* 6471 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 6477 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6484 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6492 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6500 */ 'j', 'n', 'p', 9, 0,
+  /* 6505 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 6512 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 6520 */ 'n', 'o', 'p', 9, 0,
+  /* 6525 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 6531 */ 'p', 'o', 'p', 9, 0,
+  /* 6536 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 6544 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 6552 */ 's', 'e', 't', 'p', 9, 0,
+  /* 6558 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 6565 */ 'f', 's', 't', 'p', 9, 0,
+  /* 6571 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 6578 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 6586 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 6596 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 6607 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 6618 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 6634 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 6641 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 6648 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 6657 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 6665 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 6675 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 6686 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 6694 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 6705 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 6716 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6728 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6739 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 6748 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6760 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6771 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 6781 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 6789 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 6799 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 6811 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 6823 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 6832 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 6841 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 6850 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 6863 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6876 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6888 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 6900 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 6910 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 6921 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 6931 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 6942 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 6953 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 6962 */ 'r', 'e', 't', 'f', 'q', 9, 0,
+  /* 6969 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 6977 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 6985 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 6993 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 7001 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 7009 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 7017 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 7027 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 7039 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 7048 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 7057 */ 's', 't', 'o', 's', 'q', 9, 0,
+  /* 7064 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 7071 */ 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 7078 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 7088 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 7096 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 7104 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 7113 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 7127 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 7136 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 7145 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 7154 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 7163 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 7175 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 7182 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 7192 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 7203 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 7214 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 7225 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 7234 */ 'l', 'a', 'r', 9, 0,
+  /* 7239 */ 's', 'a', 'r', 9, 0,
+  /* 7244 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 7252 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 7260 */ 'r', 'c', 'r', 9, 0,
+  /* 7265 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 7272 */ 's', 'h', 'r', 9, 0,
+  /* 7277 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 7287 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 7293 */ 'r', 'o', 'r', 9, 0,
+  /* 7298 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7306 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7315 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 7322 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 7328 */ 'b', 's', 'r', 9, 0,
+  /* 7333 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7343 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7353 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 7359 */ 'b', 't', 'r', 9, 0,
+  /* 7364 */ 'l', 't', 'r', 9, 0,
+  /* 7369 */ 's', 't', 'r', 9, 0,
+  /* 7374 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 7381 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7388 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7396 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 7404 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 7410 */ 'l', 'd', 's', 9, 0,
+  /* 7415 */ 'l', 'e', 's', 9, 0,
+  /* 7420 */ 'l', 'f', 's', 9, 0,
+  /* 7425 */ 'l', 'g', 's', 9, 0,
+  /* 7430 */ 'j', 's', 9, 0,
+  /* 7434 */ 'j', 'n', 's', 9, 0,
+  /* 7439 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 7446 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 7454 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7470 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7483 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7497 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7513 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7526 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7540 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7556 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7569 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7583 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7599 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7612 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7626 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 7637 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 7648 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 7658 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 7670 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 7681 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7697 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7710 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7724 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7740 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7753 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7767 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 7776 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7789 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7800 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7809 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7819 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7830 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7838 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7851 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7860 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7870 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7881 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7889 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 7897 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 7907 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 7917 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 7929 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 7938 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 7949 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 7959 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 7968 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 7979 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 7989 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 8000 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 8011 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 8019 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 8028 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 8037 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 8046 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 8054 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 8062 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 8069 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 8077 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 8089 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 8096 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 8104 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 8116 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 8126 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 8137 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 8147 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 8156 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 8165 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 8174 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 8185 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 8193 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 8205 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 8213 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 8222 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8235 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8249 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8262 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8276 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8289 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8303 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8316 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8330 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 8341 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8352 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8364 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8377 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8391 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8404 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8418 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8428 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8439 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8447 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8457 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8468 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8476 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 8486 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8496 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8505 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 8513 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 8521 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 8529 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 8537 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 8546 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8556 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8565 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 8579 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 8587 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 8595 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 8603 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 8612 */ 'b', 't', 's', 9, 0,
+  /* 8617 */ 's', 'e', 't', 's', 9, 0,
+  /* 8623 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 8630 */ 'b', 't', 9, 0,
+  /* 8634 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 8640 */ 's', 'g', 'd', 't', 9, 0,
+  /* 8646 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 8652 */ 's', 'i', 'd', 't', 9, 0,
+  /* 8658 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 8664 */ 's', 'l', 'd', 't', 9, 0,
+  /* 8670 */ 'r', 'e', 't', 9, 0,
+  /* 8675 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 8684 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 8692 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 8699 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 8706 */ 'i', 'n', 't', 9, 0,
+  /* 8711 */ 'n', 'o', 't', 9, 0,
+  /* 8716 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 8724 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 8734 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 8742 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 8751 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8764 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8777 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 8785 */ 'f', 's', 't', 9, 0,
+  /* 8790 */ 'f', 'i', 's', 't', 9, 0,
+  /* 8796 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 8814 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 8823 */ 'o', 'u', 't', 9, 0,
+  /* 8828 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 8834 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 8842 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8855 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8864 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 8870 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 8877 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 8885 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 8894 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 8902 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 8910 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 8918 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 8928 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8938 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8947 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 8957 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 8969 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 8981 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 8992 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 9001 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 9009 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 9020 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 9031 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 9038 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 9046 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 9055 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 9063 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 9073 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 9084 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 9095 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 9102 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 9110 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 9118 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 9129 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 9139 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 9148 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 9155 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 9165 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 9173 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 9181 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 9190 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 9198 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 9206 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 9215 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 9225 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 9231 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 9240 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 9249 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 9258 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 9266 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 9278 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9288 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9297 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9307 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9316 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 9322 */ 's', 'm', 's', 'w', 9, 0,
+  /* 9328 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 9337 */ 's', 't', 'o', 's', 'w', 9, 0,
+  /* 9344 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 9351 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 9362 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 9370 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 9380 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 9390 */ 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 9397 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 9406 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 9416 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 9424 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 9438 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 9448 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 9457 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 9466 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 9479 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 9488 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 9498 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 9509 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 9516 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 9522 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 9528 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 9534 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 9540 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9553 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9565 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 9571 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 9577 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 9583 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 9595 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 9602 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 9609 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 9616 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 9622 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 9629 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9645 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9660 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9677 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9693 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9709 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9724 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9740 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9755 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9764 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9775 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9786 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9795 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 9804 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9813 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9822 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 9830 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 9839 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 9848 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 9860 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 9870 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 9879 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9888 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9897 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 9906 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9915 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9924 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 9934 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 9942 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 9951 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 9960 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 9972 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 9982 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 9991 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 10002 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 10013 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10023 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10033 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10043 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10053 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10063 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10075 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10087 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10098 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10107 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10117 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10127 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10140 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10151 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10161 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10171 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10181 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10191 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10201 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10211 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10222 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10232 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10244 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10256 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10266 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10279 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10290 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
+  /* 10301 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
+  /* 10312 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
+  /* 10323 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 10334 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 10357 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 10371 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 10395 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 10412 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 10428 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 10443 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 10457 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 10471 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10491 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10511 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10531 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10551 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10572 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10592 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10612 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10631 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10651 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10671 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10691 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10711 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10731 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10751 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10771 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10790 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10808 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10829 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10850 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 's', 't', '(', '0', ')', 0,
+  /* 10871 */ 'f', 's', 't', 'p', 9, 's', 't', '(', '7', ')', ',', 32, 's', 't', '(', '0', ')', 0,
+  /* 10889 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 10895 */ 'f', 'l', 'd', '1', 0,
+  /* 10900 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 10907 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 10913 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 10921 */ 'i', 'n', 't', '1', 0,
+  /* 10926 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 10945 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 10963 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 10976 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 10989 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 11007 */ 'u', 'd', '2', 0,
+  /* 11011 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 11018 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 11025 */ 'i', 'n', 't', '3', 0,
+  /* 11030 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 11049 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 11067 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 11080 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11093 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11111 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 11117 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 11124 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 11132 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 11145 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 11152 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 11162 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 11171 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 11189 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 11205 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 11217 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 11232 */ 'a', 'a', 'a', 0,
+  /* 11236 */ 'd', 'a', 'a', 0,
+  /* 11240 */ 'u', 'd', '2', 'b', 0,
+  /* 11245 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 11255 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 11265 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 11275 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 11285 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 11295 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 11301 */ 'c', 'l', 'a', 'c', 0,
+  /* 11306 */ 's', 't', 'a', 'c', 0,
+  /* 11311 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 11321 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 11328 */ 's', 'a', 'l', 'c', 0,
+  /* 11333 */ 'c', 'l', 'c', 0,
+  /* 11337 */ 'c', 'm', 'c', 0,
+  /* 11341 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 11347 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 11354 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 11360 */ 's', 't', 'c', 0,
+  /* 11364 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 11371 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 11377 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 11383 */ 'c', 'l', 'd', 0,
+  /* 11387 */ 'x', 'e', 'n', 'd', 0,
+  /* 11392 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 11402 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 11412 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 11418 */ 's', 't', 'd', 0,
+  /* 11422 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 11429 */ 'c', 'w', 'd', 0,
+  /* 11433 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 11440 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11447 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11454 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11461 */ 'c', 'w', 'd', 'e', 0,
+  /* 11466 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 11473 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 11482 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 11488 */ 'c', 'd', 'q', 'e', 0,
+  /* 11493 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 11502 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 11509 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 11518 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 11524 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 11538 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 11555 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 11561 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 11568 */ 'l', 'a', 'h', 'f', 0,
+  /* 11573 */ 's', 'a', 'h', 'f', 0,
+  /* 11578 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 11584 */ 'p', 'o', 'p', 'f', 0,
+  /* 11589 */ 'r', 'e', 't', 'f', 0,
+  /* 11594 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 11603 */ 'c', 'l', 'g', 'i', 0,
+  /* 11608 */ 's', 't', 'g', 'i', 0,
+  /* 11613 */ 'c', 'l', 'i', 0,
+  /* 11617 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 11623 */ 's', 't', 'i', 0,
+  /* 11627 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 11642 */ 'l', 'o', 'c', 'k', 0,
+  /* 11647 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 11658 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 11665 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 11671 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 11679 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 11686 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 11694 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 11703 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 11711 */ 'f', 'x', 'a', 'm', 0,
+  /* 11716 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 11722 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 11729 */ 'r', 's', 'm', 0,
+  /* 11733 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 11740 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 11746 */ 'f', 's', 'i', 'n', 0,
+  /* 11751 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 11778 */ 'c', 'q', 'o', 0,
+  /* 11782 */ 'i', 'n', 't', 'o', 0,
+  /* 11787 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 11794 */ 'r', 'e', 'p', 0,
+  /* 11798 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 11804 */ 'v', 'c', 'm', 'p', 0,
+  /* 11809 */ 'f', 'n', 'o', 'p', 0,
+  /* 11814 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 11821 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 11829 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 11837 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 11845 */ 'c', 'd', 'q', 0,
+  /* 11849 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 11856 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 11862 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 11868 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 11878 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 11888 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 11894 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 11905 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 11914 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 11922 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 11928 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 11934 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 11944 */ 'a', 'a', 's', 0,
+  /* 11948 */ 'd', 'a', 's', 0,
+  /* 11952 */ 'f', 'a', 'b', 's', 0,
+  /* 11957 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 11965 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 11973 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 11980 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 11988 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 11995 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 12003 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 12010 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 12018 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 12025 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 12032 */ 'f', 'c', 'h', 's', 0,
+  /* 12037 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 12082 */ 'e', 'n', 'c', 'l', 's', 0,
+  /* 12088 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 12094 */ 'f', 'c', 'o', 's', 0,
+  /* 12099 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 12107 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 12115 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 12122 */ 'c', 'l', 't', 's', 0,
+  /* 12127 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 12134 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 12142 */ 'i', 'r', 'e', 't', 0,
+  /* 12147 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 12154 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 12160 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 12167 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 12175 */ 'h', 'l', 't', 0,
+  /* 12179 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 12187 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 12193 */ 'x', 't', 'e', 's', 't', 0,
+  /* 12199 */ 'f', 't', 's', 't', 0,
+  /* 12204 */ 'e', 'n', 'c', 'l', 'u', 0,
+  /* 12210 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 12217 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 12224 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 12231 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 12237 */ 'c', 'b', 'w', 0,
+  /* 12241 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 12251 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 12261 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 12267 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 12277 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 12288 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 12299 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 12310 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 12320 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 12331 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 12343 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 12354 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 12365 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 12375 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12392 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12409 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 12419 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12429 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12440 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 12447 */ 'f', 'l', 'd', 'z', 0,
+  /* 12452 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12469 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12487 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12504 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12522 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12539 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12557 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12574 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12592 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12609 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12627 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12644 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12662 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12679 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12697 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12714 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
   };
 #endif
 
@@ -12208,6 +13556,7 @@
 	;
 #endif
 
+
   // Fragment 0 encoded into 6 bits for 45 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 63);
   switch ((Bits >> 14) & 63) {
@@ -12262,31 +13611,31 @@
     printSSECC(MI, 3, O); 
     break;
   case 12:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
+    // CMPSB
+    printSrcIdx8(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx16(MI, 0, O); 
+    printDstIdx8(MI, 0, O); 
     return;
     break;
   case 13:
-    // CMPS32
+    // CMPSL
     printSrcIdx32(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx32(MI, 0, O); 
     return;
     break;
   case 14:
-    // CMPS64
+    // CMPSQ
     printSrcIdx64(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx64(MI, 0, O); 
     return;
     break;
   case 15:
-    // CMPS8
-    printSrcIdx8(MI, 1, O); 
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx8(MI, 0, O); 
+    printDstIdx16(MI, 0, O); 
     return;
     break;
   case 16:
@@ -12299,16 +13648,16 @@
     return;
     break;
   case 18:
-    // IN16, MOVSW, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // INSB, MOVSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 19:
-    // IN32, MOVSL, SCAS32, STOSL
+    // INSL, MOVSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 20:
-    // IN8, MOVSB, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // INSW, MOVSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 21:
     // Int_VCMPSDrm, Int_VCMPSSrm, VCMPPDYrmi, VCMPPDZrmi, VCMPPDrmi, VCMPPSY...
@@ -12390,38 +13739,26 @@
   case 36:
     // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
     printf128mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
     break;
   case 37:
-    // MOVSQ, SCAS64, STOSQ
+    // MOVSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 38:
     // VCVTPS2PHZmr, VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr, VMOVAPDYm...
     printf256mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
     break;
   case 39:
-    // VEXTRACTI64x4mr, VMOVDQAYmr, VMOVDQUYmr, VPMASKMOVDYmr, VPMASKMOVQYmr,...
+    // VEXTRACTI64x4mr, VMOVDQA32Z256mr, VMOVDQA32Z256mrk, VMOVDQA64Z256mr, V...
     printi256mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
     break;
   case 40:
-    // VMOVAPDZmr, VMOVAPSZmr, VMOVUPDZmr, VMOVUPSZmr
+    // VMOVAPDZmr, VMOVAPDZmrk, VMOVAPSZmr, VMOVAPSZmrk, VMOVNTPDZmr, VMOVNTP...
     printf512mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
-    return;
     break;
   case 41:
-    // VMOVDQA32mr, VMOVDQA64mr, VMOVDQU32mr, VMOVDQU64mr
+    // VMOVDQA32Zmr, VMOVDQA32Zmrk, VMOVDQA64Zmr, VMOVDQA64Zmrk, VMOVDQU16Zmr...
     printi512mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
-    return;
     break;
   case 42:
     // VPSCATTERDDZmr, VSCATTERDPSZmr
@@ -12451,7 +13788,7 @@
   }
 
 
-  // Fragment 1 encoded into 5 bits for 27 unique commands.
+  // Fragment 1 encoded into 5 bits for 28 unique commands.
   //printf("Frag-1: %"PRIu64"\n", (Bits >> 20) & 31);
   switch ((Bits >> 20) & 31) {
   default:   // unreachable.
@@ -12489,7 +13826,7 @@
     break;
   case 7:
     // ADD_FrST0, DIVR_FrST0, DIV_FrST0, MUL_FrST0, SUBR_FrST0, SUB_FrST0
-    SStream_concat0(O, ", st(0)");
+    SStream_concat0(O, ", st(0)"); 
 	op_addReg(MI, X86_REG_ST0);
     return;
     break;
@@ -12518,54 +13855,54 @@
     SStream_concat0(O, ", "); 
     break;
   case 12:
-    // IN16, IN32, IN8
-    SStream_concat0(O, ", dx");
+    // INSB, INSL, INSW
+    SStream_concat0(O, ", dx"); 
 	op_addReg(MI, X86_REG_DX);
     return;
     break;
   case 13:
     // MOV16ao16, MOV16ao16_16, MOV64ao16, OUT16ir, STOSW
-    SStream_concat0(O, ", ax");
+    SStream_concat0(O, ", ax"); 
 	op_addReg(MI, X86_REG_AX);
     return;
     break;
   case 14:
     // MOV32ao32, MOV32ao32_16, MOV64ao32, OUT32ir, STOSL
-    SStream_concat0(O, ", eax");
+    SStream_concat0(O, ", eax"); 
 	op_addReg(MI, X86_REG_EAX);
     return;
     break;
   case 15:
     // MOV64ao64, STOSQ
-    SStream_concat0(O, ", rax");
+    SStream_concat0(O, ", rax"); 
 	op_addReg(MI, X86_REG_RAX);
     return;
     break;
   case 16:
     // MOV64ao8, MOV8ao8, MOV8ao8_16, OUT8ir, STOSB
-    SStream_concat0(O, ", al");
+    SStream_concat0(O, ", al"); 
 	op_addReg(MI, X86_REG_AL);
     return;
     break;
   case 17:
     // RCL16mCL, RCL16rCL, RCL32mCL, RCL32rCL, RCL64mCL, RCL64rCL, RCL8mCL, R...
-    SStream_concat0(O, ", cl");
+    SStream_concat0(O, ", cl"); 
 	op_addReg(MI, X86_REG_CL);
     return;
     break;
   case 18:
     // ROL16m1, ROL16r1, ROL32m1, ROL32r1, ROL64m1, ROL64r1, ROL8m1, ROL8r1, ...
-    SStream_concat0(O, ", 1");
+    SStream_concat0(O, ", 1"); 
 	op_addImm(MI, 1);
     return;
     break;
   case 19:
     // TAILJMPd, TAILJMPd64, TAILJMPm, TAILJMPm64, TAILJMPr64
-    SStream_concat0(O, "  # TAILCALL");
+    SStream_concat0(O, "  # TAILCALL"); 
     return;
     break;
   case 20:
-    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VGATHERDPDZrm,...
+    // VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz, VADDPDZrrk, VADDPD...
     SStream_concat0(O, " {"); 
     break;
   case 21:
@@ -12585,6 +13922,10 @@
     SStream_concat0(O, ", "); 
     break;
   case 23:
+    // VGATHERPF0DPDm, VGATHERPF0DPSm, VGATHERPF0QPDm, VGATHERPF0QPSm, VGATHE...
+    SStream_concat0(O, "}, "); 
+    break;
+  case 24:
     // VPCMPDZrmi, VPCMPDZrri
     SStream_concat0(O, "d\t"); 
     printOperand(MI, 0, O); 
@@ -12592,7 +13933,7 @@
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 24:
+  case 25:
     // VPCMPQZrmi, VPCMPQZrri
     SStream_concat0(O, "q\t"); 
     printOperand(MI, 0, O); 
@@ -12600,7 +13941,7 @@
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 25:
+  case 26:
     // VPCMPUDZrmi, VPCMPUDZrri
     SStream_concat0(O, "ud\t"); 
     printOperand(MI, 0, O); 
@@ -12608,7 +13949,7 @@
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 26:
+  case 27:
     // VPCMPUQZrmi, VPCMPUQZrri
     SStream_concat0(O, "uq\t"); 
     printOperand(MI, 0, O); 
@@ -12619,7 +13960,7 @@
   }
 
 
-  // Fragment 2 encoded into 6 bits for 36 unique commands.
+  // Fragment 2 encoded into 6 bits for 35 unique commands.
   //printf("Frag-2: %"PRIu64"\n", (Bits >> 25) & 63);
   switch ((Bits >> 25) & 63) {
   default:   // unreachable.
@@ -12684,7 +14025,7 @@
     printi64mem(MI, 2, O); 
     break;
   case 15:
-    // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
+    // CMP8rm, KMOVBkm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64...
     printi8mem(MI, 1, O); 
     break;
   case 16:
@@ -12739,18 +14080,18 @@
     return;
     break;
   case 26:
+    // VBROADCASTI64X4rm, VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQA32Z25...
+    printi256mem(MI, 1, O); 
+    break;
+  case 27:
     // VCMPPDZrmi, VCMPPSZrmi
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printAVXCC(MI, 7, O); 
     return;
     break;
-  case 27:
-    // VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQAYrm, VMOVDQUYrm, VMOVNTD...
-    printi256mem(MI, 1, O); 
-    break;
   case 28:
-    // VCVTDQ2PSZrm, VMOVDQA32rm, VMOVDQA64rm, VMOVDQU32rm, VMOVDQU64rm, VPAB...
+    // VCVTDQ2PSZrm, VMOVDQA32Zrm, VMOVDQA64Zrm, VMOVDQU16Zrm, VMOVDQU32Zrm, ...
     printi512mem(MI, 1, O); 
     break;
   case 29:
@@ -12762,30 +14103,25 @@
     printf512mem(MI, 1, O); 
     break;
   case 31:
-    // VCVTPS2PHYmr, VCVTPS2PHZmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VEXTRACTF...
-    printOperand(MI, 6, O); 
-    return;
-    break;
-  case 32:
     // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
     printi64mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 8, O); 
     return;
     break;
-  case 33:
+  case 32:
     // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
     printOperand(MI, 3, O); 
     SStream_concat0(O, "}, "); 
     break;
-  case 34:
+  case 33:
     // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
     printi32mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 8, O); 
     return;
     break;
-  case 35:
+  case 34:
     // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
     printi512mem(MI, 2, O); 
     return;
@@ -12812,43 +14148,43 @@
     break;
   case 3:
     // SHLD16mrCL, SHLD16rrCL, SHLD32mrCL, SHLD32rrCL, SHLD64mrCL, SHLD64rrCL...
-    SStream_concat0(O, ", cl");
+    SStream_concat0(O, ", cl"); 
 	op_addReg(MI, X86_REG_CL);
     return;
     break;
   case 4:
-    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VMOVAPDZrmk, V...
+    // VADDPDZrmbk, VADDPDZrmk, VADDPDZrrk, VADDPSZrmbk, VADDPSZrmk, VADDPSZr...
     SStream_concat0(O, "}, "); 
     break;
   case 5:
+    // VADDPDZrmbkz, VADDPDZrmkz, VADDPDZrrkz, VADDPSZrmbkz, VADDPSZrmkz, VAD...
+    SStream_concat0(O, "} {z}, "); 
+	op_addAvxZeroOpmask(MI);
+    break;
+  case 6:
     // VCMPPDZrrib, VCMPPSZrrib, VRCP28PDZrb, VRCP28PSZrb, VRSQRT28PDZrb, VRS...
     SStream_concat0(O, ", {sae}"); 
 	op_addAvxSae(MI);
     return;
     break;
-  case 6:
+  case 7:
     // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
     printi64mem(MI, 4, O); 
     return;
     break;
-  case 7:
+  case 8:
     // VGATHERDPSZrm, VPGATHERDDZrm
     printi32mem(MI, 4, O); 
     return;
     break;
-  case 8:
-    // VMOVDQU32rrkz, VMOVDQU64rrkz, VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBR...
-    SStream_concat0(O, "} {z}, "); 
-	op_addAvxZeroOpmask(MI);
-    break;
   case 9:
-    // VPCONFLICTDrmb
+    // VPABSDZrmb, VPCONFLICTDrmb, VPLZCNTDrmb
     SStream_concat0(O, "{1to16}"); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     return;
     break;
   case 10:
-    // VPCONFLICTQrmb
+    // VPABSQZrmb, VPCONFLICTQrmb, VPLZCNTQrmb
     SStream_concat0(O, "{1to8}"); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
     return;
@@ -12856,7 +14192,7 @@
   }
 
 
-  // Fragment 4 encoded into 5 bits for 26 unique commands.
+  // Fragment 4 encoded into 5 bits for 28 unique commands.
   //printf("Frag-4: %"PRIu64"\n", (Bits >> 35) & 31);
   switch ((Bits >> 35) & 31) {
   default:   // unreachable.
@@ -12911,22 +14247,22 @@
     printf128mem(MI, 2, O); 
     break;
   case 12:
-    // VAESDECLASTrm, VAESDECrm, VAESENCLASTrm, VAESENCrm, VINSERTI128rm, VIN...
+    // VAESDECLASTrm, VAESDECrm, VAESENCLASTrm, VAESENCrm, VBROADCASTI32X4krm...
     printi128mem(MI, 2, O); 
     break;
   case 13:
-    // VALIGNDrmi, VALIGNQrmi, VPADDDZrm, VPADDQZrm, VPANDDZrm, VPANDNDZrm, V...
+    // VALIGNDrmi, VALIGNQrmi, VMOVDQA32Zrmkz, VMOVDQA64Zrmkz, VMOVDQU16Zrmkz...
     printi512mem(MI, 2, O); 
     break;
   case 14:
+    // VBROADCASTI64X4krm, VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMOVDQA...
+    printi256mem(MI, 2, O); 
+    break;
+  case 15:
     // VCVTDQ2PSZrrb, VCVTPD2DQZrrb, VCVTPD2PSZrrb, VCVTPD2UDQZrrb, VCVTPS2DQ...
     printRoundingControl(MI, 2, O); 
     return;
     break;
-  case 15:
-    // VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMPSADBWYrmi, VPACKSSDWYrm,...
-    printi256mem(MI, 2, O); 
-    break;
   case 16:
     // VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZm, VFMADD213PSZm, VFMADDSUB1...
     printf512mem(MI, 3, O); 
@@ -12951,32 +14287,42 @@
     return;
     break;
   case 21:
-    // VMOVDQU32rmk, VMOVDQU64rmk, VPCONFLICTDrmk, VPCONFLICTQrmk, VPERMI2Drm...
-    printi512mem(MI, 3, O); 
+    // VMOVDQA32Z128rmk, VMOVDQA64Z128rmk, VMOVDQU16Z128rmk, VMOVDQU32Z128rmk...
+    printi128mem(MI, 3, O); 
     return;
     break;
   case 22:
-    // VPCONFLICTDrmbk
+    // VMOVDQA32Z256rmk, VMOVDQA64Z256rmk, VMOVDQU16Z256rmk, VMOVDQU32Z256rmk...
+    printi256mem(MI, 3, O); 
+    return;
+    break;
+  case 23:
+    // VMOVDQA32Zrmk, VMOVDQA64Zrmk, VMOVDQU16Zrmk, VMOVDQU32Zrmk, VMOVDQU64Z...
+    printi512mem(MI, 3, O); 
+    return;
+    break;
+  case 24:
+    // VPCONFLICTDrmbk, VPLZCNTDrmbk
     printi32mem(MI, 3, O); 
     SStream_concat0(O, "{1to16}"); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     return;
     break;
-  case 23:
-    // VPCONFLICTQrmbk
+  case 25:
+    // VPCONFLICTQrmbk, VPLZCNTQrmbk
     printi64mem(MI, 3, O); 
     SStream_concat0(O, "{1to8}"); 
 	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
     return;
     break;
-  case 24:
+  case 26:
     // VPINSRBrm
     printi8mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 7, O); 
     return;
     break;
-  case 25:
+  case 27:
     // VPINSRWrmi
     printi16mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -12995,7 +14341,7 @@
     return;
     break;
   case 1:
-    // VAARG_64, VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrm...
+    // VAARG_64, VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz, VADDPDZr...
     SStream_concat0(O, ", "); 
     break;
   case 2:
@@ -13019,7 +14365,7 @@
   }
 
 
-  // Fragment 6 encoded into 4 bits for 10 unique commands.
+  // Fragment 6 encoded into 4 bits for 16 unique commands.
   //printf("Frag-6: %"PRIu64"\n", (Bits >> 43) & 15);
   switch ((Bits >> 43) & 15) {
   default:   // unreachable.
@@ -13028,43 +14374,77 @@
     printOperand(MI, 7, O); 
     break;
   case 1:
-    // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPSZrr, VBLENDPDYrri, VBLE...
-    printOperand(MI, 3, O); 
+    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VFMADDSD4rm, VFM...
+    printf64mem(MI, 3, O); 
     break;
   case 2:
-    // VBLENDMPDZrm, VBLENDMPSZrm, VPBLENDMDZrm, VPBLENDMQZrm
+    // VADDPDZrmk, VADDPDZrmkz, VADDPSZrmk, VADDPSZrmkz, VBLENDMPDZrm, VBLEND...
     printf512mem(MI, 3, O); 
     return;
     break;
   case 3:
+    // VADDPDZrrk, VADDPDZrrkz, VADDPSZrrk, VADDPSZrrkz, VALIGNDrri, VALIGNDr...
+    printOperand(MI, 3, O); 
+    break;
+  case 4:
+    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VFMADDSS4rm, VFM...
+    printf32mem(MI, 3, O); 
+    break;
+  case 5:
+    // VALIGNDrrik, VALIGNQrrik, VMOVSDZrrk, VMOVSSZrrk, VPADDDZrrk, VPADDQZr...
+    printOperand(MI, 4, O); 
+    break;
+  case 6:
     // VFMADDPD4rm, VFMADDPS4rm, VFMADDSUBPD4rm, VFMADDSUBPS4rm, VFMSUBADDPD4...
     printf128mem(MI, 3, O); 
     break;
-  case 4:
+  case 7:
     // VFMADDPD4rmY, VFMADDPS4rmY, VFMADDSUBPD4rmY, VFMADDSUBPS4rmY, VFMSUBAD...
     printf256mem(MI, 3, O); 
     break;
-  case 5:
-    // VFMADDSD4rm, VFMADDSD4rm_Int, VFMSUBSD4rm, VFMSUBSD4rm_Int, VFNMADDSD4...
-    printf64mem(MI, 3, O); 
-    return;
-    break;
-  case 6:
-    // VFMADDSS4rm, VFMADDSS4rm_Int, VFMSUBSS4rm, VFMSUBSS4rm_Int, VFNMADDSS4...
-    printf32mem(MI, 3, O); 
-    return;
-    break;
-  case 7:
-    // VMOVSDZrrk, VMOVSSZrrk
-    printOperand(MI, 4, O); 
-    return;
-    break;
   case 8:
+    // VPADDDZrmbk, VPANDDZrmbk, VPANDNDZrmbk, VPMAXSDZrmbk, VPMAXUDZrmbk, VP...
+    printi32mem(MI, 4, O); 
+    SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
+    return;
+    break;
+  case 9:
+    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPMAXSDZrmbkz, VPMAXUDZrmbk...
+    printi32mem(MI, 3, O); 
+    SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
+    return;
+    break;
+  case 10:
+    // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
+    printi512mem(MI, 4, O); 
+    return;
+    break;
+  case 11:
+    // VPADDDZrmkz, VPADDQZrmkz, VPANDDZrmkz, VPANDNDZrmkz, VPANDNQZrmkz, VPA...
+    printi512mem(MI, 3, O); 
+    break;
+  case 12:
+    // VPADDQZrmbk, VPANDNQZrmbk, VPANDQZrmbk, VPMAXSQZrmbk, VPMAXUQZrmbk, VP...
+    printi64mem(MI, 4, O); 
+    SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
+    return;
+    break;
+  case 13:
+    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPMAXSQZrmbkz, VPMAXUQZrmbk...
+    printi64mem(MI, 3, O); 
+    SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
+    return;
+    break;
+  case 14:
     // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
     printi128mem(MI, 3, O); 
     return;
     break;
-  case 9:
+  case 15:
     // VPCMOVrmY
     printi256mem(MI, 3, O); 
     return;
@@ -13072,27 +14452,52 @@
   }
 
 
-  // Fragment 7 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 1);
-  if ((Bits >> 47) & 1) {
-    // VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrr, VBLENDMP...
-    return;
-  } else {
-    // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
+  // Fragment 7 encoded into 2 bits for 4 unique commands.
+  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 3);
+  switch ((Bits >> 47) & 3) {
+  default:   // unreachable.
+  case 0:
+    // VAARG_64, VALIGNDrrik, VALIGNDrrikz, VALIGNQrrik, VALIGNQrrikz, VPCMPD...
     SStream_concat0(O, ", "); 
+    break;
+  case 1:
+    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VMAXPDZrmbk, VMA...
+    SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
+    return;
+    break;
+  case 2:
+    // VADDPDZrrk, VADDPDZrrkz, VADDPSZrrk, VADDPSZrrkz, VALIGNDrmi, VALIGNDr...
+    return;
+    break;
+  case 3:
+    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VMAXPSZrmbk, VMA...
+    SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
+    return;
+    break;
   }
 
 
-  // Fragment 8 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-8: %"PRIu64"\n", (Bits >> 48) & 1);
-  if ((Bits >> 48) & 1) {
-    // VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL2PSrr, VPERMIL2PSrrY
-    printOperand(MI, 4, O); 
-    return;
-  } else {
-    // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
+  // Fragment 8 encoded into 2 bits for 3 unique commands.
+  //printf("Frag-8: %"PRIu64"\n", (Bits >> 49) & 3);
+  switch ((Bits >> 49) & 3) {
+  default:   // unreachable.
+  case 0:
+    // VAARG_64, VPCMPDZrmik_alt, VPCMPQZrmik_alt, VPCMPUDZrmik_alt, VPCMPUQZ...
     printOperand(MI, 8, O); 
     return;
+    break;
+  case 1:
+    // VALIGNDrrik, VALIGNQrrik
+    printOperand(MI, 5, O); 
+    return;
+    break;
+  case 2:
+    // VALIGNDrrikz, VALIGNQrrikz, VPCMPDZrrik_alt, VPCMPQZrrik_alt, VPCMPUDZ...
+    printOperand(MI, 4, O); 
+    return;
+    break;
   }
 }
 
@@ -13102,7 +14507,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -13227,111 +14632,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -13347,14 +14753,28 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+  switch (PrintMethodIdx) {
+  default:
+    // llvm_unreachable("Unknown PrintMethod kind");
+    break;
+  case 0:
+    printf64mem(MI, OpIdx, OS);
+    break;
+  }
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13363,7 +14783,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13372,14 +14792,89 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_CVTSD2SI64rm:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0)) {
+      // (CVTSD2SI64rm GR64:$dst, sdmem:$src)
+      AsmString = "cvtsd2si	$\x01, $\xFF\x02\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
@@ -13395,7 +14890,14 @@
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+		if (*c == (char)0xff) {
+			c += 1;
+			OpIdx = *c - 1;
+			c += 1;
+			PrintMethodIdx = *c -1;
+			printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+		} else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenAsmWriter1_reduce.inc b/arch/X86/X86GenAsmWriter1_reduce.inc
index 8bc8d20..ccc7d55 100644
--- a/arch/X86/X86GenAsmWriter1_reduce.inc
+++ b/arch/X86/X86GenAsmWriter1_reduce.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,1704 +25,1670 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    3318U,	// DBG_VALUE
+    2566U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    3311U,	// BUNDLE
-    3374U,	// LIFETIME_START
-    3298U,	// LIFETIME_END
+    2559U,	// BUNDLE
+    2622U,	// LIFETIME_START
+    2546U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    3389U,	// AAA
-    8365U,	// AAD8i8
-    8845U,	// AAM8i8
-    3900U,	// AAS
-    3053U,	// ACQUIRE_MOV16rm
-    3053U,	// ACQUIRE_MOV32rm
-    3053U,	// ACQUIRE_MOV64rm
-    3053U,	// ACQUIRE_MOV8rm
-    9582U,	// ADC16i16
-    278659U,	// ADC16mi
-    278659U,	// ADC16mi8
-    278659U,	// ADC16mr
-    548995U,	// ADC16ri
-    548995U,	// ADC16ri8
-    811139U,	// ADC16rm
-    548995U,	// ADC16rr
-    4464771U,	// ADC16rr_REV
-    9718U,	// ADC32i32
-    295043U,	// ADC32mi
-    295043U,	// ADC32mi8
-    295043U,	// ADC32mr
-    548995U,	// ADC32ri
-    548995U,	// ADC32ri8
-    1073283U,	// ADC32rm
-    548995U,	// ADC32rr
-    4464771U,	// ADC32rr_REV
-    9866U,	// ADC64i32
-    303235U,	// ADC64mi32
-    303235U,	// ADC64mi8
-    303235U,	// ADC64mr
-    548995U,	// ADC64ri32
-    548995U,	// ADC64ri8
-    1335427U,	// ADC64rm
-    548995U,	// ADC64rr
-    4464771U,	// ADC64rr_REV
-    9480U,	// ADC8i8
-    311427U,	// ADC8mi
-    311427U,	// ADC8mr
-    548995U,	// ADC8ri
-    1597571U,	// ADC8rm
-    548995U,	// ADC8rr
-    4464771U,	// ADC8rr_REV
-    8660116U,	// ADCX32rm
-    12854420U,	// ADCX32rr
-    17048724U,	// ADCX64rm
-    12854420U,	// ADCX64rr
-    9591U,	// ADD16i16
-    278715U,	// ADD16mi
-    278715U,	// ADD16mi8
-    278715U,	// ADD16mr
-    549051U,	// ADD16ri
-    549051U,	// ADD16ri8
+    0U,	// LOAD_STACK_GUARD
+    2637U,	// AAA
+    4269U,	// AAD8i8
+    4749U,	// AAM8i8
+    3179U,	// AAS
+    2301U,	// ACQUIRE_MOV16rm
+    2301U,	// ACQUIRE_MOV32rm
+    2301U,	// ACQUIRE_MOV64rm
+    2301U,	// ACQUIRE_MOV8rm
+    5486U,	// ADC16i16
+    139395U,	// ADC16mi
+    139395U,	// ADC16mi8
+    139395U,	// ADC16mr
+    274563U,	// ADC16ri
+    274563U,	// ADC16ri8
+    405635U,	// ADC16rm
+    274563U,	// ADC16rr
+    2232451U,	// ADC16rr_REV
+    5622U,	// ADC32i32
+    147587U,	// ADC32mi
+    147587U,	// ADC32mi8
+    147587U,	// ADC32mr
+    274563U,	// ADC32ri
+    274563U,	// ADC32ri8
+    536707U,	// ADC32rm
+    274563U,	// ADC32rr
+    2232451U,	// ADC32rr_REV
+    5770U,	// ADC64i32
+    151683U,	// ADC64mi32
+    151683U,	// ADC64mi8
+    151683U,	// ADC64mr
+    274563U,	// ADC64ri32
+    274563U,	// ADC64ri8
+    667779U,	// ADC64rm
+    274563U,	// ADC64rr
+    2232451U,	// ADC64rr_REV
+    5384U,	// ADC8i8
+    155779U,	// ADC8mi
+    155779U,	// ADC8mr
+    274563U,	// ADC8ri
+    798851U,	// ADC8rm
+    274563U,	// ADC8rr
+    2232451U,	// ADC8rr_REV
+    4330644U,	// ADCX32rm
+    6427796U,	// ADCX32rr
+    8524948U,	// ADCX64rm
+    6427796U,	// ADCX64rr
+    5495U,	// ADD16i16
+    139451U,	// ADD16mi
+    139451U,	// ADD16mi8
+    139451U,	// ADD16mr
+    274619U,	// ADD16ri
+    274619U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    811195U,	// ADD16rm
-    549051U,	// ADD16rr
+    405691U,	// ADD16rm
+    274619U,	// ADD16rr
     0U,	// ADD16rr_DB
-    4464827U,	// ADD16rr_REV
-    9728U,	// ADD32i32
-    295099U,	// ADD32mi
-    295099U,	// ADD32mi8
-    295099U,	// ADD32mr
-    549051U,	// ADD32ri
-    549051U,	// ADD32ri8
+    2232507U,	// ADD16rr_REV
+    5632U,	// ADD32i32
+    147643U,	// ADD32mi
+    147643U,	// ADD32mi8
+    147643U,	// ADD32mr
+    274619U,	// ADD32ri
+    274619U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    1073339U,	// ADD32rm
-    549051U,	// ADD32rr
+    536763U,	// ADD32rm
+    274619U,	// ADD32rr
     0U,	// ADD32rr_DB
-    4464827U,	// ADD32rr_REV
-    9876U,	// ADD64i32
-    303291U,	// ADD64mi32
-    303291U,	// ADD64mi8
-    303291U,	// ADD64mr
-    549051U,	// ADD64ri32
+    2232507U,	// ADD32rr_REV
+    5780U,	// ADD64i32
+    151739U,	// ADD64mi32
+    151739U,	// ADD64mi8
+    151739U,	// ADD64mr
+    274619U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    549051U,	// ADD64ri8
+    274619U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    1335483U,	// ADD64rm
-    549051U,	// ADD64rr
+    667835U,	// ADD64rm
+    274619U,	// ADD64rr
     0U,	// ADD64rr_DB
-    4464827U,	// ADD64rr_REV
-    9489U,	// ADD8i8
-    311483U,	// ADD8mi
-    311483U,	// ADD8mr
-    549051U,	// ADD8ri
-    549051U,	// ADD8ri8
-    1597627U,	// ADD8rm
-    549051U,	// ADD8rr
-    4464827U,	// ADD8rr_REV
-    3328U,	// ADJCALLSTACKDOWN32
-    3328U,	// ADJCALLSTACKDOWN64
-    3346U,	// ADJCALLSTACKUP32
-    3346U,	// ADJCALLSTACKUP64
-    8660134U,	// ADOX32rm
-    12854438U,	// ADOX32rr
-    17048742U,	// ADOX64rm
-    12854438U,	// ADOX64rr
-    9600U,	// AND16i16
-    278764U,	// AND16mi
-    278764U,	// AND16mi8
-    278764U,	// AND16mr
-    549100U,	// AND16ri
-    549100U,	// AND16ri8
-    811244U,	// AND16rm
-    549100U,	// AND16rr
-    4464876U,	// AND16rr_REV
-    9738U,	// AND32i32
-    295148U,	// AND32mi
-    295148U,	// AND32mi8
-    295148U,	// AND32mr
-    549100U,	// AND32ri
-    549100U,	// AND32ri8
-    1073388U,	// AND32rm
-    549100U,	// AND32rr
-    4464876U,	// AND32rr_REV
-    9886U,	// AND64i32
-    303340U,	// AND64mi32
-    303340U,	// AND64mi8
-    303340U,	// AND64mr
-    549100U,	// AND64ri32
-    549100U,	// AND64ri8
-    1335532U,	// AND64rm
-    549100U,	// AND64rr
-    4464876U,	// AND64rr_REV
-    9498U,	// AND8i8
-    311532U,	// AND8mi
-    311532U,	// AND8mr
-    549100U,	// AND8ri
-    549100U,	// AND8ri8
-    1597676U,	// AND8rm
-    549100U,	// AND8rr
-    4464876U,	// AND8rr_REV
-    79962770U,	// ANDN32rm
-    348398226U,	// ANDN32rr
-    616833682U,	// ANDN64rm
-    348398226U,	// ANDN64rr
-    279151U,	// ARPL16mr
-    12853871U,	// ARPL16rr
-    1955U,	// ATOMADD6432
-    2735U,	// ATOMAND16
-    2168U,	// ATOMAND32
-    2422U,	// ATOMAND64
-    1976U,	// ATOMAND6432
-    2889U,	// ATOMAND8
-    2850U,	// ATOMMAX16
-    2383U,	// ATOMMAX32
-    2696U,	// ATOMMAX64
-    2125U,	// ATOMMAX6432
-    3016U,	// ATOMMAX8
-    2774U,	// ATOMMIN16
-    2268U,	// ATOMMIN32
-    2581U,	// ATOMMIN64
-    2019U,	// ATOMMIN6432
-    2926U,	// ATOMMIN8
-    2754U,	// ATOMNAND16
-    2187U,	// ATOMNAND32
-    2441U,	// ATOMNAND64
-    1997U,	// ATOMNAND6432
-    2907U,	// ATOMNAND8
-    2813U,	// ATOMOR16
-    2346U,	// ATOMOR32
-    2659U,	// ATOMOR64
-    2084U,	// ATOMOR6432
-    2981U,	// ATOMOR8
-    1934U,	// ATOMSUB6432
-    2062U,	// ATOMSWAP6432
-    2869U,	// ATOMUMAX16
-    2402U,	// ATOMUMAX32
-    2715U,	// ATOMUMAX64
-    2146U,	// ATOMUMAX6432
-    3034U,	// ATOMUMAX8
-    2793U,	// ATOMUMIN16
-    2287U,	// ATOMUMIN32
-    2600U,	// ATOMUMIN64
-    2040U,	// ATOMUMIN6432
-    2944U,	// ATOMUMIN8
-    2831U,	// ATOMXOR16
-    2364U,	// ATOMXOR32
-    2677U,	// ATOMXOR64
-    2104U,	// ATOMXOR6432
-    2998U,	// ATOMXOR8
-    881075091U,	// BEXTR32rm
-    348398483U,	// BEXTR32rr
-    889463699U,	// BEXTR64rm
-    348398483U,	// BEXTR64rr
-    881075091U,	// BEXTRI32mi
-    348398483U,	// BEXTRI32ri
-    889463699U,	// BEXTRI64mi
-    348398483U,	// BEXTRI64ri
-    8659544U,	// BLCFILL32rm
-    12853848U,	// BLCFILL32rr
-    17048152U,	// BLCFILL64rm
-    12853848U,	// BLCFILL64rr
-    8659477U,	// BLCI32rm
-    12853781U,	// BLCI32rr
-    17048085U,	// BLCI64rm
-    12853781U,	// BLCI64rr
-    8659085U,	// BLCIC32rm
-    12853389U,	// BLCIC32rr
-    17047693U,	// BLCIC64rm
-    12853389U,	// BLCIC64rr
-    8659495U,	// BLCMSK32rm
-    12853799U,	// BLCMSK32rr
-    17048103U,	// BLCMSK64rm
-    12853799U,	// BLCMSK64rr
-    8659874U,	// BLCS32rm
-    12854178U,	// BLCS32rr
-    17048482U,	// BLCS64rm
-    12854178U,	// BLCS64rr
-    8659553U,	// BLSFILL32rm
-    12853857U,	// BLSFILL32rr
-    17048161U,	// BLSFILL64rm
-    12853857U,	// BLSFILL64rr
-    8659489U,	// BLSI32rm
-    12853793U,	// BLSI32rr
-    17048097U,	// BLSI64rm
-    12853793U,	// BLSI64rr
-    8659092U,	// BLSIC32rm
-    12853396U,	// BLSIC32rr
-    17047700U,	// BLSIC64rm
-    12853396U,	// BLSIC64rr
-    8659503U,	// BLSMSK32rm
-    12853807U,	// BLSMSK32rr
-    17048111U,	// BLSMSK64rm
-    12853807U,	// BLSMSK64rr
-    8659838U,	// BLSR32rm
-    12854142U,	// BLSR32rr
-    17048446U,	// BLSR64rm
-    12854142U,	// BLSR64rr
-    21242097U,	// BOUNDS16rm
-    8659185U,	// BOUNDS32rm
-    21242333U,	// BSF16rm
-    12853725U,	// BSF16rr
-    8659421U,	// BSF32rm
-    12853725U,	// BSF32rr
-    17048029U,	// BSF64rm
-    12853725U,	// BSF64rr
-    21242745U,	// BSR16rm
-    12854137U,	// BSR16rr
-    8659833U,	// BSR32rm
-    12854137U,	// BSR32rr
-    17048441U,	// BSR64rm
-    12854137U,	// BSR64rr
-    8900U,	// BSWAP32r
-    8900U,	// BSWAP64r
-    279531U,	// BT16mi8
-    279531U,	// BT16mr
-    12854251U,	// BT16ri8
-    12854251U,	// BT16rr
-    295915U,	// BT32mi8
-    295915U,	// BT32mr
-    12854251U,	// BT32ri8
-    12854251U,	// BT32rr
-    304107U,	// BT64mi8
-    304107U,	// BT64mr
-    12854251U,	// BT64ri8
-    12854251U,	// BT64rr
-    278696U,	// BTC16mi8
-    278696U,	// BTC16mr
-    12853416U,	// BTC16ri8
-    12853416U,	// BTC16rr
-    295080U,	// BTC32mi8
-    295080U,	// BTC32mr
-    12853416U,	// BTC32ri8
-    12853416U,	// BTC32rr
-    303272U,	// BTC64mi8
-    303272U,	// BTC64mr
-    12853416U,	// BTC64ri8
-    12853416U,	// BTC64rr
-    279428U,	// BTR16mi8
-    279428U,	// BTR16mr
-    12854148U,	// BTR16ri8
-    12854148U,	// BTR16rr
-    295812U,	// BTR32mi8
-    295812U,	// BTR32mr
-    12854148U,	// BTR32ri8
-    12854148U,	// BTR32rr
-    304004U,	// BTR64mi8
-    304004U,	// BTR64mr
-    12854148U,	// BTR64ri8
-    12854148U,	// BTR64rr
-    279513U,	// BTS16mi8
-    279513U,	// BTS16mr
-    12854233U,	// BTS16ri8
-    12854233U,	// BTS16rr
-    295897U,	// BTS32mi8
-    295897U,	// BTS32mr
-    12854233U,	// BTS32ri8
-    12854233U,	// BTS32rr
-    304089U,	// BTS64mi8
-    304089U,	// BTS64mr
-    12854233U,	// BTS64ri8
-    12854233U,	// BTS64rr
-    881074715U,	// BZHI32rm
-    348398107U,	// BZHI32rr
-    889463323U,	// BZHI64rm
-    348398107U,	// BZHI64rr
-    16978U,	// CALL16m
-    8786U,	// CALL16r
-    33362U,	// CALL32m
-    8786U,	// CALL32r
-    41554U,	// CALL64m
-    57938U,	// CALL64pcrel32
-    8786U,	// CALL64r
-    57938U,	// CALLpcrel16
-    57938U,	// CALLpcrel32
-    4099U,	// CBW
-    3820U,	// CDQ
-    3605U,	// CDQE
-    3458U,	// CLAC
-    3490U,	// CLC
-    3540U,	// CLD
-    3665U,	// CLGI
-    3675U,	// CLI
-    4043U,	// CLTS
-    3494U,	// CMC
-    25436207U,	// CMOVA16rm
-    4464687U,	// CMOVA16rr
-    29630511U,	// CMOVA32rm
-    4464687U,	// CMOVA32rr
-    33824815U,	// CMOVA64rm
-    4464687U,	// CMOVA64rr
-    25436461U,	// CMOVAE16rm
-    4464941U,	// CMOVAE16rr
-    29630765U,	// CMOVAE32rm
-    4464941U,	// CMOVAE32rr
-    33825069U,	// CMOVAE64rm
-    4464941U,	// CMOVAE64rr
-    25436284U,	// CMOVB16rm
-    4464764U,	// CMOVB16rr
-    29630588U,	// CMOVB32rm
-    4464764U,	// CMOVB32rr
-    33824892U,	// CMOVB64rm
-    4464764U,	// CMOVB64rr
-    25436481U,	// CMOVBE16rm
-    4464961U,	// CMOVBE16rr
-    29630785U,	// CMOVBE32rm
-    4464961U,	// CMOVBE32rr
-    33825089U,	// CMOVBE64rm
-    4464961U,	// CMOVBE64rr
-    25436630U,	// CMOVE16rm
-    4465110U,	// CMOVE16rr
-    29630934U,	// CMOVE32rm
-    4465110U,	// CMOVE32rr
-    33825238U,	// CMOVE64rm
-    4465110U,	// CMOVE64rr
-    25436680U,	// CMOVG16rm
-    4465160U,	// CMOVG16rr
-    29630984U,	// CMOVG32rm
-    4465160U,	// CMOVG32rr
-    33825288U,	// CMOVG64rm
-    4465160U,	// CMOVG64rr
-    25436501U,	// CMOVGE16rm
-    4464981U,	// CMOVGE16rr
-    29630805U,	// CMOVGE32rm
-    4464981U,	// CMOVGE32rr
-    33825109U,	// CMOVGE64rm
-    4464981U,	// CMOVGE64rr
-    25436806U,	// CMOVL16rm
-    4465286U,	// CMOVL16rr
-    29631110U,	// CMOVL32rm
-    4465286U,	// CMOVL32rr
-    33825414U,	// CMOVL64rm
-    4465286U,	// CMOVL64rr
-    25436525U,	// CMOVLE16rm
-    4465005U,	// CMOVLE16rr
-    29630829U,	// CMOVLE32rm
-    4465005U,	// CMOVLE32rr
-    33825133U,	// CMOVLE64rm
-    4465005U,	// CMOVLE64rr
-    25436553U,	// CMOVNE16rm
-    4465033U,	// CMOVNE16rr
-    29630857U,	// CMOVNE32rm
-    4465033U,	// CMOVNE32rr
-    33825161U,	// CMOVNE64rm
-    4465033U,	// CMOVNE64rr
-    25436847U,	// CMOVNO16rm
-    4465327U,	// CMOVNO16rr
-    29631151U,	// CMOVNO32rm
-    4465327U,	// CMOVNO32rr
-    33825455U,	// CMOVNO64rm
-    4465327U,	// CMOVNO64rr
-    25436908U,	// CMOVNP16rm
-    4465388U,	// CMOVNP16rr
-    29631212U,	// CMOVNP32rm
-    4465388U,	// CMOVNP32rr
-    33825516U,	// CMOVNP64rm
-    4465388U,	// CMOVNP64rr
-    25437132U,	// CMOVNS16rm
-    4465612U,	// CMOVNS16rr
-    29631436U,	// CMOVNS32rm
-    4465612U,	// CMOVNS32rr
-    33825740U,	// CMOVNS64rm
-    4465612U,	// CMOVNS64rr
-    25436861U,	// CMOVO16rm
-    4465341U,	// CMOVO16rr
-    29631165U,	// CMOVO32rm
-    4465341U,	// CMOVO32rr
-    33825469U,	// CMOVO64rm
-    4465341U,	// CMOVO64rr
-    25436954U,	// CMOVP16rm
-    4465434U,	// CMOVP16rr
-    29631258U,	// CMOVP32rm
-    4465434U,	// CMOVP32rr
-    33825562U,	// CMOVP64rm
-    4465434U,	// CMOVP64rr
-    25437156U,	// CMOVS16rm
-    4465636U,	// CMOVS16rr
-    29631460U,	// CMOVS32rm
-    4465636U,	// CMOVS32rr
-    33825764U,	// CMOVS64rm
-    4465636U,	// CMOVS64rr
-    2327U,	// CMOV_FR32
-    2640U,	// CMOV_FR64
-    1894U,	// CMOV_GR16
-    1874U,	// CMOV_GR32
-    2963U,	// CMOV_GR8
-    2307U,	// CMOV_RFP32
-    2620U,	// CMOV_RFP64
-    1914U,	// CMOV_RFP80
-    2227U,	// CMOV_V16F32
-    2461U,	// CMOV_V2F64
-    2521U,	// CMOV_V2I64
-    2207U,	// CMOV_V4F32
-    2481U,	// CMOV_V4F64
-    2541U,	// CMOV_V4I64
-    2248U,	// CMOV_V8F32
-    2501U,	// CMOV_V8F64
-    2561U,	// CMOV_V8I64
-    9627U,	// CMP16i16
-    279253U,	// CMP16mi
-    279253U,	// CMP16mi8
-    279253U,	// CMP16mr
-    12853973U,	// CMP16ri
-    12853973U,	// CMP16ri8
-    21242581U,	// CMP16rm
-    12853973U,	// CMP16rr
-    12853973U,	// CMP16rr_REV
-    9792U,	// CMP32i32
-    295637U,	// CMP32mi
-    295637U,	// CMP32mi8
-    295637U,	// CMP32mr
-    12853973U,	// CMP32ri
-    12853973U,	// CMP32ri8
-    8659669U,	// CMP32rm
-    12853973U,	// CMP32rr
-    12853973U,	// CMP32rr_REV
-    9907U,	// CMP64i32
-    303829U,	// CMP64mi32
-    303829U,	// CMP64mi8
-    303829U,	// CMP64mr
-    12853973U,	// CMP64ri32
-    12853973U,	// CMP64ri8
-    17048277U,	// CMP64rm
-    12853973U,	// CMP64rr
-    12853973U,	// CMP64rr_REV
-    9515U,	// CMP8i8
-    312021U,	// CMP8mi
-    312021U,	// CMP8mr
-    12853973U,	// CMP8ri
-    38019797U,	// CMP8rm
-    12853973U,	// CMP8rr
-    12853973U,	// CMP8rr_REV
-    66694U,	// CMPS16
-    73995U,	// CMPS32
-    82735U,	// CMPS64
-    90211U,	// CMPS8
-    98358U,	// CMPXCHG16B
-    279021U,	// CMPXCHG16rm
-    12853741U,	// CMPXCHG16rr
-    295405U,	// CMPXCHG32rm
-    12853741U,	// CMPXCHG32rr
-    303597U,	// CMPXCHG64rm
-    12853741U,	// CMPXCHG64rr
-    41026U,	// CMPXCHG8B
-    311789U,	// CMPXCHG8rm
-    12853741U,	// CMPXCHG8rr
-    3534U,	// CPUID32
-    3534U,	// CPUID64
-    3796U,	// CQO
-    3913U,	// CS_PREFIX
-    3581U,	// CWD
-    3585U,	// CWDE
-    3393U,	// DAA
-    3904U,	// DAS
-    3283U,	// DATA16_PREFIX
-    16520U,	// DEC16m
-    8328U,	// DEC16r
-    8328U,	// DEC32_16r
-    8328U,	// DEC32_32r
-    32904U,	// DEC32m
-    8328U,	// DEC32r
-    16520U,	// DEC64_16m
-    8328U,	// DEC64_16r
-    32904U,	// DEC64_32m
-    8328U,	// DEC64_32r
-    41096U,	// DEC64m
-    8328U,	// DEC64r
-    49288U,	// DEC8m
-    8328U,	// DEC8r
-    17501U,	// DIV16m
-    9309U,	// DIV16r
-    33885U,	// DIV32m
-    9309U,	// DIV32r
-    42077U,	// DIV64m
-    9309U,	// DIV64r
-    50269U,	// DIV8m
-    9309U,	// DIV8r
-    3921U,	// DS_PREFIX
-    10019U,	// EH_RETURN
-    10019U,	// EH_RETURN64
-    3106U,	// EH_SjLj_LongJmp32
-    3196U,	// EH_SjLj_LongJmp64
-    3125U,	// EH_SjLj_SetJmp32
-    3215U,	// EH_SjLj_SetJmp64
-    58122U,	// EH_SjLj_Setup
-    12854101U,	// ENTER
-    3936U,	// ES_PREFIX
-    12853841U,	// FARCALL16i
-    107089U,	// FARCALL16m
-    12853841U,	// FARCALL32i
-    107089U,	// FARCALL32m
-    107089U,	// FARCALL64
-    12853978U,	// FARJMP16i
-    107226U,	// FARJMP16m
-    12853978U,	// FARJMP32i
-    107226U,	// FARJMP32m
-    107226U,	// FARJMP64
-    3758U,	// FSETPM
-    3951U,	// FS_PREFIX
-    3478U,	// GETSEC
-    3966U,	// GS_PREFIX
-    4068U,	// HLT
-    17500U,	// IDIV16m
-    9308U,	// IDIV16r
-    33884U,	// IDIV32m
-    9308U,	// IDIV32r
-    42076U,	// IDIV64m
-    9308U,	// IDIV64r
-    50268U,	// IDIV8m
-    9308U,	// IDIV8r
-    17024U,	// IMUL16m
-    8832U,	// IMUL16r
-    25436800U,	// IMUL16rm
-    893657728U,	// IMUL16rmi
-    893657728U,	// IMUL16rmi8
-    4465280U,	// IMUL16rr
-    348398208U,	// IMUL16rri
-    348398208U,	// IMUL16rri8
-    33408U,	// IMUL32m
-    8832U,	// IMUL32r
-    29631104U,	// IMUL32rm
-    881074816U,	// IMUL32rmi
-    881074816U,	// IMUL32rmi8
-    4465280U,	// IMUL32rr
-    348398208U,	// IMUL32rri
-    348398208U,	// IMUL32rri8
-    41600U,	// IMUL64m
-    8832U,	// IMUL64r
-    33825408U,	// IMUL64rm
-    889463424U,	// IMUL64rmi32
-    889463424U,	// IMUL64rmi8
-    4465280U,	// IMUL64rr
-    348398208U,	// IMUL64rri32
-    348398208U,	// IMUL64rri8
-    49792U,	// IMUL8m
-    8832U,	// IMUL8r
-    1950841U,	// IN16
-    9619U,	// IN16ri
-    4265U,	// IN16rr
-    1958142U,	// IN32
-    9783U,	// IN32ri
-    4275U,	// IN32rr
-    1966166U,	// IN8
-    9507U,	// IN8ri
-    4255U,	// IN8rr
-    16547U,	// INC16m
-    8355U,	// INC16r
-    8355U,	// INC32_16r
-    8355U,	// INC32_32r
-    32931U,	// INC32m
-    8355U,	// INC32r
-    16547U,	// INC64_16m
-    8355U,	// INC64_16r
-    32931U,	// INC64_32m
-    8355U,	// INC64_32r
-    41123U,	// INC64m
-    8355U,	// INC64r
-    49315U,	// INC8m
-    8355U,	// INC8r
-    9254U,	// INT
-    3101U,	// INT1
-    3191U,	// INT3
-    3800U,	// INTO
-    3576U,	// INVD
-    42214448U,	// INVEPT32
-    42214448U,	// INVEPT64
-    49658U,	// INVLPG
-    4221U,	// INVLPGA32
-    4238U,	// INVLPGA64
-    42213576U,	// INVPCID32
-    42213576U,	// INVPCID64
-    42213585U,	// INVVPID32
-    42213585U,	// INVVPID64
-    4048U,	// IRET16
-    3564U,	// IRET32
-    3863U,	// IRET64
-    3362U,	// Int_MemBarrier
-    57633U,	// JAE_1
-    57633U,	// JAE_2
-    57633U,	// JAE_4
-    57381U,	// JA_1
-    57381U,	// JA_2
-    57381U,	// JA_4
-    57653U,	// JBE_1
-    57653U,	// JBE_2
-    57653U,	// JBE_4
-    57426U,	// JB_1
-    57426U,	// JB_2
-    57426U,	// JB_4
-    58579U,	// JCXZ
-    58572U,	// JECXZ_32
-    58572U,	// JECXZ_64
-    57693U,	// JE_1
-    57693U,	// JE_2
-    57693U,	// JE_4
-    57673U,	// JGE_1
-    57673U,	// JGE_2
-    57673U,	// JGE_4
-    57846U,	// JG_1
-    57846U,	// JG_2
-    57846U,	// JG_4
-    57697U,	// JLE_1
-    57697U,	// JLE_2
-    57697U,	// JLE_4
-    57933U,	// JL_1
-    57933U,	// JL_2
-    57933U,	// JL_4
-    17115U,	// JMP16m
-    8923U,	// JMP16r
-    33499U,	// JMP32m
-    8923U,	// JMP32r
-    41691U,	// JMP64m
-    8923U,	// JMP64r
-    58075U,	// JMP_1
-    58075U,	// JMP_2
-    58075U,	// JMP_4
-    57717U,	// JNE_1
-    57717U,	// JNE_2
-    57717U,	// JNE_4
-    58019U,	// JNO_1
-    58019U,	// JNO_2
-    58019U,	// JNO_4
-    58080U,	// JNP_1
-    58080U,	// JNP_2
-    58080U,	// JNP_4
-    58304U,	// JNS_1
-    58304U,	// JNS_2
-    58304U,	// JNS_4
-    58015U,	// JO_1
-    58015U,	// JO_2
-    58015U,	// JO_4
-    58065U,	// JP_1
-    58065U,	// JP_2
-    58065U,	// JP_4
-    58585U,	// JRCXZ
-    58300U,	// JS_1
-    58300U,	// JS_2
-    58300U,	// JS_4
-    3630U,	// LAHF
-    21242694U,	// LAR16rm
-    12854086U,	// LAR16rr
-    21242694U,	// LAR32rm
-    12854086U,	// LAR32rr
-    21242694U,	// LAR64rm
-    12854086U,	// LAR64rr
-    279021U,	// LCMPXCHG16
-    98358U,	// LCMPXCHG16B
-    295405U,	// LCMPXCHG32
-    303597U,	// LCMPXCHG64
-    311789U,	// LCMPXCHG8
-    41026U,	// LCMPXCHG8B
-    46408616U,	// LDS16rm
-    46408616U,	// LDS32rm
-    8658976U,	// LEA16r
-    8658976U,	// LEA32r
-    8658976U,	// LEA64_32r
-    17047584U,	// LEA64r
-    3617U,	// LEAVE
-    3617U,	// LEAVE64
-    46408621U,	// LES16rm
-    46408621U,	// LES32rm
-    46408626U,	// LFS16rm
-    46408626U,	// LFS32rm
-    46408626U,	// LFS64rm
-    107503U,	// LGDT16m
-    107503U,	// LGDT32m
-    107503U,	// LGDT64m
-    46408631U,	// LGS16rm
-    46408631U,	// LGS32rm
-    46408631U,	// LGS64rm
-    107515U,	// LIDT16m
-    107515U,	// LIDT32m
-    107515U,	// LIDT64m
-    17415U,	// LLDT16m
-    9223U,	// LLDT16r
-    17517U,	// LMSW16m
-    9325U,	// LMSW16r
-    278715U,	// LOCK_ADD16mi
-    278715U,	// LOCK_ADD16mi8
-    278715U,	// LOCK_ADD16mr
-    295099U,	// LOCK_ADD32mi
-    295099U,	// LOCK_ADD32mi8
-    295099U,	// LOCK_ADD32mr
-    303291U,	// LOCK_ADD64mi32
-    303291U,	// LOCK_ADD64mi8
-    303291U,	// LOCK_ADD64mr
-    311483U,	// LOCK_ADD8mi
-    311483U,	// LOCK_ADD8mr
-    278764U,	// LOCK_AND16mi
-    278764U,	// LOCK_AND16mi8
-    278764U,	// LOCK_AND16mr
-    295148U,	// LOCK_AND32mi
-    295148U,	// LOCK_AND32mi8
-    295148U,	// LOCK_AND32mr
-    303340U,	// LOCK_AND64mi32
-    303340U,	// LOCK_AND64mi8
-    303340U,	// LOCK_AND64mr
-    311532U,	// LOCK_AND8mi
-    311532U,	// LOCK_AND8mr
-    16520U,	// LOCK_DEC16m
-    32904U,	// LOCK_DEC32m
-    41096U,	// LOCK_DEC64m
-    49288U,	// LOCK_DEC8m
-    16547U,	// LOCK_INC16m
-    32931U,	// LOCK_INC32m
-    41123U,	// LOCK_INC64m
-    49315U,	// LOCK_INC8m
-    279394U,	// LOCK_OR16mi
-    279394U,	// LOCK_OR16mi8
-    279394U,	// LOCK_OR16mr
-    295778U,	// LOCK_OR32mi
-    295778U,	// LOCK_OR32mi8
-    295778U,	// LOCK_OR32mr
-    303970U,	// LOCK_OR64mi32
-    303970U,	// LOCK_OR64mi8
-    303970U,	// LOCK_OR64mr
-    312162U,	// LOCK_OR8mi
-    312162U,	// LOCK_OR8mr
-    3698U,	// LOCK_PREFIX
-    278647U,	// LOCK_SUB16mi
-    278647U,	// LOCK_SUB16mi8
-    278647U,	// LOCK_SUB16mr
-    295031U,	// LOCK_SUB32mi
-    295031U,	// LOCK_SUB32mi8
-    295031U,	// LOCK_SUB32mr
-    303223U,	// LOCK_SUB64mi32
-    303223U,	// LOCK_SUB64mi8
-    303223U,	// LOCK_SUB64mr
-    311415U,	// LOCK_SUB8mi
-    311415U,	// LOCK_SUB8mr
-    279406U,	// LOCK_XOR16mi
-    279406U,	// LOCK_XOR16mi8
-    279406U,	// LOCK_XOR16mr
-    295790U,	// LOCK_XOR32mi
-    295790U,	// LOCK_XOR32mi8
-    295790U,	// LOCK_XOR32mr
-    303982U,	// LOCK_XOR64mi32
-    303982U,	// LOCK_XOR64mi8
-    303982U,	// LOCK_XOR64mr
-    312174U,	// LOCK_XOR8mi
-    312174U,	// LOCK_XOR8mr
-    140532U,	// LODSB
-    149024U,	// LODSL
-    157385U,	// LODSQ
-    165335U,	// LODSW
-    58105U,	// LOOP
-    57745U,	// LOOPE
-    57722U,	// LOOPNE
-    8674U,	// LRETIL
-    8993U,	// LRETIQ
-    8674U,	// LRETIW
-    3651U,	// LRETL
-    3837U,	// LRETQ
-    3651U,	// LRETW
-    21242485U,	// LSL16rm
-    12853877U,	// LSL16rr
-    8659573U,	// LSL32rm
-    12853877U,	// LSL32rr
-    17048181U,	// LSL64rm
-    12853877U,	// LSL64rr
-    46408660U,	// LSS16rm
-    46408660U,	// LSS32rm
-    46408660U,	// LSS64rm
-    17289U,	// LTRm
-    9097U,	// LTRr
-    172218U,	// LXADD16
-    180410U,	// LXADD32
-    188602U,	// LXADD64
-    196794U,	// LXADD8
-    21242904U,	// LZCNT16rm
-    12854296U,	// LZCNT16rr
-    8659992U,	// LZCNT32rm
-    12854296U,	// LZCNT32rr
-    17048600U,	// LZCNT64rm
-    12854296U,	// LZCNT64rr
-    3750U,	// MONTMUL
+    2232507U,	// ADD64rr_REV
+    5393U,	// ADD8i8
+    155835U,	// ADD8mi
+    155835U,	// ADD8mr
+    274619U,	// ADD8ri
+    274619U,	// ADD8ri8
+    798907U,	// ADD8rm
+    274619U,	// ADD8rr
+    2232507U,	// ADD8rr_REV
+    2576U,	// ADJCALLSTACKDOWN32
+    2576U,	// ADJCALLSTACKDOWN64
+    2594U,	// ADJCALLSTACKUP32
+    2594U,	// ADJCALLSTACKUP64
+    4330662U,	// ADOX32rm
+    6427814U,	// ADOX32rr
+    8524966U,	// ADOX64rm
+    6427814U,	// ADOX64rr
+    5504U,	// AND16i16
+    139500U,	// AND16mi
+    139500U,	// AND16mi8
+    139500U,	// AND16mr
+    274668U,	// AND16ri
+    274668U,	// AND16ri8
+    405740U,	// AND16rm
+    274668U,	// AND16rr
+    2232556U,	// AND16rr_REV
+    5642U,	// AND32i32
+    147692U,	// AND32mi
+    147692U,	// AND32mi8
+    147692U,	// AND32mr
+    274668U,	// AND32ri
+    274668U,	// AND32ri8
+    536812U,	// AND32rm
+    274668U,	// AND32rr
+    2232556U,	// AND32rr_REV
+    5790U,	// AND64i32
+    151788U,	// AND64mi32
+    151788U,	// AND64mi8
+    151788U,	// AND64mr
+    274668U,	// AND64ri32
+    274668U,	// AND64ri8
+    667884U,	// AND64rm
+    274668U,	// AND64rr
+    2232556U,	// AND64rr_REV
+    5402U,	// AND8i8
+    155884U,	// AND8mi
+    155884U,	// AND8mr
+    274668U,	// AND8ri
+    274668U,	// AND8ri8
+    798956U,	// AND8rm
+    274668U,	// AND8rr
+    2232556U,	// AND8rr_REV
+    39981714U,	// ANDN32rm
+    174199442U,	// ANDN32rr
+    308417170U,	// ANDN64rm
+    174199442U,	// ANDN64rr
+    139887U,	// ARPL16mr
+    6427247U,	// ARPL16rr
+    440538003U,	// BEXTR32rm
+    174199699U,	// BEXTR32rr
+    444732307U,	// BEXTR64rm
+    174199699U,	// BEXTR64rr
+    440538003U,	// BEXTRI32mi
+    174199699U,	// BEXTRI32ri
+    444732307U,	// BEXTRI64mi
+    174199699U,	// BEXTRI64ri
+    4330072U,	// BLCFILL32rm
+    6427224U,	// BLCFILL32rr
+    8524376U,	// BLCFILL64rm
+    6427224U,	// BLCFILL64rr
+    4330005U,	// BLCI32rm
+    6427157U,	// BLCI32rr
+    8524309U,	// BLCI64rm
+    6427157U,	// BLCI64rr
+    4329613U,	// BLCIC32rm
+    6426765U,	// BLCIC32rr
+    8523917U,	// BLCIC64rm
+    6426765U,	// BLCIC64rr
+    4330023U,	// BLCMSK32rm
+    6427175U,	// BLCMSK32rr
+    8524327U,	// BLCMSK64rm
+    6427175U,	// BLCMSK64rr
+    4330402U,	// BLCS32rm
+    6427554U,	// BLCS32rr
+    8524706U,	// BLCS64rm
+    6427554U,	// BLCS64rr
+    4330081U,	// BLSFILL32rm
+    6427233U,	// BLSFILL32rr
+    8524385U,	// BLSFILL64rm
+    6427233U,	// BLSFILL64rr
+    4330017U,	// BLSI32rm
+    6427169U,	// BLSI32rr
+    8524321U,	// BLSI64rm
+    6427169U,	// BLSI64rr
+    4329620U,	// BLSIC32rm
+    6426772U,	// BLSIC32rr
+    8523924U,	// BLSIC64rm
+    6426772U,	// BLSIC64rr
+    4330031U,	// BLSMSK32rm
+    6427183U,	// BLSMSK32rr
+    8524335U,	// BLSMSK64rm
+    6427183U,	// BLSMSK64rr
+    4330366U,	// BLSR32rm
+    6427518U,	// BLSR32rr
+    8524670U,	// BLSR64rm
+    6427518U,	// BLSR64rr
+    10621169U,	// BOUNDS16rm
+    4329713U,	// BOUNDS32rm
+    10621405U,	// BSF16rm
+    6427101U,	// BSF16rr
+    4329949U,	// BSF32rm
+    6427101U,	// BSF32rr
+    8524253U,	// BSF64rm
+    6427101U,	// BSF64rr
+    10621817U,	// BSR16rm
+    6427513U,	// BSR16rr
+    4330361U,	// BSR32rm
+    6427513U,	// BSR32rr
+    8524665U,	// BSR64rm
+    6427513U,	// BSR64rr
+    4804U,	// BSWAP32r
+    4804U,	// BSWAP64r
+    140267U,	// BT16mi8
+    140267U,	// BT16mr
+    6427627U,	// BT16ri8
+    6427627U,	// BT16rr
+    148459U,	// BT32mi8
+    148459U,	// BT32mr
+    6427627U,	// BT32ri8
+    6427627U,	// BT32rr
+    152555U,	// BT64mi8
+    152555U,	// BT64mr
+    6427627U,	// BT64ri8
+    6427627U,	// BT64rr
+    139432U,	// BTC16mi8
+    139432U,	// BTC16mr
+    6426792U,	// BTC16ri8
+    6426792U,	// BTC16rr
+    147624U,	// BTC32mi8
+    147624U,	// BTC32mr
+    6426792U,	// BTC32ri8
+    6426792U,	// BTC32rr
+    151720U,	// BTC64mi8
+    151720U,	// BTC64mr
+    6426792U,	// BTC64ri8
+    6426792U,	// BTC64rr
+    140164U,	// BTR16mi8
+    140164U,	// BTR16mr
+    6427524U,	// BTR16ri8
+    6427524U,	// BTR16rr
+    148356U,	// BTR32mi8
+    148356U,	// BTR32mr
+    6427524U,	// BTR32ri8
+    6427524U,	// BTR32rr
+    152452U,	// BTR64mi8
+    152452U,	// BTR64mr
+    6427524U,	// BTR64ri8
+    6427524U,	// BTR64rr
+    140249U,	// BTS16mi8
+    140249U,	// BTS16mr
+    6427609U,	// BTS16ri8
+    6427609U,	// BTS16rr
+    148441U,	// BTS32mi8
+    148441U,	// BTS32mr
+    6427609U,	// BTS32ri8
+    6427609U,	// BTS32rr
+    152537U,	// BTS64mi8
+    152537U,	// BTS64mr
+    6427609U,	// BTS64ri8
+    6427609U,	// BTS64rr
+    440537627U,	// BZHI32rm
+    174199323U,	// BZHI32rr
+    444731931U,	// BZHI64rm
+    174199323U,	// BZHI64rr
+    8786U,	// CALL16m
+    4690U,	// CALL16r
+    16978U,	// CALL32m
+    4690U,	// CALL32r
+    21074U,	// CALL64m
+    29266U,	// CALL64pcrel32
+    4690U,	// CALL64r
+    29266U,	// CALLpcrel16
+    29266U,	// CALLpcrel32
+    3378U,	// CBW
+    3099U,	// CDQ
+    2853U,	// CDQE
+    2706U,	// CLAC
+    2738U,	// CLC
+    2788U,	// CLD
+    2944U,	// CLGI
+    2954U,	// CLI
+    3322U,	// CLTS
+    2742U,	// CMC
+    12718127U,	// CMOVA16rm
+    2232367U,	// CMOVA16rr
+    14815279U,	// CMOVA32rm
+    2232367U,	// CMOVA32rr
+    16912431U,	// CMOVA64rm
+    2232367U,	// CMOVA64rr
+    12718381U,	// CMOVAE16rm
+    2232621U,	// CMOVAE16rr
+    14815533U,	// CMOVAE32rm
+    2232621U,	// CMOVAE32rr
+    16912685U,	// CMOVAE64rm
+    2232621U,	// CMOVAE64rr
+    12718204U,	// CMOVB16rm
+    2232444U,	// CMOVB16rr
+    14815356U,	// CMOVB32rm
+    2232444U,	// CMOVB32rr
+    16912508U,	// CMOVB64rm
+    2232444U,	// CMOVB64rr
+    12718401U,	// CMOVBE16rm
+    2232641U,	// CMOVBE16rr
+    14815553U,	// CMOVBE32rm
+    2232641U,	// CMOVBE32rr
+    16912705U,	// CMOVBE64rm
+    2232641U,	// CMOVBE64rr
+    12718550U,	// CMOVE16rm
+    2232790U,	// CMOVE16rr
+    14815702U,	// CMOVE32rm
+    2232790U,	// CMOVE32rr
+    16912854U,	// CMOVE64rm
+    2232790U,	// CMOVE64rr
+    12718600U,	// CMOVG16rm
+    2232840U,	// CMOVG16rr
+    14815752U,	// CMOVG32rm
+    2232840U,	// CMOVG32rr
+    16912904U,	// CMOVG64rm
+    2232840U,	// CMOVG64rr
+    12718421U,	// CMOVGE16rm
+    2232661U,	// CMOVGE16rr
+    14815573U,	// CMOVGE32rm
+    2232661U,	// CMOVGE32rr
+    16912725U,	// CMOVGE64rm
+    2232661U,	// CMOVGE64rr
+    12718726U,	// CMOVL16rm
+    2232966U,	// CMOVL16rr
+    14815878U,	// CMOVL32rm
+    2232966U,	// CMOVL32rr
+    16913030U,	// CMOVL64rm
+    2232966U,	// CMOVL64rr
+    12718445U,	// CMOVLE16rm
+    2232685U,	// CMOVLE16rr
+    14815597U,	// CMOVLE32rm
+    2232685U,	// CMOVLE32rr
+    16912749U,	// CMOVLE64rm
+    2232685U,	// CMOVLE64rr
+    12718473U,	// CMOVNE16rm
+    2232713U,	// CMOVNE16rr
+    14815625U,	// CMOVNE32rm
+    2232713U,	// CMOVNE32rr
+    16912777U,	// CMOVNE64rm
+    2232713U,	// CMOVNE64rr
+    12718767U,	// CMOVNO16rm
+    2233007U,	// CMOVNO16rr
+    14815919U,	// CMOVNO32rm
+    2233007U,	// CMOVNO32rr
+    16913071U,	// CMOVNO64rm
+    2233007U,	// CMOVNO64rr
+    12718828U,	// CMOVNP16rm
+    2233068U,	// CMOVNP16rr
+    14815980U,	// CMOVNP32rm
+    2233068U,	// CMOVNP32rr
+    16913132U,	// CMOVNP64rm
+    2233068U,	// CMOVNP64rr
+    12719052U,	// CMOVNS16rm
+    2233292U,	// CMOVNS16rr
+    14816204U,	// CMOVNS32rm
+    2233292U,	// CMOVNS32rr
+    16913356U,	// CMOVNS64rm
+    2233292U,	// CMOVNS64rr
+    12718781U,	// CMOVO16rm
+    2233021U,	// CMOVO16rr
+    14815933U,	// CMOVO32rm
+    2233021U,	// CMOVO32rr
+    16913085U,	// CMOVO64rm
+    2233021U,	// CMOVO64rr
+    12718874U,	// CMOVP16rm
+    2233114U,	// CMOVP16rr
+    14816026U,	// CMOVP32rm
+    2233114U,	// CMOVP32rr
+    16913178U,	// CMOVP64rm
+    2233114U,	// CMOVP64rr
+    12719076U,	// CMOVS16rm
+    2233316U,	// CMOVS16rr
+    14816228U,	// CMOVS32rm
+    2233316U,	// CMOVS32rr
+    16913380U,	// CMOVS64rm
+    2233316U,	// CMOVS64rr
+    2105U,	// CMOV_FR32
+    2264U,	// CMOV_FR64
+    1984U,	// CMOV_GR16
+    1964U,	// CMOV_GR32
+    2283U,	// CMOV_GR8
+    2085U,	// CMOV_RFP32
+    2244U,	// CMOV_RFP64
+    2004U,	// CMOV_RFP80
+    2044U,	// CMOV_V16F32
+    2124U,	// CMOV_V2F64
+    2184U,	// CMOV_V2I64
+    2024U,	// CMOV_V4F32
+    2144U,	// CMOV_V4F64
+    2204U,	// CMOV_V4I64
+    2065U,	// CMOV_V8F32
+    2164U,	// CMOV_V8F64
+    2224U,	// CMOV_V8I64
+    5531U,	// CMP16i16
+    139989U,	// CMP16mi
+    139989U,	// CMP16mi8
+    139989U,	// CMP16mr
+    6427349U,	// CMP16ri
+    6427349U,	// CMP16ri8
+    10621653U,	// CMP16rm
+    6427349U,	// CMP16rr
+    6427349U,	// CMP16rr_REV
+    5696U,	// CMP32i32
+    148181U,	// CMP32mi
+    148181U,	// CMP32mi8
+    148181U,	// CMP32mr
+    6427349U,	// CMP32ri
+    6427349U,	// CMP32ri8
+    4330197U,	// CMP32rm
+    6427349U,	// CMP32rr
+    6427349U,	// CMP32rr_REV
+    5811U,	// CMP64i32
+    152277U,	// CMP64mi32
+    152277U,	// CMP64mi8
+    152277U,	// CMP64mr
+    6427349U,	// CMP64ri32
+    6427349U,	// CMP64ri8
+    8524501U,	// CMP64rm
+    6427349U,	// CMP64rr
+    6427349U,	// CMP64rr_REV
+    5419U,	// CMP8i8
+    156373U,	// CMP8mi
+    156373U,	// CMP8mr
+    6427349U,	// CMP8ri
+    19010261U,	// CMP8rm
+    6427349U,	// CMP8rr
+    6427349U,	// CMP8rr_REV
+    32867U,	// CMPSB
+    37131U,	// CMPSL
+    41775U,	// CMPSQ
+    46214U,	// CMPSW
+    49206U,	// CMPXCHG16B
+    139757U,	// CMPXCHG16rm
+    6427117U,	// CMPXCHG16rr
+    147949U,	// CMPXCHG32rm
+    6427117U,	// CMPXCHG32rr
+    152045U,	// CMPXCHG64rm
+    6427117U,	// CMPXCHG64rr
+    20546U,	// CMPXCHG8B
+    156141U,	// CMPXCHG8rm
+    6427117U,	// CMPXCHG8rr
+    2782U,	// CPUID32
+    2782U,	// CPUID64
+    3075U,	// CQO
+    3192U,	// CS_PREFIX
+    2829U,	// CWD
+    2833U,	// CWDE
+    2641U,	// DAA
+    3183U,	// DAS
+    2531U,	// DATA16_PREFIX
+    8328U,	// DEC16m
+    4232U,	// DEC16r
+    4232U,	// DEC32_16r
+    4232U,	// DEC32_32r
+    16520U,	// DEC32m
+    4232U,	// DEC32r
+    8328U,	// DEC64_16m
+    4232U,	// DEC64_16r
+    16520U,	// DEC64_32m
+    4232U,	// DEC64_32r
+    20616U,	// DEC64m
+    4232U,	// DEC64r
+    24712U,	// DEC8m
+    4232U,	// DEC8r
+    9309U,	// DIV16m
+    5213U,	// DIV16r
+    17501U,	// DIV32m
+    5213U,	// DIV32r
+    21597U,	// DIV64m
+    5213U,	// DIV64r
+    25693U,	// DIV8m
+    5213U,	// DIV8r
+    3200U,	// DS_PREFIX
+    5923U,	// EH_RETURN
+    5923U,	// EH_RETURN64
+    2354U,	// EH_SjLj_LongJmp32
+    2444U,	// EH_SjLj_LongJmp64
+    2373U,	// EH_SjLj_SetJmp32
+    2463U,	// EH_SjLj_SetJmp64
+    29450U,	// EH_SjLj_Setup
+    6427477U,	// ENTER
+    3215U,	// ES_PREFIX
+    6427217U,	// FARCALL16i
+    53841U,	// FARCALL16m
+    6427217U,	// FARCALL32i
+    53841U,	// FARCALL32m
+    53841U,	// FARCALL64
+    6427354U,	// FARJMP16i
+    53978U,	// FARJMP16m
+    6427354U,	// FARJMP32i
+    53978U,	// FARJMP32m
+    53978U,	// FARJMP64
+    3037U,	// FSETPM
+    3230U,	// FS_PREFIX
+    2726U,	// GETSEC
+    3245U,	// GS_PREFIX
+    3347U,	// HLT
+    9308U,	// IDIV16m
+    5212U,	// IDIV16r
+    17500U,	// IDIV32m
+    5212U,	// IDIV32r
+    21596U,	// IDIV64m
+    5212U,	// IDIV64r
+    25692U,	// IDIV8m
+    5212U,	// IDIV8r
+    8832U,	// IMUL16m
+    4736U,	// IMUL16r
+    12718720U,	// IMUL16rm
+    446829184U,	// IMUL16rmi
+    446829184U,	// IMUL16rmi8
+    2232960U,	// IMUL16rr
+    174199424U,	// IMUL16rri
+    174199424U,	// IMUL16rri8
+    17024U,	// IMUL32m
+    4736U,	// IMUL32r
+    14815872U,	// IMUL32rm
+    440537728U,	// IMUL32rmi
+    440537728U,	// IMUL32rmi8
+    2232960U,	// IMUL32rr
+    174199424U,	// IMUL32rri
+    174199424U,	// IMUL32rri8
+    21120U,	// IMUL64m
+    4736U,	// IMUL64r
+    16913024U,	// IMUL64rm
+    444732032U,	// IMUL64rmi32
+    444732032U,	// IMUL64rmi8
+    2232960U,	// IMUL64rr
+    174199424U,	// IMUL64rri32
+    174199424U,	// IMUL64rri8
+    25216U,	// IMUL8m
+    4736U,	// IMUL8r
+    5523U,	// IN16ri
+    3544U,	// IN16rr
+    5687U,	// IN32ri
+    3554U,	// IN32rr
+    5411U,	// IN8ri
+    3534U,	// IN8rr
+    8355U,	// INC16m
+    4259U,	// INC16r
+    4259U,	// INC32_16r
+    4259U,	// INC32_32r
+    16547U,	// INC32m
+    4259U,	// INC32r
+    8355U,	// INC64_16m
+    4259U,	// INC64_16r
+    16547U,	// INC64_32m
+    4259U,	// INC64_32r
+    20643U,	// INC64m
+    4259U,	// INC64r
+    24739U,	// INC8m
+    4259U,	// INC8r
+    974934U,	// INSB
+    979198U,	// INSL
+    984185U,	// INSW
+    5158U,	// INT
+    2349U,	// INT1
+    2439U,	// INT3
+    3079U,	// INTO
+    2824U,	// INVD
+    21107760U,	// INVEPT32
+    21107760U,	// INVEPT64
+    25082U,	// INVLPG
+    3500U,	// INVLPGA32
+    3517U,	// INVLPGA64
+    21106888U,	// INVPCID32
+    21106888U,	// INVPCID64
+    21106897U,	// INVVPID32
+    21106897U,	// INVVPID64
+    3327U,	// IRET16
+    2812U,	// IRET32
+    3142U,	// IRET64
+    2610U,	// Int_MemBarrier
+    28961U,	// JAE_1
+    28961U,	// JAE_2
+    28961U,	// JAE_4
+    28709U,	// JA_1
+    28709U,	// JA_2
+    28709U,	// JA_4
+    28981U,	// JBE_1
+    28981U,	// JBE_2
+    28981U,	// JBE_4
+    28754U,	// JB_1
+    28754U,	// JB_2
+    28754U,	// JB_4
+    29907U,	// JCXZ
+    29900U,	// JECXZ_32
+    29900U,	// JECXZ_64
+    29021U,	// JE_1
+    29021U,	// JE_2
+    29021U,	// JE_4
+    29001U,	// JGE_1
+    29001U,	// JGE_2
+    29001U,	// JGE_4
+    29174U,	// JG_1
+    29174U,	// JG_2
+    29174U,	// JG_4
+    29025U,	// JLE_1
+    29025U,	// JLE_2
+    29025U,	// JLE_4
+    29261U,	// JL_1
+    29261U,	// JL_2
+    29261U,	// JL_4
+    8923U,	// JMP16m
+    4827U,	// JMP16r
+    17115U,	// JMP32m
+    4827U,	// JMP32r
+    21211U,	// JMP64m
+    4827U,	// JMP64r
+    29403U,	// JMP_1
+    29403U,	// JMP_2
+    29403U,	// JMP_4
+    29045U,	// JNE_1
+    29045U,	// JNE_2
+    29045U,	// JNE_4
+    29347U,	// JNO_1
+    29347U,	// JNO_2
+    29347U,	// JNO_4
+    29408U,	// JNP_1
+    29408U,	// JNP_2
+    29408U,	// JNP_4
+    29632U,	// JNS_1
+    29632U,	// JNS_2
+    29632U,	// JNS_4
+    29343U,	// JO_1
+    29343U,	// JO_2
+    29343U,	// JO_4
+    29393U,	// JP_1
+    29393U,	// JP_2
+    29393U,	// JP_4
+    29913U,	// JRCXZ
+    29628U,	// JS_1
+    29628U,	// JS_2
+    29628U,	// JS_4
+    2909U,	// LAHF
+    10621766U,	// LAR16rm
+    6427462U,	// LAR16rr
+    10621766U,	// LAR32rm
+    6427462U,	// LAR32rr
+    10621766U,	// LAR64rm
+    6427462U,	// LAR64rr
+    139757U,	// LCMPXCHG16
+    49206U,	// LCMPXCHG16B
+    147949U,	// LCMPXCHG32
+    152045U,	// LCMPXCHG64
+    156141U,	// LCMPXCHG8
+    20546U,	// LCMPXCHG8B
+    23204776U,	// LDS16rm
+    23204776U,	// LDS32rm
+    10620960U,	// LEA16r
+    4329504U,	// LEA32r
+    4329504U,	// LEA64_32r
+    8523808U,	// LEA64r
+    2896U,	// LEAVE
+    2896U,	// LEAVE64
+    23204781U,	// LES16rm
+    23204781U,	// LES32rm
+    23204786U,	// LFS16rm
+    23204786U,	// LFS32rm
+    23204786U,	// LFS64rm
+    54255U,	// LGDT16m
+    54255U,	// LGDT32m
+    54255U,	// LGDT64m
+    23204791U,	// LGS16rm
+    23204791U,	// LGS32rm
+    23204791U,	// LGS64rm
+    54267U,	// LIDT16m
+    54267U,	// LIDT32m
+    54267U,	// LIDT64m
+    9223U,	// LLDT16m
+    5127U,	// LLDT16r
+    9325U,	// LMSW16m
+    5229U,	// LMSW16r
+    139451U,	// LOCK_ADD16mi
+    139451U,	// LOCK_ADD16mi8
+    139451U,	// LOCK_ADD16mr
+    147643U,	// LOCK_ADD32mi
+    147643U,	// LOCK_ADD32mi8
+    147643U,	// LOCK_ADD32mr
+    151739U,	// LOCK_ADD64mi32
+    151739U,	// LOCK_ADD64mi8
+    151739U,	// LOCK_ADD64mr
+    155835U,	// LOCK_ADD8mi
+    155835U,	// LOCK_ADD8mr
+    139500U,	// LOCK_AND16mi
+    139500U,	// LOCK_AND16mi8
+    139500U,	// LOCK_AND16mr
+    147692U,	// LOCK_AND32mi
+    147692U,	// LOCK_AND32mi8
+    147692U,	// LOCK_AND32mr
+    151788U,	// LOCK_AND64mi32
+    151788U,	// LOCK_AND64mi8
+    151788U,	// LOCK_AND64mr
+    155884U,	// LOCK_AND8mi
+    155884U,	// LOCK_AND8mr
+    8328U,	// LOCK_DEC16m
+    16520U,	// LOCK_DEC32m
+    20616U,	// LOCK_DEC64m
+    24712U,	// LOCK_DEC8m
+    8355U,	// LOCK_INC16m
+    16547U,	// LOCK_INC32m
+    20643U,	// LOCK_INC64m
+    24739U,	// LOCK_INC8m
+    140130U,	// LOCK_OR16mi
+    140130U,	// LOCK_OR16mi8
+    140130U,	// LOCK_OR16mr
+    148322U,	// LOCK_OR32mi
+    148322U,	// LOCK_OR32mi8
+    148322U,	// LOCK_OR32mr
+    152418U,	// LOCK_OR64mi32
+    152418U,	// LOCK_OR64mi8
+    152418U,	// LOCK_OR64mr
+    156514U,	// LOCK_OR8mi
+    156514U,	// LOCK_OR8mr
+    2977U,	// LOCK_PREFIX
+    139383U,	// LOCK_SUB16mi
+    139383U,	// LOCK_SUB16mi8
+    139383U,	// LOCK_SUB16mr
+    147575U,	// LOCK_SUB32mi
+    147575U,	// LOCK_SUB32mi8
+    147575U,	// LOCK_SUB32mr
+    151671U,	// LOCK_SUB64mi32
+    151671U,	// LOCK_SUB64mi8
+    151671U,	// LOCK_SUB64mr
+    155767U,	// LOCK_SUB8mi
+    155767U,	// LOCK_SUB8mr
+    140142U,	// LOCK_XOR16mi
+    140142U,	// LOCK_XOR16mi8
+    140142U,	// LOCK_XOR16mr
+    148334U,	// LOCK_XOR32mi
+    148334U,	// LOCK_XOR32mi8
+    148334U,	// LOCK_XOR32mr
+    152430U,	// LOCK_XOR64mi32
+    152430U,	// LOCK_XOR64mi8
+    152430U,	// LOCK_XOR64mr
+    156526U,	// LOCK_XOR8mi
+    156526U,	// LOCK_XOR8mr
+    70900U,	// LODSB
+    75296U,	// LODSL
+    79561U,	// LODSQ
+    83415U,	// LODSW
+    29433U,	// LOOP
+    29073U,	// LOOPE
+    29050U,	// LOOPNE
+    4578U,	// LRETIL
+    4897U,	// LRETIQ
+    4578U,	// LRETIW
+    2930U,	// LRETL
+    3116U,	// LRETQ
+    2930U,	// LRETW
+    10621557U,	// LSL16rm
+    6427253U,	// LSL16rr
+    4330101U,	// LSL32rm
+    6427253U,	// LSL32rr
+    8524405U,	// LSL64rm
+    6427253U,	// LSL64rr
+    23204820U,	// LSS16rm
+    23204820U,	// LSS32rm
+    23204820U,	// LSS64rm
+    9097U,	// LTRm
+    5001U,	// LTRr
+    86202U,	// LXADD16
+    90298U,	// LXADD32
+    94394U,	// LXADD64
+    98490U,	// LXADD8
+    10621976U,	// LZCNT16rm
+    6427672U,	// LZCNT16rr
+    4330520U,	// LZCNT32rm
+    6427672U,	// LZCNT32rr
+    8524824U,	// LZCNT64rm
+    6427672U,	// LZCNT64rr
+    3029U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    2303074U,	// MOV16ao16
-    2303074U,	// MOV16ao16_16
-    279650U,	// MOV16mi
-    279650U,	// MOV16mr
-    279650U,	// MOV16ms
-    206275U,	// MOV16o16a
-    206275U,	// MOV16o16a_16
-    12854370U,	// MOV16ri
-    12854370U,	// MOV16ri_alt
-    21242978U,	// MOV16rm
-    12854370U,	// MOV16rr
-    12854370U,	// MOV16rr_REV
-    12854370U,	// MOV16rs
-    21242978U,	// MOV16sm
-    12854370U,	// MOV16sr
-    2573410U,	// MOV32ao32
-    2573410U,	// MOV32ao32_16
-    12854370U,	// MOV32cr
-    12854370U,	// MOV32dr
-    296034U,	// MOV32mi
-    296034U,	// MOV32mr
-    296034U,	// MOV32ms
-    214636U,	// MOV32o32a
-    214636U,	// MOV32o32a_16
+    1152098U,	// MOV16ao16
+    1152098U,	// MOV16ao16_16
+    140386U,	// MOV16mi
+    140386U,	// MOV16mr
+    140386U,	// MOV16ms
+    103875U,	// MOV16o16a
+    103875U,	// MOV16o16a_16
+    6427746U,	// MOV16ri
+    6427746U,	// MOV16ri_alt
+    10622050U,	// MOV16rm
+    6427746U,	// MOV16rr
+    6427746U,	// MOV16rr_REV
+    6427746U,	// MOV16rs
+    10622050U,	// MOV16sm
+    6427746U,	// MOV16sr
+    1287266U,	// MOV32ao32
+    1287266U,	// MOV32ao32_16
+    6427746U,	// MOV32cr
+    6427746U,	// MOV32dr
+    148578U,	// MOV32mi
+    148578U,	// MOV32mr
+    148578U,	// MOV32ms
+    108140U,	// MOV32o32a
+    108140U,	// MOV32o32a_16
     0U,	// MOV32r0
-    12854370U,	// MOV32rc
-    12854370U,	// MOV32rd
-    12854370U,	// MOV32ri
+    6427746U,	// MOV32rc
+    6427746U,	// MOV32rd
+    6427746U,	// MOV32ri
     0U,	// MOV32ri64
-    12854370U,	// MOV32ri_alt
-    8660066U,	// MOV32rm
-    12854370U,	// MOV32rr
-    12854370U,	// MOV32rr_REV
-    12854370U,	// MOV32rs
-    8660066U,	// MOV32sm
-    12854370U,	// MOV32sr
-    2302874U,	// MOV64ao16
-    2573210U,	// MOV64ao32
-    2843546U,	// MOV64ao64
-    3113882U,	// MOV64ao8
-    12854370U,	// MOV64cr
-    12854370U,	// MOV64dr
-    304226U,	// MOV64mi32
-    304226U,	// MOV64mr
-    304226U,	// MOV64ms
-    206253U,	// MOV64o16a
-    214612U,	// MOV64o32a
-    222943U,	// MOV64o64a
-    230717U,	// MOV64o8a
-    12854370U,	// MOV64rc
-    12854370U,	// MOV64rd
-    12854170U,	// MOV64ri
-    12854370U,	// MOV64ri32
-    17048674U,	// MOV64rm
-    12854370U,	// MOV64rr
-    12854370U,	// MOV64rr_REV
-    12854370U,	// MOV64rs
-    17048674U,	// MOV64sm
-    12854370U,	// MOV64sr
-    3114082U,	// MOV8ao8
-    3114082U,	// MOV8ao8_16
-    312418U,	// MOV8mi
-    312418U,	// MOV8mr
-    134530146U,	// MOV8mr_NOREX
-    230739U,	// MOV8o8a
-    230739U,	// MOV8o8a_16
-    12854370U,	// MOV8ri
-    12854370U,	// MOV8ri_alt
-    38020194U,	// MOV8rm
-    172237922U,	// MOV8rm_NOREX
-    12854370U,	// MOV8rr
-    147072098U,	// MOV8rr_NOREX
-    12854370U,	// MOV8rr_REV
-    278850U,	// MOVBE16mr
-    21242178U,	// MOVBE16rm
-    295234U,	// MOVBE32mr
-    8659266U,	// MOVBE32rm
-    303426U,	// MOVBE64mr
-    17047874U,	// MOVBE64rm
+    6427746U,	// MOV32ri_alt
+    4330594U,	// MOV32rm
+    6427746U,	// MOV32rr
+    6427746U,	// MOV32rr_REV
+    6427746U,	// MOV32rs
+    4330594U,	// MOV32sm
+    6427746U,	// MOV32sr
+    1151898U,	// MOV64ao16
+    1287066U,	// MOV64ao32
+    1422234U,	// MOV64ao64
+    1557402U,	// MOV64ao8
+    6427746U,	// MOV64cr
+    6427746U,	// MOV64dr
+    152674U,	// MOV64mi32
+    152674U,	// MOV64mr
+    152674U,	// MOV64ms
+    103853U,	// MOV64o16a
+    108116U,	// MOV64o32a
+    112351U,	// MOV64o64a
+    116029U,	// MOV64o8a
+    6427746U,	// MOV64rc
+    6427746U,	// MOV64rd
+    6427546U,	// MOV64ri
+    6427746U,	// MOV64ri32
+    8524898U,	// MOV64rm
+    6427746U,	// MOV64rr
+    6427746U,	// MOV64rr_REV
+    6427746U,	// MOV64rs
+    8524898U,	// MOV64sm
+    6427746U,	// MOV64sr
+    1557602U,	// MOV8ao8
+    1557602U,	// MOV8ao8_16
+    156770U,	// MOV8mi
+    156770U,	// MOV8mr
+    67265634U,	// MOV8mr_NOREX
+    116051U,	// MOV8o8a
+    116051U,	// MOV8o8a_16
+    6427746U,	// MOV8ri
+    6427746U,	// MOV8ri_alt
+    19010658U,	// MOV8rm
+    86119522U,	// MOV8rm_NOREX
+    6427746U,	// MOV8rr
+    73536610U,	// MOV8rr_NOREX
+    6427746U,	// MOV8rr_REV
+    139586U,	// MOVBE16mr
+    10621250U,	// MOVBE16rm
+    147778U,	// MOVBE32mr
+    4329794U,	// MOVBE32rm
+    151874U,	// MOVBE64mr
+    8524098U,	// MOVBE64rm
     0U,	// MOVPC32r
-    50724970U,	// MOVSB
-    54911250U,	// MOVSL
-    59220790U,	// MOVSQ
-    63292557U,	// MOVSW
-    38020286U,	// MOVSX16rm8
-    12854462U,	// MOVSX16rr8
-    21243070U,	// MOVSX32rm16
-    38020286U,	// MOVSX32rm8
-    12854462U,	// MOVSX32rr16
-    12854462U,	// MOVSX32rr8
-    21243070U,	// MOVSX64rm16
-    8659225U,	// MOVSX64rm32
-    38020286U,	// MOVSX64rm8
-    12854462U,	// MOVSX64rr16
-    12853529U,	// MOVSX64rr32
-    12854462U,	// MOVSX64rr8
-    38020293U,	// MOVZX16rm8
-    12854469U,	// MOVZX16rr8
-    38020293U,	// MOVZX32_NOREXrm8
-    12854469U,	// MOVZX32_NOREXrr8
-    21243077U,	// MOVZX32rm16
-    38020293U,	// MOVZX32rm8
-    12854469U,	// MOVZX32rr16
-    12854469U,	// MOVZX32rr8
-    21243077U,	// MOVZX64rm16_Q
-    38020293U,	// MOVZX64rm8_Q
-    12854469U,	// MOVZX64rr16_Q
-    12854469U,	// MOVZX64rr8_Q
-    17025U,	// MUL16m
-    8833U,	// MUL16r
-    33409U,	// MUL32m
-    8833U,	// MUL32r
-    41601U,	// MUL64m
-    8833U,	// MUL64r
-    49793U,	// MUL8m
-    8833U,	// MUL8r
-    79963296U,	// MULX32rm
-    348398752U,	// MULX32rr
-    616834208U,	// MULX64rm
-    348398752U,	// MULX64rr
-    16872U,	// NEG16m
-    8680U,	// NEG16r
-    33256U,	// NEG32m
-    8680U,	// NEG32r
-    41448U,	// NEG64m
-    8680U,	// NEG64r
-    49640U,	// NEG8m
-    8680U,	// NEG8r
-    3816U,	// NOOP
-    17140U,	// NOOP18_16m4
-    17140U,	// NOOP18_16m5
-    17140U,	// NOOP18_16m6
-    17140U,	// NOOP18_16m7
-    8948U,	// NOOP18_16r4
-    8948U,	// NOOP18_16r5
-    8948U,	// NOOP18_16r6
-    8948U,	// NOOP18_16r7
-    33524U,	// NOOP18_m4
-    33524U,	// NOOP18_m5
-    33524U,	// NOOP18_m6
-    33524U,	// NOOP18_m7
-    8948U,	// NOOP18_r4
-    8948U,	// NOOP18_r5
-    8948U,	// NOOP18_r6
-    8948U,	// NOOP18_r7
-    33524U,	// NOOPL
-    33524U,	// NOOPL_19
-    33524U,	// NOOPL_1a
-    33524U,	// NOOPL_1b
-    33524U,	// NOOPL_1c
-    33524U,	// NOOPL_1d
-    33524U,	// NOOPL_1e
-    17140U,	// NOOPW
-    17140U,	// NOOPW_19
-    17140U,	// NOOPW_1a
-    17140U,	// NOOPW_1b
-    17140U,	// NOOPW_1c
-    17140U,	// NOOPW_1d
-    17140U,	// NOOPW_1e
-    17451U,	// NOT16m
-    9259U,	// NOT16r
-    33835U,	// NOT32m
-    9259U,	// NOT32r
-    42027U,	// NOT64m
-    9259U,	// NOT64r
-    50219U,	// NOT8m
-    9259U,	// NOT8r
-    9637U,	// OR16i16
-    279394U,	// OR16mi
-    279394U,	// OR16mi8
-    279394U,	// OR16mr
-    549730U,	// OR16ri
-    549730U,	// OR16ri8
-    811874U,	// OR16rm
-    549730U,	// OR16rr
-    4465506U,	// OR16rr_REV
-    9803U,	// OR32i32
-    295778U,	// OR32mi
-    295778U,	// OR32mi8
-    295778U,	// OR32mr
-    295778U,	// OR32mrLocked
-    549730U,	// OR32ri
-    549730U,	// OR32ri8
-    1074018U,	// OR32rm
-    549730U,	// OR32rr
-    4465506U,	// OR32rr_REV
-    9942U,	// OR64i32
-    303970U,	// OR64mi32
-    303970U,	// OR64mi8
-    303970U,	// OR64mr
-    549730U,	// OR64ri32
-    549730U,	// OR64ri8
-    1336162U,	// OR64rm
-    549730U,	// OR64rr
-    4465506U,	// OR64rr_REV
-    9525U,	// OR8i8
-    312162U,	// OR8mi
-    312162U,	// OR8mr
-    549730U,	// OR8ri
-    549730U,	// OR8ri8
-    1598306U,	// OR8rm
-    549730U,	// OR8rr
-    4465506U,	// OR8rr_REV
-    2106449U,	// OUT16ir
-    4123U,	// OUT16rr
-    2368593U,	// OUT32ir
-    4177U,	// OUT32rr
-    2892881U,	// OUT8ir
-    3703U,	// OUT8rr
-    141047U,	// OUTSB
-    149250U,	// OUTSL
-    165645U,	// OUTSW
-    79962827U,	// PDEP32rm
-    348398283U,	// PDEP32rr
-    616833739U,	// PDEP64rm
-    348398283U,	// PDEP64rr
-    79963222U,	// PEXT32rm
-    348398678U,	// PEXT32rr
-    616834134U,	// PEXT64rm
-    348398678U,	// PEXT64rr
-    8959U,	// POP16r
-    17151U,	// POP16rmm
-    8959U,	// POP16rmr
-    8959U,	// POP32r
-    33535U,	// POP32rmm
-    8959U,	// POP32rmr
-    8959U,	// POP64r
-    41727U,	// POP64rmm
-    8959U,	// POP64rmr
-    4093U,	// POPA16
-    3721U,	// POPA32
-    3924U,	// POPDS16
-    3924U,	// POPDS32
-    3939U,	// POPES16
-    3939U,	// POPES32
-    3646U,	// POPF16
-    3528U,	// POPF32
-    3831U,	// POPF64
-    3954U,	// POPFS16
-    3954U,	// POPFS32
-    3954U,	// POPFS64
-    3969U,	// POPGS16
-    3969U,	// POPGS32
-    3969U,	// POPGS64
-    4036U,	// POPSS16
-    4036U,	// POPSS32
-    8719U,	// PUSH16i8
-    8719U,	// PUSH16r
-    16911U,	// PUSH16rmm
-    8719U,	// PUSH16rmr
-    8719U,	// PUSH32i8
-    8719U,	// PUSH32r
-    33295U,	// PUSH32rmm
-    8719U,	// PUSH32rmr
-    8719U,	// PUSH64i16
-    8719U,	// PUSH64i32
-    8719U,	// PUSH64i8
-    8719U,	// PUSH64r
-    41487U,	// PUSH64rmm
-    8719U,	// PUSH64rmr
-    4086U,	// PUSHA16
-    3714U,	// PUSHA32
-    3908U,	// PUSHCS16
-    3908U,	// PUSHCS32
-    3916U,	// PUSHDS16
-    3916U,	// PUSHDS32
-    3931U,	// PUSHES16
-    3931U,	// PUSHES32
-    3640U,	// PUSHF16
-    3521U,	// PUSHF32
-    3824U,	// PUSHF64
-    3946U,	// PUSHFS16
-    3946U,	// PUSHFS32
-    3946U,	// PUSHFS64
-    3961U,	// PUSHGS16
-    3961U,	// PUSHGS32
-    3961U,	// PUSHGS64
-    4028U,	// PUSHSS16
-    4028U,	// PUSHSS32
-    8719U,	// PUSHi16
-    8719U,	// PUSHi32
-    16963U,	// RCL16m1
-    3162691U,	// RCL16mCL
-    279107U,	// RCL16mi
-    8771U,	// RCL16r1
-    3154499U,	// RCL16rCL
-    4465219U,	// RCL16ri
-    33347U,	// RCL32m1
-    3179075U,	// RCL32mCL
-    295491U,	// RCL32mi
-    8771U,	// RCL32r1
-    3154499U,	// RCL32rCL
-    4465219U,	// RCL32ri
-    41539U,	// RCL64m1
-    3187267U,	// RCL64mCL
-    303683U,	// RCL64mi
-    8771U,	// RCL64r1
-    3154499U,	// RCL64rCL
-    4465219U,	// RCL64ri
-    49731U,	// RCL8m1
-    3195459U,	// RCL8mCL
-    311875U,	// RCL8mi
-    8771U,	// RCL8r1
-    3154499U,	// RCL8rCL
-    4465219U,	// RCL8ri
-    17232U,	// RCR16m1
-    3162960U,	// RCR16mCL
-    279376U,	// RCR16mi
-    9040U,	// RCR16r1
-    3154768U,	// RCR16rCL
-    4465488U,	// RCR16ri
-    33616U,	// RCR32m1
-    3179344U,	// RCR32mCL
-    295760U,	// RCR32mi
-    9040U,	// RCR32r1
-    3154768U,	// RCR32rCL
-    4465488U,	// RCR32ri
-    41808U,	// RCR64m1
-    3187536U,	// RCR64mCL
-    303952U,	// RCR64mi
-    9040U,	// RCR64r1
-    3154768U,	// RCR64rCL
-    4465488U,	// RCR64ri
-    50000U,	// RCR8m1
-    3195728U,	// RCR8mCL
-    312144U,	// RCR8mi
-    9040U,	// RCR8r1
-    3154768U,	// RCR8rCL
-    4465488U,	// RCR8ri
-    8600U,	// RDFSBASE
-    8600U,	// RDFSBASE64
-    8620U,	// RDGSBASE
-    8620U,	// RDGSBASE64
-    3878U,	// RDMSR
-    3498U,	// RDPMC
-    8425U,	// RDRAND16r
-    8425U,	// RDRAND32r
-    8425U,	// RDRAND64r
-    8384U,	// RDSEED16r
-    8384U,	// RDSEED32r
-    8384U,	// RDSEED64r
-    3511U,	// RDTSC
-    3805U,	// RDTSCP
-    3074U,	// RELEASE_MOV16mr
-    3074U,	// RELEASE_MOV32mr
-    3074U,	// RELEASE_MOV64mr
-    3074U,	// RELEASE_MOV8mr
-    3599U,	// REPNE_PREFIX
-    3442U,	// REP_MOVSB_32
-    3442U,	// REP_MOVSB_64
-    3554U,	// REP_MOVSD_32
-    3554U,	// REP_MOVSD_64
-    3853U,	// REP_MOVSQ_64
-    4113U,	// REP_MOVSW_32
-    4113U,	// REP_MOVSW_64
-    3812U,	// REP_PREFIX
-    3432U,	// REP_STOSB_32
-    3432U,	// REP_STOSB_64
-    3544U,	// REP_STOSD_32
-    3544U,	// REP_STOSD_64
-    3843U,	// REP_STOSQ_64
-    4103U,	// REP_STOSW_32
-    4103U,	// REP_STOSW_64
-    9235U,	// RETIL
-    9235U,	// RETIQ
-    9235U,	// RETIW
-    4049U,	// RETL
-    4049U,	// RETQ
-    4049U,	// RETW
-    3277U,	// REX64_PREFIX
-    3424874U,	// ROL16m1
-    3162730U,	// ROL16mCL
-    279146U,	// ROL16mi
-    3416682U,	// ROL16r1
-    3154538U,	// ROL16rCL
-    4465258U,	// ROL16ri
-    3441258U,	// ROL32m1
-    3179114U,	// ROL32mCL
-    295530U,	// ROL32mi
-    3416682U,	// ROL32r1
-    3154538U,	// ROL32rCL
-    4465258U,	// ROL32ri
-    3449450U,	// ROL64m1
-    3187306U,	// ROL64mCL
-    303722U,	// ROL64mi
-    3416682U,	// ROL64r1
-    3154538U,	// ROL64rCL
-    4465258U,	// ROL64ri
-    3457642U,	// ROL8m1
-    3195498U,	// ROL8mCL
-    311914U,	// ROL8mi
-    3416682U,	// ROL8r1
-    3154538U,	// ROL8rCL
-    4465258U,	// ROL8ri
-    3425121U,	// ROR16m1
-    3162977U,	// ROR16mCL
-    279393U,	// ROR16mi
-    3416929U,	// ROR16r1
-    3154785U,	// ROR16rCL
-    4465505U,	// ROR16ri
-    3441505U,	// ROR32m1
-    3179361U,	// ROR32mCL
-    295777U,	// ROR32mi
-    3416929U,	// ROR32r1
-    3154785U,	// ROR32rCL
-    4465505U,	// ROR32ri
-    3449697U,	// ROR64m1
-    3187553U,	// ROR64mCL
-    303969U,	// ROR64mi
-    3416929U,	// ROR64r1
-    3154785U,	// ROR64rCL
-    4465505U,	// ROR64ri
-    3457889U,	// ROR8m1
-    3195745U,	// ROR8mCL
-    312161U,	// ROR8mi
-    3416929U,	// ROR8r1
-    3154785U,	// ROR8rCL
-    4465505U,	// ROR8ri
-    881075384U,	// RORX32mi
-    348398776U,	// RORX32ri
-    889463992U,	// RORX64mi
-    348398776U,	// RORX64ri
-    3765U,	// RSM
-    3635U,	// SAHF
-    3424830U,	// SAL16m1
-    3162686U,	// SAL16mCL
-    279102U,	// SAL16mi
-    3416638U,	// SAL16r1
-    3154494U,	// SAL16rCL
-    4465214U,	// SAL16ri
-    3441214U,	// SAL32m1
-    3179070U,	// SAL32mCL
-    295486U,	// SAL32mi
-    3416638U,	// SAL32r1
-    3154494U,	// SAL32rCL
-    4465214U,	// SAL32ri
-    3449406U,	// SAL64m1
-    3187262U,	// SAL64mCL
-    303678U,	// SAL64mi
-    3416638U,	// SAL64r1
-    3154494U,	// SAL64rCL
-    4465214U,	// SAL64ri
-    3457598U,	// SAL8m1
-    3195454U,	// SAL8mCL
-    311870U,	// SAL8mi
-    3416638U,	// SAL8r1
-    3154494U,	// SAL8rCL
-    4465214U,	// SAL8ri
-    3485U,	// SALC
-    3425099U,	// SAR16m1
-    3162955U,	// SAR16mCL
-    279371U,	// SAR16mi
-    3416907U,	// SAR16r1
-    3154763U,	// SAR16rCL
-    4465483U,	// SAR16ri
-    3441483U,	// SAR32m1
-    3179339U,	// SAR32mCL
-    295755U,	// SAR32mi
-    3416907U,	// SAR32r1
-    3154763U,	// SAR32rCL
-    4465483U,	// SAR32ri
-    3449675U,	// SAR64m1
-    3187531U,	// SAR64mCL
-    303947U,	// SAR64mi
-    3416907U,	// SAR64r1
-    3154763U,	// SAR64rCL
-    4465483U,	// SAR64ri
-    3457867U,	// SAR8m1
-    3195723U,	// SAR8mCL
-    312139U,	// SAR8mi
-    3416907U,	// SAR8r1
-    3154763U,	// SAR8rCL
-    4465483U,	// SAR8ri
-    881075372U,	// SARX32rm
-    348398764U,	// SARX32rr
-    889463980U,	// SARX64rm
-    348398764U,	// SARX64rr
-    9564U,	// SBB16i16
-    278605U,	// SBB16mi
-    278605U,	// SBB16mi8
-    278605U,	// SBB16mr
-    548941U,	// SBB16ri
-    548941U,	// SBB16ri8
-    811085U,	// SBB16rm
-    548941U,	// SBB16rr
-    4464717U,	// SBB16rr_REV
-    9698U,	// SBB32i32
-    294989U,	// SBB32mi
-    294989U,	// SBB32mi8
-    294989U,	// SBB32mr
-    548941U,	// SBB32ri
-    548941U,	// SBB32ri8
-    1073229U,	// SBB32rm
-    548941U,	// SBB32rr
-    4464717U,	// SBB32rr_REV
-    9846U,	// SBB64i32
-    303181U,	// SBB64mi32
-    303181U,	// SBB64mi8
-    303181U,	// SBB64mr
-    548941U,	// SBB64ri32
-    548941U,	// SBB64ri8
-    1335373U,	// SBB64rm
-    548941U,	// SBB64rr
-    4464717U,	// SBB64rr_REV
-    9440U,	// SBB8i8
-    311373U,	// SBB8mi
-    311373U,	// SBB8mr
-    548941U,	// SBB8ri
-    1597517U,	// SBB8rm
-    548941U,	// SBB8rr
-    4464717U,	// SBB8rr_REV
-    116172U,	// SCAS16
-    124436U,	// SCAS32
-    239293U,	// SCAS64
-    132329U,	// SCAS8
-    3983U,	// SEG_ALLOCA_32
-    3983U,	// SEG_ALLOCA_64
-    49446U,	// SETAEm
-    8486U,	// SETAEr
-    49193U,	// SETAm
-    8233U,	// SETAr
-    49466U,	// SETBEm
-    8506U,	// SETBEr
+    25354346U,	// MOVSB
+    27455762U,	// MOVSL
+    29610806U,	// MOVSQ
+    31655053U,	// MOVSW
+    19010750U,	// MOVSX16rm8
+    6427838U,	// MOVSX16rr8
+    10622142U,	// MOVSX32rm16
+    19010750U,	// MOVSX32rm8
+    6427838U,	// MOVSX32rr16
+    6427838U,	// MOVSX32rr8
+    10622142U,	// MOVSX64rm16
+    4329753U,	// MOVSX64rm32
+    19010750U,	// MOVSX64rm8
+    6427838U,	// MOVSX64rr16
+    6426905U,	// MOVSX64rr32
+    6427838U,	// MOVSX64rr8
+    19010757U,	// MOVZX16rm8
+    6427845U,	// MOVZX16rr8
+    19010757U,	// MOVZX32_NOREXrm8
+    6427845U,	// MOVZX32_NOREXrr8
+    10622149U,	// MOVZX32rm16
+    19010757U,	// MOVZX32rm8
+    6427845U,	// MOVZX32rr16
+    6427845U,	// MOVZX32rr8
+    10622149U,	// MOVZX64rm16_Q
+    19010757U,	// MOVZX64rm8_Q
+    6427845U,	// MOVZX64rr16_Q
+    6427845U,	// MOVZX64rr8_Q
+    8833U,	// MUL16m
+    4737U,	// MUL16r
+    17025U,	// MUL32m
+    4737U,	// MUL32r
+    21121U,	// MUL64m
+    4737U,	// MUL64r
+    25217U,	// MUL8m
+    4737U,	// MUL8r
+    39982240U,	// MULX32rm
+    174199968U,	// MULX32rr
+    308417696U,	// MULX64rm
+    174199968U,	// MULX64rr
+    8680U,	// NEG16m
+    4584U,	// NEG16r
+    16872U,	// NEG32m
+    4584U,	// NEG32r
+    20968U,	// NEG64m
+    4584U,	// NEG64r
+    25064U,	// NEG8m
+    4584U,	// NEG8r
+    3095U,	// NOOP
+    8948U,	// NOOP18_16m4
+    8948U,	// NOOP18_16m5
+    8948U,	// NOOP18_16m6
+    8948U,	// NOOP18_16m7
+    4852U,	// NOOP18_16r4
+    4852U,	// NOOP18_16r5
+    4852U,	// NOOP18_16r6
+    4852U,	// NOOP18_16r7
+    17140U,	// NOOP18_m4
+    17140U,	// NOOP18_m5
+    17140U,	// NOOP18_m6
+    17140U,	// NOOP18_m7
+    4852U,	// NOOP18_r4
+    4852U,	// NOOP18_r5
+    4852U,	// NOOP18_r6
+    4852U,	// NOOP18_r7
+    17140U,	// NOOPL
+    17140U,	// NOOPL_19
+    17140U,	// NOOPL_1a
+    17140U,	// NOOPL_1b
+    17140U,	// NOOPL_1c
+    17140U,	// NOOPL_1d
+    17140U,	// NOOPL_1e
+    8948U,	// NOOPW
+    8948U,	// NOOPW_19
+    8948U,	// NOOPW_1a
+    8948U,	// NOOPW_1b
+    8948U,	// NOOPW_1c
+    8948U,	// NOOPW_1d
+    8948U,	// NOOPW_1e
+    9259U,	// NOT16m
+    5163U,	// NOT16r
+    17451U,	// NOT32m
+    5163U,	// NOT32r
+    21547U,	// NOT64m
+    5163U,	// NOT64r
+    25643U,	// NOT8m
+    5163U,	// NOT8r
+    5541U,	// OR16i16
+    140130U,	// OR16mi
+    140130U,	// OR16mi8
+    140130U,	// OR16mr
+    275298U,	// OR16ri
+    275298U,	// OR16ri8
+    406370U,	// OR16rm
+    275298U,	// OR16rr
+    2233186U,	// OR16rr_REV
+    5707U,	// OR32i32
+    148322U,	// OR32mi
+    148322U,	// OR32mi8
+    148322U,	// OR32mr
+    148322U,	// OR32mrLocked
+    275298U,	// OR32ri
+    275298U,	// OR32ri8
+    537442U,	// OR32rm
+    275298U,	// OR32rr
+    2233186U,	// OR32rr_REV
+    5846U,	// OR64i32
+    152418U,	// OR64mi32
+    152418U,	// OR64mi8
+    152418U,	// OR64mr
+    275298U,	// OR64ri32
+    275298U,	// OR64ri8
+    668514U,	// OR64rm
+    275298U,	// OR64rr
+    2233186U,	// OR64rr_REV
+    5429U,	// OR8i8
+    156514U,	// OR8mi
+    156514U,	// OR8mr
+    275298U,	// OR8ri
+    275298U,	// OR8ri8
+    799586U,	// OR8rm
+    275298U,	// OR8rr
+    2233186U,	// OR8rr_REV
+    1053777U,	// OUT16ir
+    3402U,	// OUT16rr
+    1184849U,	// OUT32ir
+    3456U,	// OUT32rr
+    1446993U,	// OUT8ir
+    2982U,	// OUT8rr
+    71415U,	// OUTSB
+    75522U,	// OUTSL
+    83725U,	// OUTSW
+    39981771U,	// PDEP32rm
+    174199499U,	// PDEP32rr
+    308417227U,	// PDEP64rm
+    174199499U,	// PDEP64rr
+    39982166U,	// PEXT32rm
+    174199894U,	// PEXT32rr
+    308417622U,	// PEXT64rm
+    174199894U,	// PEXT64rr
+    4863U,	// POP16r
+    8959U,	// POP16rmm
+    4863U,	// POP16rmr
+    4863U,	// POP32r
+    17151U,	// POP32rmm
+    4863U,	// POP32rmr
+    4863U,	// POP64r
+    21247U,	// POP64rmm
+    4863U,	// POP64rmr
+    3372U,	// POPA16
+    3000U,	// POPA32
+    3203U,	// POPDS16
+    3203U,	// POPDS32
+    3218U,	// POPES16
+    3218U,	// POPES32
+    2925U,	// POPF16
+    2776U,	// POPF32
+    3110U,	// POPF64
+    3233U,	// POPFS16
+    3233U,	// POPFS32
+    3233U,	// POPFS64
+    3248U,	// POPGS16
+    3248U,	// POPGS32
+    3248U,	// POPGS64
+    3315U,	// POPSS16
+    3315U,	// POPSS32
+    4623U,	// PUSH16i8
+    4623U,	// PUSH16r
+    8719U,	// PUSH16rmm
+    4623U,	// PUSH16rmr
+    4623U,	// PUSH32i8
+    4623U,	// PUSH32r
+    16911U,	// PUSH32rmm
+    4623U,	// PUSH32rmr
+    4623U,	// PUSH64i16
+    4623U,	// PUSH64i32
+    4623U,	// PUSH64i8
+    4623U,	// PUSH64r
+    21007U,	// PUSH64rmm
+    4623U,	// PUSH64rmr
+    3365U,	// PUSHA16
+    2993U,	// PUSHA32
+    3187U,	// PUSHCS16
+    3187U,	// PUSHCS32
+    3195U,	// PUSHDS16
+    3195U,	// PUSHDS32
+    3210U,	// PUSHES16
+    3210U,	// PUSHES32
+    2919U,	// PUSHF16
+    2769U,	// PUSHF32
+    3103U,	// PUSHF64
+    3225U,	// PUSHFS16
+    3225U,	// PUSHFS32
+    3225U,	// PUSHFS64
+    3240U,	// PUSHGS16
+    3240U,	// PUSHGS32
+    3240U,	// PUSHGS64
+    3307U,	// PUSHSS16
+    3307U,	// PUSHSS32
+    4623U,	// PUSHi16
+    4623U,	// PUSHi32
+    8771U,	// RCL16m1
+    1581635U,	// RCL16mCL
+    139843U,	// RCL16mi
+    4675U,	// RCL16r1
+    1577539U,	// RCL16rCL
+    2232899U,	// RCL16ri
+    16963U,	// RCL32m1
+    1589827U,	// RCL32mCL
+    148035U,	// RCL32mi
+    4675U,	// RCL32r1
+    1577539U,	// RCL32rCL
+    2232899U,	// RCL32ri
+    21059U,	// RCL64m1
+    1593923U,	// RCL64mCL
+    152131U,	// RCL64mi
+    4675U,	// RCL64r1
+    1577539U,	// RCL64rCL
+    2232899U,	// RCL64ri
+    25155U,	// RCL8m1
+    1598019U,	// RCL8mCL
+    156227U,	// RCL8mi
+    4675U,	// RCL8r1
+    1577539U,	// RCL8rCL
+    2232899U,	// RCL8ri
+    9040U,	// RCR16m1
+    1581904U,	// RCR16mCL
+    140112U,	// RCR16mi
+    4944U,	// RCR16r1
+    1577808U,	// RCR16rCL
+    2233168U,	// RCR16ri
+    17232U,	// RCR32m1
+    1590096U,	// RCR32mCL
+    148304U,	// RCR32mi
+    4944U,	// RCR32r1
+    1577808U,	// RCR32rCL
+    2233168U,	// RCR32ri
+    21328U,	// RCR64m1
+    1594192U,	// RCR64mCL
+    152400U,	// RCR64mi
+    4944U,	// RCR64r1
+    1577808U,	// RCR64rCL
+    2233168U,	// RCR64ri
+    25424U,	// RCR8m1
+    1598288U,	// RCR8mCL
+    156496U,	// RCR8mi
+    4944U,	// RCR8r1
+    1577808U,	// RCR8rCL
+    2233168U,	// RCR8ri
+    4504U,	// RDFSBASE
+    4504U,	// RDFSBASE64
+    4524U,	// RDGSBASE
+    4524U,	// RDGSBASE64
+    3157U,	// RDMSR
+    2746U,	// RDPMC
+    4329U,	// RDRAND16r
+    4329U,	// RDRAND32r
+    4329U,	// RDRAND64r
+    4288U,	// RDSEED16r
+    4288U,	// RDSEED32r
+    4288U,	// RDSEED64r
+    2759U,	// RDTSC
+    3084U,	// RDTSCP
+    2322U,	// RELEASE_MOV16mr
+    2322U,	// RELEASE_MOV32mr
+    2322U,	// RELEASE_MOV64mr
+    2322U,	// RELEASE_MOV8mr
+    2847U,	// REPNE_PREFIX
+    2690U,	// REP_MOVSB_32
+    2690U,	// REP_MOVSB_64
+    2802U,	// REP_MOVSD_32
+    2802U,	// REP_MOVSD_64
+    3132U,	// REP_MOVSQ_64
+    3392U,	// REP_MOVSW_32
+    3392U,	// REP_MOVSW_64
+    3091U,	// REP_PREFIX
+    2680U,	// REP_STOSB_32
+    2680U,	// REP_STOSB_64
+    2792U,	// REP_STOSD_32
+    2792U,	// REP_STOSD_64
+    3122U,	// REP_STOSQ_64
+    3382U,	// REP_STOSW_32
+    3382U,	// REP_STOSW_64
+    5139U,	// RETIL
+    5139U,	// RETIQ
+    5139U,	// RETIW
+    3328U,	// RETL
+    3328U,	// RETQ
+    3328U,	// RETW
+    2525U,	// REX64_PREFIX
+    1712746U,	// ROL16m1
+    1581674U,	// ROL16mCL
+    139882U,	// ROL16mi
+    1708650U,	// ROL16r1
+    1577578U,	// ROL16rCL
+    2232938U,	// ROL16ri
+    1720938U,	// ROL32m1
+    1589866U,	// ROL32mCL
+    148074U,	// ROL32mi
+    1708650U,	// ROL32r1
+    1577578U,	// ROL32rCL
+    2232938U,	// ROL32ri
+    1725034U,	// ROL64m1
+    1593962U,	// ROL64mCL
+    152170U,	// ROL64mi
+    1708650U,	// ROL64r1
+    1577578U,	// ROL64rCL
+    2232938U,	// ROL64ri
+    1729130U,	// ROL8m1
+    1598058U,	// ROL8mCL
+    156266U,	// ROL8mi
+    1708650U,	// ROL8r1
+    1577578U,	// ROL8rCL
+    2232938U,	// ROL8ri
+    1712993U,	// ROR16m1
+    1581921U,	// ROR16mCL
+    140129U,	// ROR16mi
+    1708897U,	// ROR16r1
+    1577825U,	// ROR16rCL
+    2233185U,	// ROR16ri
+    1721185U,	// ROR32m1
+    1590113U,	// ROR32mCL
+    148321U,	// ROR32mi
+    1708897U,	// ROR32r1
+    1577825U,	// ROR32rCL
+    2233185U,	// ROR32ri
+    1725281U,	// ROR64m1
+    1594209U,	// ROR64mCL
+    152417U,	// ROR64mi
+    1708897U,	// ROR64r1
+    1577825U,	// ROR64rCL
+    2233185U,	// ROR64ri
+    1729377U,	// ROR8m1
+    1598305U,	// ROR8mCL
+    156513U,	// ROR8mi
+    1708897U,	// ROR8r1
+    1577825U,	// ROR8rCL
+    2233185U,	// ROR8ri
+    440538296U,	// RORX32mi
+    174199992U,	// RORX32ri
+    444732600U,	// RORX64mi
+    174199992U,	// RORX64ri
+    3044U,	// RSM
+    2914U,	// SAHF
+    1712702U,	// SAL16m1
+    1581630U,	// SAL16mCL
+    139838U,	// SAL16mi
+    1708606U,	// SAL16r1
+    1577534U,	// SAL16rCL
+    2232894U,	// SAL16ri
+    1720894U,	// SAL32m1
+    1589822U,	// SAL32mCL
+    148030U,	// SAL32mi
+    1708606U,	// SAL32r1
+    1577534U,	// SAL32rCL
+    2232894U,	// SAL32ri
+    1724990U,	// SAL64m1
+    1593918U,	// SAL64mCL
+    152126U,	// SAL64mi
+    1708606U,	// SAL64r1
+    1577534U,	// SAL64rCL
+    2232894U,	// SAL64ri
+    1729086U,	// SAL8m1
+    1598014U,	// SAL8mCL
+    156222U,	// SAL8mi
+    1708606U,	// SAL8r1
+    1577534U,	// SAL8rCL
+    2232894U,	// SAL8ri
+    2733U,	// SALC
+    1712971U,	// SAR16m1
+    1581899U,	// SAR16mCL
+    140107U,	// SAR16mi
+    1708875U,	// SAR16r1
+    1577803U,	// SAR16rCL
+    2233163U,	// SAR16ri
+    1721163U,	// SAR32m1
+    1590091U,	// SAR32mCL
+    148299U,	// SAR32mi
+    1708875U,	// SAR32r1
+    1577803U,	// SAR32rCL
+    2233163U,	// SAR32ri
+    1725259U,	// SAR64m1
+    1594187U,	// SAR64mCL
+    152395U,	// SAR64mi
+    1708875U,	// SAR64r1
+    1577803U,	// SAR64rCL
+    2233163U,	// SAR64ri
+    1729355U,	// SAR8m1
+    1598283U,	// SAR8mCL
+    156491U,	// SAR8mi
+    1708875U,	// SAR8r1
+    1577803U,	// SAR8rCL
+    2233163U,	// SAR8ri
+    440538284U,	// SARX32rm
+    174199980U,	// SARX32rr
+    444732588U,	// SARX64rm
+    174199980U,	// SARX64rr
+    5468U,	// SBB16i16
+    139341U,	// SBB16mi
+    139341U,	// SBB16mi8
+    139341U,	// SBB16mr
+    274509U,	// SBB16ri
+    274509U,	// SBB16ri8
+    405581U,	// SBB16rm
+    274509U,	// SBB16rr
+    2232397U,	// SBB16rr_REV
+    5602U,	// SBB32i32
+    147533U,	// SBB32mi
+    147533U,	// SBB32mi8
+    147533U,	// SBB32mr
+    274509U,	// SBB32ri
+    274509U,	// SBB32ri8
+    536653U,	// SBB32rm
+    274509U,	// SBB32rr
+    2232397U,	// SBB32rr_REV
+    5750U,	// SBB64i32
+    151629U,	// SBB64mi32
+    151629U,	// SBB64mi8
+    151629U,	// SBB64mr
+    274509U,	// SBB64ri32
+    274509U,	// SBB64ri8
+    667725U,	// SBB64rm
+    274509U,	// SBB64rr
+    2232397U,	// SBB64rr_REV
+    5344U,	// SBB8i8
+    155725U,	// SBB8mi
+    155725U,	// SBB8mr
+    274509U,	// SBB8ri
+    798797U,	// SBB8rm
+    274509U,	// SBB8rr
+    2232397U,	// SBB8rr_REV
+    58601U,	// SCASB
+    62996U,	// SCASL
+    120509U,	// SCASQ
+    67020U,	// SCASW
+    3262U,	// SEG_ALLOCA_32
+    3262U,	// SEG_ALLOCA_64
+    2879U,	// SEH_EndPrologue
+    2865U,	// SEH_Epilogue
+    6001U,	// SEH_PushFrame
+    6046U,	// SEH_PushReg
+    6428560U,	// SEH_SaveReg
+    6428474U,	// SEH_SaveXMM
+    6428545U,	// SEH_SetFrame
+    5984U,	// SEH_StackAlloc
+    24870U,	// SETAEm
+    4390U,	// SETAEr
+    24617U,	// SETAm
+    4137U,	// SETAr
+    24890U,	// SETBEm
+    4410U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    49265U,	// SETBm
-    8305U,	// SETBr
-    49600U,	// SETEm
-    8640U,	// SETEr
-    49486U,	// SETGEm
-    8526U,	// SETGEr
-    49666U,	// SETGm
-    8706U,	// SETGr
-    49510U,	// SETLEm
-    8550U,	// SETLEr
-    49786U,	// SETLm
-    8826U,	// SETLr
-    49538U,	// SETNEm
-    8578U,	// SETNEr
-    49832U,	// SETNOm
-    8872U,	// SETNOr
-    49893U,	// SETNPm
-    8933U,	// SETNPr
-    50117U,	// SETNSm
-    9157U,	// SETNSr
-    49847U,	// SETOm
-    8887U,	// SETOr
-    49924U,	// SETPm
-    8964U,	// SETPr
-    50142U,	// SETSm
-    9182U,	// SETSr
-    107509U,	// SGDT16m
-    107509U,	// SGDT32m
-    107509U,	// SGDT64m
-    3424840U,	// SHL16m1
-    3162696U,	// SHL16mCL
-    279112U,	// SHL16mi
-    3416648U,	// SHL16r1
-    3154504U,	// SHL16rCL
-    4465224U,	// SHL16ri
-    3441224U,	// SHL32m1
-    3179080U,	// SHL32mCL
-    295496U,	// SHL32mi
-    3416648U,	// SHL32r1
-    3154504U,	// SHL32rCL
-    4465224U,	// SHL32ri
-    3449416U,	// SHL64m1
-    3187272U,	// SHL64mCL
-    303688U,	// SHL64mi
-    3416648U,	// SHL64r1
-    3154504U,	// SHL64rCL
-    4465224U,	// SHL64ri
-    3457608U,	// SHL8m1
-    3195464U,	// SHL8mCL
-    311880U,	// SHL8mi
-    3416648U,	// SHL8r1
-    3154504U,	// SHL8rCL
-    4465224U,	// SHL8ri
-    201605338U,	// SHLD16mrCL
-    872693978U,	// SHLD16mri8
-    205791450U,	// SHLD16rrCL
-    1145315546U,	// SHLD16rri8
-    201621722U,	// SHLD32mrCL
-    872710362U,	// SHLD32mri8
-    205791450U,	// SHLD32rrCL
-    1145315546U,	// SHLD32rri8
-    201629914U,	// SHLD64mrCL
-    872718554U,	// SHLD64mri8
-    205791450U,	// SHLD64rrCL
-    1145315546U,	// SHLD64rri8
-    881075354U,	// SHLX32rm
-    348398746U,	// SHLX32rr
-    889463962U,	// SHLX64rm
-    348398746U,	// SHLX64rr
-    3425116U,	// SHR16m1
-    3162972U,	// SHR16mCL
-    279388U,	// SHR16mi
-    3416924U,	// SHR16r1
-    3154780U,	// SHR16rCL
-    4465500U,	// SHR16ri
-    3441500U,	// SHR32m1
-    3179356U,	// SHR32mCL
-    295772U,	// SHR32mi
-    3416924U,	// SHR32r1
-    3154780U,	// SHR32rCL
-    4465500U,	// SHR32ri
-    3449692U,	// SHR64m1
-    3187548U,	// SHR64mCL
-    303964U,	// SHR64mi
-    3416924U,	// SHR64r1
-    3154780U,	// SHR64rCL
-    4465500U,	// SHR64ri
-    3457884U,	// SHR8m1
-    3195740U,	// SHR8mCL
-    312156U,	// SHR8mi
-    3416924U,	// SHR8r1
-    3154780U,	// SHR8rCL
-    4465500U,	// SHR8ri
-    201605368U,	// SHRD16mrCL
-    872694008U,	// SHRD16mri8
-    205791480U,	// SHRD16rrCL
-    1145315576U,	// SHRD16rri8
-    201621752U,	// SHRD32mrCL
-    872710392U,	// SHRD32mri8
-    205791480U,	// SHRD32rrCL
-    1145315576U,	// SHRD32rri8
-    201629944U,	// SHRD64mrCL
-    872718584U,	// SHRD64mri8
-    205791480U,	// SHRD64rrCL
-    1145315576U,	// SHRD64rri8
-    881075378U,	// SHRX32rm
-    348398770U,	// SHRX32rr
-    889463986U,	// SHRX64rm
-    348398770U,	// SHRX64rr
-    107521U,	// SIDT16m
-    107521U,	// SIDT32m
-    107521U,	// SIDT64m
-    4166U,	// SKINIT
-    17421U,	// SLDT16m
-    9229U,	// SLDT16r
-    9229U,	// SLDT32r
-    17421U,	// SLDT64m
-    9229U,	// SLDT64r
-    17523U,	// SMSW16m
-    9331U,	// SMSW16r
-    9331U,	// SMSW32r
-    9331U,	// SMSW64r
-    4033U,	// SS_PREFIX
-    3463U,	// STAC
-    3517U,	// STC
-    3570U,	// STD
-    3670U,	// STGI
-    3679U,	// STI
-    3014748U,	// STOSB
-    2482436U,	// STOSL
-    2859816U,	// STOSQ
-    2212991U,	// STOSW
-    9102U,	// STR16r
-    9102U,	// STR32r
-    9102U,	// STR64r
-    17294U,	// STRm
-    9573U,	// SUB16i16
-    278647U,	// SUB16mi
-    278647U,	// SUB16mi8
-    278647U,	// SUB16mr
-    548983U,	// SUB16ri
-    548983U,	// SUB16ri8
-    811127U,	// SUB16rm
-    548983U,	// SUB16rr
-    4464759U,	// SUB16rr_REV
-    9708U,	// SUB32i32
-    295031U,	// SUB32mi
-    295031U,	// SUB32mi8
-    295031U,	// SUB32mr
-    548983U,	// SUB32ri
-    548983U,	// SUB32ri8
-    1073271U,	// SUB32rm
-    548983U,	// SUB32rr
-    4464759U,	// SUB32rr_REV
-    9856U,	// SUB64i32
-    303223U,	// SUB64mi32
-    303223U,	// SUB64mi8
-    303223U,	// SUB64mr
-    548983U,	// SUB64ri32
-    548983U,	// SUB64ri8
-    1335415U,	// SUB64rm
-    548983U,	// SUB64rr
-    4464759U,	// SUB64rr_REV
-    9471U,	// SUB8i8
-    311415U,	// SUB8mi
-    311415U,	// SUB8mr
-    548983U,	// SUB8ri
-    548983U,	// SUB8ri8
-    1597559U,	// SUB8rm
-    548983U,	// SUB8rr
-    4464759U,	// SUB8rr_REV
-    3976U,	// SWAPGS
-    3742U,	// SYSCALL
-    3869U,	// SYSENTER
-    4060U,	// SYSEXIT
-    4060U,	// SYSEXIT64
-    4053U,	// SYSRET
-    4053U,	// SYSRET64
-    8659099U,	// T1MSKC32rm
-    12853403U,	// T1MSKC32rr
-    17047707U,	// T1MSKC64rm
-    12853403U,	// T1MSKC64rr
-    3728091U,	// TAILJMPd
-    3728091U,	// TAILJMPd64
-    3703515U,	// TAILJMPm
-    3711707U,	// TAILJMPm64
+    24689U,	// SETBm
+    4209U,	// SETBr
+    25024U,	// SETEm
+    4544U,	// SETEr
+    24910U,	// SETGEm
+    4430U,	// SETGEr
+    25090U,	// SETGm
+    4610U,	// SETGr
+    24934U,	// SETLEm
+    4454U,	// SETLEr
+    25210U,	// SETLm
+    4730U,	// SETLr
+    24962U,	// SETNEm
+    4482U,	// SETNEr
+    25256U,	// SETNOm
+    4776U,	// SETNOr
+    25317U,	// SETNPm
+    4837U,	// SETNPr
+    25541U,	// SETNSm
+    5061U,	// SETNSr
+    25271U,	// SETOm
+    4791U,	// SETOr
+    25348U,	// SETPm
+    4868U,	// SETPr
+    25566U,	// SETSm
+    5086U,	// SETSr
+    54261U,	// SGDT16m
+    54261U,	// SGDT32m
+    54261U,	// SGDT64m
+    1712712U,	// SHL16m1
+    1581640U,	// SHL16mCL
+    139848U,	// SHL16mi
+    1708616U,	// SHL16r1
+    1577544U,	// SHL16rCL
+    2232904U,	// SHL16ri
+    1720904U,	// SHL32m1
+    1589832U,	// SHL32mCL
+    148040U,	// SHL32mi
+    1708616U,	// SHL32r1
+    1577544U,	// SHL32rCL
+    2232904U,	// SHL32ri
+    1725000U,	// SHL64m1
+    1593928U,	// SHL64mCL
+    152136U,	// SHL64mi
+    1708616U,	// SHL64r1
+    1577544U,	// SHL64rCL
+    2232904U,	// SHL64ri
+    1729096U,	// SHL8m1
+    1598024U,	// SHL8mCL
+    156232U,	// SHL8mi
+    1708616U,	// SHL8r1
+    1577544U,	// SHL8rCL
+    2232904U,	// SHL8ri
+    100802778U,	// SHLD16mrCL
+    436347098U,	// SHLD16mri8
+    102895834U,	// SHLD16rrCL
+    572657882U,	// SHLD16rri8
+    100810970U,	// SHLD32mrCL
+    436355290U,	// SHLD32mri8
+    102895834U,	// SHLD32rrCL
+    572657882U,	// SHLD32rri8
+    100815066U,	// SHLD64mrCL
+    436359386U,	// SHLD64mri8
+    102895834U,	// SHLD64rrCL
+    572657882U,	// SHLD64rri8
+    440538266U,	// SHLX32rm
+    174199962U,	// SHLX32rr
+    444732570U,	// SHLX64rm
+    174199962U,	// SHLX64rr
+    1712988U,	// SHR16m1
+    1581916U,	// SHR16mCL
+    140124U,	// SHR16mi
+    1708892U,	// SHR16r1
+    1577820U,	// SHR16rCL
+    2233180U,	// SHR16ri
+    1721180U,	// SHR32m1
+    1590108U,	// SHR32mCL
+    148316U,	// SHR32mi
+    1708892U,	// SHR32r1
+    1577820U,	// SHR32rCL
+    2233180U,	// SHR32ri
+    1725276U,	// SHR64m1
+    1594204U,	// SHR64mCL
+    152412U,	// SHR64mi
+    1708892U,	// SHR64r1
+    1577820U,	// SHR64rCL
+    2233180U,	// SHR64ri
+    1729372U,	// SHR8m1
+    1598300U,	// SHR8mCL
+    156508U,	// SHR8mi
+    1708892U,	// SHR8r1
+    1577820U,	// SHR8rCL
+    2233180U,	// SHR8ri
+    100802808U,	// SHRD16mrCL
+    436347128U,	// SHRD16mri8
+    102895864U,	// SHRD16rrCL
+    572657912U,	// SHRD16rri8
+    100811000U,	// SHRD32mrCL
+    436355320U,	// SHRD32mri8
+    102895864U,	// SHRD32rrCL
+    572657912U,	// SHRD32rri8
+    100815096U,	// SHRD64mrCL
+    436359416U,	// SHRD64mri8
+    102895864U,	// SHRD64rrCL
+    572657912U,	// SHRD64rri8
+    440538290U,	// SHRX32rm
+    174199986U,	// SHRX32rr
+    444732594U,	// SHRX64rm
+    174199986U,	// SHRX64rr
+    54273U,	// SIDT16m
+    54273U,	// SIDT32m
+    54273U,	// SIDT64m
+    3445U,	// SKINIT
+    9229U,	// SLDT16m
+    5133U,	// SLDT16r
+    5133U,	// SLDT32r
+    9229U,	// SLDT64m
+    5133U,	// SLDT64r
+    9331U,	// SMSW16m
+    5235U,	// SMSW16r
+    5235U,	// SMSW32r
+    5235U,	// SMSW64r
+    3312U,	// SS_PREFIX
+    2711U,	// STAC
+    2765U,	// STC
+    2818U,	// STD
+    2949U,	// STGI
+    2958U,	// STI
+    1499228U,	// STOSB
+    1241348U,	// STOSL
+    1430312U,	// STOSQ
+    1115263U,	// STOSW
+    5006U,	// STR16r
+    5006U,	// STR32r
+    5006U,	// STR64r
+    9102U,	// STRm
+    5477U,	// SUB16i16
+    139383U,	// SUB16mi
+    139383U,	// SUB16mi8
+    139383U,	// SUB16mr
+    274551U,	// SUB16ri
+    274551U,	// SUB16ri8
+    405623U,	// SUB16rm
+    274551U,	// SUB16rr
+    2232439U,	// SUB16rr_REV
+    5612U,	// SUB32i32
+    147575U,	// SUB32mi
+    147575U,	// SUB32mi8
+    147575U,	// SUB32mr
+    274551U,	// SUB32ri
+    274551U,	// SUB32ri8
+    536695U,	// SUB32rm
+    274551U,	// SUB32rr
+    2232439U,	// SUB32rr_REV
+    5760U,	// SUB64i32
+    151671U,	// SUB64mi32
+    151671U,	// SUB64mi8
+    151671U,	// SUB64mr
+    274551U,	// SUB64ri32
+    274551U,	// SUB64ri8
+    667767U,	// SUB64rm
+    274551U,	// SUB64rr
+    2232439U,	// SUB64rr_REV
+    5375U,	// SUB8i8
+    155767U,	// SUB8mi
+    155767U,	// SUB8mr
+    274551U,	// SUB8ri
+    274551U,	// SUB8ri8
+    798839U,	// SUB8rm
+    274551U,	// SUB8rr
+    2232439U,	// SUB8rr_REV
+    3255U,	// SWAPGS
+    3021U,	// SYSCALL
+    3148U,	// SYSENTER
+    3339U,	// SYSEXIT
+    3339U,	// SYSEXIT64
+    3332U,	// SYSRET
+    3332U,	// SYSRET64
+    4329627U,	// T1MSKC32rm
+    6426779U,	// T1MSKC32rr
+    8523931U,	// T1MSKC64rm
+    6426779U,	// T1MSKC64rr
+    1864411U,	// TAILJMPd
+    1864411U,	// TAILJMPd64
+    1852123U,	// TAILJMPm
+    1856219U,	// TAILJMPm64
     0U,	// TAILJMPr
-    3678939U,	// TAILJMPr64
+    1839835U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    9657U,	// TEST16i16
-    279618U,	// TEST16mi
-    279618U,	// TEST16mi_alt
-    12854338U,	// TEST16ri
-    12854338U,	// TEST16ri_alt
-    21242946U,	// TEST16rm
-    12854338U,	// TEST16rr
-    9825U,	// TEST32i32
-    296002U,	// TEST32mi
-    296002U,	// TEST32mi_alt
-    12854338U,	// TEST32ri
-    12854338U,	// TEST32ri_alt
-    8660034U,	// TEST32rm
-    12854338U,	// TEST32rr
-    9964U,	// TEST64i32
-    304194U,	// TEST64mi32
-    304194U,	// TEST64mi32_alt
-    12854338U,	// TEST64ri32
-    12854338U,	// TEST64ri32_alt
-    17048642U,	// TEST64rm
-    12854338U,	// TEST64rr
-    9545U,	// TEST8i8
-    312386U,	// TEST8mi
-    312386U,	// TEST8mi_alt
-    12854338U,	// TEST8ri
+    5561U,	// TEST16i16
+    140354U,	// TEST16mi
+    140354U,	// TEST16mi_alt
+    6427714U,	// TEST16ri
+    6427714U,	// TEST16ri_alt
+    140354U,	// TEST16rm
+    6427714U,	// TEST16rr
+    5729U,	// TEST32i32
+    148546U,	// TEST32mi
+    148546U,	// TEST32mi_alt
+    6427714U,	// TEST32ri
+    6427714U,	// TEST32ri_alt
+    148546U,	// TEST32rm
+    6427714U,	// TEST32rr
+    5868U,	// TEST64i32
+    152642U,	// TEST64mi32
+    152642U,	// TEST64mi32_alt
+    6427714U,	// TEST64ri32
+    6427714U,	// TEST64ri32_alt
+    152642U,	// TEST64rm
+    6427714U,	// TEST64rr
+    5449U,	// TEST8i8
+    156738U,	// TEST8mi
+    156738U,	// TEST8mi_alt
+    6427714U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    12854338U,	// TEST8ri_alt
-    38020162U,	// TEST8rm
-    12854338U,	// TEST8rr
-    3143U,	// TLSCall_32
-    3233U,	// TLSCall_64
-    3156U,	// TLS_addr32
-    3246U,	// TLS_addr64
-    3169U,	// TLS_base_addr32
-    3259U,	// TLS_base_addr64
-    3187U,	// TRAP
-    21242911U,	// TZCNT16rm
-    12854303U,	// TZCNT16rr
-    8659999U,	// TZCNT32rm
-    12854303U,	// TZCNT32rr
-    17048607U,	// TZCNT64rm
-    12854303U,	// TZCNT64rr
-    8659511U,	// TZMSK32rm
-    12853815U,	// TZMSK32rr
-    17048119U,	// TZMSK64rm
-    12853815U,	// TZMSK64rr
-    3397U,	// UD2B
-    3057919768U,	// VAARG_64
-    348399418U,	// VASTART_SAVE_XMM_REGS
-    17267U,	// VERRm
-    9075U,	// VERRr
-    17511U,	// VERWm
-    9319U,	// VERWr
-    3735U,	// VMCALL
-    41789U,	// VMCLEARm
-    3504U,	// VMFUNC
-    3656U,	// VMLAUNCH
-    4134U,	// VMLOAD32
-    4189U,	// VMLOAD64
-    3727U,	// VMMCALL
-    41184U,	// VMPTRLDm
-    42056U,	// VMPTRSTm
-    295090U,	// VMREAD32rm
-    12853426U,	// VMREAD32rr
-    303282U,	// VMREAD64rm
-    12853426U,	// VMREAD64rr
-    3590U,	// VMRESUME
-    4156U,	// VMRUN32
-    4211U,	// VMRUN64
-    4145U,	// VMSAVE32
-    4200U,	// VMSAVE64
-    8659398U,	// VMWRITE32rm
-    12853702U,	// VMWRITE32rr
-    17048006U,	// VMWRITE64rm
-    12853702U,	// VMWRITE64rr
-    3623U,	// VMXOFF
-    41624U,	// VMXON
-    57938U,	// W64ALLOCA
-    3574U,	// WBINVD
-    3769U,	// WIN_ALLOCA
-    3683U,	// WIN_FTOL_32
-    3683U,	// WIN_FTOL_64
-    8610U,	// WRFSBASE
-    8610U,	// WRFSBASE64
-    8630U,	// WRGSBASE
-    8630U,	// WRGSBASE64
-    3884U,	// WRMSR
-    278714U,	// XADD16rm
-    12853434U,	// XADD16rr
-    295098U,	// XADD32rm
-    12853434U,	// XADD32rr
-    303290U,	// XADD64rm
-    12853434U,	// XADD64rr
-    311482U,	// XADD8rm
-    12853434U,	// XADD8rr
-    9609U,	// XCHG16ar
-    172528U,	// XCHG16rm
-    246256U,	// XCHG16rr
-    9772U,	// XCHG32ar
-    9772U,	// XCHG32ar64
-    180720U,	// XCHG32rm
-    246256U,	// XCHG32rr
-    9896U,	// XCHG64ar
-    188912U,	// XCHG64rm
-    246256U,	// XCHG64rr
-    197104U,	// XCHG8rm
-    246256U,	// XCHG8rr
-    3468U,	// XCRYPTCBC
-    3412U,	// XCRYPTCFB
-    3890U,	// XCRYPTCTR
-    3402U,	// XCRYPTECB
-    3422U,	// XCRYPTOFB
-    4072U,	// XGETBV
-    3452U,	// XLAT
-    9636U,	// XOR16i16
-    279406U,	// XOR16mi
-    279406U,	// XOR16mi8
-    279406U,	// XOR16mr
-    549742U,	// XOR16ri
-    549742U,	// XOR16ri8
-    811886U,	// XOR16rm
-    549742U,	// XOR16rr
-    4465518U,	// XOR16rr_REV
-    9802U,	// XOR32i32
-    295790U,	// XOR32mi
-    295790U,	// XOR32mi8
-    295790U,	// XOR32mr
-    549742U,	// XOR32ri
-    549742U,	// XOR32ri8
-    1074030U,	// XOR32rm
-    549742U,	// XOR32rr
-    4465518U,	// XOR32rr_REV
-    9941U,	// XOR64i32
-    303982U,	// XOR64mi32
-    303982U,	// XOR64mi8
-    303982U,	// XOR64mr
-    549742U,	// XOR64ri32
-    549742U,	// XOR64ri8
-    1336174U,	// XOR64rm
-    549742U,	// XOR64rr
-    4465518U,	// XOR64rr_REV
-    9524U,	// XOR8i8
-    312174U,	// XOR8mi
-    312174U,	// XOR8mr
-    549742U,	// XOR8ri
-    549742U,	// XOR8ri8
-    1598318U,	// XOR8rm
-    549742U,	// XOR8rr
-    4465518U,	// XOR8rr_REV
-    107366U,	// XRSTOR
-    106506U,	// XRSTOR64
-    106959U,	// XSAVE
-    106497U,	// XSAVE64
-    107576U,	// XSAVEOPT
-    106516U,	// XSAVEOPT64
-    4079U,	// XSETBV
-    3095U,	// XSHA1
-    3290U,	// XSHA256
-    3610U,	// XSTORE
+    6427714U,	// TEST8ri_alt
+    156738U,	// TEST8rm
+    6427714U,	// TEST8rr
+    2391U,	// TLSCall_32
+    2481U,	// TLSCall_64
+    2404U,	// TLS_addr32
+    2494U,	// TLS_addr64
+    2417U,	// TLS_base_addr32
+    2507U,	// TLS_base_addr64
+    2435U,	// TRAP
+    10621983U,	// TZCNT16rm
+    6427679U,	// TZCNT16rr
+    4330527U,	// TZCNT32rm
+    6427679U,	// TZCNT32rr
+    8524831U,	// TZCNT64rm
+    6427679U,	// TZCNT64rr
+    4330039U,	// TZMSK32rm
+    6427191U,	// TZMSK32rr
+    8524343U,	// TZMSK64rm
+    6427191U,	// TZMSK64rr
+    2645U,	// UD2B
+    1528960792U,	// VAARG_64
+    174200648U,	// VASTART_SAVE_XMM_REGS
+    9075U,	// VERRm
+    4979U,	// VERRr
+    9319U,	// VERWm
+    5223U,	// VERWr
+    3014U,	// VMCALL
+    21309U,	// VMCLEARm
+    2752U,	// VMFUNC
+    2935U,	// VMLAUNCH
+    3413U,	// VMLOAD32
+    3468U,	// VMLOAD64
+    3006U,	// VMMCALL
+    20704U,	// VMPTRLDm
+    21576U,	// VMPTRSTm
+    147634U,	// VMREAD32rm
+    6426802U,	// VMREAD32rr
+    151730U,	// VMREAD64rm
+    6426802U,	// VMREAD64rr
+    2838U,	// VMRESUME
+    3435U,	// VMRUN32
+    3490U,	// VMRUN64
+    3424U,	// VMSAVE32
+    3479U,	// VMSAVE64
+    4329926U,	// VMWRITE32rm
+    6427078U,	// VMWRITE32rr
+    8524230U,	// VMWRITE64rm
+    6427078U,	// VMWRITE64rr
+    2902U,	// VMXOFF
+    21144U,	// VMXON
+    29266U,	// W64ALLOCA
+    2822U,	// WBINVD
+    3048U,	// WIN_ALLOCA
+    2962U,	// WIN_FTOL_32
+    2962U,	// WIN_FTOL_64
+    4514U,	// WRFSBASE
+    4514U,	// WRFSBASE64
+    4534U,	// WRGSBASE
+    4534U,	// WRGSBASE64
+    3163U,	// WRMSR
+    139450U,	// XADD16rm
+    6426810U,	// XADD16rr
+    147642U,	// XADD32rm
+    6426810U,	// XADD32rr
+    151738U,	// XADD64rm
+    6426810U,	// XADD64rr
+    155834U,	// XADD8rm
+    6426810U,	// XADD8rr
+    5513U,	// XCHG16ar
+    86512U,	// XCHG16rm
+    123376U,	// XCHG16rr
+    5676U,	// XCHG32ar
+    5676U,	// XCHG32ar64
+    90608U,	// XCHG32rm
+    123376U,	// XCHG32rr
+    5800U,	// XCHG64ar
+    94704U,	// XCHG64rm
+    123376U,	// XCHG64rr
+    98800U,	// XCHG8rm
+    123376U,	// XCHG8rr
+    2716U,	// XCRYPTCBC
+    2660U,	// XCRYPTCFB
+    3169U,	// XCRYPTCTR
+    2650U,	// XCRYPTECB
+    2670U,	// XCRYPTOFB
+    3351U,	// XGETBV
+    2700U,	// XLAT
+    5540U,	// XOR16i16
+    140142U,	// XOR16mi
+    140142U,	// XOR16mi8
+    140142U,	// XOR16mr
+    275310U,	// XOR16ri
+    275310U,	// XOR16ri8
+    406382U,	// XOR16rm
+    275310U,	// XOR16rr
+    2233198U,	// XOR16rr_REV
+    5706U,	// XOR32i32
+    148334U,	// XOR32mi
+    148334U,	// XOR32mi8
+    148334U,	// XOR32mr
+    275310U,	// XOR32ri
+    275310U,	// XOR32ri8
+    537454U,	// XOR32rm
+    275310U,	// XOR32rr
+    2233198U,	// XOR32rr_REV
+    5845U,	// XOR64i32
+    152430U,	// XOR64mi32
+    152430U,	// XOR64mi8
+    152430U,	// XOR64mr
+    275310U,	// XOR64ri32
+    275310U,	// XOR64ri8
+    668526U,	// XOR64rm
+    275310U,	// XOR64rr
+    2233198U,	// XOR64rr_REV
+    5428U,	// XOR8i8
+    156526U,	// XOR8mi
+    156526U,	// XOR8mr
+    275310U,	// XOR8ri
+    275310U,	// XOR8ri8
+    799598U,	// XOR8rm
+    275310U,	// XOR8rr
+    2233198U,	// XOR8rr_REV
+    54118U,	// XRSTOR
+    53258U,	// XRSTOR64
+    53711U,	// XSAVE
+    53249U,	// XSAVE64
+    54328U,	// XSAVEOPT
+    53268U,	// XSAVEOPT64
+    3358U,	// XSETBV
+    2343U,	// XSHA1
+    2538U,	// XSHA256
+    2858U,	// XSTORE
     0U
   };
 
@@ -1977,209 +1943,174 @@
   /* 1804 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
   /* 1815 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
   /* 1826 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 1849 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 1873 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1893 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1913 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1933 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1954 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1975 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1996 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2018 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2039 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2061 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2083 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2103 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2124 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2145 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2167 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2186 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2206 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2226 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2247 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2267 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2286 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2306 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2326 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2345 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2363 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2382 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2401 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2421 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2440 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2460 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2480 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2500 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2520 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2540 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2560 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2580 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2599 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2619 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2639 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2658 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2676 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2695 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2714 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2734 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2753 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2773 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2792 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2812 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2830 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2849 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2868 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2888 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2906 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2925 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2943 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2962 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2980 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2997 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3015 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3033 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3052 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3073 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3094 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 3100 */ 'i', 'n', 't', '1', 0,
-  /* 3105 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 3124 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 3142 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 3155 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3168 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3186 */ 'u', 'd', '2', 0,
-  /* 3190 */ 'i', 'n', 't', '3', 0,
-  /* 3195 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 3214 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 3232 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 3245 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 3258 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 3276 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 3282 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 3289 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 3297 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 3310 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 3317 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 3327 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 3345 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 3361 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 3373 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 3388 */ 'a', 'a', 'a', 0,
-  /* 3392 */ 'd', 'a', 'a', 0,
-  /* 3396 */ 'u', 'd', '2', 'b', 0,
-  /* 3401 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 3411 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 3421 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 3431 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 3441 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 3451 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 3457 */ 'c', 'l', 'a', 'c', 0,
-  /* 3462 */ 's', 't', 'a', 'c', 0,
-  /* 3467 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 3477 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 3484 */ 's', 'a', 'l', 'c', 0,
-  /* 3489 */ 'c', 'l', 'c', 0,
-  /* 3493 */ 'c', 'm', 'c', 0,
-  /* 3497 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 3503 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 3510 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 3516 */ 's', 't', 'c', 0,
-  /* 3520 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 3527 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 3533 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 3539 */ 'c', 'l', 'd', 0,
-  /* 3543 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 3553 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 3563 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 3569 */ 's', 't', 'd', 0,
-  /* 3573 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 3580 */ 'c', 'w', 'd', 0,
-  /* 3584 */ 'c', 'w', 'd', 'e', 0,
-  /* 3589 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 3598 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 3604 */ 'c', 'd', 'q', 'e', 0,
-  /* 3609 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 3616 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 3622 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 3629 */ 'l', 'a', 'h', 'f', 0,
-  /* 3634 */ 's', 'a', 'h', 'f', 0,
-  /* 3639 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 3645 */ 'p', 'o', 'p', 'f', 0,
-  /* 3650 */ 'r', 'e', 't', 'f', 0,
-  /* 3655 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 3664 */ 'c', 'l', 'g', 'i', 0,
-  /* 3669 */ 's', 't', 'g', 'i', 0,
-  /* 3674 */ 'c', 'l', 'i', 0,
-  /* 3678 */ 's', 't', 'i', 0,
-  /* 3682 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 3697 */ 'l', 'o', 'c', 'k', 0,
-  /* 3702 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 3713 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 3720 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 3726 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 3734 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 3741 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 3749 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 3757 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 3764 */ 'r', 's', 'm', 0,
-  /* 3768 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 3795 */ 'c', 'q', 'o', 0,
-  /* 3799 */ 'i', 'n', 't', 'o', 0,
-  /* 3804 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 3811 */ 'r', 'e', 'p', 0,
-  /* 3815 */ 'n', 'o', 'p', 0,
-  /* 3819 */ 'c', 'd', 'q', 0,
-  /* 3823 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 3830 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 3836 */ 'r', 'e', 't', 'f', 'q', 0,
-  /* 3842 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 3852 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 3862 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 3868 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 3877 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 3883 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 3889 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 3899 */ 'a', 'a', 's', 0,
-  /* 3903 */ 'd', 'a', 's', 0,
-  /* 3907 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 3915 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 3923 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 3930 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 3938 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 3945 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 3953 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 3960 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 3968 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 3975 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 3982 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 4027 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 4035 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 4042 */ 'c', 'l', 't', 's', 0,
-  /* 4047 */ 'i', 'r', 'e', 't', 0,
-  /* 4052 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 4059 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 4067 */ 'h', 'l', 't', 0,
-  /* 4071 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 4078 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 4085 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 4092 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 4098 */ 'c', 'b', 'w', 0,
-  /* 4102 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 4112 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 4122 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 4133 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 4144 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 4155 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 4165 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 4176 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 4188 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 4199 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 4210 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 4220 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 4237 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 4254 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 4264 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 4274 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 1849 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 1863 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 1887 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 1904 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 1920 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 1935 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 1949 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 1963 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 1983 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2003 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2023 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2043 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2064 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2084 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2104 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2123 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2143 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2163 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2183 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2203 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2223 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2243 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2263 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2282 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2300 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2321 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2342 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 2348 */ 'i', 'n', 't', '1', 0,
+  /* 2353 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 2372 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 2390 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 2403 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 2416 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 2434 */ 'u', 'd', '2', 0,
+  /* 2438 */ 'i', 'n', 't', '3', 0,
+  /* 2443 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 2462 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 2480 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 2493 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 2506 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 2524 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 2530 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 2537 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 2545 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 2558 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 2565 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 2575 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 2593 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 2609 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 2621 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 2636 */ 'a', 'a', 'a', 0,
+  /* 2640 */ 'd', 'a', 'a', 0,
+  /* 2644 */ 'u', 'd', '2', 'b', 0,
+  /* 2649 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 2659 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 2669 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 2679 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 2689 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 2699 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 2705 */ 'c', 'l', 'a', 'c', 0,
+  /* 2710 */ 's', 't', 'a', 'c', 0,
+  /* 2715 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 2725 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 2732 */ 's', 'a', 'l', 'c', 0,
+  /* 2737 */ 'c', 'l', 'c', 0,
+  /* 2741 */ 'c', 'm', 'c', 0,
+  /* 2745 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 2751 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 2758 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 2764 */ 's', 't', 'c', 0,
+  /* 2768 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 2775 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 2781 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 2787 */ 'c', 'l', 'd', 0,
+  /* 2791 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 2801 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 2811 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 2817 */ 's', 't', 'd', 0,
+  /* 2821 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 2828 */ 'c', 'w', 'd', 0,
+  /* 2832 */ 'c', 'w', 'd', 'e', 0,
+  /* 2837 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 2846 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 2852 */ 'c', 'd', 'q', 'e', 0,
+  /* 2857 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 2864 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 2878 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 2895 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 2901 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 2908 */ 'l', 'a', 'h', 'f', 0,
+  /* 2913 */ 's', 'a', 'h', 'f', 0,
+  /* 2918 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 2924 */ 'p', 'o', 'p', 'f', 0,
+  /* 2929 */ 'r', 'e', 't', 'f', 0,
+  /* 2934 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 2943 */ 'c', 'l', 'g', 'i', 0,
+  /* 2948 */ 's', 't', 'g', 'i', 0,
+  /* 2953 */ 'c', 'l', 'i', 0,
+  /* 2957 */ 's', 't', 'i', 0,
+  /* 2961 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 2976 */ 'l', 'o', 'c', 'k', 0,
+  /* 2981 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 2992 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 2999 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 3005 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 3013 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 3020 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 3028 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 3036 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 3043 */ 'r', 's', 'm', 0,
+  /* 3047 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 3074 */ 'c', 'q', 'o', 0,
+  /* 3078 */ 'i', 'n', 't', 'o', 0,
+  /* 3083 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 3090 */ 'r', 'e', 'p', 0,
+  /* 3094 */ 'n', 'o', 'p', 0,
+  /* 3098 */ 'c', 'd', 'q', 0,
+  /* 3102 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 3109 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 3115 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 3121 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 3131 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 3141 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 3147 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 3156 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 3162 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 3168 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 3178 */ 'a', 'a', 's', 0,
+  /* 3182 */ 'd', 'a', 's', 0,
+  /* 3186 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 3194 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 3202 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 3209 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 3217 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 3224 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 3232 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 3239 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 3247 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 3254 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 3261 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 3306 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 3314 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 3321 */ 'c', 'l', 't', 's', 0,
+  /* 3326 */ 'i', 'r', 'e', 't', 0,
+  /* 3331 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 3338 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 3346 */ 'h', 'l', 't', 0,
+  /* 3350 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 3357 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 3364 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 3371 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 3377 */ 'c', 'b', 'w', 0,
+  /* 3381 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 3391 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 3401 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 3412 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 3423 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 3434 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 3444 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 3455 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 3467 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 3478 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 3489 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 3499 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 3516 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 3533 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 3543 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 3553 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
   };
 #endif
 
@@ -2187,13 +2118,12 @@
   uint32_t Bits = OpInfo[MCInst_getOpcode(MI)];
   // assert(Bits != 0 && "Cannot print this instruction.");
 #ifndef CAPSTONE_DIET
-  SStream_concat0(O, AsmStrs+(Bits & 8191)-1);
+  SStream_concat0(O, AsmStrs+(Bits & 4095)-1);
 #endif
 
-
   // Fragment 0 encoded into 5 bits for 31 unique commands.
-  //printf("Frag-0: %"PRIu64"\n", (Bits >> 13) & 31);
-  switch ((Bits >> 13) & 31) {
+  //printf("Frag-0: %"PRIu64"\n", (Bits >> 12) & 31);
+  switch ((Bits >> 12) & 31) {
   default:   // unreachable.
   case 0:
     // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, AAA, AAS, ACQUIRE_MOV...
@@ -2229,31 +2159,31 @@
     printPCRelImm(MI, 0, O); 
     break;
   case 8:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
+    // CMPSB
+    printSrcIdx8(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx16(MI, 0, O); 
+    printDstIdx8(MI, 0, O); 
     return;
     break;
   case 9:
-    // CMPS32
+    // CMPSL
     printSrcIdx32(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx32(MI, 0, O); 
     return;
     break;
   case 10:
-    // CMPS64
+    // CMPSQ
     printSrcIdx64(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx64(MI, 0, O); 
     return;
     break;
   case 11:
-    // CMPS8
-    printSrcIdx8(MI, 1, O); 
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx8(MI, 0, O); 
+    printDstIdx16(MI, 0, O); 
     return;
     break;
   case 12:
@@ -2267,16 +2197,16 @@
     return;
     break;
   case 14:
-    // IN16, MOVSW, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // INSB, MOVSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 15:
-    // IN32, MOVSL, SCAS32, STOSL
+    // INSL, MOVSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 16:
-    // IN8, MOVSB, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // INSW, MOVSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 17:
     // LODSB, OUTSB
@@ -2343,7 +2273,7 @@
     printMemOffs8(MI, 0, O); 
     break;
   case 29:
-    // MOVSQ, SCAS64, STOSQ
+    // MOVSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 30:
@@ -2357,8 +2287,8 @@
 
 
   // Fragment 1 encoded into 4 bits for 15 unique commands.
-  //printf("Frag-1: %"PRIu64"\n", (Bits >> 18) & 15);
-  switch ((Bits >> 18) & 15) {
+  //printf("Frag-1: %"PRIu64"\n", (Bits >> 17) & 15);
+  switch ((Bits >> 17) & 15) {
   default:   // unreachable.
   case 0:
     // AAD8i8, AAM8i8, ADC16i16, ADC32i32, ADC64i32, ADC8i8, ADD16i16, ADD32i...
@@ -2394,7 +2324,7 @@
     return;
     break;
   case 7:
-    // IN16, IN32, IN8
+    // INSB, INSL, INSW
     SStream_concat0(O, ", dx"); 
 	op_addReg(MI, X86_REG_DX);
     return;
@@ -2444,8 +2374,8 @@
 
 
   // Fragment 2 encoded into 4 bits for 16 unique commands.
-  //printf("Frag-2: %"PRIu64"\n", (Bits >> 22) & 15);
-  switch ((Bits >> 22) & 15) {
+  //printf("Frag-2: %"PRIu64"\n", (Bits >> 21) & 15);
+  switch ((Bits >> 21) & 15) {
   default:   // unreachable.
   case 0:
     // ADC16mi, ADC16mi8, ADC16mr, ADC32mi, ADC32mi8, ADC32mr, ADC64mi32, ADC...
@@ -2524,8 +2454,8 @@
 
 
   // Fragment 3 encoded into 2 bits for 4 unique commands.
-  //printf("Frag-3: %"PRIu64"\n", (Bits >> 26) & 3);
-  switch ((Bits >> 26) & 3) {
+  //printf("Frag-3: %"PRIu64"\n", (Bits >> 25) & 3);
+  switch ((Bits >> 25) & 3) {
   default:   // unreachable.
   case 0:
     // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
@@ -2550,8 +2480,8 @@
 
 
   // Fragment 4 encoded into 3 bits for 5 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 28) & 7);
-  switch ((Bits >> 28) & 7) {
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 27) & 7);
+  switch ((Bits >> 27) & 7) {
   default:   // unreachable.
   case 0:
     // ANDN32rm, MULX32rm, PDEP32rm, PEXT32rm
@@ -2581,8 +2511,8 @@
 
 
   // Fragment 5 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-5: %"PRIu64"\n", (Bits >> 31) & 1);
-  if ((Bits >> 31) & 1) {
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 30) & 1);
+  if ((Bits >> 30) & 1) {
     // VAARG_64
     SStream_concat0(O, ", "); 
     printOperand(MI, 7, O); 
@@ -2593,6 +2523,7 @@
     // BEXTR32rm, BEXTR64rm, BEXTRI32mi, BEXTRI64mi, BZHI32rm, BZHI64rm, IMUL...
     return;
   }
+
 }
 
 
@@ -2601,7 +2532,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -2726,111 +2657,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -2846,14 +2778,20 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -2862,7 +2800,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -2871,14 +2809,80 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
@@ -2894,7 +2898,14 @@
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenAsmWriter_reduce.inc b/arch/X86/X86GenAsmWriter_reduce.inc
index e66b25c..82b4b3d 100644
--- a/arch/X86/X86GenAsmWriter_reduce.inc
+++ b/arch/X86/X86GenAsmWriter_reduce.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,22 +25,23 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    4951U,	// DBG_VALUE
+    4199U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    4944U,	// BUNDLE
-    5007U,	// LIFETIME_START
-    4931U,	// LIFETIME_END
+    4192U,	// BUNDLE
+    4255U,	// LIFETIME_START
+    4179U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    5022U,	// AAA
+    0U,	// LOAD_STACK_GUARD
+    4270U,	// AAA
     8459U,	// AAD8i8
     9418U,	// AAM8i8
-    5562U,	// AAS
-    4635U,	// ACQUIRE_MOV16rm
-    4635U,	// ACQUIRE_MOV32rm
-    4635U,	// ACQUIRE_MOV64rm
-    4635U,	// ACQUIRE_MOV8rm
+    4841U,	// AAS
+    3883U,	// ACQUIRE_MOV16rm
+    3883U,	// ACQUIRE_MOV32rm
+    3883U,	// ACQUIRE_MOV64rm
+    3883U,	// ACQUIRE_MOV8rm
     534689U,	// ADC16i16
     1067169U,	// ADC16mi
     1067169U,	// ADC16mi8
@@ -123,10 +124,10 @@
     57409U,	// ADD8rm
     1597505U,	// ADD8rr
     2121793U,	// ADD8rr_REV
-    4961U,	// ADJCALLSTACKDOWN32
-    4961U,	// ADJCALLSTACKDOWN64
-    4979U,	// ADJCALLSTACKUP32
-    4979U,	// ADJCALLSTACKUP64
+    4209U,	// ADJCALLSTACKDOWN32
+    4209U,	// ADJCALLSTACKDOWN64
+    4227U,	// ADJCALLSTACKUP32
+    4227U,	// ADJCALLSTACKUP64
     66734U,	// ADOX32rm
     2172078U,	// ADOX32rr
     83954U,	// ADOX64rm
@@ -172,49 +173,6 @@
     18376322U,	// ANDN64rr
     1065839U,	// ARPL16mr
     2171759U,	// ARPL16rr
-    3537U,	// ATOMADD6432
-    4317U,	// ATOMAND16
-    3750U,	// ATOMAND32
-    4004U,	// ATOMAND64
-    3558U,	// ATOMAND6432
-    4471U,	// ATOMAND8
-    4432U,	// ATOMMAX16
-    3965U,	// ATOMMAX32
-    4278U,	// ATOMMAX64
-    3707U,	// ATOMMAX6432
-    4598U,	// ATOMMAX8
-    4356U,	// ATOMMIN16
-    3850U,	// ATOMMIN32
-    4163U,	// ATOMMIN64
-    3601U,	// ATOMMIN6432
-    4508U,	// ATOMMIN8
-    4336U,	// ATOMNAND16
-    3769U,	// ATOMNAND32
-    4023U,	// ATOMNAND64
-    3579U,	// ATOMNAND6432
-    4489U,	// ATOMNAND8
-    4395U,	// ATOMOR16
-    3928U,	// ATOMOR32
-    4241U,	// ATOMOR64
-    3666U,	// ATOMOR6432
-    4563U,	// ATOMOR8
-    3516U,	// ATOMSUB6432
-    3644U,	// ATOMSWAP6432
-    4451U,	// ATOMUMAX16
-    3984U,	// ATOMUMAX32
-    4297U,	// ATOMUMAX64
-    3728U,	// ATOMUMAX6432
-    4616U,	// ATOMUMAX8
-    4375U,	// ATOMUMIN16
-    3869U,	// ATOMUMIN32
-    4182U,	// ATOMUMIN64
-    3622U,	// ATOMUMIN6432
-    4526U,	// ATOMUMIN8
-    4413U,	// ATOMXOR16
-    3946U,	// ATOMXOR32
-    4259U,	// ATOMXOR64
-    3686U,	// ATOMXOR6432
-    4580U,	// ATOMXOR8
     5858234U,	// BEXTR32rm
     18375610U,	// BEXTR32rr
     6383372U,	// BEXTR64rm
@@ -331,25 +289,25 @@
     18375359U,	// BZHI32rr
     6383151U,	// BZHI64rm
     18376239U,	// BZHI64rr
-    111208U,	// CALL16m
-    12904U,	// CALL16r
-    119366U,	// CALL32m
-    12870U,	// CALL32r
-    127575U,	// CALL64m
+    110456U,	// CALL16m
+    12152U,	// CALL16r
+    118614U,	// CALL32m
+    12118U,	// CALL32r
+    126823U,	// CALL64m
     132696U,	// CALL64pcrel32
-    12887U,	// CALL64r
+    12135U,	// CALL64r
     133453U,	// CALLpcrel16
     131839U,	// CALLpcrel32
-    5939U,	// CBW
-    5164U,	// CDQ
-    5526U,	// CDQE
-    5091U,	// CLAC
-    5123U,	// CLC
-    5160U,	// CLD
-    5239U,	// CLGI
-    5249U,	// CLI
-    5870U,	// CLTS
-    5127U,	// CMC
+    5218U,	// CBW
+    4412U,	// CDQ
+    4805U,	// CDQE
+    4339U,	// CLAC
+    4371U,	// CLC
+    4408U,	// CLD
+    4518U,	// CLGI
+    4528U,	// CLI
+    5149U,	// CLTS
+    4375U,	// CMC
     2132085U,	// CMOVA16rm
     2123893U,	// CMOVA16rr
     2138555U,	// CMOVA32rm
@@ -446,23 +404,23 @@
     2122772U,	// CMOVS32rr
     2148179U,	// CMOVS64rm
     2123603U,	// CMOVS64rr
-    3909U,	// CMOV_FR32
-    4222U,	// CMOV_FR64
-    3476U,	// CMOV_GR16
-    3456U,	// CMOV_GR32
-    4545U,	// CMOV_GR8
-    3889U,	// CMOV_RFP32
-    4202U,	// CMOV_RFP64
-    3496U,	// CMOV_RFP80
-    3809U,	// CMOV_V16F32
-    4043U,	// CMOV_V2F64
-    4103U,	// CMOV_V2I64
-    3789U,	// CMOV_V4F32
-    4063U,	// CMOV_V4F64
-    4123U,	// CMOV_V4I64
-    3830U,	// CMOV_V8F32
-    4083U,	// CMOV_V8F64
-    4143U,	// CMOV_V8I64
+    3687U,	// CMOV_FR32
+    3846U,	// CMOV_FR64
+    3566U,	// CMOV_GR16
+    3546U,	// CMOV_GR32
+    3865U,	// CMOV_GR8
+    3667U,	// CMOV_RFP32
+    3826U,	// CMOV_RFP64
+    3586U,	// CMOV_RFP80
+    3626U,	// CMOV_V16F32
+    3706U,	// CMOV_V2F64
+    3766U,	// CMOV_V2I64
+    3606U,	// CMOV_V4F32
+    3726U,	// CMOV_V4F64
+    3786U,	// CMOV_V4I64
+    3647U,	// CMOV_V8F32
+    3746U,	// CMOV_V8F64
+    3806U,	// CMOV_V8I64
     534917U,	// CMP16i16
     1067397U,	// CMP16mi
     1067397U,	// CMP16mi8
@@ -497,10 +455,10 @@
     139397U,	// CMP8rm
     2171013U,	// CMP8rr
     2171013U,	// CMP8rr_REV
-    40520233U,	// CMPS16
-    57304058U,	// CMPS32
-    74090304U,	// CMPS64
-    90874048U,	// CMPS8
+    40517824U,	// CMPSB
+    57304058U,	// CMPSL
+    74090304U,	// CMPSQ
+    90876457U,	// CMPSW
     180235U,	// CMPXCHG16B
     1067297U,	// CMPXCHG16rm
     2173217U,	// CMPXCHG16rr
@@ -511,15 +469,15 @@
     122903U,	// CMPXCHG8B
     5259347U,	// CMPXCHG8rm
     2170963U,	// CMPXCHG8rr
-    5154U,	// CPUID32
-    5154U,	// CPUID64
-    5444U,	// CQO
-    5577U,	// CS_PREFIX
-    5173U,	// CWD
-    5388U,	// CWDE
-    5026U,	// DAA
-    5566U,	// DAS
-    4916U,	// DATA16_PREFIX
+    4402U,	// CPUID32
+    4402U,	// CPUID64
+    4723U,	// CQO
+    4856U,	// CS_PREFIX
+    4421U,	// CWD
+    4667U,	// CWDE
+    4274U,	// DAA
+    4845U,	// DAS
+    4164U,	// DATA16_PREFIX
     108711U,	// DEC16m
     10407U,	// DEC16r
     10407U,	// DEC32_16r
@@ -542,31 +500,31 @@
     10170U,	// DIV64r
     188649U,	// DIV8m
     8425U,	// DIV8r
-    5597U,	// DS_PREFIX
+    4876U,	// DS_PREFIX
     11601U,	// EH_RETURN
     11601U,	// EH_RETURN64
-    4739U,	// EH_SjLj_LongJmp32
-    4829U,	// EH_SjLj_LongJmp64
-    4758U,	// EH_SjLj_SetJmp32
-    4848U,	// EH_SjLj_SetJmp64
+    3987U,	// EH_SjLj_LongJmp32
+    4077U,	// EH_SjLj_LongJmp64
+    4006U,	// EH_SjLj_SetJmp32
+    4096U,	// EH_SjLj_SetJmp64
     132365U,	// EH_SjLj_Setup
     107489303U,	// ENTER
-    5635U,	// ES_PREFIX
+    4914U,	// ES_PREFIX
     2173260U,	// FARCALL16i
-    201319U,	// FARCALL16m
+    200567U,	// FARCALL16m
     2171646U,	// FARCALL32i
-    201285U,	// FARCALL32m
-    201302U,	// FARCALL64
+    200533U,	// FARCALL32m
+    200550U,	// FARCALL64
     2173323U,	// FARJMP16i
-    201328U,	// FARJMP16m
+    200576U,	// FARJMP16m
     2171731U,	// FARJMP32i
-    201294U,	// FARJMP32m
-    201311U,	// FARJMP64
-    5401U,	// FSETPM
-    5673U,	// FS_PREFIX
-    5111U,	// GETSEC
-    5730U,	// GS_PREFIX
-    5875U,	// HLT
+    200542U,	// FARJMP32m
+    200559U,	// FARJMP64
+    4680U,	// FSETPM
+    4952U,	// FS_PREFIX
+    4359U,	// GETSEC
+    5009U,	// GS_PREFIX
+    5154U,	// HLT
     109214U,	// IDIV16m
     10910U,	// IDIV16r
     115829U,	// IDIV32m
@@ -601,15 +559,12 @@
     18376307U,	// IMUL64rri8
     188537U,	// IMUL8m
     8313U,	// IMUL8r
-    150843U,	// IN16
     534895U,	// IN16ri
-    5956U,	// IN16rr
-    159024U,	// IN32
+    5235U,	// IN16rr
     2630440U,	// IN32ri
-    6035U,	// IN32rr
-    175397U,	// IN8
+    5314U,	// IN32rr
     4726912U,	// IN8ri
-    5277U,	// IN8rr
+    4556U,	// IN8rr
     108717U,	// INC16m
     10413U,	// INC16r
     10413U,	// INC32_16r
@@ -624,24 +579,27 @@
     9563U,	// INC64r
     188474U,	// INC8m
     8250U,	// INC8r
+    150821U,	// INSB
+    159024U,	// INSL
+    175419U,	// INSW
     10319U,	// INT
-    4734U,	// INT1
-    4824U,	// INT3
-    5439U,	// INTO
-    5180U,	// INVD
+    3982U,	// INT1
+    4072U,	// INT3
+    4718U,	// INTO
+    4428U,	// INVD
     206932U,	// INVEPT32
     206932U,	// INVEPT64
     188818U,	// INVLPG
-    6016U,	// INVLPGA32
-    6084U,	// INVLPGA64
+    5295U,	// INVLPGA32
+    5363U,	// INVLPGA64
     205072U,	// INVPCID32
     205072U,	// INVPCID64
     205081U,	// INVVPID32
     205081U,	// INVVPID64
-    5944U,	// IRET16
-    5359U,	// IRET32
-    5497U,	// IRET64
-    4995U,	// Int_MemBarrier
+    5223U,	// IRET16
+    4638U,	// IRET32
+    4776U,	// IRET64
+    4243U,	// Int_MemBarrier
     131378U,	// JAE_1
     131378U,	// JAE_2
     131378U,	// JAE_4
@@ -672,12 +630,12 @@
     131789U,	// JL_1
     131789U,	// JL_2
     131789U,	// JL_4
-    111217U,	// JMP16m
-    12913U,	// JMP16r
-    119375U,	// JMP32m
-    12879U,	// JMP32r
-    127584U,	// JMP64m
-    12896U,	// JMP64r
+    110465U,	// JMP16m
+    12161U,	// JMP16r
+    118623U,	// JMP32m
+    12127U,	// JMP32r
+    126832U,	// JMP64m
+    12144U,	// JMP64r
     132336U,	// JMP_1
     132336U,	// JMP_2
     132336U,	// JMP_4
@@ -703,7 +661,7 @@
     133177U,	// JS_1
     133177U,	// JS_2
     133177U,	// JS_4
-    5220U,	// LAHF
+    4499U,	// LAHF
     100783U,	// LAR16rm
     2173359U,	// LAR16rr
     99197U,	// LAR32rm
@@ -718,12 +676,12 @@
     122903U,	// LCMPXCHG8B
     215553U,	// LDS16rm
     213970U,	// LDS32rm
-    67695U,	// LEA16r
+    100463U,	// LEA16r
     65973U,	// LEA32r
     65973U,	// LEA64_32r
     83229U,	// LEA64r
-    5207U,	// LEAVE
-    5207U,	// LEAVE64
+    4486U,	// LEAVE
+    4486U,	// LEAVE64
     215566U,	// LES16rm
     213983U,	// LES32rm
     215572U,	// LFS16rm
@@ -783,7 +741,7 @@
     4212447U,	// LOCK_OR64mr
     5259422U,	// LOCK_OR8mi
     5259422U,	// LOCK_OR8mr
-    5272U,	// LOCK_PREFIX
+    4551U,	// LOCK_PREFIX
     1067147U,	// LOCK_SUB16mi
     1067147U,	// LOCK_SUB16mi8
     1067147U,	// LOCK_SUB16mr
@@ -816,9 +774,9 @@
     9284U,	// LRETIL
     10115U,	// LRETIQ
     10874U,	// LRETIW
-    5365U,	// LRETL
-    5503U,	// LRETQ
-    5950U,	// LRETW
+    4644U,	// LRETL
+    4782U,	// LRETQ
+    5229U,	// LRETW
     100698U,	// LSL16rm
     2173274U,	// LSL16rr
     66316U,	// LSL32rm
@@ -840,7 +798,7 @@
     2171985U,	// LZCNT32rr
     83850U,	// LZCNT64rm
     2172810U,	// LZCNT64rr
-    5393U,	// MONTMUL
+    4672U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
     257226U,	// MOV16ao16
@@ -971,7 +929,7 @@
     9744U,	// NEG64r
     188493U,	// NEG8m
     8269U,	// NEG8r
-    5460U,	// NOOP
+    4739U,	// NOOP
     108955U,	// NOOP18_16m4
     108955U,	// NOOP18_16m5
     108955U,	// NOOP18_16m6
@@ -1047,11 +1005,11 @@
     1597598U,	// OR8rr
     2121886U,	// OR8rr_REV
     11455U,	// OUT16ir
-    6117U,	// OUT16rr
+    5396U,	// OUT16rr
     11505U,	// OUT32ir
-    6131U,	// OUT32rr
+    5410U,	// OUT32rr
     10983U,	// OUT8ir
-    6103U,	// OUT8rr
+    5382U,	// OUT8rr
     10707143U,	// OUTSB
     10716173U,	// OUTSL
     10734140U,	// OUTSW
@@ -1072,23 +1030,23 @@
     9912U,	// POP64r
     124600U,	// POP64rmm
     9912U,	// POP64rmr
-    5900U,	// POPA16
-    5297U,	// POPA32
-    5619U,	// POPDS16
-    5600U,	// POPDS32
-    5657U,	// POPES16
-    5638U,	// POPES32
-    5913U,	// POPF16
-    5310U,	// POPF32
-    5471U,	// POPF64
-    5714U,	// POPFS16
-    5676U,	// POPFS32
-    5695U,	// POPFS64
-    5771U,	// POPGS16
-    5733U,	// POPGS32
-    5752U,	// POPGS64
-    5861U,	// POPSS16
-    5842U,	// POPSS32
+    5179U,	// POPA16
+    4576U,	// POPA32
+    4898U,	// POPDS16
+    4879U,	// POPDS32
+    4936U,	// POPES16
+    4917U,	// POPES32
+    5192U,	// POPF16
+    4589U,	// POPF32
+    4750U,	// POPF64
+    4993U,	// POPFS16
+    4955U,	// POPFS32
+    4974U,	// POPFS64
+    5050U,	// POPGS16
+    5012U,	// POPGS32
+    5031U,	// POPGS64
+    5140U,	// POPSS16
+    5121U,	// POPSS32
     10547U,	// PUSH16i8
     10547U,	// PUSH16r
     108851U,	// PUSH16rmm
@@ -1103,25 +1061,25 @@
     9768U,	// PUSH64r
     124456U,	// PUSH64rmm
     9768U,	// PUSH64rmr
-    5893U,	// PUSHA16
-    5290U,	// PUSHA32
-    5580U,	// PUSHCS16
-    5570U,	// PUSHCS32
-    5609U,	// PUSHDS16
-    5590U,	// PUSHDS32
-    5647U,	// PUSHES16
-    5628U,	// PUSHES32
-    5906U,	// PUSHF16
-    5303U,	// PUSHF32
-    5464U,	// PUSHF64
-    5704U,	// PUSHFS16
-    5666U,	// PUSHFS32
-    5685U,	// PUSHFS64
-    5761U,	// PUSHGS16
-    5723U,	// PUSHGS32
-    5742U,	// PUSHGS64
-    5851U,	// PUSHSS16
-    5832U,	// PUSHSS32
+    5172U,	// PUSHA16
+    4569U,	// PUSHA32
+    4859U,	// PUSHCS16
+    4849U,	// PUSHCS32
+    4888U,	// PUSHDS16
+    4869U,	// PUSHDS32
+    4926U,	// PUSHES16
+    4907U,	// PUSHES32
+    5185U,	// PUSHF16
+    4582U,	// PUSHF32
+    4743U,	// PUSHF64
+    4983U,	// PUSHFS16
+    4945U,	// PUSHFS32
+    4964U,	// PUSHFS64
+    5040U,	// PUSHGS16
+    5002U,	// PUSHGS32
+    5021U,	// PUSHGS64
+    5130U,	// PUSHSS16
+    5111U,	// PUSHSS32
     10547U,	// PUSHi16
     8888U,	// PUSHi32
     108864U,	// RCL16m1
@@ -1176,43 +1134,43 @@
     9668U,	// RDFSBASE64
     8818U,	// RDGSBASE
     9690U,	// RDGSBASE64
-    5540U,	// RDMSR
-    5131U,	// RDPMC
+    4819U,	// RDMSR
+    4379U,	// RDPMC
     10448U,	// RDRAND16r
     8735U,	// RDRAND32r
     9607U,	// RDRAND64r
     10432U,	// RDSEED16r
     8719U,	// RDSEED32r
     9591U,	// RDSEED64r
-    5144U,	// RDTSC
-    5449U,	// RDTSCP
-    4656U,	// RELEASE_MOV16mr
-    4656U,	// RELEASE_MOV32mr
-    4656U,	// RELEASE_MOV64mr
-    4656U,	// RELEASE_MOV8mr
-    5194U,	// REPNE_PREFIX
-    5075U,	// REP_MOVSB_32
-    5075U,	// REP_MOVSB_64
-    5349U,	// REP_MOVSD_32
-    5349U,	// REP_MOVSD_64
-    5487U,	// REP_MOVSQ_64
-    5929U,	// REP_MOVSW_32
-    5929U,	// REP_MOVSW_64
-    5456U,	// REP_PREFIX
-    5065U,	// REP_STOSB_32
-    5065U,	// REP_STOSB_64
-    5339U,	// REP_STOSD_32
-    5339U,	// REP_STOSD_64
-    5477U,	// REP_STOSQ_64
-    5919U,	// REP_STOSW_32
-    5919U,	// REP_STOSW_64
+    4392U,	// RDTSC
+    4728U,	// RDTSCP
+    3904U,	// RELEASE_MOV16mr
+    3904U,	// RELEASE_MOV32mr
+    3904U,	// RELEASE_MOV64mr
+    3904U,	// RELEASE_MOV8mr
+    4442U,	// REPNE_PREFIX
+    4323U,	// REP_MOVSB_32
+    4323U,	// REP_MOVSB_64
+    4628U,	// REP_MOVSD_32
+    4628U,	// REP_MOVSD_64
+    4766U,	// REP_MOVSQ_64
+    5208U,	// REP_MOVSW_32
+    5208U,	// REP_MOVSW_64
+    4735U,	// REP_PREFIX
+    4313U,	// REP_STOSB_32
+    4313U,	// REP_STOSB_64
+    4618U,	// REP_STOSD_32
+    4618U,	// REP_STOSD_64
+    4756U,	// REP_STOSQ_64
+    5198U,	// REP_STOSW_32
+    5198U,	// REP_STOSW_64
     9285U,	// RETIL
     10116U,	// RETIQ
     10875U,	// RETIW
-    5360U,	// RETL
-    5498U,	// RETQ
-    5945U,	// RETW
-    4910U,	// REX64_PREFIX
+    4639U,	// RETL
+    4777U,	// RETQ
+    5224U,	// RETW
+    4158U,	// REX64_PREFIX
     108884U,	// ROL16m1
     109678U,	// ROL16mCL
     1067348U,	// ROL16mi
@@ -1265,8 +1223,8 @@
     18375875U,	// RORX32ri
     6383623U,	// RORX64mi
     18376711U,	// RORX64ri
-    5408U,	// RSM
-    5225U,	// SAHF
+    4687U,	// RSM
+    4504U,	// SAHF
     108858U,	// SAL16m1
     109645U,	// SAL16mCL
     1067322U,	// SAL16mi
@@ -1291,7 +1249,7 @@
     8289U,	// SAL8r1
     11005U,	// SAL8rCL
     2121825U,	// SAL8ri
-    5118U,	// SALC
+    4366U,	// SALC
     108981U,	// SAR16m1
     109689U,	// SAR16mCL
     1067445U,	// SAR16mi
@@ -1354,12 +1312,20 @@
     57378U,	// SBB8rm
     1597474U,	// SBB8rr
     2121762U,	// SBB8rr_REV
-    674289U,	// SCAS16
-    2778050U,	// SCAS32
-    3835668U,	// SCAS64
-    4890793U,	// SCAS8
-    5787U,	// SEG_ALLOCA_32
-    5787U,	// SEG_ALLOCA_64
+    4866217U,	// SCASB
+    2778050U,	// SCASL
+    3835668U,	// SCASQ
+    698865U,	// SCASW
+    5066U,	// SEG_ALLOCA_32
+    5066U,	// SEG_ALLOCA_64
+    4469U,	// SEH_EndPrologue
+    4455U,	// SEH_Epilogue
+    11679U,	// SEH_PushFrame
+    11724U,	// SEH_PushReg
+    107490750U,	// SEH_SaveReg
+    107490664U,	// SEH_SaveXMM
+    107490735U,	// SEH_SetFrame
+    11662U,	// SEH_StackAlloc
     188727U,	// SETAEm
     8503U,	// SETAEr
     188421U,	// SETAm
@@ -1482,7 +1448,7 @@
     199269U,	// SIDT16m
     197686U,	// SIDT32m
     198517U,	// SIDT64m
-    6004U,	// SKINIT
+    5283U,	// SKINIT
     109171U,	// SLDT16m
     10867U,	// SLDT16r
     9277U,	// SLDT32r
@@ -1492,16 +1458,16 @@
     10930U,	// SMSW16r
     9346U,	// SMSW32r
     10182U,	// SMSW64r
-    5839U,	// SS_PREFIX
-    5096U,	// STAC
-    5150U,	// STC
-    5169U,	// STD
-    5244U,	// STGI
-    5253U,	// STI
-    174811U,	// STOSB
+    5118U,	// SS_PREFIX
+    4344U,	// STAC
+    4398U,	// STC
+    4417U,	// STD
+    4523U,	// STGI
+    4532U,	// STI
+    150235U,	// STOSB
     158948U,	// STOSL
     167192U,	// STOSQ
-    150707U,	// STOSW
+    175283U,	// STOSW
     10731U,	// STR16r
     9140U,	// STR32r
     9990U,	// STR64r
@@ -1541,23 +1507,23 @@
     57384U,	// SUB8rm
     1597480U,	// SUB8rr
     2121768U,	// SUB8rr_REV
-    5780U,	// SWAPGS
-    5331U,	// SYSCALL
-    5531U,	// SYSENTER
-    5379U,	// SYSEXIT
-    5517U,	// SYSEXIT64
-    5371U,	// SYSRET
-    5509U,	// SYSRET64
+    5059U,	// SWAPGS
+    4610U,	// SYSCALL
+    4810U,	// SYSENTER
+    4658U,	// SYSEXIT
+    4796U,	// SYSEXIT64
+    4650U,	// SYSRET
+    4788U,	// SYSRET64
     65795U,	// T1MSKC32rm
     2171139U,	// T1MSKC32rr
     82179U,	// T1MSKC64rm
     2171139U,	// T1MSKC64rr
     11666672U,	// TAILJMPd
     11666672U,	// TAILJMPd64
-    11653711U,	// TAILJMPm
-    11661920U,	// TAILJMPm64
+    11652959U,	// TAILJMPm
+    11661168U,	// TAILJMPm64
     0U,	// TAILJMPr
-    11547232U,	// TAILJMPr64
+    11546480U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
@@ -1569,21 +1535,21 @@
     1067671U,	// TEST16mi_alt
     2173591U,	// TEST16ri
     2173591U,	// TEST16ri_alt
-    101015U,	// TEST16rm
+    1067671U,	// TEST16rm
     2173591U,	// TEST16rr
     2630759U,	// TEST32i32
     3163239U,	// TEST32mi
     3163239U,	// TEST32mi_alt
     2172007U,	// TEST32ri
     2172007U,	// TEST32ri_alt
-    66663U,	// TEST32rm
+    3163239U,	// TEST32rm
     2172007U,	// TEST32rr
     3680171U,	// TEST64i32
     4212651U,	// TEST64mi32
     4212651U,	// TEST64mi32_alt
     2172843U,	// TEST64ri32
     2172843U,	// TEST64ri32_alt
-    83883U,	// TEST64rm
+    4212651U,	// TEST64rm
     2172843U,	// TEST64rr
     4727009U,	// TEST8i8
     5259489U,	// TEST8mi
@@ -1591,15 +1557,15 @@
     2171105U,	// TEST8ri
     0U,	// TEST8ri_NOREX
     2171105U,	// TEST8ri_alt
-    139489U,	// TEST8rm
+    5259489U,	// TEST8rm
     2171105U,	// TEST8rr
-    4776U,	// TLSCall_32
-    4866U,	// TLSCall_64
-    4789U,	// TLS_addr32
-    4879U,	// TLS_addr64
-    4802U,	// TLS_base_addr32
-    4892U,	// TLS_base_addr64
-    4820U,	// TRAP
+    4024U,	// TLSCall_32
+    4114U,	// TLSCall_64
+    4037U,	// TLS_addr32
+    4127U,	// TLS_addr64
+    4050U,	// TLS_base_addr32
+    4140U,	// TLS_base_addr64
+    4068U,	// TRAP
     101001U,	// TZCNT16rm
     2173577U,	// TZCNT16rr
     66649U,	// TZCNT32rm
@@ -1610,47 +1576,47 @@
     2171310U,	// TZMSK32rr
     82350U,	// TZMSK64rm
     2171310U,	// TZMSK64rr
-    5030U,	// UD2B
+    4278U,	// UD2B
     191376710U,	// VAARG_64
-    375926120U,	// VASTART_SAVE_XMM_REGS
+    375926134U,	// VASTART_SAVE_XMM_REGS
     108582U,	// VERRm
     10278U,	// VERRr
     108993U,	// VERWm
     10689U,	// VERWr
-    5324U,	// VMCALL
+    4603U,	// VMCALL
     124942U,	// VMCLEARm
-    5137U,	// VMFUNC
-    5230U,	// VMLAUNCH
-    5969U,	// VMLOAD32
-    6049U,	// VMLOAD64
-    5316U,	// VMMCALL
+    4385U,	// VMFUNC
+    4509U,	// VMLAUNCH
+    5248U,	// VMLOAD32
+    5328U,	// VMLOAD64
+    4595U,	// VMMCALL
     123170U,	// VMPTRLDm
     125030U,	// VMPTRSTm
     3162623U,	// VMREAD32rm
     2171391U,	// VMREAD32rr
     4212071U,	// VMREAD64rm
     2172263U,	// VMREAD64rr
-    5185U,	// VMRESUME
-    5993U,	// VMRUN32
-    6073U,	// VMRUN64
-    5981U,	// VMSAVE32
-    6061U,	// VMSAVE64
+    4433U,	// VMRESUME
+    5272U,	// VMRUN32
+    5352U,	// VMRUN64
+    5260U,	// VMSAVE32
+    5340U,	// VMSAVE64
     66184U,	// VMWRITE32rm
     2171528U,	// VMWRITE32rr
     83440U,	// VMWRITE64rm
     2172400U,	// VMWRITE64rr
-    5213U,	// VMXOFF
+    4492U,	// VMXOFF
     124111U,	// VMXON
     132696U,	// W64ALLOCA
-    5178U,	// WBINVD
-    5412U,	// WIN_ALLOCA
-    5257U,	// WIN_FTOL_32
-    5257U,	// WIN_FTOL_64
+    4426U,	// WBINVD
+    4691U,	// WIN_ALLOCA
+    4536U,	// WIN_FTOL_32
+    4536U,	// WIN_FTOL_64
     8807U,	// WRFSBASE
     9679U,	// WRFSBASE64
     8829U,	// WRGSBASE
     9701U,	// WRGSBASE64
-    5546U,	// WRMSR
+    4825U,	// WRMSR
     1067193U,	// XADD16rm
     2173113U,	// XADD16rr
     3162632U,	// XADD32rm
@@ -1671,13 +1637,13 @@
     12133913U,	// XCHG64rr
     9510998U,	// XCHG8rm
     12132438U,	// XCHG8rr
-    5101U,	// XCRYPTCBC
-    5045U,	// XCRYPTCFB
-    5552U,	// XCRYPTCTR
-    5035U,	// XCRYPTECB
-    5055U,	// XCRYPTOFB
-    5879U,	// XGETBV
-    5085U,	// XLAT
+    4349U,	// XCRYPTCBC
+    4293U,	// XCRYPTCFB
+    4831U,	// XCRYPTCTR
+    4283U,	// XCRYPTECB
+    4303U,	// XCRYPTOFB
+    5158U,	// XGETBV
+    4333U,	// XLAT
     534995U,	// XOR16i16
     1067475U,	// XOR16mi
     1067475U,	// XOR16mi8
@@ -1719,10 +1685,10 @@
     198138U,	// XSAVE64
     198748U,	// XSAVEOPT
     198560U,	// XSAVEOPT64
-    5886U,	// XSETBV
-    4728U,	// XSHA1
-    4923U,	// XSHA256
-    5200U,	// XSTORE
+    5165U,	// XSETBV
+    3976U,	// XSHA1
+    4171U,	// XSHA256
+    4448U,	// XSTORE
     0U
   };
 
@@ -2176,233 +2142,198 @@
   /* 3386 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
   /* 3397 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
   /* 3408 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 3431 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 3455 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3475 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3495 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3515 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3536 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3557 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3578 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3600 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3621 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3643 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3665 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3685 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3706 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3727 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3749 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3768 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3788 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3808 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3829 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3849 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3868 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3888 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3908 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3927 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3945 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3964 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3983 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4003 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4022 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4042 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4062 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4082 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4102 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4122 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4142 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4162 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4181 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4201 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4221 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4240 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4258 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4277 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4296 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4316 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4335 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4355 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4374 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4394 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4412 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4431 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4450 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4470 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4488 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4507 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4525 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4544 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4562 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4579 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4597 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4615 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4634 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4655 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4676 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
-  /* 4685 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
-  /* 4693 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
-  /* 4702 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
-  /* 4710 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
-  /* 4719 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
-  /* 4727 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 4733 */ 'i', 'n', 't', '1', 0,
-  /* 4738 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 4757 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 4775 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 4788 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 4801 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 4819 */ 'u', 'd', '2', 0,
-  /* 4823 */ 'i', 'n', 't', '3', 0,
-  /* 4828 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 4847 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 4865 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 4878 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 4891 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 4909 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 4915 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 4922 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 4930 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 4943 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 4950 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 4960 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 4978 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 4994 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 5006 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 5021 */ 'a', 'a', 'a', 0,
-  /* 5025 */ 'd', 'a', 'a', 0,
-  /* 5029 */ 'u', 'd', '2', 'b', 0,
-  /* 5034 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 5044 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 5054 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 5064 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
-  /* 5074 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
-  /* 5084 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 5090 */ 'c', 'l', 'a', 'c', 0,
-  /* 5095 */ 's', 't', 'a', 'c', 0,
-  /* 5100 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 5110 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 5117 */ 's', 'a', 'l', 'c', 0,
-  /* 5122 */ 'c', 'l', 'c', 0,
-  /* 5126 */ 'c', 'm', 'c', 0,
-  /* 5130 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 5136 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 5143 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 5149 */ 's', 't', 'c', 0,
-  /* 5153 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 5159 */ 'c', 'l', 'd', 0,
-  /* 5163 */ 'c', 'l', 't', 'd', 0,
-  /* 5168 */ 's', 't', 'd', 0,
-  /* 5172 */ 'c', 'w', 't', 'd', 0,
-  /* 5177 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 5184 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 5193 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 5199 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 5206 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 5212 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 5219 */ 'l', 'a', 'h', 'f', 0,
-  /* 5224 */ 's', 'a', 'h', 'f', 0,
-  /* 5229 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 5238 */ 'c', 'l', 'g', 'i', 0,
-  /* 5243 */ 's', 't', 'g', 'i', 0,
-  /* 5248 */ 'c', 'l', 'i', 0,
-  /* 5252 */ 's', 't', 'i', 0,
-  /* 5256 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 5271 */ 'l', 'o', 'c', 'k', 0,
-  /* 5276 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
-  /* 5289 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 5296 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 5302 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
-  /* 5309 */ 'p', 'o', 'p', 'f', 'l', 0,
-  /* 5315 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 5323 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 5330 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 5338 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
-  /* 5348 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
-  /* 5358 */ 'i', 'r', 'e', 't', 'l', 0,
-  /* 5364 */ 'l', 'r', 'e', 't', 'l', 0,
-  /* 5370 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
-  /* 5378 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
-  /* 5387 */ 'c', 'w', 't', 'l', 0,
-  /* 5392 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 5400 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 5407 */ 'r', 's', 'm', 0,
-  /* 5411 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 5438 */ 'i', 'n', 't', 'o', 0,
-  /* 5443 */ 'c', 'q', 't', 'o', 0,
-  /* 5448 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 5455 */ 'r', 'e', 'p', 0,
-  /* 5459 */ 'n', 'o', 'p', 0,
-  /* 5463 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 5470 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 5476 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
-  /* 5486 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
-  /* 5496 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 5502 */ 'l', 'r', 'e', 't', 'q', 0,
-  /* 5508 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
-  /* 5516 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
-  /* 5525 */ 'c', 'l', 't', 'q', 0,
-  /* 5530 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 5539 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 5545 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 5551 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 5561 */ 'a', 'a', 's', 0,
-  /* 5565 */ 'd', 'a', 's', 0,
-  /* 5569 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
-  /* 5579 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
-  /* 5589 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
-  /* 5599 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
-  /* 5608 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
-  /* 5618 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
-  /* 5627 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
-  /* 5637 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
-  /* 5646 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
-  /* 5656 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
-  /* 5665 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
-  /* 5675 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
-  /* 5684 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
-  /* 5694 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
-  /* 5703 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
-  /* 5713 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
-  /* 5722 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
-  /* 5732 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
-  /* 5741 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
-  /* 5751 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
-  /* 5760 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
-  /* 5770 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
-  /* 5779 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 5786 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 5831 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
-  /* 5841 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
-  /* 5850 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
-  /* 5860 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
-  /* 5869 */ 'c', 'l', 't', 's', 0,
-  /* 5874 */ 'h', 'l', 't', 0,
-  /* 5878 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 5885 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 5892 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 5899 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 5905 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
-  /* 5912 */ 'p', 'o', 'p', 'f', 'w', 0,
-  /* 5918 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
-  /* 5928 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
-  /* 5938 */ 'c', 'b', 't', 'w', 0,
-  /* 5943 */ 'i', 'r', 'e', 't', 'w', 0,
-  /* 5949 */ 'l', 'r', 'e', 't', 'w', 0,
-  /* 5955 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
-  /* 5968 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
-  /* 5980 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
-  /* 5992 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
-  /* 6003 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
-  /* 6015 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 6034 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 6048 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
-  /* 6060 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
-  /* 6072 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
-  /* 6083 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
-  /* 6102 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
-  /* 6116 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 6130 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 3431 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 3445 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 3469 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 3486 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 3502 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 3517 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 3531 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 3545 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3565 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3585 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3605 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3625 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3646 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3666 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3686 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3705 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3725 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3745 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3765 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3785 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3805 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3825 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3845 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3864 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3882 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3903 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3924 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
+  /* 3933 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
+  /* 3941 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
+  /* 3950 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
+  /* 3958 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
+  /* 3967 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
+  /* 3975 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 3981 */ 'i', 'n', 't', '1', 0,
+  /* 3986 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 4005 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 4023 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 4036 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 4049 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 4067 */ 'u', 'd', '2', 0,
+  /* 4071 */ 'i', 'n', 't', '3', 0,
+  /* 4076 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 4095 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 4113 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 4126 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 4139 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 4157 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 4163 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 4170 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 4178 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 4191 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 4198 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 4208 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 4226 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 4242 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 4254 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 4269 */ 'a', 'a', 'a', 0,
+  /* 4273 */ 'd', 'a', 'a', 0,
+  /* 4277 */ 'u', 'd', '2', 'b', 0,
+  /* 4282 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 4292 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 4302 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 4312 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
+  /* 4322 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
+  /* 4332 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 4338 */ 'c', 'l', 'a', 'c', 0,
+  /* 4343 */ 's', 't', 'a', 'c', 0,
+  /* 4348 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 4358 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 4365 */ 's', 'a', 'l', 'c', 0,
+  /* 4370 */ 'c', 'l', 'c', 0,
+  /* 4374 */ 'c', 'm', 'c', 0,
+  /* 4378 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 4384 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 4391 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 4397 */ 's', 't', 'c', 0,
+  /* 4401 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 4407 */ 'c', 'l', 'd', 0,
+  /* 4411 */ 'c', 'l', 't', 'd', 0,
+  /* 4416 */ 's', 't', 'd', 0,
+  /* 4420 */ 'c', 'w', 't', 'd', 0,
+  /* 4425 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 4432 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 4441 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 4447 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 4454 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 4468 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 4485 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 4491 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 4498 */ 'l', 'a', 'h', 'f', 0,
+  /* 4503 */ 's', 'a', 'h', 'f', 0,
+  /* 4508 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 4517 */ 'c', 'l', 'g', 'i', 0,
+  /* 4522 */ 's', 't', 'g', 'i', 0,
+  /* 4527 */ 'c', 'l', 'i', 0,
+  /* 4531 */ 's', 't', 'i', 0,
+  /* 4535 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 4550 */ 'l', 'o', 'c', 'k', 0,
+  /* 4555 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
+  /* 4568 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 4575 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 4581 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
+  /* 4588 */ 'p', 'o', 'p', 'f', 'l', 0,
+  /* 4594 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 4602 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 4609 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 4617 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
+  /* 4627 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
+  /* 4637 */ 'i', 'r', 'e', 't', 'l', 0,
+  /* 4643 */ 'l', 'r', 'e', 't', 'l', 0,
+  /* 4649 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
+  /* 4657 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
+  /* 4666 */ 'c', 'w', 't', 'l', 0,
+  /* 4671 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 4679 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 4686 */ 'r', 's', 'm', 0,
+  /* 4690 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 4717 */ 'i', 'n', 't', 'o', 0,
+  /* 4722 */ 'c', 'q', 't', 'o', 0,
+  /* 4727 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 4734 */ 'r', 'e', 'p', 0,
+  /* 4738 */ 'n', 'o', 'p', 0,
+  /* 4742 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 4749 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 4755 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
+  /* 4765 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
+  /* 4775 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 4781 */ 'l', 'r', 'e', 't', 'q', 0,
+  /* 4787 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
+  /* 4795 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
+  /* 4804 */ 'c', 'l', 't', 'q', 0,
+  /* 4809 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 4818 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 4824 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 4830 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 4840 */ 'a', 'a', 's', 0,
+  /* 4844 */ 'd', 'a', 's', 0,
+  /* 4848 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
+  /* 4858 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
+  /* 4868 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
+  /* 4878 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
+  /* 4887 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
+  /* 4897 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
+  /* 4906 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
+  /* 4916 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
+  /* 4925 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
+  /* 4935 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
+  /* 4944 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
+  /* 4954 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
+  /* 4963 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
+  /* 4973 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
+  /* 4982 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
+  /* 4992 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
+  /* 5001 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
+  /* 5011 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
+  /* 5020 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
+  /* 5030 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
+  /* 5039 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
+  /* 5049 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
+  /* 5058 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 5065 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 5110 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
+  /* 5120 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
+  /* 5129 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
+  /* 5139 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
+  /* 5148 */ 'c', 'l', 't', 's', 0,
+  /* 5153 */ 'h', 'l', 't', 0,
+  /* 5157 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 5164 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 5171 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 5178 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 5184 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
+  /* 5191 */ 'p', 'o', 'p', 'f', 'w', 0,
+  /* 5197 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
+  /* 5207 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
+  /* 5217 */ 'c', 'b', 't', 'w', 0,
+  /* 5222 */ 'i', 'r', 'e', 't', 'w', 0,
+  /* 5228 */ 'l', 'r', 'e', 't', 'w', 0,
+  /* 5234 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
+  /* 5247 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
+  /* 5259 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
+  /* 5271 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
+  /* 5282 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
+  /* 5294 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 5313 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 5327 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
+  /* 5339 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
+  /* 5351 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
+  /* 5362 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
+  /* 5381 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
+  /* 5395 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 5409 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
   };
 #endif
 
@@ -2483,7 +2414,7 @@
     SStream_concat0(O, ", "); 
     break;
   case 12:
-    // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, LAR16rm, LAR32rm, LAR64rm, LSL1...
+    // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, LAR16rm, LAR32rm, LAR64rm, LEA1...
     printi16mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -2513,20 +2444,20 @@
     printOperand(MI, 0, O); 
     break;
   case 18:
-    // CMPS16, IN16, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // CMPSB, INSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 19:
-    // CMPS32, IN32, SCAS32, STOSL
+    // CMPSL, INSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 20:
-    // CMPS64, SCAS64, STOSQ
+    // CMPSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 21:
-    // CMPS8, IN8, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // CMPSW, INSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 22:
     // CMPXCHG16B, LCMPXCHG16B
@@ -2706,7 +2637,7 @@
     return;
     break;
   case 13:
-    // CMPS16, CMPS32, CMPS64, CMPS8, ENTER, VAARG_64, VASTART_SAVE_XMM_REGS
+    // CMPSB, CMPSL, CMPSQ, CMPSW, ENTER, SEH_SaveReg, SEH_SaveXMM, SEH_SetFr...
     SStream_concat0(O, ", "); 
     break;
   case 14:
@@ -2780,27 +2711,27 @@
     return;
     break;
   case 2:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
+    // CMPSB
+    printSrcIdx8(MI, 1, O); 
     return;
     break;
   case 3:
-    // CMPS32
+    // CMPSL
     printSrcIdx32(MI, 1, O); 
     return;
     break;
   case 4:
-    // CMPS64
+    // CMPSQ
     printSrcIdx64(MI, 1, O); 
     return;
     break;
   case 5:
-    // CMPS8
-    printSrcIdx8(MI, 1, O); 
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
     return;
     break;
   case 6:
-    // ENTER, VASTART_SAVE_XMM_REGS
+    // ENTER, SEH_SaveReg, SEH_SaveXMM, SEH_SetFrame, VASTART_SAVE_XMM_REGS
     printOperand(MI, 1, O); 
     break;
   case 7:
@@ -2845,7 +2776,7 @@
     printOperand(MI, 2, O); 
     return;
   } else {
-    // ENTER
+    // ENTER, SEH_SaveReg, SEH_SaveXMM, SEH_SetFrame
     return;
   }
 }
@@ -2856,7 +2787,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -2981,111 +2912,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -3101,14 +3033,20 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -3117,7 +3055,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -3126,14 +3064,80 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
@@ -3149,7 +3153,14 @@
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenDisassemblerTables.inc b/arch/X86/X86GenDisassemblerTables.inc
index ee3c56c..2dd149e 100644
--- a/arch/X86/X86GenDisassemblerTables.inc
+++ b/arch/X86/X86GenDisassemblerTables.inc
@@ -10,6 +10,7 @@
 /* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 static const struct OpcodeDecision emptyTable = {
+ /* IC_OF */
   {
    /* 0x00 */
    { /* ModRMDecision */
@@ -1294,13 +1295,14 @@
   }
 };
 
-static const struct OperandSpecifier x86OperandSets[][5] = {
+static const struct OperandSpecifier x86OperandSets[][6] = {
   { /* 0 */
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 1 */
     { ENCODING_IB, TYPE_IMM8 },
@@ -1308,6 +1310,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 2 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -1315,6 +1318,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 3 */
     { ENCODING_RM, TYPE_Mv },
@@ -1322,6 +1326,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 4 */
     { ENCODING_RM, TYPE_Mv },
@@ -1329,6 +1334,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 5 */
     { ENCODING_RM, TYPE_Mv },
@@ -1336,6 +1342,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 6 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1343,6 +1350,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 7 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1350,6 +1358,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 8 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1357,6 +1366,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 9 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1364,6 +1374,7 @@
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 10 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1371,6 +1382,7 @@
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 11 */
     { ENCODING_RM, TYPE_Mv },
@@ -1378,6 +1390,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 12 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1385,6 +1398,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 13 */
     { ENCODING_ID, TYPE_IMM64 },
@@ -1392,6 +1406,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 14 */
     { ENCODING_RM, TYPE_Mv },
@@ -1399,6 +1414,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 15 */
     { ENCODING_RM, TYPE_Mv },
@@ -1406,6 +1422,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 16 */
     { ENCODING_RM, TYPE_Mv },
@@ -1413,6 +1430,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 17 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1420,6 +1438,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 18 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1427,6 +1446,7 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 19 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1434,6 +1454,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 20 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1441,6 +1462,7 @@
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 21 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1448,6 +1470,7 @@
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 22 */
     { ENCODING_RM, TYPE_M8 },
@@ -1455,6 +1478,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 23 */
     { ENCODING_RM, TYPE_M8 },
@@ -1462,6 +1486,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 24 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1469,6 +1494,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 25 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1476,6 +1502,7 @@
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 26 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1483,6 +1510,7 @@
     { ENCODING_REG, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 27 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1490,6 +1518,7 @@
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 28 */
     { ENCODING_REG, TYPE_R32 },
@@ -1497,6 +1526,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 29 */
     { ENCODING_REG, TYPE_R32 },
@@ -1504,6 +1534,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 30 */
     { ENCODING_REG, TYPE_R64 },
@@ -1511,6 +1542,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 31 */
     { ENCODING_REG, TYPE_R64 },
@@ -1518,6 +1550,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 32 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1525,6 +1558,7 @@
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 33 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1532,6 +1566,7 @@
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 34 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1539,6 +1574,7 @@
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 35 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1546,6 +1582,7 @@
     { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 36 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1553,6 +1590,7 @@
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 37 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1560,6 +1598,7 @@
     { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 38 */
     { ENCODING_RM, TYPE_M32FP },
@@ -1567,6 +1606,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 39 */
     { ENCODING_RM, TYPE_M64FP },
@@ -1574,6 +1614,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 40 */
     { ENCODING_RM, TYPE_Mv },
@@ -1581,6 +1622,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 41 */
     { ENCODING_FP, TYPE_ST },
@@ -1588,6 +1630,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 42 */
     { ENCODING_REG, TYPE_XMM128 },
@@ -1595,6 +1638,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 43 */
     { ENCODING_REG, TYPE_XMM128 },
@@ -1602,6 +1646,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 44 */
     { ENCODING_REG, TYPE_XMM128 },
@@ -1609,6 +1654,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 45 */
     { ENCODING_REG, TYPE_XMM128 },
@@ -1616,6 +1662,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 46 */
     { ENCODING_REG, TYPE_R32 },
@@ -1623,6 +1670,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 47 */
     { ENCODING_REG, TYPE_R32 },
@@ -1630,6 +1678,7 @@
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 48 */
     { ENCODING_REG, TYPE_R64 },
@@ -1637,6 +1686,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 49 */
     { ENCODING_REG, TYPE_R64 },
@@ -1644,6 +1694,7 @@
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 50 */
     { ENCODING_RM, TYPE_Mv },
@@ -1651,6 +1702,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 51 */
     { ENCODING_RM, TYPE_R16 },
@@ -1658,6 +1710,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 52 */
     { ENCODING_REG, TYPE_R32 },
@@ -1665,6 +1718,7 @@
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 53 */
     { ENCODING_REG, TYPE_R32 },
@@ -1672,6 +1726,7 @@
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 54 */
     { ENCODING_REG, TYPE_R64 },
@@ -1679,6 +1734,7 @@
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 55 */
     { ENCODING_REG, TYPE_R64 },
@@ -1686,6 +1742,7 @@
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 56 */
     { ENCODING_REG, TYPE_R32 },
@@ -1693,6 +1750,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 57 */
     { ENCODING_REG, TYPE_R32 },
@@ -1700,6 +1758,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 58 */
     { ENCODING_REG, TYPE_R64 },
@@ -1707,6 +1766,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 59 */
     { ENCODING_REG, TYPE_R64 },
@@ -1714,6 +1774,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 60 */
     { ENCODING_VVVV, TYPE_R32 },
@@ -1721,6 +1782,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 61 */
     { ENCODING_VVVV, TYPE_R32 },
@@ -1728,6 +1790,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 62 */
     { ENCODING_VVVV, TYPE_R64 },
@@ -1735,6 +1798,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 63 */
     { ENCODING_VVVV, TYPE_R64 },
@@ -1742,6 +1806,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 64 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1749,6 +1814,7 @@
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 65 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1756,6 +1822,7 @@
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 66 */
     { ENCODING_REG, TYPE_Rv },
@@ -1763,6 +1830,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 67 */
     { ENCODING_REG, TYPE_Rv },
@@ -1770,6 +1838,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 68 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1777,6 +1846,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 69 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1784,6 +1854,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 70 */
     { ENCODING_RM, TYPE_Rv },
@@ -1791,6 +1862,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 71 */
     { ENCODING_RM, TYPE_Rv },
@@ -1798,6 +1870,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 72 */
     { ENCODING_RM, TYPE_Rv },
@@ -1805,6 +1878,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 73 */
     { ENCODING_RM, TYPE_R64 },
@@ -1812,6 +1886,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 74 */
     { ENCODING_RM, TYPE_R64 },
@@ -1819,6 +1894,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 75 */
     { ENCODING_RM, TYPE_Rv },
@@ -1826,6 +1902,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 76 */
     { ENCODING_ID, TYPE_REL64 },
@@ -1833,6 +1910,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 77 */
     { ENCODING_RM, TYPE_R64 },
@@ -1840,6 +1918,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 78 */
     { ENCODING_IW, TYPE_REL16 },
@@ -1847,6 +1926,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 79 */
     { ENCODING_ID, TYPE_REL32 },
@@ -1854,6 +1934,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 80 */
     { ENCODING_RM, TYPE_M8 },
@@ -1861,6 +1942,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 81 */
     { ENCODING_RM, TYPE_Rv },
@@ -1868,6 +1950,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 82 */
     { ENCODING_RM, TYPE_R64 },
@@ -1875,6 +1958,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 83 */
     { ENCODING_RM, TYPE_R8 },
@@ -1882,6 +1966,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 84 */
     { ENCODING_REG, TYPE_R8 },
@@ -1889,6 +1974,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 85 */
     { ENCODING_RM, TYPE_R8 },
@@ -1896,6 +1982,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 86 */
     { ENCODING_REG, TYPE_R8 },
@@ -1903,6 +1990,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 87 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1910,6 +1998,7 @@
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 88 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1917,62 +2006,71 @@
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 89 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
-    { ENCODING_SI, TYPE_SRCIDX16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 90 */
-    { ENCODING_DI, TYPE_DSTIDX32 },
-    { ENCODING_SI, TYPE_SRCIDX32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 91 */
-    { ENCODING_DI, TYPE_DSTIDX64 },
-    { ENCODING_SI, TYPE_SRCIDX64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 92 */
     { ENCODING_DI, TYPE_DSTIDX8 },
     { ENCODING_SI, TYPE_SRCIDX8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 93 */
+  { /* 90 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 94 */
+  { /* 91 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 95 */
+  { /* 92 */
+    { ENCODING_DI, TYPE_DSTIDX32 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 93 */
+    { ENCODING_DI, TYPE_DSTIDX64 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 94 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 96 */
+  { /* 95 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 96 */
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 97 */
     { ENCODING_RM, TYPE_M128 },
@@ -1980,6 +2078,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 98 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1987,6 +2086,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 99 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1994,6 +2094,7 @@
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 100 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2001,6 +2102,7 @@
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 101 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2008,6 +2110,7 @@
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 102 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2015,6 +2118,7 @@
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 103 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2022,6 +2126,7 @@
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 104 */
     { ENCODING_REG, TYPE_XMM128 },
@@ -2029,6 +2134,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 105 */
     { ENCODING_REG, TYPE_XMM128 },
@@ -2036,6 +2142,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 106 */
     { ENCODING_REG, TYPE_R64 },
@@ -2043,6 +2150,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 107 */
     { ENCODING_REG, TYPE_R64 },
@@ -2050,6 +2158,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 108 */
     { ENCODING_REG, TYPE_R32 },
@@ -2057,6 +2166,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 109 */
     { ENCODING_REG, TYPE_R32 },
@@ -2064,6 +2174,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 110 */
     { ENCODING_REG, TYPE_XMM32 },
@@ -2071,6 +2182,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 111 */
     { ENCODING_REG, TYPE_XMM32 },
@@ -2078,6 +2190,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 112 */
     { ENCODING_REG, TYPE_XMM64 },
@@ -2085,6 +2198,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 113 */
     { ENCODING_REG, TYPE_XMM64 },
@@ -2092,6 +2206,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 114 */
     { ENCODING_REG, TYPE_XMM64 },
@@ -2099,6 +2214,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 115 */
     { ENCODING_REG, TYPE_XMM32 },
@@ -2106,6 +2222,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 116 */
     { ENCODING_REG, TYPE_XMM32 },
@@ -2113,6 +2230,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 117 */
     { ENCODING_REG, TYPE_XMM32 },
@@ -2120,6 +2238,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 118 */
     { ENCODING_REG, TYPE_XMM64 },
@@ -2127,6 +2246,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 119 */
     { ENCODING_REG, TYPE_XMM64 },
@@ -2134,6 +2254,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 120 */
     { ENCODING_REG, TYPE_R64 },
@@ -2141,6 +2262,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 121 */
     { ENCODING_REG, TYPE_R32 },
@@ -2148,6 +2270,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 122 */
     { ENCODING_REG, TYPE_R64 },
@@ -2155,6 +2278,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 123 */
     { ENCODING_REG, TYPE_R32 },
@@ -2162,6 +2286,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 124 */
     { ENCODING_REG, TYPE_R64 },
@@ -2169,6 +2294,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 125 */
     { ENCODING_REG, TYPE_R32 },
@@ -2176,6 +2302,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 126 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2183,6 +2310,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 127 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2190,6 +2318,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 128 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2197,6 +2326,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 129 */
     { ENCODING_RM, TYPE_R8 },
@@ -2204,6 +2334,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 130 */
     { ENCODING_IW, TYPE_IMM16 },
@@ -2211,6 +2342,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 131 */
     { ENCODING_RM, TYPE_M32FP },
@@ -2218,6 +2350,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 132 */
     { ENCODING_RM, TYPE_R32 },
@@ -2225,6 +2358,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 133 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2232,6 +2366,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 134 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -2239,6 +2374,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 135 */
     { ENCODING_RM, TYPE_M1616 },
@@ -2246,6 +2382,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 136 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -2253,6 +2390,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 137 */
     { ENCODING_RM, TYPE_M1632 },
@@ -2260,6 +2398,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 138 */
     { ENCODING_RM, TYPE_M1664 },
@@ -2267,6 +2406,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 139 */
     { ENCODING_RM, TYPE_M512 },
@@ -2274,6 +2414,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 140 */
     { ENCODING_REG, TYPE_Rv },
@@ -2281,6 +2422,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 141 */
     { ENCODING_REG, TYPE_Rv },
@@ -2288,6 +2430,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 142 */
     { ENCODING_REG, TYPE_Rv },
@@ -2295,6 +2438,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 143 */
     { ENCODING_REG, TYPE_Rv },
@@ -2302,6 +2446,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 144 */
     { ENCODING_REG, TYPE_Rv },
@@ -2309,6 +2454,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 145 */
     { ENCODING_REG, TYPE_Rv },
@@ -2316,6 +2462,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 146 */
     { ENCODING_REG, TYPE_R64 },
@@ -2323,6 +2470,7 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 147 */
     { ENCODING_REG, TYPE_R64 },
@@ -2330,41 +2478,47 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 148 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_DI, TYPE_DSTIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 149 */
-    { ENCODING_DI, TYPE_DSTIDX32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 150 */
-    { ENCODING_DI, TYPE_DSTIDX8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 151 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 152 */
+  { /* 150 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 151 */
+    { ENCODING_DI, TYPE_DSTIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 152 */
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 153 */
     { ENCODING_REG, TYPE_R32 },
@@ -2372,6 +2526,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 154 */
     { ENCODING_REG, TYPE_R64 },
@@ -2379,6 +2534,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 155 */
     { ENCODING_IB, TYPE_REL8 },
@@ -2386,6 +2542,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 156 */
     { ENCODING_Iv, TYPE_RELv },
@@ -2393,717 +2550,820 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 157 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_VK16 },
-    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_VK8 },
+    { ENCODING_RM, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 158 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_VK32 },
+    { ENCODING_RM, TYPE_VK32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 159 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_VK64 },
+    { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 160 */
     { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_VVVV, TYPE_VK16 },
+    { ENCODING_RM, TYPE_VK16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 161 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_RM, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 162 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 163 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_VK16 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 164 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 165 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 166 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_RM, TYPE_VK32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 167 */
-    { ENCODING_RM, TYPE_M80FP },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 168 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 169 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 170 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_VK32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 171 */
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 172 */
-    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 173 */
-    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 174 */
-    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 175 */
-    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 176 */
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_VK16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 177 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 178 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 179 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 180 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 181 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 182 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 183 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 184 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 185 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_M80FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 186 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 187 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 188 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 189 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 190 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 191 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 192 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 193 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 194 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 195 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 196 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 197 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 198 */
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 199 */
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 200 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 201 */
-    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 202 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 203 */
-    { ENCODING_Rv, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 204 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 205 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_REG, TYPE_MM64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 206 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 207 */
-    { ENCODING_Ia, TYPE_MOFFS32 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 208 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 209 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 210 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 211 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 212 */
-    { ENCODING_Ia, TYPE_MOFFS64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 213 */
-    { ENCODING_Ia, TYPE_MOFFS8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 214 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 215 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 216 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 217 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 218 */
-    { ENCODING_RO, TYPE_R64 },
-    { ENCODING_IO, TYPE_IMMv },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 219 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 220 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 221 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 222 */
-    { ENCODING_RB, TYPE_R8 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 223 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 224 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 225 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 226 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_Ia, TYPE_MOFFS32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 227 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 228 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 229 */
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 230 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 231 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_Ia, TYPE_MOFFS64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 232 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_Ia, TYPE_MOFFS8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 233 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 234 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 235 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 236 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 237 */
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_IO, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 238 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 239 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 240 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 241 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RB, TYPE_R8 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 242 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 243 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 244 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 245 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 246 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 247 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 248 */
-    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 249 */
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 250 */
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 251 */
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 252 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 253 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 254 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 255 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 256 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 257 */
-    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 258 */
-    { ENCODING_RO, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3111,111 +3371,127 @@
   { /* 259 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 260 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 261 */
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 262 */
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 263 */
-    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 264 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 265 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 266 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 267 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 268 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 269 */
-    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 270 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 271 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 272 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 273 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 274 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3223,264 +3499,302 @@
   { /* 275 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 276 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 277 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 278 */
-    { ENCODING_DI, TYPE_DSTIDX64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 279 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 280 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 281 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 282 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 283 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 284 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 285 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 286 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 287 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 288 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 289 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 290 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 291 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 292 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 293 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 294 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 295 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 296 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 297 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DI, TYPE_DSTIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 298 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 299 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 300 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 301 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 302 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 303 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 304 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 305 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 306 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 307 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 305 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 306 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 307 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 308 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 309 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 310 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 311 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 312 */
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3488,1386 +3802,3039 @@
   },
   { /* 313 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 314 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 315 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 316 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 317 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 318 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 319 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 320 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 321 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 322 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 323 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 324 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 325 */
-    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 326 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 327 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_IMM5 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
   },
   { /* 328 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 329 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_IMM5 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
   },
   { /* 330 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 331 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 332 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 333 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 334 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 335 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 336 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 337 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 338 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 339 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 340 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 341 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 342 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 343 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 344 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 345 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 346 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 347 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 348 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 349 */
-    { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 350 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 351 */
-    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 352 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 353 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 354 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 355 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 356 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 357 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 358 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 359 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 360 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 361 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 362 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 363 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 364 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 365 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 366 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 367 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 368 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 369 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 370 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 371 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 372 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 373 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 374 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 375 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 376 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 377 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 378 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 379 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 380 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 381 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 382 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 383 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 384 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD8, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 385 */
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 386 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 387 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 388 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 389 */
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 390 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 391 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 392 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 393 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 394 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 395 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 396 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 397 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 398 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 399 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 400 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 356 */
+  { /* 401 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 402 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD4, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 403 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 357 */
+  { /* 404 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 358 */
+  { /* 405 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 359 */
+  { /* 406 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 407 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 408 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 360 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 361 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 362 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 363 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 364 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 365 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 366 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 367 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 368 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 369 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 370 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 371 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 372 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 373 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 374 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 375 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 376 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 377 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 378 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 379 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 380 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 381 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 382 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 383 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 384 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 385 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 386 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 387 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 388 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 389 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 390 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 391 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 392 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 393 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 394 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-  },
-  { /* 395 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP3 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M64 },
-  },
-  { /* 396 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-  },
-  { /* 397 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M32 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-  },
-  { /* 398 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP3 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M32 },
-  },
-  { /* 399 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M32 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-  },
-  { /* 400 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP3 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M64 },
-  },
-  { /* 401 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 402 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 403 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 404 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 405 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 406 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 407 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 408 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 409 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 410 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 411 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 412 */
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD8, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 413 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 414 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 415 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 416 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 417 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 418 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 419 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 420 */
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 421 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 422 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 423 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 424 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 425 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 426 */
     { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 427 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 428 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD64, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 429 */
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 430 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD64, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 431 */
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 432 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 433 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 434 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 435 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 436 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 437 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 438 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 439 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 440 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 441 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 442 */
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 443 */
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 444 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 445 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
+  { /* 440 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 441 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 442 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 443 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 444 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 445 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
   { /* 446 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 447 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 448 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 449 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 450 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 451 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 452 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 453 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_IB, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 454 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 455 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 456 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 457 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 458 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 459 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 460 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 461 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
     { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M64 },
     { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 462 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP3 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_M64 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 463 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64 },
+    { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 464 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 465 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP3 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_M32 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 466 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
     { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M32 },
     { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 467 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD4, TYPE_M32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 468 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_M32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 469 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_M64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 470 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_IB, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP3 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD4, TYPE_M64 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 471 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 472 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 473 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 474 */
-    { ENCODING_RM, TYPE_M256 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 475 */
-    { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 476 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 477 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 478 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 479 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
     { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 480 */
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 481 */
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 482 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 483 */
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 484 */
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 485 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 486 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 487 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 488 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 489 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 490 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 491 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 492 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 493 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 494 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 495 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 496 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 497 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 498 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 499 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 500 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 501 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 502 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 503 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 504 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 505 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 506 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 507 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 508 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 509 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 510 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 511 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 512 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 513 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 514 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 515 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 516 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 517 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 518 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 519 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 520 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 521 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 522 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 523 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 524 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 525 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 526 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 527 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 528 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 529 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 530 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 531 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 532 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 533 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 534 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 535 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 536 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 537 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 538 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 539 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 540 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 541 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 542 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 543 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 544 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 545 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 546 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 547 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 548 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 549 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 550 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 551 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 552 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 553 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 554 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 555 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 556 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 557 */
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 558 */
+    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 559 */
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 560 */
+    { ENCODING_RM_CD16, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 561 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 562 */
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 563 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 564 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 565 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 566 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 567 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 568 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 569 */
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 570 */
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 571 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 572 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 573 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 574 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 575 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 576 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 577 */
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 578 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 579 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 580 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 581 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 582 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 583 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 584 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 585 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 586 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 587 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 588 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 589 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 590 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 591 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 592 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 593 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 594 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 595 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 596 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 597 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 598 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 599 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 600 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 601 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 602 */
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 603 */
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 604 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 605 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 606 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 607 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 608 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 609 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 610 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 611 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 612 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 613 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 614 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 615 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 616 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 617 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 618 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 619 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 620 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 621 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 622 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 623 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 624 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 625 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 626 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 627 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 628 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 629 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 630 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 631 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 632 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 633 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 634 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 635 */
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 636 */
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 637 */
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 638 */
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 639 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 640 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 641 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 642 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 643 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 644 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 645 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 646 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 647 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 648 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 649 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 650 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 651 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 652 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 653 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 481 */
+  { /* 654 */
     { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_RM, TYPE_M32 },
+    { ENCODING_RM_CD4, TYPE_M32 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 482 */
+  { /* 655 */
     { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_RM, TYPE_M64 },
+    { ENCODING_RM_CD8, TYPE_M64 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 483 */
+  { /* 656 */
     { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_RM, TYPE_M64 },
+    { ENCODING_RM_CD4, TYPE_M64 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 484 */
+  { /* 657 */
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 485 */
+  { /* 658 */
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 486 */
+  { /* 659 */
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 487 */
+  { /* 660 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 488 */
+  { /* 661 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 489 */
+  { /* 662 */
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 663 */
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 490 */
+  { /* 664 */
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 491 */
+  { /* 665 */
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 492 */
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 493 */
+  { /* 666 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 494 */
+  { /* 667 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 495 */
+  { /* 668 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 496 */
+  { /* 669 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 497 */
+  { /* 670 */
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 498 */
+  { /* 671 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 499 */
+  { /* 672 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 500 */
+  { /* 673 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 501 */
+  { /* 674 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 502 */
+  { /* 675 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM_CD8, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 676 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 677 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 503 */
+  { /* 678 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 679 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 680 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 681 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 682 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 683 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 504 */
+  { /* 684 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 505 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 506 */
+  { /* 685 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 507 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 508 */
+  { /* 686 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 509 */
+  { /* 687 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 688 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 689 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 690 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 691 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
 };
 
-static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[5450] = {
+static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[6121] = {
   { /* 0 */
     0,
     /*  */
@@ -4946,27 +6913,27 @@
   },
   { /* 19 */
     0,
-    /* AAA */
+    /*  */
   },
   { /* 20 */
-    1,
-    /* AAD8i8 */
+    0,
+    /* AAA */
   },
   { /* 21 */
     1,
-    /* AAM8i8 */
+    /* AAD8i8 */
   },
   { /* 22 */
-    0,
-    /* AAS */
+    1,
+    /* AAM8i8 */
   },
   { /* 23 */
     0,
-    /* ABS_F */
+    /* AAS */
   },
   { /* 24 */
     0,
-    /*  */
+    /* ABS_F */
   },
   { /* 25 */
     0,
@@ -4993,425 +6960,425 @@
     /*  */
   },
   { /* 31 */
+    0,
+    /*  */
+  },
+  { /* 32 */
     2,
     /* ADC16i16 */
   },
-  { /* 32 */
+  { /* 33 */
     3,
     /* ADC16mi */
   },
-  { /* 33 */
+  { /* 34 */
     4,
     /* ADC16mi8 */
   },
-  { /* 34 */
+  { /* 35 */
     5,
     /* ADC16mr */
   },
-  { /* 35 */
+  { /* 36 */
     6,
     /* ADC16ri */
   },
-  { /* 36 */
+  { /* 37 */
     7,
     /* ADC16ri8 */
   },
-  { /* 37 */
+  { /* 38 */
     8,
     /* ADC16rm */
   },
-  { /* 38 */
+  { /* 39 */
     9,
     /* ADC16rr */
   },
-  { /* 39 */
+  { /* 40 */
     10,
     /* ADC16rr_REV */
   },
-  { /* 40 */
+  { /* 41 */
     2,
     /* ADC32i32 */
   },
-  { /* 41 */
+  { /* 42 */
     3,
     /* ADC32mi */
   },
-  { /* 42 */
+  { /* 43 */
     11,
     /* ADC32mi8 */
   },
-  { /* 43 */
+  { /* 44 */
     5,
     /* ADC32mr */
   },
-  { /* 44 */
+  { /* 45 */
     6,
     /* ADC32ri */
   },
-  { /* 45 */
+  { /* 46 */
     12,
     /* ADC32ri8 */
   },
-  { /* 46 */
+  { /* 47 */
     8,
     /* ADC32rm */
   },
-  { /* 47 */
+  { /* 48 */
     9,
     /* ADC32rr */
   },
-  { /* 48 */
+  { /* 49 */
     10,
     /* ADC32rr_REV */
   },
-  { /* 49 */
+  { /* 50 */
     13,
     /* ADC64i32 */
   },
-  { /* 50 */
+  { /* 51 */
     14,
     /* ADC64mi32 */
   },
-  { /* 51 */
+  { /* 52 */
     15,
     /* ADC64mi8 */
   },
-  { /* 52 */
+  { /* 53 */
     16,
     /* ADC64mr */
   },
-  { /* 53 */
+  { /* 54 */
     17,
     /* ADC64ri32 */
   },
-  { /* 54 */
+  { /* 55 */
     18,
     /* ADC64ri8 */
   },
-  { /* 55 */
+  { /* 56 */
     19,
     /* ADC64rm */
   },
-  { /* 56 */
+  { /* 57 */
     20,
     /* ADC64rr */
   },
-  { /* 57 */
+  { /* 58 */
     21,
     /* ADC64rr_REV */
   },
-  { /* 58 */
+  { /* 59 */
     1,
     /* ADC8i8 */
   },
-  { /* 59 */
+  { /* 60 */
     22,
     /* ADC8mi */
   },
-  { /* 60 */
+  { /* 61 */
     23,
     /* ADC8mr */
   },
-  { /* 61 */
+  { /* 62 */
     24,
     /* ADC8ri */
   },
-  { /* 62 */
+  { /* 63 */
     25,
     /* ADC8rm */
   },
-  { /* 63 */
+  { /* 64 */
     26,
     /* ADC8rr */
   },
-  { /* 64 */
+  { /* 65 */
     27,
     /* ADC8rr_REV */
   },
-  { /* 65 */
+  { /* 66 */
     28,
     /* ADCX32rm */
   },
-  { /* 66 */
+  { /* 67 */
     29,
     /* ADCX32rr */
   },
-  { /* 67 */
+  { /* 68 */
     30,
     /* ADCX64rm */
   },
-  { /* 68 */
+  { /* 69 */
     31,
     /* ADCX64rr */
   },
-  { /* 69 */
+  { /* 70 */
     2,
     /* ADD16i16 */
   },
-  { /* 70 */
+  { /* 71 */
     3,
     /* ADD16mi */
   },
-  { /* 71 */
+  { /* 72 */
     4,
     /* ADD16mi8 */
   },
-  { /* 72 */
+  { /* 73 */
     5,
     /* ADD16mr */
   },
-  { /* 73 */
+  { /* 74 */
     6,
     /* ADD16ri */
   },
-  { /* 74 */
+  { /* 75 */
     7,
     /* ADD16ri8 */
   },
-  { /* 75 */
-    0,
-    /*  */
-  },
   { /* 76 */
     0,
     /*  */
   },
   { /* 77 */
+    0,
+    /*  */
+  },
+  { /* 78 */
     8,
     /* ADD16rm */
   },
-  { /* 78 */
+  { /* 79 */
     9,
     /* ADD16rr */
   },
-  { /* 79 */
+  { /* 80 */
     0,
     /*  */
   },
-  { /* 80 */
+  { /* 81 */
     10,
     /* ADD16rr_REV */
   },
-  { /* 81 */
+  { /* 82 */
     2,
     /* ADD32i32 */
   },
-  { /* 82 */
+  { /* 83 */
     3,
     /* ADD32mi */
   },
-  { /* 83 */
+  { /* 84 */
     11,
     /* ADD32mi8 */
   },
-  { /* 84 */
+  { /* 85 */
     5,
     /* ADD32mr */
   },
-  { /* 85 */
+  { /* 86 */
     6,
     /* ADD32ri */
   },
-  { /* 86 */
+  { /* 87 */
     12,
     /* ADD32ri8 */
   },
-  { /* 87 */
-    0,
-    /*  */
-  },
   { /* 88 */
     0,
     /*  */
   },
   { /* 89 */
+    0,
+    /*  */
+  },
+  { /* 90 */
     8,
     /* ADD32rm */
   },
-  { /* 90 */
+  { /* 91 */
     9,
     /* ADD32rr */
   },
-  { /* 91 */
+  { /* 92 */
     0,
     /*  */
   },
-  { /* 92 */
+  { /* 93 */
     10,
     /* ADD32rr_REV */
   },
-  { /* 93 */
+  { /* 94 */
     13,
     /* ADD64i32 */
   },
-  { /* 94 */
+  { /* 95 */
     14,
     /* ADD64mi32 */
   },
-  { /* 95 */
+  { /* 96 */
     15,
     /* ADD64mi8 */
   },
-  { /* 96 */
+  { /* 97 */
     16,
     /* ADD64mr */
   },
-  { /* 97 */
+  { /* 98 */
     17,
     /* ADD64ri32 */
   },
-  { /* 98 */
+  { /* 99 */
     0,
     /*  */
   },
-  { /* 99 */
+  { /* 100 */
     18,
     /* ADD64ri8 */
   },
-  { /* 100 */
+  { /* 101 */
     0,
     /*  */
   },
-  { /* 101 */
+  { /* 102 */
     19,
     /* ADD64rm */
   },
-  { /* 102 */
+  { /* 103 */
     20,
     /* ADD64rr */
   },
-  { /* 103 */
+  { /* 104 */
     0,
     /*  */
   },
-  { /* 104 */
+  { /* 105 */
     21,
     /* ADD64rr_REV */
   },
-  { /* 105 */
+  { /* 106 */
     1,
     /* ADD8i8 */
   },
-  { /* 106 */
+  { /* 107 */
     22,
     /* ADD8mi */
   },
-  { /* 107 */
+  { /* 108 */
     23,
     /* ADD8mr */
   },
-  { /* 108 */
+  { /* 109 */
     24,
     /* ADD8ri */
   },
-  { /* 109 */
+  { /* 110 */
     24,
     /* ADD8ri8 */
   },
-  { /* 110 */
+  { /* 111 */
     25,
     /* ADD8rm */
   },
-  { /* 111 */
+  { /* 112 */
     26,
     /* ADD8rr */
   },
-  { /* 112 */
+  { /* 113 */
     27,
     /* ADD8rr_REV */
   },
-  { /* 113 */
+  { /* 114 */
     32,
     /* ADDPDrm */
   },
-  { /* 114 */
+  { /* 115 */
     33,
     /* ADDPDrr */
   },
-  { /* 115 */
+  { /* 116 */
     32,
     /* ADDPSrm */
   },
-  { /* 116 */
+  { /* 117 */
     33,
     /* ADDPSrr */
   },
-  { /* 117 */
+  { /* 118 */
     34,
     /* ADDSDrm */
   },
-  { /* 118 */
+  { /* 119 */
     0,
     /*  */
   },
-  { /* 119 */
+  { /* 120 */
     35,
     /* ADDSDrr */
   },
-  { /* 120 */
+  { /* 121 */
     0,
     /*  */
   },
-  { /* 121 */
+  { /* 122 */
     36,
     /* ADDSSrm */
   },
-  { /* 122 */
+  { /* 123 */
     0,
     /*  */
   },
-  { /* 123 */
+  { /* 124 */
     37,
     /* ADDSSrr */
   },
-  { /* 124 */
+  { /* 125 */
     0,
     /*  */
   },
-  { /* 125 */
+  { /* 126 */
     32,
     /* ADDSUBPDrm */
   },
-  { /* 126 */
+  { /* 127 */
     33,
     /* ADDSUBPDrr */
   },
-  { /* 127 */
+  { /* 128 */
     32,
     /* ADDSUBPSrm */
   },
-  { /* 128 */
+  { /* 129 */
     33,
     /* ADDSUBPSrr */
   },
-  { /* 129 */
+  { /* 130 */
     38,
     /* ADD_F32m */
   },
-  { /* 130 */
+  { /* 131 */
     39,
     /* ADD_F64m */
   },
-  { /* 131 */
+  { /* 132 */
     40,
     /* ADD_FI16m */
   },
-  { /* 132 */
+  { /* 133 */
     40,
     /* ADD_FI32m */
   },
-  { /* 133 */
+  { /* 134 */
     41,
     /* ADD_FPrST0 */
   },
-  { /* 134 */
+  { /* 135 */
     41,
     /* ADD_FST0r */
   },
-  { /* 135 */
-    0,
-    /*  */
-  },
   { /* 136 */
     0,
     /*  */
@@ -5465,13 +7432,13 @@
     /*  */
   },
   { /* 149 */
-    41,
-    /* ADD_FrST0 */
-  },
-  { /* 150 */
     0,
     /*  */
   },
+  { /* 150 */
+    41,
+    /* ADD_FrST0 */
+  },
   { /* 151 */
     0,
     /*  */
@@ -5485,269 +7452,269 @@
     /*  */
   },
   { /* 154 */
+    0,
+    /*  */
+  },
+  { /* 155 */
     28,
     /* ADOX32rm */
   },
-  { /* 155 */
+  { /* 156 */
     29,
     /* ADOX32rr */
   },
-  { /* 156 */
+  { /* 157 */
     30,
     /* ADOX64rm */
   },
-  { /* 157 */
+  { /* 158 */
     31,
     /* ADOX64rr */
   },
-  { /* 158 */
+  { /* 159 */
     32,
     /* AESDECLASTrm */
   },
-  { /* 159 */
+  { /* 160 */
     33,
     /* AESDECLASTrr */
   },
-  { /* 160 */
+  { /* 161 */
     32,
     /* AESDECrm */
   },
-  { /* 161 */
+  { /* 162 */
     33,
     /* AESDECrr */
   },
-  { /* 162 */
+  { /* 163 */
     32,
     /* AESENCLASTrm */
   },
-  { /* 163 */
+  { /* 164 */
     33,
     /* AESENCLASTrr */
   },
-  { /* 164 */
+  { /* 165 */
     32,
     /* AESENCrm */
   },
-  { /* 165 */
+  { /* 166 */
     33,
     /* AESENCrr */
   },
-  { /* 166 */
+  { /* 167 */
     42,
     /* AESIMCrm */
   },
-  { /* 167 */
+  { /* 168 */
     43,
     /* AESIMCrr */
   },
-  { /* 168 */
+  { /* 169 */
     44,
     /* AESKEYGENASSIST128rm */
   },
-  { /* 169 */
+  { /* 170 */
     45,
     /* AESKEYGENASSIST128rr */
   },
-  { /* 170 */
+  { /* 171 */
     2,
     /* AND16i16 */
   },
-  { /* 171 */
+  { /* 172 */
     3,
     /* AND16mi */
   },
-  { /* 172 */
+  { /* 173 */
     4,
     /* AND16mi8 */
   },
-  { /* 173 */
+  { /* 174 */
     5,
     /* AND16mr */
   },
-  { /* 174 */
+  { /* 175 */
     6,
     /* AND16ri */
   },
-  { /* 175 */
+  { /* 176 */
     7,
     /* AND16ri8 */
   },
-  { /* 176 */
+  { /* 177 */
     8,
     /* AND16rm */
   },
-  { /* 177 */
+  { /* 178 */
     9,
     /* AND16rr */
   },
-  { /* 178 */
+  { /* 179 */
     10,
     /* AND16rr_REV */
   },
-  { /* 179 */
+  { /* 180 */
     2,
     /* AND32i32 */
   },
-  { /* 180 */
+  { /* 181 */
     3,
     /* AND32mi */
   },
-  { /* 181 */
+  { /* 182 */
     11,
     /* AND32mi8 */
   },
-  { /* 182 */
+  { /* 183 */
     5,
     /* AND32mr */
   },
-  { /* 183 */
+  { /* 184 */
     6,
     /* AND32ri */
   },
-  { /* 184 */
+  { /* 185 */
     12,
     /* AND32ri8 */
   },
-  { /* 185 */
+  { /* 186 */
     8,
     /* AND32rm */
   },
-  { /* 186 */
+  { /* 187 */
     9,
     /* AND32rr */
   },
-  { /* 187 */
+  { /* 188 */
     10,
     /* AND32rr_REV */
   },
-  { /* 188 */
+  { /* 189 */
     13,
     /* AND64i32 */
   },
-  { /* 189 */
+  { /* 190 */
     14,
     /* AND64mi32 */
   },
-  { /* 190 */
+  { /* 191 */
     15,
     /* AND64mi8 */
   },
-  { /* 191 */
+  { /* 192 */
     16,
     /* AND64mr */
   },
-  { /* 192 */
+  { /* 193 */
     17,
     /* AND64ri32 */
   },
-  { /* 193 */
+  { /* 194 */
     18,
     /* AND64ri8 */
   },
-  { /* 194 */
+  { /* 195 */
     19,
     /* AND64rm */
   },
-  { /* 195 */
+  { /* 196 */
     20,
     /* AND64rr */
   },
-  { /* 196 */
+  { /* 197 */
     21,
     /* AND64rr_REV */
   },
-  { /* 197 */
+  { /* 198 */
     1,
     /* AND8i8 */
   },
-  { /* 198 */
+  { /* 199 */
     22,
     /* AND8mi */
   },
-  { /* 199 */
+  { /* 200 */
     23,
     /* AND8mr */
   },
-  { /* 200 */
+  { /* 201 */
     24,
     /* AND8ri */
   },
-  { /* 201 */
+  { /* 202 */
     24,
     /* AND8ri8 */
   },
-  { /* 202 */
+  { /* 203 */
     25,
     /* AND8rm */
   },
-  { /* 203 */
+  { /* 204 */
     26,
     /* AND8rr */
   },
-  { /* 204 */
+  { /* 205 */
     27,
     /* AND8rr_REV */
   },
-  { /* 205 */
+  { /* 206 */
     46,
     /* ANDN32rm */
   },
-  { /* 206 */
+  { /* 207 */
     47,
     /* ANDN32rr */
   },
-  { /* 207 */
+  { /* 208 */
     48,
     /* ANDN64rm */
   },
-  { /* 208 */
+  { /* 209 */
     49,
     /* ANDN64rr */
   },
-  { /* 209 */
+  { /* 210 */
     32,
     /* ANDNPDrm */
   },
-  { /* 210 */
+  { /* 211 */
     33,
     /* ANDNPDrr */
   },
-  { /* 211 */
+  { /* 212 */
     32,
     /* ANDNPSrm */
   },
-  { /* 212 */
+  { /* 213 */
     33,
     /* ANDNPSrr */
   },
-  { /* 213 */
+  { /* 214 */
     32,
     /* ANDPDrm */
   },
-  { /* 214 */
+  { /* 215 */
     33,
     /* ANDPDrr */
   },
-  { /* 215 */
+  { /* 216 */
     32,
     /* ANDPSrm */
   },
-  { /* 216 */
+  { /* 217 */
     33,
     /* ANDPSrr */
   },
-  { /* 217 */
+  { /* 218 */
     50,
     /* ARPL16mr */
   },
-  { /* 218 */
+  { /* 219 */
     51,
     /* ARPL16rr */
   },
-  { /* 219 */
-    0,
-    /*  */
-  },
   { /* 220 */
     0,
     /*  */
@@ -5761,864 +7728,864 @@
     /*  */
   },
   { /* 223 */
-    0,
-    /*  */
-  },
-  { /* 224 */
-    0,
-    /*  */
-  },
-  { /* 225 */
-    0,
-    /*  */
-  },
-  { /* 226 */
-    0,
-    /*  */
-  },
-  { /* 227 */
-    0,
-    /*  */
-  },
-  { /* 228 */
-    0,
-    /*  */
-  },
-  { /* 229 */
-    0,
-    /*  */
-  },
-  { /* 230 */
-    0,
-    /*  */
-  },
-  { /* 231 */
-    0,
-    /*  */
-  },
-  { /* 232 */
-    0,
-    /*  */
-  },
-  { /* 233 */
-    0,
-    /*  */
-  },
-  { /* 234 */
-    0,
-    /*  */
-  },
-  { /* 235 */
-    0,
-    /*  */
-  },
-  { /* 236 */
-    0,
-    /*  */
-  },
-  { /* 237 */
-    0,
-    /*  */
-  },
-  { /* 238 */
-    0,
-    /*  */
-  },
-  { /* 239 */
-    0,
-    /*  */
-  },
-  { /* 240 */
-    0,
-    /*  */
-  },
-  { /* 241 */
-    0,
-    /*  */
-  },
-  { /* 242 */
-    0,
-    /*  */
-  },
-  { /* 243 */
-    0,
-    /*  */
-  },
-  { /* 244 */
-    0,
-    /*  */
-  },
-  { /* 245 */
-    0,
-    /*  */
-  },
-  { /* 246 */
-    0,
-    /*  */
-  },
-  { /* 247 */
-    0,
-    /*  */
-  },
-  { /* 248 */
-    0,
-    /*  */
-  },
-  { /* 249 */
-    0,
-    /*  */
-  },
-  { /* 250 */
-    0,
-    /*  */
-  },
-  { /* 251 */
-    0,
-    /*  */
-  },
-  { /* 252 */
-    0,
-    /*  */
-  },
-  { /* 253 */
-    0,
-    /*  */
-  },
-  { /* 254 */
-    0,
-    /*  */
-  },
-  { /* 255 */
-    0,
-    /*  */
-  },
-  { /* 256 */
-    0,
-    /*  */
-  },
-  { /* 257 */
-    0,
-    /*  */
-  },
-  { /* 258 */
-    0,
-    /*  */
-  },
-  { /* 259 */
-    0,
-    /*  */
-  },
-  { /* 260 */
-    0,
-    /*  */
-  },
-  { /* 261 */
-    0,
-    /*  */
-  },
-  { /* 262 */
-    0,
-    /*  */
-  },
-  { /* 263 */
-    0,
-    /*  */
-  },
-  { /* 264 */
-    0,
-    /*  */
-  },
-  { /* 265 */
     52,
     /* BEXTR32rm */
   },
-  { /* 266 */
+  { /* 224 */
     53,
     /* BEXTR32rr */
   },
-  { /* 267 */
+  { /* 225 */
     54,
     /* BEXTR64rm */
   },
-  { /* 268 */
+  { /* 226 */
     55,
     /* BEXTR64rr */
   },
-  { /* 269 */
+  { /* 227 */
     56,
     /* BEXTRI32mi */
   },
-  { /* 270 */
+  { /* 228 */
     57,
     /* BEXTRI32ri */
   },
-  { /* 271 */
+  { /* 229 */
     58,
     /* BEXTRI64mi */
   },
-  { /* 272 */
+  { /* 230 */
     59,
     /* BEXTRI64ri */
   },
-  { /* 273 */
+  { /* 231 */
     60,
     /* BLCFILL32rm */
   },
-  { /* 274 */
+  { /* 232 */
     61,
     /* BLCFILL32rr */
   },
-  { /* 275 */
+  { /* 233 */
     62,
     /* BLCFILL64rm */
   },
-  { /* 276 */
+  { /* 234 */
     63,
     /* BLCFILL64rr */
   },
-  { /* 277 */
+  { /* 235 */
     60,
     /* BLCI32rm */
   },
-  { /* 278 */
+  { /* 236 */
     61,
     /* BLCI32rr */
   },
-  { /* 279 */
+  { /* 237 */
     62,
     /* BLCI64rm */
   },
-  { /* 280 */
+  { /* 238 */
     63,
     /* BLCI64rr */
   },
-  { /* 281 */
+  { /* 239 */
     60,
     /* BLCIC32rm */
   },
-  { /* 282 */
+  { /* 240 */
     61,
     /* BLCIC32rr */
   },
-  { /* 283 */
+  { /* 241 */
     62,
     /* BLCIC64rm */
   },
-  { /* 284 */
+  { /* 242 */
     63,
     /* BLCIC64rr */
   },
-  { /* 285 */
+  { /* 243 */
     60,
     /* BLCMSK32rm */
   },
-  { /* 286 */
+  { /* 244 */
     61,
     /* BLCMSK32rr */
   },
-  { /* 287 */
+  { /* 245 */
     62,
     /* BLCMSK64rm */
   },
-  { /* 288 */
+  { /* 246 */
     63,
     /* BLCMSK64rr */
   },
-  { /* 289 */
+  { /* 247 */
     60,
     /* BLCS32rm */
   },
-  { /* 290 */
+  { /* 248 */
     61,
     /* BLCS32rr */
   },
-  { /* 291 */
+  { /* 249 */
     62,
     /* BLCS64rm */
   },
-  { /* 292 */
+  { /* 250 */
     63,
     /* BLCS64rr */
   },
-  { /* 293 */
+  { /* 251 */
     64,
     /* BLENDPDrmi */
   },
-  { /* 294 */
+  { /* 252 */
     65,
     /* BLENDPDrri */
   },
-  { /* 295 */
+  { /* 253 */
     64,
     /* BLENDPSrmi */
   },
-  { /* 296 */
+  { /* 254 */
     65,
     /* BLENDPSrri */
   },
-  { /* 297 */
+  { /* 255 */
     32,
     /* BLENDVPDrm0 */
   },
-  { /* 298 */
+  { /* 256 */
     33,
     /* BLENDVPDrr0 */
   },
-  { /* 299 */
+  { /* 257 */
     32,
     /* BLENDVPSrm0 */
   },
-  { /* 300 */
+  { /* 258 */
     33,
     /* BLENDVPSrr0 */
   },
-  { /* 301 */
+  { /* 259 */
     60,
     /* BLSFILL32rm */
   },
-  { /* 302 */
+  { /* 260 */
     61,
     /* BLSFILL32rr */
   },
-  { /* 303 */
+  { /* 261 */
     62,
     /* BLSFILL64rm */
   },
-  { /* 304 */
+  { /* 262 */
     63,
     /* BLSFILL64rr */
   },
-  { /* 305 */
+  { /* 263 */
     60,
     /* BLSI32rm */
   },
-  { /* 306 */
+  { /* 264 */
     61,
     /* BLSI32rr */
   },
-  { /* 307 */
+  { /* 265 */
     62,
     /* BLSI64rm */
   },
-  { /* 308 */
+  { /* 266 */
     63,
     /* BLSI64rr */
   },
-  { /* 309 */
+  { /* 267 */
     60,
     /* BLSIC32rm */
   },
-  { /* 310 */
+  { /* 268 */
     61,
     /* BLSIC32rr */
   },
-  { /* 311 */
+  { /* 269 */
     62,
     /* BLSIC64rm */
   },
-  { /* 312 */
+  { /* 270 */
     63,
     /* BLSIC64rr */
   },
-  { /* 313 */
+  { /* 271 */
     60,
     /* BLSMSK32rm */
   },
-  { /* 314 */
+  { /* 272 */
     61,
     /* BLSMSK32rr */
   },
-  { /* 315 */
+  { /* 273 */
     62,
     /* BLSMSK64rm */
   },
-  { /* 316 */
+  { /* 274 */
     63,
     /* BLSMSK64rr */
   },
-  { /* 317 */
+  { /* 275 */
     60,
     /* BLSR32rm */
   },
-  { /* 318 */
+  { /* 276 */
     61,
     /* BLSR32rr */
   },
-  { /* 319 */
+  { /* 277 */
     62,
     /* BLSR64rm */
   },
-  { /* 320 */
+  { /* 278 */
     63,
     /* BLSR64rr */
   },
-  { /* 321 */
+  { /* 279 */
     66,
     /* BOUNDS16rm */
   },
-  { /* 322 */
+  { /* 280 */
     66,
     /* BOUNDS32rm */
   },
-  { /* 323 */
+  { /* 281 */
     66,
     /* BSF16rm */
   },
-  { /* 324 */
+  { /* 282 */
     67,
     /* BSF16rr */
   },
-  { /* 325 */
+  { /* 283 */
     66,
     /* BSF32rm */
   },
-  { /* 326 */
+  { /* 284 */
     67,
     /* BSF32rr */
   },
-  { /* 327 */
+  { /* 285 */
     30,
     /* BSF64rm */
   },
-  { /* 328 */
+  { /* 286 */
     31,
     /* BSF64rr */
   },
-  { /* 329 */
+  { /* 287 */
     66,
     /* BSR16rm */
   },
-  { /* 330 */
+  { /* 288 */
     67,
     /* BSR16rr */
   },
-  { /* 331 */
+  { /* 289 */
     66,
     /* BSR32rm */
   },
-  { /* 332 */
+  { /* 290 */
     67,
     /* BSR32rr */
   },
-  { /* 333 */
+  { /* 291 */
     30,
     /* BSR64rm */
   },
-  { /* 334 */
+  { /* 292 */
     31,
     /* BSR64rr */
   },
-  { /* 335 */
+  { /* 293 */
     68,
     /* BSWAP32r */
   },
-  { /* 336 */
+  { /* 294 */
     69,
     /* BSWAP64r */
   },
-  { /* 337 */
+  { /* 295 */
     4,
     /* BT16mi8 */
   },
-  { /* 338 */
+  { /* 296 */
     5,
     /* BT16mr */
   },
-  { /* 339 */
+  { /* 297 */
     70,
     /* BT16ri8 */
   },
-  { /* 340 */
+  { /* 298 */
     71,
     /* BT16rr */
   },
-  { /* 341 */
+  { /* 299 */
     11,
     /* BT32mi8 */
   },
-  { /* 342 */
+  { /* 300 */
     5,
     /* BT32mr */
   },
-  { /* 343 */
+  { /* 301 */
     72,
     /* BT32ri8 */
   },
-  { /* 344 */
+  { /* 302 */
     71,
     /* BT32rr */
   },
-  { /* 345 */
+  { /* 303 */
     15,
     /* BT64mi8 */
   },
-  { /* 346 */
+  { /* 304 */
     16,
     /* BT64mr */
   },
-  { /* 347 */
+  { /* 305 */
     73,
     /* BT64ri8 */
   },
-  { /* 348 */
+  { /* 306 */
     74,
     /* BT64rr */
   },
-  { /* 349 */
+  { /* 307 */
     4,
     /* BTC16mi8 */
   },
-  { /* 350 */
+  { /* 308 */
     5,
     /* BTC16mr */
   },
-  { /* 351 */
+  { /* 309 */
     70,
     /* BTC16ri8 */
   },
-  { /* 352 */
+  { /* 310 */
     71,
     /* BTC16rr */
   },
-  { /* 353 */
+  { /* 311 */
     11,
     /* BTC32mi8 */
   },
-  { /* 354 */
+  { /* 312 */
     5,
     /* BTC32mr */
   },
-  { /* 355 */
+  { /* 313 */
     72,
     /* BTC32ri8 */
   },
-  { /* 356 */
+  { /* 314 */
     71,
     /* BTC32rr */
   },
-  { /* 357 */
+  { /* 315 */
     15,
     /* BTC64mi8 */
   },
-  { /* 358 */
+  { /* 316 */
     16,
     /* BTC64mr */
   },
-  { /* 359 */
+  { /* 317 */
     73,
     /* BTC64ri8 */
   },
-  { /* 360 */
+  { /* 318 */
     74,
     /* BTC64rr */
   },
-  { /* 361 */
+  { /* 319 */
     4,
     /* BTR16mi8 */
   },
-  { /* 362 */
+  { /* 320 */
     5,
     /* BTR16mr */
   },
-  { /* 363 */
+  { /* 321 */
     70,
     /* BTR16ri8 */
   },
-  { /* 364 */
+  { /* 322 */
     71,
     /* BTR16rr */
   },
-  { /* 365 */
+  { /* 323 */
     11,
     /* BTR32mi8 */
   },
-  { /* 366 */
+  { /* 324 */
     5,
     /* BTR32mr */
   },
-  { /* 367 */
+  { /* 325 */
     72,
     /* BTR32ri8 */
   },
-  { /* 368 */
+  { /* 326 */
     71,
     /* BTR32rr */
   },
-  { /* 369 */
+  { /* 327 */
     15,
     /* BTR64mi8 */
   },
-  { /* 370 */
+  { /* 328 */
     16,
     /* BTR64mr */
   },
-  { /* 371 */
+  { /* 329 */
     73,
     /* BTR64ri8 */
   },
-  { /* 372 */
+  { /* 330 */
     74,
     /* BTR64rr */
   },
-  { /* 373 */
+  { /* 331 */
     4,
     /* BTS16mi8 */
   },
-  { /* 374 */
+  { /* 332 */
     5,
     /* BTS16mr */
   },
-  { /* 375 */
+  { /* 333 */
     70,
     /* BTS16ri8 */
   },
-  { /* 376 */
+  { /* 334 */
     71,
     /* BTS16rr */
   },
-  { /* 377 */
+  { /* 335 */
     11,
     /* BTS32mi8 */
   },
-  { /* 378 */
+  { /* 336 */
     5,
     /* BTS32mr */
   },
-  { /* 379 */
+  { /* 337 */
     72,
     /* BTS32ri8 */
   },
-  { /* 380 */
+  { /* 338 */
     71,
     /* BTS32rr */
   },
-  { /* 381 */
+  { /* 339 */
     15,
     /* BTS64mi8 */
   },
-  { /* 382 */
+  { /* 340 */
     16,
     /* BTS64mr */
   },
-  { /* 383 */
+  { /* 341 */
     73,
     /* BTS64ri8 */
   },
-  { /* 384 */
+  { /* 342 */
     74,
     /* BTS64rr */
   },
-  { /* 385 */
+  { /* 343 */
     52,
     /* BZHI32rm */
   },
-  { /* 386 */
+  { /* 344 */
     53,
     /* BZHI32rr */
   },
-  { /* 387 */
+  { /* 345 */
     54,
     /* BZHI64rm */
   },
-  { /* 388 */
+  { /* 346 */
     55,
     /* BZHI64rr */
   },
-  { /* 389 */
+  { /* 347 */
     40,
     /* CALL16m */
   },
-  { /* 390 */
+  { /* 348 */
     75,
     /* CALL16r */
   },
-  { /* 391 */
+  { /* 349 */
     40,
     /* CALL32m */
   },
-  { /* 392 */
+  { /* 350 */
     75,
     /* CALL32r */
   },
-  { /* 393 */
+  { /* 351 */
     40,
     /* CALL64m */
   },
-  { /* 394 */
+  { /* 352 */
     76,
     /* CALL64pcrel32 */
   },
-  { /* 395 */
+  { /* 353 */
     77,
     /* CALL64r */
   },
-  { /* 396 */
+  { /* 354 */
     78,
     /* CALLpcrel16 */
   },
-  { /* 397 */
+  { /* 355 */
     79,
     /* CALLpcrel32 */
   },
-  { /* 398 */
+  { /* 356 */
     0,
     /* CBW */
   },
-  { /* 399 */
+  { /* 357 */
     0,
     /* CDQ */
   },
-  { /* 400 */
+  { /* 358 */
     0,
     /* CDQE */
   },
-  { /* 401 */
+  { /* 359 */
     0,
     /* CHS_F */
   },
+  { /* 360 */
+    0,
+    /*  */
+  },
+  { /* 361 */
+    0,
+    /*  */
+  },
+  { /* 362 */
+    0,
+    /*  */
+  },
+  { /* 363 */
+    0,
+    /* CLAC */
+  },
+  { /* 364 */
+    0,
+    /* CLC */
+  },
+  { /* 365 */
+    0,
+    /* CLD */
+  },
+  { /* 366 */
+    80,
+    /* CLFLUSH */
+  },
+  { /* 367 */
+    0,
+    /* CLGI */
+  },
+  { /* 368 */
+    0,
+    /* CLI */
+  },
+  { /* 369 */
+    0,
+    /* CLTS */
+  },
+  { /* 370 */
+    0,
+    /* CMC */
+  },
+  { /* 371 */
+    8,
+    /* CMOVA16rm */
+  },
+  { /* 372 */
+    10,
+    /* CMOVA16rr */
+  },
+  { /* 373 */
+    8,
+    /* CMOVA32rm */
+  },
+  { /* 374 */
+    10,
+    /* CMOVA32rr */
+  },
+  { /* 375 */
+    19,
+    /* CMOVA64rm */
+  },
+  { /* 376 */
+    21,
+    /* CMOVA64rr */
+  },
+  { /* 377 */
+    8,
+    /* CMOVAE16rm */
+  },
+  { /* 378 */
+    10,
+    /* CMOVAE16rr */
+  },
+  { /* 379 */
+    8,
+    /* CMOVAE32rm */
+  },
+  { /* 380 */
+    10,
+    /* CMOVAE32rr */
+  },
+  { /* 381 */
+    19,
+    /* CMOVAE64rm */
+  },
+  { /* 382 */
+    21,
+    /* CMOVAE64rr */
+  },
+  { /* 383 */
+    8,
+    /* CMOVB16rm */
+  },
+  { /* 384 */
+    10,
+    /* CMOVB16rr */
+  },
+  { /* 385 */
+    8,
+    /* CMOVB32rm */
+  },
+  { /* 386 */
+    10,
+    /* CMOVB32rr */
+  },
+  { /* 387 */
+    19,
+    /* CMOVB64rm */
+  },
+  { /* 388 */
+    21,
+    /* CMOVB64rr */
+  },
+  { /* 389 */
+    8,
+    /* CMOVBE16rm */
+  },
+  { /* 390 */
+    10,
+    /* CMOVBE16rr */
+  },
+  { /* 391 */
+    8,
+    /* CMOVBE32rm */
+  },
+  { /* 392 */
+    10,
+    /* CMOVBE32rr */
+  },
+  { /* 393 */
+    19,
+    /* CMOVBE64rm */
+  },
+  { /* 394 */
+    21,
+    /* CMOVBE64rr */
+  },
+  { /* 395 */
+    41,
+    /* CMOVBE_F */
+  },
+  { /* 396 */
+    0,
+    /*  */
+  },
+  { /* 397 */
+    0,
+    /*  */
+  },
+  { /* 398 */
+    0,
+    /*  */
+  },
+  { /* 399 */
+    41,
+    /* CMOVB_F */
+  },
+  { /* 400 */
+    0,
+    /*  */
+  },
+  { /* 401 */
+    0,
+    /*  */
+  },
   { /* 402 */
     0,
     /*  */
   },
   { /* 403 */
-    0,
-    /*  */
+    8,
+    /* CMOVE16rm */
   },
   { /* 404 */
-    0,
-    /*  */
+    10,
+    /* CMOVE16rr */
   },
   { /* 405 */
-    0,
-    /* CLAC */
+    8,
+    /* CMOVE32rm */
   },
   { /* 406 */
-    0,
-    /* CLC */
+    10,
+    /* CMOVE32rr */
   },
   { /* 407 */
-    0,
-    /* CLD */
+    19,
+    /* CMOVE64rm */
   },
   { /* 408 */
-    80,
-    /* CLFLUSH */
+    21,
+    /* CMOVE64rr */
   },
   { /* 409 */
-    0,
-    /* CLGI */
+    41,
+    /* CMOVE_F */
   },
   { /* 410 */
     0,
-    /* CLI */
+    /*  */
   },
   { /* 411 */
     0,
-    /* CLTS */
+    /*  */
   },
   { /* 412 */
     0,
-    /* CMC */
+    /*  */
   },
   { /* 413 */
     8,
-    /* CMOVA16rm */
+    /* CMOVG16rm */
   },
   { /* 414 */
     10,
-    /* CMOVA16rr */
+    /* CMOVG16rr */
   },
   { /* 415 */
     8,
-    /* CMOVA32rm */
+    /* CMOVG32rm */
   },
   { /* 416 */
     10,
-    /* CMOVA32rr */
+    /* CMOVG32rr */
   },
   { /* 417 */
     19,
-    /* CMOVA64rm */
+    /* CMOVG64rm */
   },
   { /* 418 */
     21,
-    /* CMOVA64rr */
+    /* CMOVG64rr */
   },
   { /* 419 */
     8,
-    /* CMOVAE16rm */
+    /* CMOVGE16rm */
   },
   { /* 420 */
     10,
-    /* CMOVAE16rr */
+    /* CMOVGE16rr */
   },
   { /* 421 */
     8,
-    /* CMOVAE32rm */
+    /* CMOVGE32rm */
   },
   { /* 422 */
     10,
-    /* CMOVAE32rr */
+    /* CMOVGE32rr */
   },
   { /* 423 */
     19,
-    /* CMOVAE64rm */
+    /* CMOVGE64rm */
   },
   { /* 424 */
     21,
-    /* CMOVAE64rr */
+    /* CMOVGE64rr */
   },
   { /* 425 */
     8,
-    /* CMOVB16rm */
+    /* CMOVL16rm */
   },
   { /* 426 */
     10,
-    /* CMOVB16rr */
+    /* CMOVL16rr */
   },
   { /* 427 */
     8,
-    /* CMOVB32rm */
+    /* CMOVL32rm */
   },
   { /* 428 */
     10,
-    /* CMOVB32rr */
+    /* CMOVL32rr */
   },
   { /* 429 */
     19,
-    /* CMOVB64rm */
+    /* CMOVL64rm */
   },
   { /* 430 */
     21,
-    /* CMOVB64rr */
+    /* CMOVL64rr */
   },
   { /* 431 */
     8,
-    /* CMOVBE16rm */
+    /* CMOVLE16rm */
   },
   { /* 432 */
     10,
-    /* CMOVBE16rr */
+    /* CMOVLE16rr */
   },
   { /* 433 */
     8,
-    /* CMOVBE32rm */
+    /* CMOVLE32rm */
   },
   { /* 434 */
     10,
-    /* CMOVBE32rr */
+    /* CMOVLE32rr */
   },
   { /* 435 */
     19,
-    /* CMOVBE64rm */
+    /* CMOVLE64rm */
   },
   { /* 436 */
     21,
-    /* CMOVBE64rr */
+    /* CMOVLE64rr */
   },
   { /* 437 */
     41,
-    /* CMOVBE_F */
+    /* CMOVNBE_F */
   },
   { /* 438 */
     0,
@@ -6634,7 +8601,7 @@
   },
   { /* 441 */
     41,
-    /* CMOVB_F */
+    /* CMOVNB_F */
   },
   { /* 442 */
     0,
@@ -6650,31 +8617,31 @@
   },
   { /* 445 */
     8,
-    /* CMOVE16rm */
+    /* CMOVNE16rm */
   },
   { /* 446 */
     10,
-    /* CMOVE16rr */
+    /* CMOVNE16rr */
   },
   { /* 447 */
     8,
-    /* CMOVE32rm */
+    /* CMOVNE32rm */
   },
   { /* 448 */
     10,
-    /* CMOVE32rr */
+    /* CMOVNE32rr */
   },
   { /* 449 */
     19,
-    /* CMOVE64rm */
+    /* CMOVNE64rm */
   },
   { /* 450 */
     21,
-    /* CMOVE64rr */
+    /* CMOVNE64rr */
   },
   { /* 451 */
     41,
-    /* CMOVE_F */
+    /* CMOVNE_F */
   },
   { /* 452 */
     0,
@@ -6690,223 +8657,223 @@
   },
   { /* 455 */
     8,
-    /* CMOVG16rm */
+    /* CMOVNO16rm */
   },
   { /* 456 */
     10,
-    /* CMOVG16rr */
+    /* CMOVNO16rr */
   },
   { /* 457 */
     8,
-    /* CMOVG32rm */
+    /* CMOVNO32rm */
   },
   { /* 458 */
     10,
-    /* CMOVG32rr */
+    /* CMOVNO32rr */
   },
   { /* 459 */
     19,
-    /* CMOVG64rm */
+    /* CMOVNO64rm */
   },
   { /* 460 */
     21,
-    /* CMOVG64rr */
+    /* CMOVNO64rr */
   },
   { /* 461 */
     8,
-    /* CMOVGE16rm */
+    /* CMOVNP16rm */
   },
   { /* 462 */
     10,
-    /* CMOVGE16rr */
+    /* CMOVNP16rr */
   },
   { /* 463 */
     8,
-    /* CMOVGE32rm */
+    /* CMOVNP32rm */
   },
   { /* 464 */
     10,
-    /* CMOVGE32rr */
+    /* CMOVNP32rr */
   },
   { /* 465 */
     19,
-    /* CMOVGE64rm */
+    /* CMOVNP64rm */
   },
   { /* 466 */
     21,
-    /* CMOVGE64rr */
+    /* CMOVNP64rr */
   },
   { /* 467 */
-    8,
-    /* CMOVL16rm */
+    41,
+    /* CMOVNP_F */
   },
   { /* 468 */
-    10,
-    /* CMOVL16rr */
+    0,
+    /*  */
   },
   { /* 469 */
-    8,
-    /* CMOVL32rm */
+    0,
+    /*  */
   },
   { /* 470 */
-    10,
-    /* CMOVL32rr */
+    0,
+    /*  */
   },
   { /* 471 */
-    19,
-    /* CMOVL64rm */
+    8,
+    /* CMOVNS16rm */
   },
   { /* 472 */
-    21,
-    /* CMOVL64rr */
+    10,
+    /* CMOVNS16rr */
   },
   { /* 473 */
     8,
-    /* CMOVLE16rm */
+    /* CMOVNS32rm */
   },
   { /* 474 */
     10,
-    /* CMOVLE16rr */
+    /* CMOVNS32rr */
   },
   { /* 475 */
-    8,
-    /* CMOVLE32rm */
+    19,
+    /* CMOVNS64rm */
   },
   { /* 476 */
-    10,
-    /* CMOVLE32rr */
+    21,
+    /* CMOVNS64rr */
   },
   { /* 477 */
-    19,
-    /* CMOVLE64rm */
+    8,
+    /* CMOVO16rm */
   },
   { /* 478 */
-    21,
-    /* CMOVLE64rr */
+    10,
+    /* CMOVO16rr */
   },
   { /* 479 */
-    41,
-    /* CMOVNBE_F */
+    8,
+    /* CMOVO32rm */
   },
   { /* 480 */
-    0,
-    /*  */
+    10,
+    /* CMOVO32rr */
   },
   { /* 481 */
-    0,
-    /*  */
+    19,
+    /* CMOVO64rm */
   },
   { /* 482 */
-    0,
-    /*  */
+    21,
+    /* CMOVO64rr */
   },
   { /* 483 */
-    41,
-    /* CMOVNB_F */
+    8,
+    /* CMOVP16rm */
   },
   { /* 484 */
-    0,
-    /*  */
+    10,
+    /* CMOVP16rr */
   },
   { /* 485 */
-    0,
-    /*  */
+    8,
+    /* CMOVP32rm */
   },
   { /* 486 */
-    0,
-    /*  */
+    10,
+    /* CMOVP32rr */
   },
   { /* 487 */
-    8,
-    /* CMOVNE16rm */
+    19,
+    /* CMOVP64rm */
   },
   { /* 488 */
-    10,
-    /* CMOVNE16rr */
+    21,
+    /* CMOVP64rr */
   },
   { /* 489 */
-    8,
-    /* CMOVNE32rm */
+    41,
+    /* CMOVP_F */
   },
   { /* 490 */
-    10,
-    /* CMOVNE32rr */
+    0,
+    /*  */
   },
   { /* 491 */
-    19,
-    /* CMOVNE64rm */
+    0,
+    /*  */
   },
   { /* 492 */
-    21,
-    /* CMOVNE64rr */
+    0,
+    /*  */
   },
   { /* 493 */
-    41,
-    /* CMOVNE_F */
+    8,
+    /* CMOVS16rm */
   },
   { /* 494 */
-    0,
-    /*  */
+    10,
+    /* CMOVS16rr */
   },
   { /* 495 */
-    0,
-    /*  */
+    8,
+    /* CMOVS32rm */
   },
   { /* 496 */
+    10,
+    /* CMOVS32rr */
+  },
+  { /* 497 */
+    19,
+    /* CMOVS64rm */
+  },
+  { /* 498 */
+    21,
+    /* CMOVS64rr */
+  },
+  { /* 499 */
     0,
     /*  */
   },
-  { /* 497 */
-    8,
-    /* CMOVNO16rm */
-  },
-  { /* 498 */
-    10,
-    /* CMOVNO16rr */
-  },
-  { /* 499 */
-    8,
-    /* CMOVNO32rm */
-  },
   { /* 500 */
-    10,
-    /* CMOVNO32rr */
+    0,
+    /*  */
   },
   { /* 501 */
-    19,
-    /* CMOVNO64rm */
+    0,
+    /*  */
   },
   { /* 502 */
-    21,
-    /* CMOVNO64rr */
+    0,
+    /*  */
   },
   { /* 503 */
-    8,
-    /* CMOVNP16rm */
+    0,
+    /*  */
   },
   { /* 504 */
-    10,
-    /* CMOVNP16rr */
+    0,
+    /*  */
   },
   { /* 505 */
-    8,
-    /* CMOVNP32rm */
+    0,
+    /*  */
   },
   { /* 506 */
-    10,
-    /* CMOVNP32rr */
+    0,
+    /*  */
   },
   { /* 507 */
-    19,
-    /* CMOVNP64rm */
+    0,
+    /*  */
   },
   { /* 508 */
-    21,
-    /* CMOVNP64rr */
+    0,
+    /*  */
   },
   { /* 509 */
-    41,
-    /* CMOVNP_F */
+    0,
+    /*  */
   },
   { /* 510 */
     0,
@@ -6921,884 +8888,884 @@
     /*  */
   },
   { /* 513 */
-    8,
-    /* CMOVNS16rm */
+    0,
+    /*  */
   },
   { /* 514 */
-    10,
-    /* CMOVNS16rr */
+    0,
+    /*  */
   },
   { /* 515 */
-    8,
-    /* CMOVNS32rm */
+    0,
+    /*  */
   },
   { /* 516 */
-    10,
-    /* CMOVNS32rr */
+    2,
+    /* CMP16i16 */
   },
   { /* 517 */
-    19,
-    /* CMOVNS64rm */
+    3,
+    /* CMP16mi */
   },
   { /* 518 */
-    21,
-    /* CMOVNS64rr */
+    4,
+    /* CMP16mi8 */
   },
   { /* 519 */
-    8,
-    /* CMOVO16rm */
+    5,
+    /* CMP16mr */
   },
   { /* 520 */
-    10,
-    /* CMOVO16rr */
+    81,
+    /* CMP16ri */
   },
   { /* 521 */
-    8,
-    /* CMOVO32rm */
+    70,
+    /* CMP16ri8 */
   },
   { /* 522 */
-    10,
-    /* CMOVO32rr */
+    66,
+    /* CMP16rm */
   },
   { /* 523 */
-    19,
-    /* CMOVO64rm */
+    71,
+    /* CMP16rr */
   },
   { /* 524 */
-    21,
-    /* CMOVO64rr */
+    67,
+    /* CMP16rr_REV */
   },
   { /* 525 */
-    8,
-    /* CMOVP16rm */
+    2,
+    /* CMP32i32 */
   },
   { /* 526 */
-    10,
-    /* CMOVP16rr */
+    3,
+    /* CMP32mi */
   },
   { /* 527 */
-    8,
-    /* CMOVP32rm */
+    11,
+    /* CMP32mi8 */
   },
   { /* 528 */
-    10,
-    /* CMOVP32rr */
+    5,
+    /* CMP32mr */
   },
   { /* 529 */
-    19,
-    /* CMOVP64rm */
+    81,
+    /* CMP32ri */
   },
   { /* 530 */
-    21,
-    /* CMOVP64rr */
+    72,
+    /* CMP32ri8 */
   },
   { /* 531 */
-    41,
-    /* CMOVP_F */
+    66,
+    /* CMP32rm */
   },
   { /* 532 */
-    0,
-    /*  */
+    71,
+    /* CMP32rr */
   },
   { /* 533 */
-    0,
-    /*  */
+    67,
+    /* CMP32rr_REV */
   },
   { /* 534 */
-    0,
-    /*  */
+    13,
+    /* CMP64i32 */
   },
   { /* 535 */
-    8,
-    /* CMOVS16rm */
+    14,
+    /* CMP64mi32 */
   },
   { /* 536 */
-    10,
-    /* CMOVS16rr */
+    15,
+    /* CMP64mi8 */
   },
   { /* 537 */
-    8,
-    /* CMOVS32rm */
+    16,
+    /* CMP64mr */
   },
   { /* 538 */
-    10,
-    /* CMOVS32rr */
+    82,
+    /* CMP64ri32 */
   },
   { /* 539 */
-    19,
-    /* CMOVS64rm */
+    73,
+    /* CMP64ri8 */
   },
   { /* 540 */
-    21,
-    /* CMOVS64rr */
+    30,
+    /* CMP64rm */
   },
   { /* 541 */
-    0,
-    /*  */
+    74,
+    /* CMP64rr */
   },
   { /* 542 */
-    0,
-    /*  */
+    31,
+    /* CMP64rr_REV */
   },
   { /* 543 */
-    0,
-    /*  */
+    1,
+    /* CMP8i8 */
   },
   { /* 544 */
-    0,
-    /*  */
+    22,
+    /* CMP8mi */
   },
   { /* 545 */
-    0,
-    /*  */
+    23,
+    /* CMP8mr */
   },
   { /* 546 */
-    0,
-    /*  */
+    83,
+    /* CMP8ri */
   },
   { /* 547 */
-    0,
-    /*  */
+    84,
+    /* CMP8rm */
   },
   { /* 548 */
-    0,
-    /*  */
+    85,
+    /* CMP8rr */
   },
   { /* 549 */
-    0,
-    /*  */
+    86,
+    /* CMP8rr_REV */
   },
   { /* 550 */
-    0,
-    /*  */
+    87,
+    /* CMPPDrmi */
   },
   { /* 551 */
     0,
     /*  */
   },
   { /* 552 */
-    0,
-    /*  */
+    88,
+    /* CMPPDrri */
   },
   { /* 553 */
     0,
     /*  */
   },
   { /* 554 */
-    0,
-    /*  */
+    87,
+    /* CMPPSrmi */
   },
   { /* 555 */
     0,
     /*  */
   },
   { /* 556 */
-    0,
-    /*  */
+    88,
+    /* CMPPSrri */
   },
   { /* 557 */
     0,
     /*  */
   },
   { /* 558 */
-    2,
-    /* CMP16i16 */
+    89,
+    /* CMPSB */
   },
   { /* 559 */
-    3,
-    /* CMP16mi */
-  },
-  { /* 560 */
-    4,
-    /* CMP16mi8 */
-  },
-  { /* 561 */
-    5,
-    /* CMP16mr */
-  },
-  { /* 562 */
-    81,
-    /* CMP16ri */
-  },
-  { /* 563 */
-    70,
-    /* CMP16ri8 */
-  },
-  { /* 564 */
-    66,
-    /* CMP16rm */
-  },
-  { /* 565 */
-    71,
-    /* CMP16rr */
-  },
-  { /* 566 */
-    67,
-    /* CMP16rr_REV */
-  },
-  { /* 567 */
-    2,
-    /* CMP32i32 */
-  },
-  { /* 568 */
-    3,
-    /* CMP32mi */
-  },
-  { /* 569 */
-    11,
-    /* CMP32mi8 */
-  },
-  { /* 570 */
-    5,
-    /* CMP32mr */
-  },
-  { /* 571 */
-    81,
-    /* CMP32ri */
-  },
-  { /* 572 */
-    72,
-    /* CMP32ri8 */
-  },
-  { /* 573 */
-    66,
-    /* CMP32rm */
-  },
-  { /* 574 */
-    71,
-    /* CMP32rr */
-  },
-  { /* 575 */
-    67,
-    /* CMP32rr_REV */
-  },
-  { /* 576 */
-    13,
-    /* CMP64i32 */
-  },
-  { /* 577 */
-    14,
-    /* CMP64mi32 */
-  },
-  { /* 578 */
-    15,
-    /* CMP64mi8 */
-  },
-  { /* 579 */
-    16,
-    /* CMP64mr */
-  },
-  { /* 580 */
-    82,
-    /* CMP64ri32 */
-  },
-  { /* 581 */
-    73,
-    /* CMP64ri8 */
-  },
-  { /* 582 */
-    30,
-    /* CMP64rm */
-  },
-  { /* 583 */
-    74,
-    /* CMP64rr */
-  },
-  { /* 584 */
-    31,
-    /* CMP64rr_REV */
-  },
-  { /* 585 */
-    1,
-    /* CMP8i8 */
-  },
-  { /* 586 */
-    22,
-    /* CMP8mi */
-  },
-  { /* 587 */
-    23,
-    /* CMP8mr */
-  },
-  { /* 588 */
-    83,
-    /* CMP8ri */
-  },
-  { /* 589 */
-    84,
-    /* CMP8rm */
-  },
-  { /* 590 */
-    85,
-    /* CMP8rr */
-  },
-  { /* 591 */
-    86,
-    /* CMP8rr_REV */
-  },
-  { /* 592 */
-    87,
-    /* CMPPDrmi */
-  },
-  { /* 593 */
-    0,
-    /*  */
-  },
-  { /* 594 */
-    88,
-    /* CMPPDrri */
-  },
-  { /* 595 */
-    0,
-    /*  */
-  },
-  { /* 596 */
-    87,
-    /* CMPPSrmi */
-  },
-  { /* 597 */
-    0,
-    /*  */
-  },
-  { /* 598 */
-    88,
-    /* CMPPSrri */
-  },
-  { /* 599 */
-    0,
-    /*  */
-  },
-  { /* 600 */
-    89,
-    /* CMPS16 */
-  },
-  { /* 601 */
     90,
-    /* CMPS32 */
-  },
-  { /* 602 */
-    91,
-    /* CMPS64 */
-  },
-  { /* 603 */
-    92,
-    /* CMPS8 */
-  },
-  { /* 604 */
-    93,
     /* CMPSDrm */
   },
-  { /* 605 */
+  { /* 560 */
     0,
     /*  */
   },
-  { /* 606 */
-    94,
+  { /* 561 */
+    91,
     /* CMPSDrr */
   },
-  { /* 607 */
+  { /* 562 */
     0,
     /*  */
   },
-  { /* 608 */
-    95,
+  { /* 563 */
+    92,
+    /* CMPSL */
+  },
+  { /* 564 */
+    93,
+    /* CMPSQ */
+  },
+  { /* 565 */
+    94,
     /* CMPSSrm */
   },
-  { /* 609 */
+  { /* 566 */
     0,
     /*  */
   },
-  { /* 610 */
-    96,
+  { /* 567 */
+    95,
     /* CMPSSrr */
   },
-  { /* 611 */
+  { /* 568 */
     0,
     /*  */
   },
-  { /* 612 */
+  { /* 569 */
+    96,
+    /* CMPSW */
+  },
+  { /* 570 */
     97,
     /* CMPXCHG16B */
   },
-  { /* 613 */
+  { /* 571 */
     5,
     /* CMPXCHG16rm */
   },
-  { /* 614 */
+  { /* 572 */
     71,
     /* CMPXCHG16rr */
   },
-  { /* 615 */
+  { /* 573 */
     5,
     /* CMPXCHG32rm */
   },
-  { /* 616 */
+  { /* 574 */
     71,
     /* CMPXCHG32rr */
   },
-  { /* 617 */
+  { /* 575 */
     16,
     /* CMPXCHG64rm */
   },
-  { /* 618 */
+  { /* 576 */
     74,
     /* CMPXCHG64rr */
   },
-  { /* 619 */
+  { /* 577 */
     40,
     /* CMPXCHG8B */
   },
-  { /* 620 */
+  { /* 578 */
     23,
     /* CMPXCHG8rm */
   },
-  { /* 621 */
+  { /* 579 */
     85,
     /* CMPXCHG8rr */
   },
-  { /* 622 */
+  { /* 580 */
     42,
     /* COMISDrm */
   },
-  { /* 623 */
+  { /* 581 */
     43,
     /* COMISDrr */
   },
-  { /* 624 */
+  { /* 582 */
     42,
     /* COMISSrm */
   },
-  { /* 625 */
+  { /* 583 */
     43,
     /* COMISSrr */
   },
-  { /* 626 */
+  { /* 584 */
     41,
     /* COMP_FST0r */
   },
-  { /* 627 */
+  { /* 585 */
     41,
     /* COM_FIPr */
   },
-  { /* 628 */
+  { /* 586 */
     41,
     /* COM_FIr */
   },
-  { /* 629 */
+  { /* 587 */
     41,
     /* COM_FST0r */
   },
-  { /* 630 */
+  { /* 588 */
     0,
     /* COS_F */
   },
-  { /* 631 */
+  { /* 589 */
     0,
     /*  */
   },
-  { /* 632 */
+  { /* 590 */
     0,
     /*  */
   },
-  { /* 633 */
+  { /* 591 */
     0,
     /*  */
   },
-  { /* 634 */
+  { /* 592 */
     0,
     /* CPUID32 */
   },
-  { /* 635 */
+  { /* 593 */
     0,
     /* CPUID64 */
   },
-  { /* 636 */
+  { /* 594 */
     0,
     /* CQO */
   },
-  { /* 637 */
+  { /* 595 */
     98,
     /* CRC32r32m16 */
   },
-  { /* 638 */
+  { /* 596 */
     8,
     /* CRC32r32m32 */
   },
-  { /* 639 */
+  { /* 597 */
     99,
     /* CRC32r32m8 */
   },
-  { /* 640 */
+  { /* 598 */
     100,
     /* CRC32r32r16 */
   },
-  { /* 641 */
+  { /* 599 */
     10,
     /* CRC32r32r32 */
   },
-  { /* 642 */
+  { /* 600 */
     101,
     /* CRC32r32r8 */
   },
-  { /* 643 */
+  { /* 601 */
     19,
     /* CRC32r64m64 */
   },
-  { /* 644 */
+  { /* 602 */
     102,
     /* CRC32r64m8 */
   },
-  { /* 645 */
+  { /* 603 */
     21,
     /* CRC32r64r64 */
   },
-  { /* 646 */
+  { /* 604 */
     103,
     /* CRC32r64r8 */
   },
-  { /* 647 */
+  { /* 605 */
     0,
     /* CS_PREFIX */
   },
-  { /* 648 */
+  { /* 606 */
     104,
     /* CVTDQ2PDrm */
   },
-  { /* 649 */
+  { /* 607 */
     43,
     /* CVTDQ2PDrr */
   },
-  { /* 650 */
+  { /* 608 */
     42,
     /* CVTDQ2PSrm */
   },
-  { /* 651 */
+  { /* 609 */
     43,
     /* CVTDQ2PSrr */
   },
-  { /* 652 */
+  { /* 610 */
     42,
     /* CVTPD2DQrm */
   },
-  { /* 653 */
+  { /* 611 */
     43,
     /* CVTPD2DQrr */
   },
-  { /* 654 */
+  { /* 612 */
     42,
     /* CVTPD2PSrm */
   },
-  { /* 655 */
+  { /* 613 */
     43,
     /* CVTPD2PSrr */
   },
-  { /* 656 */
+  { /* 614 */
     42,
     /* CVTPS2DQrm */
   },
-  { /* 657 */
+  { /* 615 */
     43,
     /* CVTPS2DQrr */
   },
-  { /* 658 */
+  { /* 616 */
     105,
     /* CVTPS2PDrm */
   },
-  { /* 659 */
+  { /* 617 */
     43,
     /* CVTPS2PDrr */
   },
-  { /* 660 */
+  { /* 618 */
     106,
     /* CVTSD2SI64rm */
   },
-  { /* 661 */
+  { /* 619 */
     107,
     /* CVTSD2SI64rr */
   },
-  { /* 662 */
+  { /* 620 */
     108,
     /* CVTSD2SIrm */
   },
-  { /* 663 */
+  { /* 621 */
     109,
     /* CVTSD2SIrr */
   },
-  { /* 664 */
+  { /* 622 */
     110,
     /* CVTSD2SSrm */
   },
-  { /* 665 */
+  { /* 623 */
     111,
     /* CVTSD2SSrr */
   },
-  { /* 666 */
+  { /* 624 */
     112,
     /* CVTSI2SD64rm */
   },
-  { /* 667 */
+  { /* 625 */
     113,
     /* CVTSI2SD64rr */
   },
-  { /* 668 */
+  { /* 626 */
     112,
     /* CVTSI2SDrm */
   },
-  { /* 669 */
+  { /* 627 */
     114,
     /* CVTSI2SDrr */
   },
-  { /* 670 */
+  { /* 628 */
     115,
     /* CVTSI2SS64rm */
   },
-  { /* 671 */
+  { /* 629 */
     116,
     /* CVTSI2SS64rr */
   },
-  { /* 672 */
+  { /* 630 */
     115,
     /* CVTSI2SSrm */
   },
-  { /* 673 */
+  { /* 631 */
     117,
     /* CVTSI2SSrr */
   },
-  { /* 674 */
+  { /* 632 */
     118,
     /* CVTSS2SDrm */
   },
-  { /* 675 */
+  { /* 633 */
     119,
     /* CVTSS2SDrr */
   },
-  { /* 676 */
+  { /* 634 */
     120,
     /* CVTSS2SI64rm */
   },
-  { /* 677 */
+  { /* 635 */
     107,
     /* CVTSS2SI64rr */
   },
-  { /* 678 */
+  { /* 636 */
     121,
     /* CVTSS2SIrm */
   },
-  { /* 679 */
+  { /* 637 */
     109,
     /* CVTSS2SIrr */
   },
-  { /* 680 */
+  { /* 638 */
     42,
     /* CVTTPD2DQrm */
   },
-  { /* 681 */
+  { /* 639 */
     43,
     /* CVTTPD2DQrr */
   },
-  { /* 682 */
+  { /* 640 */
     42,
     /* CVTTPS2DQrm */
   },
-  { /* 683 */
+  { /* 641 */
     43,
     /* CVTTPS2DQrr */
   },
-  { /* 684 */
+  { /* 642 */
     106,
     /* CVTTSD2SI64rm */
   },
-  { /* 685 */
+  { /* 643 */
     122,
     /* CVTTSD2SI64rr */
   },
-  { /* 686 */
+  { /* 644 */
     108,
     /* CVTTSD2SIrm */
   },
-  { /* 687 */
+  { /* 645 */
     123,
     /* CVTTSD2SIrr */
   },
-  { /* 688 */
+  { /* 646 */
     120,
     /* CVTTSS2SI64rm */
   },
-  { /* 689 */
+  { /* 647 */
     124,
     /* CVTTSS2SI64rr */
   },
-  { /* 690 */
+  { /* 648 */
     121,
     /* CVTTSS2SIrm */
   },
-  { /* 691 */
+  { /* 649 */
     125,
     /* CVTTSS2SIrr */
   },
-  { /* 692 */
+  { /* 650 */
     0,
     /* CWD */
   },
-  { /* 693 */
+  { /* 651 */
     0,
     /* CWDE */
   },
-  { /* 694 */
+  { /* 652 */
     0,
     /* DAA */
   },
-  { /* 695 */
+  { /* 653 */
     0,
     /* DAS */
   },
-  { /* 696 */
+  { /* 654 */
     0,
     /* DATA16_PREFIX */
   },
-  { /* 697 */
+  { /* 655 */
     40,
     /* DEC16m */
   },
-  { /* 698 */
+  { /* 656 */
     68,
     /* DEC16r */
   },
-  { /* 699 */
+  { /* 657 */
     126,
     /* DEC32_16r */
   },
-  { /* 700 */
+  { /* 658 */
     126,
     /* DEC32_32r */
   },
-  { /* 701 */
+  { /* 659 */
     40,
     /* DEC32m */
   },
-  { /* 702 */
+  { /* 660 */
     68,
     /* DEC32r */
   },
-  { /* 703 */
+  { /* 661 */
     40,
     /* DEC64_16m */
   },
-  { /* 704 */
+  { /* 662 */
     126,
     /* DEC64_16r */
   },
-  { /* 705 */
+  { /* 663 */
     40,
     /* DEC64_32m */
   },
-  { /* 706 */
+  { /* 664 */
     126,
     /* DEC64_32r */
   },
-  { /* 707 */
+  { /* 665 */
     40,
     /* DEC64m */
   },
-  { /* 708 */
+  { /* 666 */
     127,
     /* DEC64r */
   },
-  { /* 709 */
+  { /* 667 */
     80,
     /* DEC8m */
   },
-  { /* 710 */
+  { /* 668 */
     128,
     /* DEC8r */
   },
-  { /* 711 */
+  { /* 669 */
     40,
     /* DIV16m */
   },
-  { /* 712 */
+  { /* 670 */
     75,
     /* DIV16r */
   },
-  { /* 713 */
+  { /* 671 */
     40,
     /* DIV32m */
   },
-  { /* 714 */
+  { /* 672 */
     75,
     /* DIV32r */
   },
-  { /* 715 */
+  { /* 673 */
     40,
     /* DIV64m */
   },
-  { /* 716 */
+  { /* 674 */
     77,
     /* DIV64r */
   },
-  { /* 717 */
+  { /* 675 */
     80,
     /* DIV8m */
   },
-  { /* 718 */
+  { /* 676 */
     129,
     /* DIV8r */
   },
-  { /* 719 */
+  { /* 677 */
     32,
     /* DIVPDrm */
   },
-  { /* 720 */
+  { /* 678 */
     33,
     /* DIVPDrr */
   },
-  { /* 721 */
+  { /* 679 */
     32,
     /* DIVPSrm */
   },
-  { /* 722 */
+  { /* 680 */
     33,
     /* DIVPSrr */
   },
-  { /* 723 */
+  { /* 681 */
     38,
     /* DIVR_F32m */
   },
-  { /* 724 */
+  { /* 682 */
     39,
     /* DIVR_F64m */
   },
-  { /* 725 */
+  { /* 683 */
     40,
     /* DIVR_FI16m */
   },
-  { /* 726 */
+  { /* 684 */
     40,
     /* DIVR_FI32m */
   },
-  { /* 727 */
+  { /* 685 */
     41,
     /* DIVR_FPrST0 */
   },
-  { /* 728 */
+  { /* 686 */
     41,
     /* DIVR_FST0r */
   },
-  { /* 729 */
+  { /* 687 */
     0,
     /*  */
   },
+  { /* 688 */
+    0,
+    /*  */
+  },
+  { /* 689 */
+    0,
+    /*  */
+  },
+  { /* 690 */
+    0,
+    /*  */
+  },
+  { /* 691 */
+    0,
+    /*  */
+  },
+  { /* 692 */
+    0,
+    /*  */
+  },
+  { /* 693 */
+    0,
+    /*  */
+  },
+  { /* 694 */
+    0,
+    /*  */
+  },
+  { /* 695 */
+    0,
+    /*  */
+  },
+  { /* 696 */
+    0,
+    /*  */
+  },
+  { /* 697 */
+    0,
+    /*  */
+  },
+  { /* 698 */
+    41,
+    /* DIVR_FrST0 */
+  },
+  { /* 699 */
+    34,
+    /* DIVSDrm */
+  },
+  { /* 700 */
+    0,
+    /*  */
+  },
+  { /* 701 */
+    35,
+    /* DIVSDrr */
+  },
+  { /* 702 */
+    0,
+    /*  */
+  },
+  { /* 703 */
+    36,
+    /* DIVSSrm */
+  },
+  { /* 704 */
+    0,
+    /*  */
+  },
+  { /* 705 */
+    37,
+    /* DIVSSrr */
+  },
+  { /* 706 */
+    0,
+    /*  */
+  },
+  { /* 707 */
+    38,
+    /* DIV_F32m */
+  },
+  { /* 708 */
+    39,
+    /* DIV_F64m */
+  },
+  { /* 709 */
+    40,
+    /* DIV_FI16m */
+  },
+  { /* 710 */
+    40,
+    /* DIV_FI32m */
+  },
+  { /* 711 */
+    41,
+    /* DIV_FPrST0 */
+  },
+  { /* 712 */
+    41,
+    /* DIV_FST0r */
+  },
+  { /* 713 */
+    0,
+    /*  */
+  },
+  { /* 714 */
+    0,
+    /*  */
+  },
+  { /* 715 */
+    0,
+    /*  */
+  },
+  { /* 716 */
+    0,
+    /*  */
+  },
+  { /* 717 */
+    0,
+    /*  */
+  },
+  { /* 718 */
+    0,
+    /*  */
+  },
+  { /* 719 */
+    0,
+    /*  */
+  },
+  { /* 720 */
+    0,
+    /*  */
+  },
+  { /* 721 */
+    0,
+    /*  */
+  },
+  { /* 722 */
+    0,
+    /*  */
+  },
+  { /* 723 */
+    0,
+    /*  */
+  },
+  { /* 724 */
+    0,
+    /*  */
+  },
+  { /* 725 */
+    0,
+    /*  */
+  },
+  { /* 726 */
+    0,
+    /*  */
+  },
+  { /* 727 */
+    41,
+    /* DIV_FrST0 */
+  },
+  { /* 728 */
+    64,
+    /* DPPDrmi */
+  },
+  { /* 729 */
+    65,
+    /* DPPDrri */
+  },
   { /* 730 */
-    0,
-    /*  */
+    64,
+    /* DPPSrmi */
   },
   { /* 731 */
-    0,
-    /*  */
+    65,
+    /* DPPSrri */
   },
   { /* 732 */
     0,
-    /*  */
+    /* DS_PREFIX */
   },
   { /* 733 */
     0,
@@ -7829,353 +9796,353 @@
     /*  */
   },
   { /* 740 */
-    41,
-    /* DIVR_FrST0 */
+    0,
+    /* ENCLS */
   },
   { /* 741 */
-    34,
-    /* DIVSDrm */
+    0,
+    /* ENCLU */
   },
   { /* 742 */
-    0,
-    /*  */
-  },
-  { /* 743 */
-    35,
-    /* DIVSDrr */
-  },
-  { /* 744 */
-    0,
-    /*  */
-  },
-  { /* 745 */
-    36,
-    /* DIVSSrm */
-  },
-  { /* 746 */
-    0,
-    /*  */
-  },
-  { /* 747 */
-    37,
-    /* DIVSSrr */
-  },
-  { /* 748 */
-    0,
-    /*  */
-  },
-  { /* 749 */
-    38,
-    /* DIV_F32m */
-  },
-  { /* 750 */
-    39,
-    /* DIV_F64m */
-  },
-  { /* 751 */
-    40,
-    /* DIV_FI16m */
-  },
-  { /* 752 */
-    40,
-    /* DIV_FI32m */
-  },
-  { /* 753 */
-    41,
-    /* DIV_FPrST0 */
-  },
-  { /* 754 */
-    41,
-    /* DIV_FST0r */
-  },
-  { /* 755 */
-    0,
-    /*  */
-  },
-  { /* 756 */
-    0,
-    /*  */
-  },
-  { /* 757 */
-    0,
-    /*  */
-  },
-  { /* 758 */
-    0,
-    /*  */
-  },
-  { /* 759 */
-    0,
-    /*  */
-  },
-  { /* 760 */
-    0,
-    /*  */
-  },
-  { /* 761 */
-    0,
-    /*  */
-  },
-  { /* 762 */
-    0,
-    /*  */
-  },
-  { /* 763 */
-    0,
-    /*  */
-  },
-  { /* 764 */
-    0,
-    /*  */
-  },
-  { /* 765 */
-    0,
-    /*  */
-  },
-  { /* 766 */
-    0,
-    /*  */
-  },
-  { /* 767 */
-    0,
-    /*  */
-  },
-  { /* 768 */
-    0,
-    /*  */
-  },
-  { /* 769 */
-    41,
-    /* DIV_FrST0 */
-  },
-  { /* 770 */
-    64,
-    /* DPPDrmi */
-  },
-  { /* 771 */
-    65,
-    /* DPPDrri */
-  },
-  { /* 772 */
-    64,
-    /* DPPSrmi */
-  },
-  { /* 773 */
-    65,
-    /* DPPSrri */
-  },
-  { /* 774 */
-    0,
-    /* DS_PREFIX */
-  },
-  { /* 775 */
-    0,
-    /*  */
-  },
-  { /* 776 */
-    0,
-    /*  */
-  },
-  { /* 777 */
-    0,
-    /*  */
-  },
-  { /* 778 */
-    0,
-    /*  */
-  },
-  { /* 779 */
-    0,
-    /*  */
-  },
-  { /* 780 */
-    0,
-    /*  */
-  },
-  { /* 781 */
-    0,
-    /*  */
-  },
-  { /* 782 */
     130,
     /* ENTER */
   },
-  { /* 783 */
+  { /* 743 */
     0,
     /* ES_PREFIX */
   },
-  { /* 784 */
+  { /* 744 */
     131,
     /* EXTRACTPSmr */
   },
-  { /* 785 */
+  { /* 745 */
     132,
     /* EXTRACTPSrr */
   },
-  { /* 786 */
+  { /* 746 */
     33,
     /* EXTRQ */
   },
-  { /* 787 */
+  { /* 747 */
     133,
     /* EXTRQI */
   },
-  { /* 788 */
+  { /* 748 */
     0,
     /* F2XM1 */
   },
-  { /* 789 */
+  { /* 749 */
     134,
     /* FARCALL16i */
   },
-  { /* 790 */
+  { /* 750 */
     135,
     /* FARCALL16m */
   },
-  { /* 791 */
+  { /* 751 */
     136,
     /* FARCALL32i */
   },
-  { /* 792 */
+  { /* 752 */
     137,
     /* FARCALL32m */
   },
-  { /* 793 */
+  { /* 753 */
     138,
     /* FARCALL64 */
   },
-  { /* 794 */
+  { /* 754 */
     134,
     /* FARJMP16i */
   },
-  { /* 795 */
+  { /* 755 */
     135,
     /* FARJMP16m */
   },
-  { /* 796 */
+  { /* 756 */
     136,
     /* FARJMP32i */
   },
-  { /* 797 */
+  { /* 757 */
     137,
     /* FARJMP32m */
   },
-  { /* 798 */
+  { /* 758 */
     138,
     /* FARJMP64 */
   },
-  { /* 799 */
+  { /* 759 */
     38,
     /* FBLDm */
   },
-  { /* 800 */
+  { /* 760 */
     38,
     /* FBSTPm */
   },
-  { /* 801 */
+  { /* 761 */
     38,
     /* FCOM32m */
   },
-  { /* 802 */
+  { /* 762 */
     39,
     /* FCOM64m */
   },
-  { /* 803 */
+  { /* 763 */
     38,
     /* FCOMP32m */
   },
-  { /* 804 */
+  { /* 764 */
     39,
     /* FCOMP64m */
   },
-  { /* 805 */
+  { /* 765 */
     0,
     /* FCOMPP */
   },
-  { /* 806 */
+  { /* 766 */
     0,
     /* FDECSTP */
   },
-  { /* 807 */
+  { /* 767 */
     0,
     /* FEMMS */
   },
-  { /* 808 */
+  { /* 768 */
     41,
     /* FFREE */
   },
-  { /* 809 */
+  { /* 769 */
     40,
     /* FICOM16m */
   },
-  { /* 810 */
+  { /* 770 */
     40,
     /* FICOM32m */
   },
-  { /* 811 */
+  { /* 771 */
     40,
     /* FICOMP16m */
   },
-  { /* 812 */
+  { /* 772 */
     40,
     /* FICOMP32m */
   },
-  { /* 813 */
+  { /* 773 */
     0,
     /* FINCSTP */
   },
-  { /* 814 */
+  { /* 774 */
     40,
     /* FLDCW16m */
   },
-  { /* 815 */
+  { /* 775 */
     38,
     /* FLDENVm */
   },
-  { /* 816 */
+  { /* 776 */
     0,
     /* FLDL2E */
   },
-  { /* 817 */
+  { /* 777 */
     0,
     /* FLDL2T */
   },
-  { /* 818 */
+  { /* 778 */
     0,
     /* FLDLG2 */
   },
-  { /* 819 */
+  { /* 779 */
     0,
     /* FLDLN2 */
   },
-  { /* 820 */
+  { /* 780 */
     0,
     /* FLDPI */
   },
-  { /* 821 */
+  { /* 781 */
     0,
     /* FNCLEX */
   },
-  { /* 822 */
+  { /* 782 */
     0,
     /* FNINIT */
   },
-  { /* 823 */
+  { /* 783 */
     0,
     /* FNOP */
   },
-  { /* 824 */
+  { /* 784 */
     40,
     /* FNSTCW16m */
   },
-  { /* 825 */
+  { /* 785 */
     0,
     /* FNSTSW16r */
   },
-  { /* 826 */
+  { /* 786 */
     38,
     /* FNSTSWm */
   },
+  { /* 787 */
+    0,
+    /*  */
+  },
+  { /* 788 */
+    0,
+    /*  */
+  },
+  { /* 789 */
+    0,
+    /*  */
+  },
+  { /* 790 */
+    0,
+    /*  */
+  },
+  { /* 791 */
+    0,
+    /*  */
+  },
+  { /* 792 */
+    0,
+    /*  */
+  },
+  { /* 793 */
+    0,
+    /*  */
+  },
+  { /* 794 */
+    0,
+    /*  */
+  },
+  { /* 795 */
+    0,
+    /*  */
+  },
+  { /* 796 */
+    0,
+    /* FPATAN */
+  },
+  { /* 797 */
+    0,
+    /* FPREM */
+  },
+  { /* 798 */
+    0,
+    /* FPREM1 */
+  },
+  { /* 799 */
+    0,
+    /* FPTAN */
+  },
+  { /* 800 */
+    0,
+    /* FRNDINT */
+  },
+  { /* 801 */
+    38,
+    /* FRSTORm */
+  },
+  { /* 802 */
+    38,
+    /* FSAVEm */
+  },
+  { /* 803 */
+    0,
+    /* FSCALE */
+  },
+  { /* 804 */
+    0,
+    /* FSETPM */
+  },
+  { /* 805 */
+    0,
+    /* FSINCOS */
+  },
+  { /* 806 */
+    38,
+    /* FSTENVm */
+  },
+  { /* 807 */
+    0,
+    /* FS_PREFIX */
+  },
+  { /* 808 */
+    0,
+    /* FXAM */
+  },
+  { /* 809 */
+    139,
+    /* FXRSTOR */
+  },
+  { /* 810 */
+    139,
+    /* FXRSTOR64 */
+  },
+  { /* 811 */
+    139,
+    /* FXSAVE */
+  },
+  { /* 812 */
+    139,
+    /* FXSAVE64 */
+  },
+  { /* 813 */
+    0,
+    /* FXTRACT */
+  },
+  { /* 814 */
+    0,
+    /* FYL2X */
+  },
+  { /* 815 */
+    0,
+    /* FYL2XP1 */
+  },
+  { /* 816 */
+    0,
+    /*  */
+  },
+  { /* 817 */
+    0,
+    /*  */
+  },
+  { /* 818 */
+    0,
+    /*  */
+  },
+  { /* 819 */
+    0,
+    /*  */
+  },
+  { /* 820 */
+    0,
+    /*  */
+  },
+  { /* 821 */
+    0,
+    /*  */
+  },
+  { /* 822 */
+    0,
+    /*  */
+  },
+  { /* 823 */
+    0,
+    /*  */
+  },
+  { /* 824 */
+    0,
+    /*  */
+  },
+  { /* 825 */
+    0,
+    /*  */
+  },
+  { /* 826 */
+    0,
+    /*  */
+  },
   { /* 827 */
     0,
     /*  */
@@ -8214,99 +10181,99 @@
   },
   { /* 836 */
     0,
-    /* FPATAN */
+    /*  */
   },
   { /* 837 */
     0,
-    /* FPREM */
+    /*  */
   },
   { /* 838 */
     0,
-    /* FPREM1 */
+    /* GETSEC */
   },
   { /* 839 */
     0,
-    /* FPTAN */
+    /* GS_PREFIX */
   },
   { /* 840 */
-    0,
-    /* FRNDINT */
+    32,
+    /* HADDPDrm */
   },
   { /* 841 */
-    38,
-    /* FRSTORm */
+    33,
+    /* HADDPDrr */
   },
   { /* 842 */
-    38,
-    /* FSAVEm */
+    32,
+    /* HADDPSrm */
   },
   { /* 843 */
-    0,
-    /* FSCALE */
+    33,
+    /* HADDPSrr */
   },
   { /* 844 */
     0,
-    /* FSETPM */
+    /* HLT */
   },
   { /* 845 */
-    0,
-    /* FSINCOS */
+    32,
+    /* HSUBPDrm */
   },
   { /* 846 */
-    38,
-    /* FSTENVm */
+    33,
+    /* HSUBPDrr */
   },
   { /* 847 */
-    0,
-    /* FS_PREFIX */
+    32,
+    /* HSUBPSrm */
   },
   { /* 848 */
-    0,
-    /* FXAM */
+    33,
+    /* HSUBPSrr */
   },
   { /* 849 */
-    139,
-    /* FXRSTOR */
+    40,
+    /* IDIV16m */
   },
   { /* 850 */
-    139,
-    /* FXRSTOR64 */
+    75,
+    /* IDIV16r */
   },
   { /* 851 */
-    139,
-    /* FXSAVE */
+    40,
+    /* IDIV32m */
   },
   { /* 852 */
-    139,
-    /* FXSAVE64 */
+    75,
+    /* IDIV32r */
   },
   { /* 853 */
-    0,
-    /* FXTRACT */
+    40,
+    /* IDIV64m */
   },
   { /* 854 */
-    0,
-    /* FYL2X */
+    77,
+    /* IDIV64r */
   },
   { /* 855 */
-    0,
-    /* FYL2XP1 */
+    80,
+    /* IDIV8m */
   },
   { /* 856 */
-    0,
-    /*  */
+    129,
+    /* IDIV8r */
   },
   { /* 857 */
-    0,
-    /*  */
+    40,
+    /* ILD_F16m */
   },
   { /* 858 */
-    0,
-    /*  */
+    40,
+    /* ILD_F32m */
   },
   { /* 859 */
-    0,
-    /*  */
+    40,
+    /* ILD_F64m */
   },
   { /* 860 */
     0,
@@ -8345,461 +10312,461 @@
     /*  */
   },
   { /* 869 */
-    0,
-    /*  */
-  },
-  { /* 870 */
-    0,
-    /*  */
-  },
-  { /* 871 */
-    0,
-    /*  */
-  },
-  { /* 872 */
-    0,
-    /*  */
-  },
-  { /* 873 */
-    0,
-    /*  */
-  },
-  { /* 874 */
-    0,
-    /*  */
-  },
-  { /* 875 */
-    0,
-    /*  */
-  },
-  { /* 876 */
-    0,
-    /*  */
-  },
-  { /* 877 */
-    0,
-    /*  */
-  },
-  { /* 878 */
-    0,
-    /*  */
-  },
-  { /* 879 */
-    0,
-    /* GETSEC */
-  },
-  { /* 880 */
-    0,
-    /* GS_PREFIX */
-  },
-  { /* 881 */
-    32,
-    /* HADDPDrm */
-  },
-  { /* 882 */
-    33,
-    /* HADDPDrr */
-  },
-  { /* 883 */
-    32,
-    /* HADDPSrm */
-  },
-  { /* 884 */
-    33,
-    /* HADDPSrr */
-  },
-  { /* 885 */
-    0,
-    /* HLT */
-  },
-  { /* 886 */
-    32,
-    /* HSUBPDrm */
-  },
-  { /* 887 */
-    33,
-    /* HSUBPDrr */
-  },
-  { /* 888 */
-    32,
-    /* HSUBPSrm */
-  },
-  { /* 889 */
-    33,
-    /* HSUBPSrr */
-  },
-  { /* 890 */
-    40,
-    /* IDIV16m */
-  },
-  { /* 891 */
-    75,
-    /* IDIV16r */
-  },
-  { /* 892 */
-    40,
-    /* IDIV32m */
-  },
-  { /* 893 */
-    75,
-    /* IDIV32r */
-  },
-  { /* 894 */
-    40,
-    /* IDIV64m */
-  },
-  { /* 895 */
-    77,
-    /* IDIV64r */
-  },
-  { /* 896 */
-    80,
-    /* IDIV8m */
-  },
-  { /* 897 */
-    129,
-    /* IDIV8r */
-  },
-  { /* 898 */
-    40,
-    /* ILD_F16m */
-  },
-  { /* 899 */
-    40,
-    /* ILD_F32m */
-  },
-  { /* 900 */
-    40,
-    /* ILD_F64m */
-  },
-  { /* 901 */
-    0,
-    /*  */
-  },
-  { /* 902 */
-    0,
-    /*  */
-  },
-  { /* 903 */
-    0,
-    /*  */
-  },
-  { /* 904 */
-    0,
-    /*  */
-  },
-  { /* 905 */
-    0,
-    /*  */
-  },
-  { /* 906 */
-    0,
-    /*  */
-  },
-  { /* 907 */
-    0,
-    /*  */
-  },
-  { /* 908 */
-    0,
-    /*  */
-  },
-  { /* 909 */
-    0,
-    /*  */
-  },
-  { /* 910 */
     40,
     /* IMUL16m */
   },
-  { /* 911 */
+  { /* 870 */
     75,
     /* IMUL16r */
   },
-  { /* 912 */
+  { /* 871 */
     8,
     /* IMUL16rm */
   },
-  { /* 913 */
+  { /* 872 */
     140,
     /* IMUL16rmi */
   },
-  { /* 914 */
+  { /* 873 */
     141,
     /* IMUL16rmi8 */
   },
-  { /* 915 */
+  { /* 874 */
     10,
     /* IMUL16rr */
   },
-  { /* 916 */
+  { /* 875 */
     142,
     /* IMUL16rri */
   },
-  { /* 917 */
+  { /* 876 */
     143,
     /* IMUL16rri8 */
   },
-  { /* 918 */
+  { /* 877 */
     40,
     /* IMUL32m */
   },
-  { /* 919 */
+  { /* 878 */
     75,
     /* IMUL32r */
   },
-  { /* 920 */
+  { /* 879 */
     8,
     /* IMUL32rm */
   },
-  { /* 921 */
+  { /* 880 */
     140,
     /* IMUL32rmi */
   },
-  { /* 922 */
+  { /* 881 */
     144,
     /* IMUL32rmi8 */
   },
-  { /* 923 */
+  { /* 882 */
     10,
     /* IMUL32rr */
   },
-  { /* 924 */
+  { /* 883 */
     142,
     /* IMUL32rri */
   },
-  { /* 925 */
+  { /* 884 */
     145,
     /* IMUL32rri8 */
   },
-  { /* 926 */
+  { /* 885 */
     40,
     /* IMUL64m */
   },
-  { /* 927 */
+  { /* 886 */
     77,
     /* IMUL64r */
   },
-  { /* 928 */
+  { /* 887 */
     19,
     /* IMUL64rm */
   },
-  { /* 929 */
+  { /* 888 */
     58,
     /* IMUL64rmi32 */
   },
-  { /* 930 */
+  { /* 889 */
     146,
     /* IMUL64rmi8 */
   },
-  { /* 931 */
+  { /* 890 */
     21,
     /* IMUL64rr */
   },
-  { /* 932 */
+  { /* 891 */
     59,
     /* IMUL64rri32 */
   },
-  { /* 933 */
+  { /* 892 */
     147,
     /* IMUL64rri8 */
   },
-  { /* 934 */
+  { /* 893 */
     80,
     /* IMUL8m */
   },
-  { /* 935 */
+  { /* 894 */
     129,
     /* IMUL8r */
   },
-  { /* 936 */
-    148,
-    /* IN16 */
-  },
-  { /* 937 */
+  { /* 895 */
     1,
     /* IN16ri */
   },
-  { /* 938 */
+  { /* 896 */
     0,
     /* IN16rr */
   },
-  { /* 939 */
-    149,
-    /* IN32 */
-  },
-  { /* 940 */
+  { /* 897 */
     1,
     /* IN32ri */
   },
-  { /* 941 */
+  { /* 898 */
     0,
     /* IN32rr */
   },
-  { /* 942 */
-    150,
-    /* IN8 */
-  },
-  { /* 943 */
+  { /* 899 */
     1,
     /* IN8ri */
   },
-  { /* 944 */
+  { /* 900 */
     0,
     /* IN8rr */
   },
-  { /* 945 */
+  { /* 901 */
     40,
     /* INC16m */
   },
-  { /* 946 */
+  { /* 902 */
     68,
     /* INC16r */
   },
-  { /* 947 */
+  { /* 903 */
     126,
     /* INC32_16r */
   },
-  { /* 948 */
+  { /* 904 */
     126,
     /* INC32_32r */
   },
-  { /* 949 */
+  { /* 905 */
     40,
     /* INC32m */
   },
-  { /* 950 */
+  { /* 906 */
     68,
     /* INC32r */
   },
-  { /* 951 */
+  { /* 907 */
     40,
     /* INC64_16m */
   },
-  { /* 952 */
+  { /* 908 */
     126,
     /* INC64_16r */
   },
-  { /* 953 */
+  { /* 909 */
     40,
     /* INC64_32m */
   },
-  { /* 954 */
+  { /* 910 */
     126,
     /* INC64_32r */
   },
-  { /* 955 */
+  { /* 911 */
     40,
     /* INC64m */
   },
-  { /* 956 */
+  { /* 912 */
     127,
     /* INC64r */
   },
-  { /* 957 */
+  { /* 913 */
     80,
     /* INC8m */
   },
-  { /* 958 */
+  { /* 914 */
     128,
     /* INC8r */
   },
-  { /* 959 */
-    151,
+  { /* 915 */
+    148,
+    /* INSB */
+  },
+  { /* 916 */
+    149,
     /* INSERTPSrm */
   },
-  { /* 960 */
+  { /* 917 */
     65,
     /* INSERTPSrr */
   },
-  { /* 961 */
+  { /* 918 */
     33,
     /* INSERTQ */
   },
-  { /* 962 */
-    152,
+  { /* 919 */
+    150,
     /* INSERTQI */
   },
-  { /* 963 */
+  { /* 920 */
+    151,
+    /* INSL */
+  },
+  { /* 921 */
+    152,
+    /* INSW */
+  },
+  { /* 922 */
     1,
     /* INT */
   },
-  { /* 964 */
+  { /* 923 */
     0,
     /* INT1 */
   },
-  { /* 965 */
+  { /* 924 */
     0,
     /* INT3 */
   },
-  { /* 966 */
+  { /* 925 */
     0,
     /* INTO */
   },
-  { /* 967 */
+  { /* 926 */
     0,
     /* INVD */
   },
-  { /* 968 */
+  { /* 927 */
     153,
     /* INVEPT32 */
   },
-  { /* 969 */
+  { /* 928 */
     154,
     /* INVEPT64 */
   },
-  { /* 970 */
+  { /* 929 */
     80,
     /* INVLPG */
   },
-  { /* 971 */
+  { /* 930 */
     0,
     /* INVLPGA32 */
   },
-  { /* 972 */
+  { /* 931 */
     0,
     /* INVLPGA64 */
   },
-  { /* 973 */
+  { /* 932 */
     153,
     /* INVPCID32 */
   },
-  { /* 974 */
+  { /* 933 */
     154,
     /* INVPCID64 */
   },
-  { /* 975 */
+  { /* 934 */
     153,
     /* INVVPID32 */
   },
-  { /* 976 */
+  { /* 935 */
     154,
     /* INVVPID64 */
   },
-  { /* 977 */
+  { /* 936 */
     0,
     /* IRET16 */
   },
-  { /* 978 */
+  { /* 937 */
     0,
     /* IRET32 */
   },
-  { /* 979 */
+  { /* 938 */
     0,
     /* IRET64 */
   },
-  { /* 980 */
+  { /* 939 */
     40,
     /* ISTT_FP16m */
   },
-  { /* 981 */
+  { /* 940 */
     40,
     /* ISTT_FP32m */
   },
-  { /* 982 */
+  { /* 941 */
     40,
     /* ISTT_FP64m */
   },
+  { /* 942 */
+    0,
+    /*  */
+  },
+  { /* 943 */
+    0,
+    /*  */
+  },
+  { /* 944 */
+    0,
+    /*  */
+  },
+  { /* 945 */
+    0,
+    /*  */
+  },
+  { /* 946 */
+    0,
+    /*  */
+  },
+  { /* 947 */
+    0,
+    /*  */
+  },
+  { /* 948 */
+    0,
+    /*  */
+  },
+  { /* 949 */
+    0,
+    /*  */
+  },
+  { /* 950 */
+    0,
+    /*  */
+  },
+  { /* 951 */
+    40,
+    /* IST_F16m */
+  },
+  { /* 952 */
+    40,
+    /* IST_F32m */
+  },
+  { /* 953 */
+    40,
+    /* IST_FP16m */
+  },
+  { /* 954 */
+    40,
+    /* IST_FP32m */
+  },
+  { /* 955 */
+    40,
+    /* IST_FP64m */
+  },
+  { /* 956 */
+    0,
+    /*  */
+  },
+  { /* 957 */
+    0,
+    /*  */
+  },
+  { /* 958 */
+    0,
+    /*  */
+  },
+  { /* 959 */
+    0,
+    /*  */
+  },
+  { /* 960 */
+    0,
+    /*  */
+  },
+  { /* 961 */
+    0,
+    /*  */
+  },
+  { /* 962 */
+    0,
+    /*  */
+  },
+  { /* 963 */
+    0,
+    /*  */
+  },
+  { /* 964 */
+    0,
+    /*  */
+  },
+  { /* 965 */
+    0,
+    /*  */
+  },
+  { /* 966 */
+    0,
+    /*  */
+  },
+  { /* 967 */
+    0,
+    /*  */
+  },
+  { /* 968 */
+    0,
+    /*  */
+  },
+  { /* 969 */
+    0,
+    /*  */
+  },
+  { /* 970 */
+    0,
+    /*  */
+  },
+  { /* 971 */
+    0,
+    /*  */
+  },
+  { /* 972 */
+    0,
+    /*  */
+  },
+  { /* 973 */
+    0,
+    /*  */
+  },
+  { /* 974 */
+    0,
+    /*  */
+  },
+  { /* 975 */
+    0,
+    /*  */
+  },
+  { /* 976 */
+    0,
+    /*  */
+  },
+  { /* 977 */
+    0,
+    /*  */
+  },
+  { /* 978 */
+    0,
+    /*  */
+  },
+  { /* 979 */
+    0,
+    /*  */
+  },
+  { /* 980 */
+    0,
+    /*  */
+  },
+  { /* 981 */
+    0,
+    /*  */
+  },
+  { /* 982 */
+    0,
+    /*  */
+  },
   { /* 983 */
     0,
     /*  */
@@ -8837,24 +10804,24 @@
     /*  */
   },
   { /* 992 */
-    40,
-    /* IST_F16m */
+    0,
+    /*  */
   },
   { /* 993 */
-    40,
-    /* IST_F32m */
+    0,
+    /*  */
   },
   { /* 994 */
-    40,
-    /* IST_FP16m */
+    0,
+    /*  */
   },
   { /* 995 */
-    40,
-    /* IST_FP32m */
+    0,
+    /*  */
   },
   { /* 996 */
-    40,
-    /* IST_FP64m */
+    0,
+    /*  */
   },
   { /* 997 */
     0,
@@ -9149,577 +11116,577 @@
     /*  */
   },
   { /* 1070 */
-    0,
-    /*  */
-  },
-  { /* 1071 */
-    0,
-    /*  */
-  },
-  { /* 1072 */
-    0,
-    /*  */
-  },
-  { /* 1073 */
-    0,
-    /*  */
-  },
-  { /* 1074 */
-    0,
-    /*  */
-  },
-  { /* 1075 */
-    0,
-    /*  */
-  },
-  { /* 1076 */
-    0,
-    /*  */
-  },
-  { /* 1077 */
-    0,
-    /*  */
-  },
-  { /* 1078 */
-    0,
-    /*  */
-  },
-  { /* 1079 */
-    0,
-    /*  */
-  },
-  { /* 1080 */
-    0,
-    /*  */
-  },
-  { /* 1081 */
-    0,
-    /*  */
-  },
-  { /* 1082 */
-    0,
-    /*  */
-  },
-  { /* 1083 */
-    0,
-    /*  */
-  },
-  { /* 1084 */
-    0,
-    /*  */
-  },
-  { /* 1085 */
-    0,
-    /*  */
-  },
-  { /* 1086 */
-    0,
-    /*  */
-  },
-  { /* 1087 */
-    0,
-    /*  */
-  },
-  { /* 1088 */
-    0,
-    /*  */
-  },
-  { /* 1089 */
-    0,
-    /*  */
-  },
-  { /* 1090 */
-    0,
-    /*  */
-  },
-  { /* 1091 */
-    0,
-    /*  */
-  },
-  { /* 1092 */
-    0,
-    /*  */
-  },
-  { /* 1093 */
-    0,
-    /*  */
-  },
-  { /* 1094 */
-    0,
-    /*  */
-  },
-  { /* 1095 */
-    0,
-    /*  */
-  },
-  { /* 1096 */
-    0,
-    /*  */
-  },
-  { /* 1097 */
-    0,
-    /*  */
-  },
-  { /* 1098 */
-    0,
-    /*  */
-  },
-  { /* 1099 */
-    0,
-    /*  */
-  },
-  { /* 1100 */
-    0,
-    /*  */
-  },
-  { /* 1101 */
-    0,
-    /*  */
-  },
-  { /* 1102 */
-    0,
-    /*  */
-  },
-  { /* 1103 */
-    0,
-    /*  */
-  },
-  { /* 1104 */
-    0,
-    /*  */
-  },
-  { /* 1105 */
-    0,
-    /*  */
-  },
-  { /* 1106 */
-    0,
-    /*  */
-  },
-  { /* 1107 */
-    0,
-    /*  */
-  },
-  { /* 1108 */
-    0,
-    /*  */
-  },
-  { /* 1109 */
-    0,
-    /*  */
-  },
-  { /* 1110 */
-    0,
-    /*  */
-  },
-  { /* 1111 */
     155,
     /* JAE_1 */
   },
-  { /* 1112 */
+  { /* 1071 */
     156,
     /* JAE_2 */
   },
-  { /* 1113 */
+  { /* 1072 */
     156,
     /* JAE_4 */
   },
-  { /* 1114 */
+  { /* 1073 */
     155,
     /* JA_1 */
   },
-  { /* 1115 */
+  { /* 1074 */
     156,
     /* JA_2 */
   },
-  { /* 1116 */
+  { /* 1075 */
     156,
     /* JA_4 */
   },
-  { /* 1117 */
+  { /* 1076 */
     155,
     /* JBE_1 */
   },
-  { /* 1118 */
+  { /* 1077 */
     156,
     /* JBE_2 */
   },
-  { /* 1119 */
+  { /* 1078 */
     156,
     /* JBE_4 */
   },
-  { /* 1120 */
+  { /* 1079 */
     155,
     /* JB_1 */
   },
-  { /* 1121 */
+  { /* 1080 */
     156,
     /* JB_2 */
   },
-  { /* 1122 */
+  { /* 1081 */
     156,
     /* JB_4 */
   },
-  { /* 1123 */
+  { /* 1082 */
     155,
     /* JCXZ */
   },
-  { /* 1124 */
+  { /* 1083 */
     155,
     /* JECXZ_32 */
   },
-  { /* 1125 */
+  { /* 1084 */
     155,
     /* JECXZ_64 */
   },
-  { /* 1126 */
+  { /* 1085 */
     155,
     /* JE_1 */
   },
-  { /* 1127 */
+  { /* 1086 */
     156,
     /* JE_2 */
   },
-  { /* 1128 */
+  { /* 1087 */
     156,
     /* JE_4 */
   },
-  { /* 1129 */
+  { /* 1088 */
     155,
     /* JGE_1 */
   },
-  { /* 1130 */
+  { /* 1089 */
     156,
     /* JGE_2 */
   },
-  { /* 1131 */
+  { /* 1090 */
     156,
     /* JGE_4 */
   },
-  { /* 1132 */
+  { /* 1091 */
     155,
     /* JG_1 */
   },
-  { /* 1133 */
+  { /* 1092 */
     156,
     /* JG_2 */
   },
-  { /* 1134 */
+  { /* 1093 */
     156,
     /* JG_4 */
   },
-  { /* 1135 */
+  { /* 1094 */
     155,
     /* JLE_1 */
   },
-  { /* 1136 */
+  { /* 1095 */
     156,
     /* JLE_2 */
   },
-  { /* 1137 */
+  { /* 1096 */
     156,
     /* JLE_4 */
   },
-  { /* 1138 */
+  { /* 1097 */
     155,
     /* JL_1 */
   },
-  { /* 1139 */
+  { /* 1098 */
     156,
     /* JL_2 */
   },
-  { /* 1140 */
+  { /* 1099 */
     156,
     /* JL_4 */
   },
-  { /* 1141 */
+  { /* 1100 */
     40,
     /* JMP16m */
   },
-  { /* 1142 */
+  { /* 1101 */
     75,
     /* JMP16r */
   },
-  { /* 1143 */
+  { /* 1102 */
     40,
     /* JMP32m */
   },
-  { /* 1144 */
+  { /* 1103 */
     75,
     /* JMP32r */
   },
-  { /* 1145 */
+  { /* 1104 */
     40,
     /* JMP64m */
   },
-  { /* 1146 */
+  { /* 1105 */
     77,
     /* JMP64r */
   },
-  { /* 1147 */
+  { /* 1106 */
     155,
     /* JMP_1 */
   },
-  { /* 1148 */
+  { /* 1107 */
     156,
     /* JMP_2 */
   },
-  { /* 1149 */
+  { /* 1108 */
     156,
     /* JMP_4 */
   },
-  { /* 1150 */
+  { /* 1109 */
     155,
     /* JNE_1 */
   },
-  { /* 1151 */
+  { /* 1110 */
     156,
     /* JNE_2 */
   },
-  { /* 1152 */
+  { /* 1111 */
     156,
     /* JNE_4 */
   },
-  { /* 1153 */
+  { /* 1112 */
     155,
     /* JNO_1 */
   },
-  { /* 1154 */
+  { /* 1113 */
     156,
     /* JNO_2 */
   },
-  { /* 1155 */
+  { /* 1114 */
     156,
     /* JNO_4 */
   },
-  { /* 1156 */
+  { /* 1115 */
     155,
     /* JNP_1 */
   },
-  { /* 1157 */
+  { /* 1116 */
     156,
     /* JNP_2 */
   },
-  { /* 1158 */
+  { /* 1117 */
     156,
     /* JNP_4 */
   },
-  { /* 1159 */
+  { /* 1118 */
     155,
     /* JNS_1 */
   },
-  { /* 1160 */
+  { /* 1119 */
     156,
     /* JNS_2 */
   },
-  { /* 1161 */
+  { /* 1120 */
     156,
     /* JNS_4 */
   },
-  { /* 1162 */
+  { /* 1121 */
     155,
     /* JO_1 */
   },
-  { /* 1163 */
+  { /* 1122 */
     156,
     /* JO_2 */
   },
-  { /* 1164 */
+  { /* 1123 */
     156,
     /* JO_4 */
   },
-  { /* 1165 */
+  { /* 1124 */
     155,
     /* JP_1 */
   },
-  { /* 1166 */
+  { /* 1125 */
     156,
     /* JP_2 */
   },
-  { /* 1167 */
+  { /* 1126 */
     156,
     /* JP_4 */
   },
-  { /* 1168 */
+  { /* 1127 */
     155,
     /* JRCXZ */
   },
-  { /* 1169 */
+  { /* 1128 */
     155,
     /* JS_1 */
   },
-  { /* 1170 */
+  { /* 1129 */
     156,
     /* JS_2 */
   },
-  { /* 1171 */
+  { /* 1130 */
     156,
     /* JS_4 */
   },
-  { /* 1172 */
+  { /* 1131 */
     157,
+    /* KANDBrr */
+  },
+  { /* 1132 */
+    158,
+    /* KANDDrr */
+  },
+  { /* 1133 */
+    157,
+    /* KANDNBrr */
+  },
+  { /* 1134 */
+    158,
+    /* KANDNDrr */
+  },
+  { /* 1135 */
+    159,
+    /* KANDNQrr */
+  },
+  { /* 1136 */
+    160,
     /* KANDNWrr */
   },
-  { /* 1173 */
-    157,
+  { /* 1137 */
+    159,
+    /* KANDQrr */
+  },
+  { /* 1138 */
+    160,
     /* KANDWrr */
   },
-  { /* 1174 */
-    158,
+  { /* 1139 */
+    161,
+    /* KMOVBkk */
+  },
+  { /* 1140 */
+    162,
+    /* KMOVBkm */
+  },
+  { /* 1141 */
+    163,
+    /* KMOVBkr */
+  },
+  { /* 1142 */
+    164,
+    /* KMOVBmk */
+  },
+  { /* 1143 */
+    165,
+    /* KMOVBrk */
+  },
+  { /* 1144 */
+    166,
+    /* KMOVDkk */
+  },
+  { /* 1145 */
+    167,
+    /* KMOVDkm */
+  },
+  { /* 1146 */
+    168,
+    /* KMOVDkr */
+  },
+  { /* 1147 */
+    169,
+    /* KMOVDmk */
+  },
+  { /* 1148 */
+    170,
+    /* KMOVDrk */
+  },
+  { /* 1149 */
+    171,
+    /* KMOVQkk */
+  },
+  { /* 1150 */
+    172,
+    /* KMOVQkm */
+  },
+  { /* 1151 */
+    173,
+    /* KMOVQkr */
+  },
+  { /* 1152 */
+    174,
+    /* KMOVQmk */
+  },
+  { /* 1153 */
+    175,
+    /* KMOVQrk */
+  },
+  { /* 1154 */
+    176,
     /* KMOVWkk */
   },
-  { /* 1175 */
-    159,
+  { /* 1155 */
+    177,
     /* KMOVWkm */
   },
-  { /* 1176 */
-    160,
+  { /* 1156 */
+    178,
     /* KMOVWkr */
   },
-  { /* 1177 */
-    161,
+  { /* 1157 */
+    179,
     /* KMOVWmk */
   },
-  { /* 1178 */
-    162,
+  { /* 1158 */
+    180,
     /* KMOVWrk */
   },
-  { /* 1179 */
-    158,
+  { /* 1159 */
+    161,
+    /* KNOTBrr */
+  },
+  { /* 1160 */
+    166,
+    /* KNOTDrr */
+  },
+  { /* 1161 */
+    171,
+    /* KNOTQrr */
+  },
+  { /* 1162 */
+    176,
     /* KNOTWrr */
   },
+  { /* 1163 */
+    157,
+    /* KORBrr */
+  },
+  { /* 1164 */
+    158,
+    /* KORDrr */
+  },
+  { /* 1165 */
+    159,
+    /* KORQrr */
+  },
+  { /* 1166 */
+    176,
+    /* KORTESTWrr */
+  },
+  { /* 1167 */
+    160,
+    /* KORWrr */
+  },
+  { /* 1168 */
+    0,
+    /*  */
+  },
+  { /* 1169 */
+    0,
+    /*  */
+  },
+  { /* 1170 */
+    0,
+    /*  */
+  },
+  { /* 1171 */
+    0,
+    /*  */
+  },
+  { /* 1172 */
+    181,
+    /* KSHIFTLWri */
+  },
+  { /* 1173 */
+    181,
+    /* KSHIFTRWri */
+  },
+  { /* 1174 */
+    160,
+    /* KUNPCKBWrr */
+  },
+  { /* 1175 */
+    157,
+    /* KXNORBrr */
+  },
+  { /* 1176 */
+    158,
+    /* KXNORDrr */
+  },
+  { /* 1177 */
+    159,
+    /* KXNORQrr */
+  },
+  { /* 1178 */
+    160,
+    /* KXNORWrr */
+  },
+  { /* 1179 */
+    157,
+    /* KXORBrr */
+  },
   { /* 1180 */
     158,
-    /* KORTESTWrr */
+    /* KXORDrr */
   },
   { /* 1181 */
-    157,
-    /* KORWrr */
+    159,
+    /* KXORQrr */
   },
   { /* 1182 */
-    0,
-    /*  */
+    160,
+    /* KXORWrr */
   },
   { /* 1183 */
     0,
-    /*  */
-  },
-  { /* 1184 */
-    0,
-    /*  */
-  },
-  { /* 1185 */
-    0,
-    /*  */
-  },
-  { /* 1186 */
-    163,
-    /* KSHIFTLWri */
-  },
-  { /* 1187 */
-    163,
-    /* KSHIFTRWri */
-  },
-  { /* 1188 */
-    157,
-    /* KUNPCKBWrr */
-  },
-  { /* 1189 */
-    157,
-    /* KXNORWrr */
-  },
-  { /* 1190 */
-    157,
-    /* KXORWrr */
-  },
-  { /* 1191 */
-    0,
     /* LAHF */
   },
-  { /* 1192 */
+  { /* 1184 */
     66,
     /* LAR16rm */
   },
-  { /* 1193 */
+  { /* 1185 */
     67,
     /* LAR16rr */
   },
-  { /* 1194 */
+  { /* 1186 */
     66,
     /* LAR32rm */
   },
-  { /* 1195 */
+  { /* 1187 */
     67,
     /* LAR32rr */
   },
-  { /* 1196 */
+  { /* 1188 */
     30,
     /* LAR64rm */
   },
-  { /* 1197 */
-    164,
+  { /* 1189 */
+    182,
     /* LAR64rr */
   },
-  { /* 1198 */
+  { /* 1190 */
     0,
     /*  */
   },
-  { /* 1199 */
+  { /* 1191 */
     0,
     /*  */
   },
-  { /* 1200 */
+  { /* 1192 */
     0,
     /*  */
   },
-  { /* 1201 */
+  { /* 1193 */
     0,
     /*  */
   },
-  { /* 1202 */
+  { /* 1194 */
     0,
     /*  */
   },
-  { /* 1203 */
+  { /* 1195 */
     0,
     /*  */
   },
-  { /* 1204 */
+  { /* 1196 */
     42,
     /* LDDQUrm */
   },
-  { /* 1205 */
+  { /* 1197 */
     40,
     /* LDMXCSR */
   },
-  { /* 1206 */
-    165,
+  { /* 1198 */
+    183,
     /* LDS16rm */
   },
-  { /* 1207 */
-    166,
+  { /* 1199 */
+    184,
     /* LDS32rm */
   },
-  { /* 1208 */
+  { /* 1200 */
     0,
     /* LD_F0 */
   },
-  { /* 1209 */
+  { /* 1201 */
     0,
     /* LD_F1 */
   },
-  { /* 1210 */
+  { /* 1202 */
     38,
     /* LD_F32m */
   },
-  { /* 1211 */
+  { /* 1203 */
     39,
     /* LD_F64m */
   },
-  { /* 1212 */
-    167,
+  { /* 1204 */
+    185,
     /* LD_F80m */
   },
+  { /* 1205 */
+    0,
+    /*  */
+  },
+  { /* 1206 */
+    0,
+    /*  */
+  },
+  { /* 1207 */
+    0,
+    /*  */
+  },
+  { /* 1208 */
+    0,
+    /*  */
+  },
+  { /* 1209 */
+    0,
+    /*  */
+  },
+  { /* 1210 */
+    0,
+    /*  */
+  },
+  { /* 1211 */
+    0,
+    /*  */
+  },
+  { /* 1212 */
+    0,
+    /*  */
+  },
   { /* 1213 */
     0,
     /*  */
@@ -9737,141 +11704,141 @@
     /*  */
   },
   { /* 1217 */
-    0,
-    /*  */
-  },
-  { /* 1218 */
-    0,
-    /*  */
-  },
-  { /* 1219 */
-    0,
-    /*  */
-  },
-  { /* 1220 */
-    0,
-    /*  */
-  },
-  { /* 1221 */
-    0,
-    /*  */
-  },
-  { /* 1222 */
-    0,
-    /*  */
-  },
-  { /* 1223 */
-    0,
-    /*  */
-  },
-  { /* 1224 */
-    0,
-    /*  */
-  },
-  { /* 1225 */
     41,
     /* LD_Frr */
   },
-  { /* 1226 */
+  { /* 1218 */
     66,
     /* LEA16r */
   },
-  { /* 1227 */
+  { /* 1219 */
     66,
     /* LEA32r */
   },
-  { /* 1228 */
-    168,
+  { /* 1220 */
+    186,
     /* LEA64_32r */
   },
-  { /* 1229 */
-    169,
+  { /* 1221 */
+    187,
     /* LEA64r */
   },
-  { /* 1230 */
+  { /* 1222 */
     0,
     /* LEAVE */
   },
-  { /* 1231 */
+  { /* 1223 */
     0,
     /* LEAVE64 */
   },
-  { /* 1232 */
-    165,
+  { /* 1224 */
+    183,
     /* LES16rm */
   },
-  { /* 1233 */
-    166,
+  { /* 1225 */
+    184,
     /* LES32rm */
   },
-  { /* 1234 */
+  { /* 1226 */
     0,
     /* LFENCE */
   },
-  { /* 1235 */
-    165,
+  { /* 1227 */
+    183,
     /* LFS16rm */
   },
-  { /* 1236 */
-    166,
+  { /* 1228 */
+    184,
     /* LFS32rm */
   },
-  { /* 1237 */
-    170,
+  { /* 1229 */
+    188,
     /* LFS64rm */
   },
-  { /* 1238 */
+  { /* 1230 */
     137,
     /* LGDT16m */
   },
-  { /* 1239 */
+  { /* 1231 */
     137,
     /* LGDT32m */
   },
-  { /* 1240 */
+  { /* 1232 */
     138,
     /* LGDT64m */
   },
-  { /* 1241 */
-    165,
+  { /* 1233 */
+    183,
     /* LGS16rm */
   },
-  { /* 1242 */
-    166,
+  { /* 1234 */
+    184,
     /* LGS32rm */
   },
-  { /* 1243 */
-    170,
+  { /* 1235 */
+    188,
     /* LGS64rm */
   },
-  { /* 1244 */
+  { /* 1236 */
     137,
     /* LIDT16m */
   },
-  { /* 1245 */
+  { /* 1237 */
     137,
     /* LIDT32m */
   },
-  { /* 1246 */
+  { /* 1238 */
     138,
     /* LIDT64m */
   },
-  { /* 1247 */
+  { /* 1239 */
     40,
     /* LLDT16m */
   },
-  { /* 1248 */
-    171,
+  { /* 1240 */
+    189,
     /* LLDT16r */
   },
-  { /* 1249 */
+  { /* 1241 */
     40,
     /* LMSW16m */
   },
-  { /* 1250 */
-    171,
+  { /* 1242 */
+    189,
     /* LMSW16r */
   },
+  { /* 1243 */
+    0,
+    /*  */
+  },
+  { /* 1244 */
+    0,
+    /*  */
+  },
+  { /* 1245 */
+    0,
+    /*  */
+  },
+  { /* 1246 */
+    0,
+    /*  */
+  },
+  { /* 1247 */
+    0,
+    /*  */
+  },
+  { /* 1248 */
+    0,
+    /*  */
+  },
+  { /* 1249 */
+    0,
+    /*  */
+  },
+  { /* 1250 */
+    0,
+    /*  */
+  },
   { /* 1251 */
     0,
     /*  */
@@ -10006,7 +11973,7 @@
   },
   { /* 1284 */
     0,
-    /*  */
+    /* LOCK_PREFIX */
   },
   { /* 1285 */
     0,
@@ -10038,7 +12005,7 @@
   },
   { /* 1292 */
     0,
-    /* LOCK_PREFIX */
+    /*  */
   },
   { /* 1293 */
     0,
@@ -10097,256 +12064,256 @@
     /*  */
   },
   { /* 1307 */
-    0,
-    /*  */
-  },
-  { /* 1308 */
-    0,
-    /*  */
-  },
-  { /* 1309 */
-    0,
-    /*  */
-  },
-  { /* 1310 */
-    0,
-    /*  */
-  },
-  { /* 1311 */
-    0,
-    /*  */
-  },
-  { /* 1312 */
-    0,
-    /*  */
-  },
-  { /* 1313 */
-    0,
-    /*  */
-  },
-  { /* 1314 */
-    0,
-    /*  */
-  },
-  { /* 1315 */
-    172,
+    190,
     /* LODSB */
   },
-  { /* 1316 */
-    173,
+  { /* 1308 */
+    191,
     /* LODSL */
   },
-  { /* 1317 */
-    174,
+  { /* 1309 */
+    192,
     /* LODSQ */
   },
-  { /* 1318 */
-    175,
+  { /* 1310 */
+    193,
     /* LODSW */
   },
-  { /* 1319 */
+  { /* 1311 */
     155,
     /* LOOP */
   },
-  { /* 1320 */
+  { /* 1312 */
     155,
     /* LOOPE */
   },
-  { /* 1321 */
+  { /* 1313 */
     155,
     /* LOOPNE */
   },
-  { /* 1322 */
-    176,
+  { /* 1314 */
+    194,
     /* LRETIL */
   },
-  { /* 1323 */
-    176,
+  { /* 1315 */
+    194,
     /* LRETIQ */
   },
-  { /* 1324 */
+  { /* 1316 */
     2,
     /* LRETIW */
   },
-  { /* 1325 */
+  { /* 1317 */
     0,
     /* LRETL */
   },
-  { /* 1326 */
+  { /* 1318 */
     0,
     /* LRETQ */
   },
-  { /* 1327 */
+  { /* 1319 */
     0,
     /* LRETW */
   },
-  { /* 1328 */
+  { /* 1320 */
     66,
     /* LSL16rm */
   },
-  { /* 1329 */
+  { /* 1321 */
     67,
     /* LSL16rr */
   },
-  { /* 1330 */
+  { /* 1322 */
     66,
     /* LSL32rm */
   },
-  { /* 1331 */
+  { /* 1323 */
     67,
     /* LSL32rr */
   },
-  { /* 1332 */
+  { /* 1324 */
     30,
     /* LSL64rm */
   },
-  { /* 1333 */
+  { /* 1325 */
     31,
     /* LSL64rr */
   },
-  { /* 1334 */
-    165,
+  { /* 1326 */
+    183,
     /* LSS16rm */
   },
-  { /* 1335 */
-    166,
+  { /* 1327 */
+    184,
     /* LSS32rm */
   },
-  { /* 1336 */
-    170,
+  { /* 1328 */
+    188,
     /* LSS64rm */
   },
-  { /* 1337 */
+  { /* 1329 */
     40,
     /* LTRm */
   },
-  { /* 1338 */
-    171,
+  { /* 1330 */
+    189,
     /* LTRr */
   },
-  { /* 1339 */
+  { /* 1331 */
     0,
     /*  */
   },
-  { /* 1340 */
+  { /* 1332 */
     0,
     /*  */
   },
-  { /* 1341 */
+  { /* 1333 */
     0,
     /*  */
   },
-  { /* 1342 */
+  { /* 1334 */
     0,
     /*  */
   },
-  { /* 1343 */
+  { /* 1335 */
     66,
     /* LZCNT16rm */
   },
-  { /* 1344 */
+  { /* 1336 */
     67,
     /* LZCNT16rr */
   },
-  { /* 1345 */
+  { /* 1337 */
     66,
     /* LZCNT32rm */
   },
-  { /* 1346 */
+  { /* 1338 */
     67,
     /* LZCNT32rr */
   },
-  { /* 1347 */
+  { /* 1339 */
     30,
     /* LZCNT64rm */
   },
-  { /* 1348 */
+  { /* 1340 */
     31,
     /* LZCNT64rr */
   },
-  { /* 1349 */
+  { /* 1341 */
     43,
     /* MASKMOVDQU */
   },
-  { /* 1350 */
+  { /* 1342 */
     43,
     /* MASKMOVDQU64 */
   },
-  { /* 1351 */
+  { /* 1343 */
     0,
     /*  */
   },
+  { /* 1344 */
+    0,
+    /*  */
+  },
+  { /* 1345 */
+    0,
+    /*  */
+  },
+  { /* 1346 */
+    0,
+    /*  */
+  },
+  { /* 1347 */
+    0,
+    /*  */
+  },
+  { /* 1348 */
+    0,
+    /*  */
+  },
+  { /* 1349 */
+    0,
+    /*  */
+  },
+  { /* 1350 */
+    0,
+    /*  */
+  },
+  { /* 1351 */
+    32,
+    /* MAXPDrm */
+  },
   { /* 1352 */
-    0,
-    /*  */
+    33,
+    /* MAXPDrr */
   },
   { /* 1353 */
-    0,
-    /*  */
+    32,
+    /* MAXPSrm */
   },
   { /* 1354 */
-    0,
-    /*  */
+    33,
+    /* MAXPSrr */
   },
   { /* 1355 */
-    0,
-    /*  */
+    34,
+    /* MAXSDrm */
   },
   { /* 1356 */
     0,
     /*  */
   },
   { /* 1357 */
-    0,
-    /*  */
+    35,
+    /* MAXSDrr */
   },
   { /* 1358 */
     0,
     /*  */
   },
   { /* 1359 */
-    32,
-    /* MAXPDrm */
+    36,
+    /* MAXSSrm */
   },
   { /* 1360 */
-    33,
-    /* MAXPDrr */
+    0,
+    /*  */
   },
   { /* 1361 */
-    32,
-    /* MAXPSrm */
+    37,
+    /* MAXSSrr */
   },
   { /* 1362 */
-    33,
-    /* MAXPSrr */
+    0,
+    /*  */
   },
   { /* 1363 */
-    34,
-    /* MAXSDrm */
+    0,
+    /* MFENCE */
   },
   { /* 1364 */
     0,
     /*  */
   },
   { /* 1365 */
-    35,
-    /* MAXSDrr */
+    0,
+    /*  */
   },
   { /* 1366 */
     0,
     /*  */
   },
   { /* 1367 */
-    36,
-    /* MAXSSrm */
+    0,
+    /*  */
   },
   { /* 1368 */
     0,
     /*  */
   },
   { /* 1369 */
-    37,
-    /* MAXSSrr */
+    0,
+    /*  */
   },
   { /* 1370 */
     0,
@@ -10354,1724 +12321,1724 @@
   },
   { /* 1371 */
     0,
-    /* MFENCE */
+    /*  */
   },
   { /* 1372 */
-    0,
-    /*  */
+    32,
+    /* MINPDrm */
   },
   { /* 1373 */
-    0,
-    /*  */
+    33,
+    /* MINPDrr */
   },
   { /* 1374 */
-    0,
-    /*  */
+    32,
+    /* MINPSrm */
   },
   { /* 1375 */
-    0,
-    /*  */
+    33,
+    /* MINPSrr */
   },
   { /* 1376 */
-    0,
-    /*  */
+    34,
+    /* MINSDrm */
   },
   { /* 1377 */
     0,
     /*  */
   },
   { /* 1378 */
-    0,
-    /*  */
+    35,
+    /* MINSDrr */
   },
   { /* 1379 */
     0,
     /*  */
   },
   { /* 1380 */
-    32,
-    /* MINPDrm */
-  },
-  { /* 1381 */
-    33,
-    /* MINPDrr */
-  },
-  { /* 1382 */
-    32,
-    /* MINPSrm */
-  },
-  { /* 1383 */
-    33,
-    /* MINPSrr */
-  },
-  { /* 1384 */
-    34,
-    /* MINSDrm */
-  },
-  { /* 1385 */
-    0,
-    /*  */
-  },
-  { /* 1386 */
-    35,
-    /* MINSDrr */
-  },
-  { /* 1387 */
-    0,
-    /*  */
-  },
-  { /* 1388 */
     36,
     /* MINSSrm */
   },
-  { /* 1389 */
+  { /* 1381 */
     0,
     /*  */
   },
-  { /* 1390 */
+  { /* 1382 */
     37,
     /* MINSSrr */
   },
-  { /* 1391 */
+  { /* 1383 */
     0,
     /*  */
   },
-  { /* 1392 */
-    177,
+  { /* 1384 */
+    195,
     /* MMX_CVTPD2PIirm */
   },
-  { /* 1393 */
-    178,
+  { /* 1385 */
+    196,
     /* MMX_CVTPD2PIirr */
   },
-  { /* 1394 */
+  { /* 1386 */
     104,
     /* MMX_CVTPI2PDirm */
   },
-  { /* 1395 */
-    179,
+  { /* 1387 */
+    197,
     /* MMX_CVTPI2PDirr */
   },
-  { /* 1396 */
-    180,
+  { /* 1388 */
+    198,
     /* MMX_CVTPI2PSirm */
   },
-  { /* 1397 */
-    181,
+  { /* 1389 */
+    199,
     /* MMX_CVTPI2PSirr */
   },
-  { /* 1398 */
-    182,
+  { /* 1390 */
+    200,
     /* MMX_CVTPS2PIirm */
   },
-  { /* 1399 */
-    178,
+  { /* 1391 */
+    196,
     /* MMX_CVTPS2PIirr */
   },
-  { /* 1400 */
-    177,
+  { /* 1392 */
+    195,
     /* MMX_CVTTPD2PIirm */
   },
-  { /* 1401 */
-    178,
+  { /* 1393 */
+    196,
     /* MMX_CVTTPD2PIirr */
   },
-  { /* 1402 */
-    182,
+  { /* 1394 */
+    200,
     /* MMX_CVTTPS2PIirm */
   },
-  { /* 1403 */
-    178,
+  { /* 1395 */
+    196,
     /* MMX_CVTTPS2PIirr */
   },
-  { /* 1404 */
+  { /* 1396 */
     0,
     /* MMX_EMMS */
   },
-  { /* 1405 */
-    183,
+  { /* 1397 */
+    201,
     /* MMX_MASKMOVQ */
   },
-  { /* 1406 */
-    183,
+  { /* 1398 */
+    201,
     /* MMX_MASKMOVQ64 */
   },
-  { /* 1407 */
-    184,
+  { /* 1399 */
+    202,
     /* MMX_MOVD64from64rr */
   },
-  { /* 1408 */
-    185,
+  { /* 1400 */
+    203,
     /* MMX_MOVD64grr */
   },
-  { /* 1409 */
-    186,
+  { /* 1401 */
+    204,
     /* MMX_MOVD64mr */
   },
-  { /* 1410 */
-    187,
+  { /* 1402 */
+    205,
     /* MMX_MOVD64rm */
   },
-  { /* 1411 */
-    188,
+  { /* 1403 */
+    206,
     /* MMX_MOVD64rr */
   },
-  { /* 1412 */
-    189,
+  { /* 1404 */
+    207,
     /* MMX_MOVD64to64rr */
   },
-  { /* 1413 */
-    178,
+  { /* 1405 */
+    196,
     /* MMX_MOVDQ2Qrr */
   },
-  { /* 1414 */
+  { /* 1406 */
     0,
     /*  */
   },
-  { /* 1415 */
-    186,
+  { /* 1407 */
+    204,
     /* MMX_MOVNTQmr */
   },
-  { /* 1416 */
-    179,
+  { /* 1408 */
+    197,
     /* MMX_MOVQ2DQrr */
   },
-  { /* 1417 */
+  { /* 1409 */
     0,
     /*  */
   },
-  { /* 1418 */
-    186,
+  { /* 1410 */
+    204,
     /* MMX_MOVQ64mr */
   },
-  { /* 1419 */
-    187,
+  { /* 1411 */
+    205,
     /* MMX_MOVQ64rm */
   },
-  { /* 1420 */
-    183,
+  { /* 1412 */
+    201,
     /* MMX_MOVQ64rr */
   },
-  { /* 1421 */
-    187,
+  { /* 1413 */
+    208,
+    /* MMX_MOVQ64rr_REV */
+  },
+  { /* 1414 */
+    205,
     /* MMX_PABSBrm64 */
   },
-  { /* 1422 */
-    183,
+  { /* 1415 */
+    201,
     /* MMX_PABSBrr64 */
   },
-  { /* 1423 */
-    187,
+  { /* 1416 */
+    205,
     /* MMX_PABSDrm64 */
   },
-  { /* 1424 */
-    183,
+  { /* 1417 */
+    201,
     /* MMX_PABSDrr64 */
   },
-  { /* 1425 */
-    187,
+  { /* 1418 */
+    205,
     /* MMX_PABSWrm64 */
   },
-  { /* 1426 */
-    183,
+  { /* 1419 */
+    201,
     /* MMX_PABSWrr64 */
   },
-  { /* 1427 */
-    190,
+  { /* 1420 */
+    209,
     /* MMX_PACKSSDWirm */
   },
-  { /* 1428 */
-    191,
+  { /* 1421 */
+    210,
     /* MMX_PACKSSDWirr */
   },
-  { /* 1429 */
-    190,
+  { /* 1422 */
+    209,
     /* MMX_PACKSSWBirm */
   },
-  { /* 1430 */
-    191,
+  { /* 1423 */
+    210,
     /* MMX_PACKSSWBirr */
   },
-  { /* 1431 */
-    190,
+  { /* 1424 */
+    209,
     /* MMX_PACKUSWBirm */
   },
-  { /* 1432 */
-    191,
+  { /* 1425 */
+    210,
     /* MMX_PACKUSWBirr */
   },
-  { /* 1433 */
-    190,
+  { /* 1426 */
+    209,
     /* MMX_PADDBirm */
   },
-  { /* 1434 */
-    191,
+  { /* 1427 */
+    210,
     /* MMX_PADDBirr */
   },
-  { /* 1435 */
-    190,
+  { /* 1428 */
+    209,
     /* MMX_PADDDirm */
   },
-  { /* 1436 */
-    191,
+  { /* 1429 */
+    210,
     /* MMX_PADDDirr */
   },
-  { /* 1437 */
-    190,
+  { /* 1430 */
+    209,
     /* MMX_PADDQirm */
   },
-  { /* 1438 */
-    191,
+  { /* 1431 */
+    210,
     /* MMX_PADDQirr */
   },
-  { /* 1439 */
-    190,
+  { /* 1432 */
+    209,
     /* MMX_PADDSBirm */
   },
-  { /* 1440 */
-    191,
+  { /* 1433 */
+    210,
     /* MMX_PADDSBirr */
   },
-  { /* 1441 */
-    190,
+  { /* 1434 */
+    209,
     /* MMX_PADDSWirm */
   },
-  { /* 1442 */
-    191,
+  { /* 1435 */
+    210,
     /* MMX_PADDSWirr */
   },
-  { /* 1443 */
-    190,
+  { /* 1436 */
+    209,
     /* MMX_PADDUSBirm */
   },
-  { /* 1444 */
-    191,
+  { /* 1437 */
+    210,
     /* MMX_PADDUSBirr */
   },
-  { /* 1445 */
-    190,
+  { /* 1438 */
+    209,
     /* MMX_PADDUSWirm */
   },
-  { /* 1446 */
-    191,
+  { /* 1439 */
+    210,
     /* MMX_PADDUSWirr */
   },
-  { /* 1447 */
-    190,
+  { /* 1440 */
+    209,
     /* MMX_PADDWirm */
   },
-  { /* 1448 */
-    191,
+  { /* 1441 */
+    210,
     /* MMX_PADDWirr */
   },
-  { /* 1449 */
-    192,
+  { /* 1442 */
+    211,
     /* MMX_PALIGNR64irm */
   },
-  { /* 1450 */
-    193,
+  { /* 1443 */
+    212,
     /* MMX_PALIGNR64irr */
   },
-  { /* 1451 */
-    190,
+  { /* 1444 */
+    209,
     /* MMX_PANDNirm */
   },
-  { /* 1452 */
-    191,
+  { /* 1445 */
+    210,
     /* MMX_PANDNirr */
   },
-  { /* 1453 */
-    190,
+  { /* 1446 */
+    209,
     /* MMX_PANDirm */
   },
-  { /* 1454 */
-    191,
+  { /* 1447 */
+    210,
     /* MMX_PANDirr */
   },
-  { /* 1455 */
-    190,
+  { /* 1448 */
+    209,
     /* MMX_PAVGBirm */
   },
-  { /* 1456 */
-    191,
+  { /* 1449 */
+    210,
     /* MMX_PAVGBirr */
   },
-  { /* 1457 */
-    190,
+  { /* 1450 */
+    209,
     /* MMX_PAVGWirm */
   },
-  { /* 1458 */
-    191,
+  { /* 1451 */
+    210,
     /* MMX_PAVGWirr */
   },
-  { /* 1459 */
-    190,
+  { /* 1452 */
+    209,
     /* MMX_PCMPEQBirm */
   },
-  { /* 1460 */
-    191,
+  { /* 1453 */
+    210,
     /* MMX_PCMPEQBirr */
   },
-  { /* 1461 */
-    190,
+  { /* 1454 */
+    209,
     /* MMX_PCMPEQDirm */
   },
-  { /* 1462 */
-    191,
+  { /* 1455 */
+    210,
     /* MMX_PCMPEQDirr */
   },
-  { /* 1463 */
-    190,
+  { /* 1456 */
+    209,
     /* MMX_PCMPEQWirm */
   },
-  { /* 1464 */
-    191,
+  { /* 1457 */
+    210,
     /* MMX_PCMPEQWirr */
   },
-  { /* 1465 */
-    190,
+  { /* 1458 */
+    209,
     /* MMX_PCMPGTBirm */
   },
-  { /* 1466 */
-    191,
+  { /* 1459 */
+    210,
     /* MMX_PCMPGTBirr */
   },
-  { /* 1467 */
-    190,
+  { /* 1460 */
+    209,
     /* MMX_PCMPGTDirm */
   },
-  { /* 1468 */
-    191,
+  { /* 1461 */
+    210,
     /* MMX_PCMPGTDirr */
   },
-  { /* 1469 */
-    190,
+  { /* 1462 */
+    209,
     /* MMX_PCMPGTWirm */
   },
-  { /* 1470 */
-    191,
+  { /* 1463 */
+    210,
     /* MMX_PCMPGTWirr */
   },
-  { /* 1471 */
-    194,
+  { /* 1464 */
+    213,
     /* MMX_PEXTRWirri */
   },
-  { /* 1472 */
-    190,
+  { /* 1465 */
+    209,
     /* MMX_PHADDSWrm64 */
   },
-  { /* 1473 */
-    191,
+  { /* 1466 */
+    210,
     /* MMX_PHADDSWrr64 */
   },
-  { /* 1474 */
-    190,
+  { /* 1467 */
+    209,
     /* MMX_PHADDWrm64 */
   },
-  { /* 1475 */
-    191,
+  { /* 1468 */
+    210,
     /* MMX_PHADDWrr64 */
   },
-  { /* 1476 */
-    190,
+  { /* 1469 */
+    209,
     /* MMX_PHADDrm64 */
   },
-  { /* 1477 */
-    191,
+  { /* 1470 */
+    210,
     /* MMX_PHADDrr64 */
   },
-  { /* 1478 */
-    190,
+  { /* 1471 */
+    209,
     /* MMX_PHSUBDrm64 */
   },
-  { /* 1479 */
-    191,
+  { /* 1472 */
+    210,
     /* MMX_PHSUBDrr64 */
   },
-  { /* 1480 */
-    190,
+  { /* 1473 */
+    209,
     /* MMX_PHSUBSWrm64 */
   },
-  { /* 1481 */
-    191,
+  { /* 1474 */
+    210,
     /* MMX_PHSUBSWrr64 */
   },
-  { /* 1482 */
-    190,
+  { /* 1475 */
+    209,
     /* MMX_PHSUBWrm64 */
   },
-  { /* 1483 */
-    191,
+  { /* 1476 */
+    210,
     /* MMX_PHSUBWrr64 */
   },
-  { /* 1484 */
-    195,
+  { /* 1477 */
+    214,
     /* MMX_PINSRWirmi */
   },
-  { /* 1485 */
-    196,
+  { /* 1478 */
+    215,
     /* MMX_PINSRWirri */
   },
-  { /* 1486 */
-    190,
+  { /* 1479 */
+    209,
     /* MMX_PMADDUBSWrm64 */
   },
-  { /* 1487 */
-    191,
+  { /* 1480 */
+    210,
     /* MMX_PMADDUBSWrr64 */
   },
-  { /* 1488 */
-    190,
+  { /* 1481 */
+    209,
     /* MMX_PMADDWDirm */
   },
-  { /* 1489 */
-    191,
+  { /* 1482 */
+    210,
     /* MMX_PMADDWDirr */
   },
-  { /* 1490 */
-    190,
+  { /* 1483 */
+    209,
     /* MMX_PMAXSWirm */
   },
-  { /* 1491 */
-    191,
+  { /* 1484 */
+    210,
     /* MMX_PMAXSWirr */
   },
-  { /* 1492 */
-    190,
+  { /* 1485 */
+    209,
     /* MMX_PMAXUBirm */
   },
-  { /* 1493 */
-    191,
+  { /* 1486 */
+    210,
     /* MMX_PMAXUBirr */
   },
-  { /* 1494 */
-    190,
+  { /* 1487 */
+    209,
     /* MMX_PMINSWirm */
   },
-  { /* 1495 */
-    191,
+  { /* 1488 */
+    210,
     /* MMX_PMINSWirr */
   },
-  { /* 1496 */
-    190,
+  { /* 1489 */
+    209,
     /* MMX_PMINUBirm */
   },
-  { /* 1497 */
-    191,
+  { /* 1490 */
+    210,
     /* MMX_PMINUBirr */
   },
-  { /* 1498 */
-    197,
+  { /* 1491 */
+    216,
     /* MMX_PMOVMSKBrr */
   },
-  { /* 1499 */
-    190,
+  { /* 1492 */
+    209,
     /* MMX_PMULHRSWrm64 */
   },
-  { /* 1500 */
-    191,
+  { /* 1493 */
+    210,
     /* MMX_PMULHRSWrr64 */
   },
-  { /* 1501 */
-    190,
+  { /* 1494 */
+    209,
     /* MMX_PMULHUWirm */
   },
-  { /* 1502 */
-    191,
+  { /* 1495 */
+    210,
     /* MMX_PMULHUWirr */
   },
-  { /* 1503 */
-    190,
+  { /* 1496 */
+    209,
     /* MMX_PMULHWirm */
   },
-  { /* 1504 */
-    191,
+  { /* 1497 */
+    210,
     /* MMX_PMULHWirr */
   },
-  { /* 1505 */
-    190,
+  { /* 1498 */
+    209,
     /* MMX_PMULLWirm */
   },
-  { /* 1506 */
-    191,
+  { /* 1499 */
+    210,
     /* MMX_PMULLWirr */
   },
-  { /* 1507 */
-    190,
+  { /* 1500 */
+    209,
     /* MMX_PMULUDQirm */
   },
-  { /* 1508 */
-    191,
+  { /* 1501 */
+    210,
     /* MMX_PMULUDQirr */
   },
-  { /* 1509 */
-    190,
+  { /* 1502 */
+    209,
     /* MMX_PORirm */
   },
-  { /* 1510 */
-    191,
+  { /* 1503 */
+    210,
     /* MMX_PORirr */
   },
-  { /* 1511 */
-    190,
+  { /* 1504 */
+    209,
     /* MMX_PSADBWirm */
   },
-  { /* 1512 */
-    191,
+  { /* 1505 */
+    210,
     /* MMX_PSADBWirr */
   },
-  { /* 1513 */
-    190,
+  { /* 1506 */
+    209,
     /* MMX_PSHUFBrm64 */
   },
-  { /* 1514 */
-    191,
+  { /* 1507 */
+    210,
     /* MMX_PSHUFBrr64 */
   },
-  { /* 1515 */
-    198,
+  { /* 1508 */
+    217,
     /* MMX_PSHUFWmi */
   },
-  { /* 1516 */
-    199,
+  { /* 1509 */
+    218,
     /* MMX_PSHUFWri */
   },
-  { /* 1517 */
-    190,
+  { /* 1510 */
+    209,
     /* MMX_PSIGNBrm64 */
   },
-  { /* 1518 */
-    191,
+  { /* 1511 */
+    210,
     /* MMX_PSIGNBrr64 */
   },
-  { /* 1519 */
-    190,
+  { /* 1512 */
+    209,
     /* MMX_PSIGNDrm64 */
   },
-  { /* 1520 */
-    191,
+  { /* 1513 */
+    210,
     /* MMX_PSIGNDrr64 */
   },
-  { /* 1521 */
-    190,
+  { /* 1514 */
+    209,
     /* MMX_PSIGNWrm64 */
   },
-  { /* 1522 */
-    191,
+  { /* 1515 */
+    210,
     /* MMX_PSIGNWrr64 */
   },
-  { /* 1523 */
-    200,
+  { /* 1516 */
+    219,
     /* MMX_PSLLDri */
   },
-  { /* 1524 */
-    190,
+  { /* 1517 */
+    209,
     /* MMX_PSLLDrm */
   },
-  { /* 1525 */
-    191,
+  { /* 1518 */
+    210,
     /* MMX_PSLLDrr */
   },
-  { /* 1526 */
-    200,
+  { /* 1519 */
+    219,
     /* MMX_PSLLQri */
   },
-  { /* 1527 */
-    190,
+  { /* 1520 */
+    209,
     /* MMX_PSLLQrm */
   },
-  { /* 1528 */
-    191,
+  { /* 1521 */
+    210,
     /* MMX_PSLLQrr */
   },
-  { /* 1529 */
-    200,
+  { /* 1522 */
+    219,
     /* MMX_PSLLWri */
   },
-  { /* 1530 */
-    190,
+  { /* 1523 */
+    209,
     /* MMX_PSLLWrm */
   },
-  { /* 1531 */
-    191,
+  { /* 1524 */
+    210,
     /* MMX_PSLLWrr */
   },
-  { /* 1532 */
-    200,
+  { /* 1525 */
+    219,
     /* MMX_PSRADri */
   },
-  { /* 1533 */
-    190,
+  { /* 1526 */
+    209,
     /* MMX_PSRADrm */
   },
-  { /* 1534 */
-    191,
+  { /* 1527 */
+    210,
     /* MMX_PSRADrr */
   },
-  { /* 1535 */
-    200,
+  { /* 1528 */
+    219,
     /* MMX_PSRAWri */
   },
-  { /* 1536 */
-    190,
+  { /* 1529 */
+    209,
     /* MMX_PSRAWrm */
   },
-  { /* 1537 */
-    191,
+  { /* 1530 */
+    210,
     /* MMX_PSRAWrr */
   },
-  { /* 1538 */
-    200,
+  { /* 1531 */
+    219,
     /* MMX_PSRLDri */
   },
-  { /* 1539 */
-    190,
+  { /* 1532 */
+    209,
     /* MMX_PSRLDrm */
   },
-  { /* 1540 */
-    191,
+  { /* 1533 */
+    210,
     /* MMX_PSRLDrr */
   },
-  { /* 1541 */
-    200,
+  { /* 1534 */
+    219,
     /* MMX_PSRLQri */
   },
-  { /* 1542 */
-    190,
+  { /* 1535 */
+    209,
     /* MMX_PSRLQrm */
   },
-  { /* 1543 */
-    191,
+  { /* 1536 */
+    210,
     /* MMX_PSRLQrr */
   },
-  { /* 1544 */
-    200,
+  { /* 1537 */
+    219,
     /* MMX_PSRLWri */
   },
-  { /* 1545 */
-    190,
+  { /* 1538 */
+    209,
     /* MMX_PSRLWrm */
   },
-  { /* 1546 */
-    191,
+  { /* 1539 */
+    210,
     /* MMX_PSRLWrr */
   },
-  { /* 1547 */
-    190,
+  { /* 1540 */
+    209,
     /* MMX_PSUBBirm */
   },
-  { /* 1548 */
-    191,
+  { /* 1541 */
+    210,
     /* MMX_PSUBBirr */
   },
-  { /* 1549 */
-    190,
+  { /* 1542 */
+    209,
     /* MMX_PSUBDirm */
   },
-  { /* 1550 */
-    191,
+  { /* 1543 */
+    210,
     /* MMX_PSUBDirr */
   },
-  { /* 1551 */
-    190,
+  { /* 1544 */
+    209,
     /* MMX_PSUBQirm */
   },
-  { /* 1552 */
-    191,
+  { /* 1545 */
+    210,
     /* MMX_PSUBQirr */
   },
-  { /* 1553 */
-    190,
+  { /* 1546 */
+    209,
     /* MMX_PSUBSBirm */
   },
-  { /* 1554 */
-    191,
+  { /* 1547 */
+    210,
     /* MMX_PSUBSBirr */
   },
-  { /* 1555 */
-    190,
+  { /* 1548 */
+    209,
     /* MMX_PSUBSWirm */
   },
-  { /* 1556 */
-    191,
+  { /* 1549 */
+    210,
     /* MMX_PSUBSWirr */
   },
-  { /* 1557 */
-    190,
+  { /* 1550 */
+    209,
     /* MMX_PSUBUSBirm */
   },
-  { /* 1558 */
-    191,
+  { /* 1551 */
+    210,
     /* MMX_PSUBUSBirr */
   },
-  { /* 1559 */
-    190,
+  { /* 1552 */
+    209,
     /* MMX_PSUBUSWirm */
   },
-  { /* 1560 */
-    191,
+  { /* 1553 */
+    210,
     /* MMX_PSUBUSWirr */
   },
-  { /* 1561 */
-    190,
+  { /* 1554 */
+    209,
     /* MMX_PSUBWirm */
   },
-  { /* 1562 */
-    191,
+  { /* 1555 */
+    210,
     /* MMX_PSUBWirr */
   },
-  { /* 1563 */
-    190,
+  { /* 1556 */
+    209,
     /* MMX_PUNPCKHBWirm */
   },
-  { /* 1564 */
-    191,
+  { /* 1557 */
+    210,
     /* MMX_PUNPCKHBWirr */
   },
-  { /* 1565 */
-    190,
+  { /* 1558 */
+    209,
     /* MMX_PUNPCKHDQirm */
   },
-  { /* 1566 */
-    191,
+  { /* 1559 */
+    210,
     /* MMX_PUNPCKHDQirr */
   },
-  { /* 1567 */
-    190,
+  { /* 1560 */
+    209,
     /* MMX_PUNPCKHWDirm */
   },
-  { /* 1568 */
-    191,
+  { /* 1561 */
+    210,
     /* MMX_PUNPCKHWDirr */
   },
-  { /* 1569 */
-    190,
+  { /* 1562 */
+    209,
     /* MMX_PUNPCKLBWirm */
   },
-  { /* 1570 */
-    191,
+  { /* 1563 */
+    210,
     /* MMX_PUNPCKLBWirr */
   },
-  { /* 1571 */
-    190,
+  { /* 1564 */
+    209,
     /* MMX_PUNPCKLDQirm */
   },
-  { /* 1572 */
-    191,
+  { /* 1565 */
+    210,
     /* MMX_PUNPCKLDQirr */
   },
-  { /* 1573 */
-    190,
+  { /* 1566 */
+    209,
     /* MMX_PUNPCKLWDirm */
   },
-  { /* 1574 */
-    191,
+  { /* 1567 */
+    210,
     /* MMX_PUNPCKLWDirr */
   },
-  { /* 1575 */
-    190,
+  { /* 1568 */
+    209,
     /* MMX_PXORirm */
   },
-  { /* 1576 */
-    191,
+  { /* 1569 */
+    210,
     /* MMX_PXORirr */
   },
-  { /* 1577 */
+  { /* 1570 */
     0,
     /*  */
   },
-  { /* 1578 */
+  { /* 1571 */
     0,
     /* MONITORrrr */
   },
-  { /* 1579 */
+  { /* 1572 */
     0,
     /* MONTMUL */
   },
-  { /* 1580 */
+  { /* 1573 */
     0,
     /*  */
   },
-  { /* 1581 */
+  { /* 1574 */
     0,
     /*  */
   },
-  { /* 1582 */
-    201,
+  { /* 1575 */
+    220,
     /* MOV16ao16 */
   },
-  { /* 1583 */
-    201,
+  { /* 1576 */
+    220,
     /* MOV16ao16_16 */
   },
-  { /* 1584 */
+  { /* 1577 */
     3,
     /* MOV16mi */
   },
-  { /* 1585 */
+  { /* 1578 */
     5,
     /* MOV16mr */
   },
-  { /* 1586 */
-    202,
+  { /* 1579 */
+    221,
     /* MOV16ms */
   },
-  { /* 1587 */
-    201,
+  { /* 1580 */
+    220,
     /* MOV16o16a */
   },
-  { /* 1588 */
-    201,
+  { /* 1581 */
+    220,
     /* MOV16o16a_16 */
   },
-  { /* 1589 */
-    203,
+  { /* 1582 */
+    222,
     /* MOV16ri */
   },
-  { /* 1590 */
+  { /* 1583 */
     81,
     /* MOV16ri_alt */
   },
-  { /* 1591 */
+  { /* 1584 */
     66,
     /* MOV16rm */
   },
-  { /* 1592 */
+  { /* 1585 */
     71,
     /* MOV16rr */
   },
-  { /* 1593 */
+  { /* 1586 */
     67,
     /* MOV16rr_REV */
   },
-  { /* 1594 */
-    204,
+  { /* 1587 */
+    223,
     /* MOV16rs */
   },
-  { /* 1595 */
-    205,
+  { /* 1588 */
+    224,
     /* MOV16sm */
   },
-  { /* 1596 */
-    206,
+  { /* 1589 */
+    225,
     /* MOV16sr */
   },
-  { /* 1597 */
-    207,
+  { /* 1590 */
+    226,
     /* MOV32ao32 */
   },
-  { /* 1598 */
-    207,
+  { /* 1591 */
+    226,
     /* MOV32ao32_16 */
   },
-  { /* 1599 */
-    208,
+  { /* 1592 */
+    227,
     /* MOV32cr */
   },
-  { /* 1600 */
-    209,
+  { /* 1593 */
+    228,
     /* MOV32dr */
   },
-  { /* 1601 */
+  { /* 1594 */
     3,
     /* MOV32mi */
   },
-  { /* 1602 */
+  { /* 1595 */
     5,
     /* MOV32mr */
   },
-  { /* 1603 */
-    202,
+  { /* 1596 */
+    221,
     /* MOV32ms */
   },
-  { /* 1604 */
-    207,
+  { /* 1597 */
+    226,
     /* MOV32o32a */
   },
-  { /* 1605 */
-    207,
+  { /* 1598 */
+    226,
     /* MOV32o32a_16 */
   },
-  { /* 1606 */
+  { /* 1599 */
     0,
     /*  */
   },
-  { /* 1607 */
-    210,
+  { /* 1600 */
+    229,
     /* MOV32rc */
   },
-  { /* 1608 */
-    211,
+  { /* 1601 */
+    230,
     /* MOV32rd */
   },
-  { /* 1609 */
-    203,
+  { /* 1602 */
+    222,
     /* MOV32ri */
   },
-  { /* 1610 */
+  { /* 1603 */
     0,
     /*  */
   },
-  { /* 1611 */
+  { /* 1604 */
     81,
     /* MOV32ri_alt */
   },
-  { /* 1612 */
+  { /* 1605 */
     66,
     /* MOV32rm */
   },
-  { /* 1613 */
+  { /* 1606 */
     71,
     /* MOV32rr */
   },
-  { /* 1614 */
+  { /* 1607 */
     67,
     /* MOV32rr_REV */
   },
-  { /* 1615 */
-    204,
+  { /* 1608 */
+    223,
     /* MOV32rs */
   },
-  { /* 1616 */
-    205,
+  { /* 1609 */
+    224,
     /* MOV32sm */
   },
-  { /* 1617 */
-    206,
+  { /* 1610 */
+    225,
     /* MOV32sr */
   },
-  { /* 1618 */
-    201,
+  { /* 1611 */
+    220,
     /* MOV64ao16 */
   },
-  { /* 1619 */
-    207,
+  { /* 1612 */
+    226,
     /* MOV64ao32 */
   },
-  { /* 1620 */
-    212,
+  { /* 1613 */
+    231,
     /* MOV64ao64 */
   },
-  { /* 1621 */
-    213,
+  { /* 1614 */
+    232,
     /* MOV64ao8 */
   },
-  { /* 1622 */
-    214,
+  { /* 1615 */
+    233,
     /* MOV64cr */
   },
-  { /* 1623 */
-    215,
+  { /* 1616 */
+    234,
     /* MOV64dr */
   },
-  { /* 1624 */
+  { /* 1617 */
     14,
     /* MOV64mi32 */
   },
-  { /* 1625 */
+  { /* 1618 */
     16,
     /* MOV64mr */
   },
-  { /* 1626 */
-    202,
+  { /* 1619 */
+    221,
     /* MOV64ms */
   },
-  { /* 1627 */
-    201,
+  { /* 1620 */
+    220,
     /* MOV64o16a */
   },
-  { /* 1628 */
-    207,
+  { /* 1621 */
+    226,
     /* MOV64o32a */
   },
-  { /* 1629 */
-    212,
+  { /* 1622 */
+    231,
     /* MOV64o64a */
   },
-  { /* 1630 */
-    213,
+  { /* 1623 */
+    232,
     /* MOV64o8a */
   },
-  { /* 1631 */
-    216,
+  { /* 1624 */
+    235,
     /* MOV64rc */
   },
-  { /* 1632 */
-    217,
+  { /* 1625 */
+    236,
     /* MOV64rd */
   },
-  { /* 1633 */
-    218,
+  { /* 1626 */
+    237,
     /* MOV64ri */
   },
-  { /* 1634 */
+  { /* 1627 */
     82,
     /* MOV64ri32 */
   },
-  { /* 1635 */
+  { /* 1628 */
     30,
     /* MOV64rm */
   },
-  { /* 1636 */
+  { /* 1629 */
     74,
     /* MOV64rr */
   },
-  { /* 1637 */
+  { /* 1630 */
     31,
     /* MOV64rr_REV */
   },
-  { /* 1638 */
-    219,
+  { /* 1631 */
+    238,
     /* MOV64rs */
   },
-  { /* 1639 */
-    205,
+  { /* 1632 */
+    224,
     /* MOV64sm */
   },
-  { /* 1640 */
-    220,
+  { /* 1633 */
+    239,
     /* MOV64sr */
   },
-  { /* 1641 */
-    221,
+  { /* 1634 */
+    240,
     /* MOV64toPQIrr */
   },
-  { /* 1642 */
+  { /* 1635 */
     0,
     /*  */
   },
-  { /* 1643 */
+  { /* 1636 */
     0,
     /*  */
   },
-  { /* 1644 */
-    213,
+  { /* 1637 */
+    232,
     /* MOV8ao8 */
   },
-  { /* 1645 */
-    213,
+  { /* 1638 */
+    232,
     /* MOV8ao8_16 */
   },
-  { /* 1646 */
+  { /* 1639 */
     22,
     /* MOV8mi */
   },
-  { /* 1647 */
+  { /* 1640 */
     23,
     /* MOV8mr */
   },
-  { /* 1648 */
+  { /* 1641 */
     0,
     /*  */
   },
-  { /* 1649 */
-    213,
+  { /* 1642 */
+    232,
     /* MOV8o8a */
   },
-  { /* 1650 */
-    213,
+  { /* 1643 */
+    232,
     /* MOV8o8a_16 */
   },
-  { /* 1651 */
-    222,
+  { /* 1644 */
+    241,
     /* MOV8ri */
   },
-  { /* 1652 */
+  { /* 1645 */
     83,
     /* MOV8ri_alt */
   },
-  { /* 1653 */
+  { /* 1646 */
     84,
     /* MOV8rm */
   },
-  { /* 1654 */
+  { /* 1647 */
     0,
     /*  */
   },
-  { /* 1655 */
+  { /* 1648 */
     85,
     /* MOV8rr */
   },
-  { /* 1656 */
+  { /* 1649 */
     0,
     /*  */
   },
-  { /* 1657 */
+  { /* 1650 */
     86,
     /* MOV8rr_REV */
   },
-  { /* 1658 */
-    223,
+  { /* 1651 */
+    242,
     /* MOVAPDmr */
   },
-  { /* 1659 */
+  { /* 1652 */
     42,
     /* MOVAPDrm */
   },
-  { /* 1660 */
+  { /* 1653 */
     43,
     /* MOVAPDrr */
   },
-  { /* 1661 */
-    224,
+  { /* 1654 */
+    243,
     /* MOVAPDrr_REV */
   },
-  { /* 1662 */
-    223,
+  { /* 1655 */
+    242,
     /* MOVAPSmr */
   },
-  { /* 1663 */
+  { /* 1656 */
     42,
     /* MOVAPSrm */
   },
-  { /* 1664 */
+  { /* 1657 */
     43,
     /* MOVAPSrr */
   },
-  { /* 1665 */
-    224,
+  { /* 1658 */
+    243,
     /* MOVAPSrr_REV */
   },
-  { /* 1666 */
+  { /* 1659 */
     5,
     /* MOVBE16mr */
   },
-  { /* 1667 */
+  { /* 1660 */
     66,
     /* MOVBE16rm */
   },
-  { /* 1668 */
+  { /* 1661 */
     5,
     /* MOVBE32mr */
   },
-  { /* 1669 */
+  { /* 1662 */
     66,
     /* MOVBE32rm */
   },
-  { /* 1670 */
+  { /* 1663 */
     16,
     /* MOVBE64mr */
   },
-  { /* 1671 */
+  { /* 1664 */
     30,
     /* MOVBE64rm */
   },
-  { /* 1672 */
+  { /* 1665 */
     105,
     /* MOVDDUPrm */
   },
-  { /* 1673 */
+  { /* 1666 */
     43,
     /* MOVDDUPrr */
   },
-  { /* 1674 */
+  { /* 1667 */
     104,
     /* MOVDI2PDIrm */
   },
-  { /* 1675 */
-    225,
+  { /* 1668 */
+    244,
     /* MOVDI2PDIrr */
   },
-  { /* 1676 */
+  { /* 1669 */
     0,
     /*  */
   },
-  { /* 1677 */
+  { /* 1670 */
     0,
     /*  */
   },
-  { /* 1678 */
-    223,
+  { /* 1671 */
+    242,
     /* MOVDQAmr */
   },
-  { /* 1679 */
+  { /* 1672 */
     42,
     /* MOVDQArm */
   },
-  { /* 1680 */
+  { /* 1673 */
     43,
     /* MOVDQArr */
   },
-  { /* 1681 */
-    224,
+  { /* 1674 */
+    243,
     /* MOVDQArr_REV */
   },
-  { /* 1682 */
-    223,
+  { /* 1675 */
+    242,
     /* MOVDQUmr */
   },
-  { /* 1683 */
+  { /* 1676 */
     42,
     /* MOVDQUrm */
   },
-  { /* 1684 */
+  { /* 1677 */
     43,
     /* MOVDQUrr */
   },
-  { /* 1685 */
-    224,
+  { /* 1678 */
+    243,
     /* MOVDQUrr_REV */
   },
-  { /* 1686 */
+  { /* 1679 */
     33,
     /* MOVHLPSrr */
   },
-  { /* 1687 */
-    226,
+  { /* 1680 */
+    245,
     /* MOVHPDmr */
   },
-  { /* 1688 */
-    227,
+  { /* 1681 */
+    246,
     /* MOVHPDrm */
   },
-  { /* 1689 */
-    226,
+  { /* 1682 */
+    245,
     /* MOVHPSmr */
   },
-  { /* 1690 */
-    227,
+  { /* 1683 */
+    246,
     /* MOVHPSrm */
   },
-  { /* 1691 */
+  { /* 1684 */
     33,
     /* MOVLHPSrr */
   },
-  { /* 1692 */
-    226,
+  { /* 1685 */
+    245,
     /* MOVLPDmr */
   },
-  { /* 1693 */
-    227,
+  { /* 1686 */
+    246,
     /* MOVLPDrm */
   },
-  { /* 1694 */
-    226,
+  { /* 1687 */
+    245,
     /* MOVLPSmr */
   },
-  { /* 1695 */
-    227,
+  { /* 1688 */
+    246,
     /* MOVLPSrm */
   },
-  { /* 1696 */
+  { /* 1689 */
     109,
     /* MOVMSKPDrr */
   },
-  { /* 1697 */
+  { /* 1690 */
     109,
     /* MOVMSKPSrr */
   },
-  { /* 1698 */
+  { /* 1691 */
     42,
     /* MOVNTDQArm */
   },
-  { /* 1699 */
-    223,
+  { /* 1692 */
+    242,
     /* MOVNTDQmr */
   },
-  { /* 1700 */
+  { /* 1693 */
     16,
     /* MOVNTI_64mr */
   },
-  { /* 1701 */
-    228,
+  { /* 1694 */
+    247,
     /* MOVNTImr */
   },
-  { /* 1702 */
-    223,
+  { /* 1695 */
+    242,
     /* MOVNTPDmr */
   },
-  { /* 1703 */
-    223,
+  { /* 1696 */
+    242,
     /* MOVNTPSmr */
   },
-  { /* 1704 */
-    226,
+  { /* 1697 */
+    245,
     /* MOVNTSD */
   },
-  { /* 1705 */
-    229,
+  { /* 1698 */
+    248,
     /* MOVNTSS */
   },
-  { /* 1706 */
+  { /* 1699 */
     0,
     /*  */
   },
-  { /* 1707 */
-    230,
+  { /* 1700 */
+    249,
     /* MOVPDI2DImr */
   },
-  { /* 1708 */
-    231,
+  { /* 1701 */
+    250,
     /* MOVPDI2DIrr */
   },
-  { /* 1709 */
-    230,
+  { /* 1702 */
+    249,
     /* MOVPQI2QImr */
   },
-  { /* 1710 */
-    224,
+  { /* 1703 */
+    243,
     /* MOVPQI2QIrr */
   },
-  { /* 1711 */
-    232,
+  { /* 1704 */
+    251,
     /* MOVPQIto64rr */
   },
-  { /* 1712 */
+  { /* 1705 */
     104,
     /* MOVQI2PQIrm */
   },
-  { /* 1713 */
-    92,
+  { /* 1706 */
+    89,
     /* MOVSB */
   },
-  { /* 1714 */
-    233,
+  { /* 1707 */
+    252,
     /* MOVSDmr */
   },
-  { /* 1715 */
-    234,
+  { /* 1708 */
+    253,
     /* MOVSDrm */
   },
-  { /* 1716 */
-    235,
+  { /* 1709 */
+    254,
     /* MOVSDrr */
   },
-  { /* 1717 */
-    236,
+  { /* 1710 */
+    255,
     /* MOVSDrr_REV */
   },
-  { /* 1718 */
+  { /* 1711 */
     0,
     /*  */
   },
+  { /* 1712 */
+    0,
+    /*  */
+  },
+  { /* 1713 */
+    42,
+    /* MOVSHDUPrm */
+  },
+  { /* 1714 */
+    43,
+    /* MOVSHDUPrr */
+  },
+  { /* 1715 */
+    92,
+    /* MOVSL */
+  },
+  { /* 1716 */
+    42,
+    /* MOVSLDUPrm */
+  },
+  { /* 1717 */
+    43,
+    /* MOVSLDUPrr */
+  },
+  { /* 1718 */
+    93,
+    /* MOVSQ */
+  },
   { /* 1719 */
     0,
     /*  */
   },
   { /* 1720 */
-    42,
-    /* MOVSHDUPrm */
+    0,
+    /*  */
   },
   { /* 1721 */
-    43,
-    /* MOVSHDUPrr */
-  },
-  { /* 1722 */
-    90,
-    /* MOVSL */
-  },
-  { /* 1723 */
-    42,
-    /* MOVSLDUPrm */
-  },
-  { /* 1724 */
-    43,
-    /* MOVSLDUPrr */
-  },
-  { /* 1725 */
-    91,
-    /* MOVSQ */
-  },
-  { /* 1726 */
-    0,
-    /*  */
-  },
-  { /* 1727 */
-    0,
-    /*  */
-  },
-  { /* 1728 */
-    237,
+    256,
     /* MOVSSmr */
   },
-  { /* 1729 */
-    238,
+  { /* 1722 */
+    257,
     /* MOVSSrm */
   },
-  { /* 1730 */
-    239,
+  { /* 1723 */
+    258,
     /* MOVSSrr */
   },
-  { /* 1731 */
-    240,
+  { /* 1724 */
+    259,
     /* MOVSSrr_REV */
   },
-  { /* 1732 */
-    89,
+  { /* 1725 */
+    96,
     /* MOVSW */
   },
-  { /* 1733 */
-    241,
+  { /* 1726 */
+    260,
     /* MOVSX16rm8 */
   },
-  { /* 1734 */
-    242,
+  { /* 1727 */
+    261,
     /* MOVSX16rr8 */
   },
-  { /* 1735 */
+  { /* 1728 */
     66,
     /* MOVSX32rm16 */
   },
-  { /* 1736 */
-    241,
+  { /* 1729 */
+    260,
     /* MOVSX32rm8 */
   },
-  { /* 1737 */
-    243,
+  { /* 1730 */
+    262,
     /* MOVSX32rr16 */
   },
-  { /* 1738 */
-    242,
+  { /* 1731 */
+    261,
     /* MOVSX32rr8 */
   },
-  { /* 1739 */
+  { /* 1732 */
     30,
     /* MOVSX64rm16 */
   },
-  { /* 1740 */
+  { /* 1733 */
     30,
     /* MOVSX64rm32 */
   },
-  { /* 1741 */
-    244,
+  { /* 1734 */
+    263,
     /* MOVSX64rm8 */
   },
-  { /* 1742 */
-    245,
+  { /* 1735 */
+    264,
     /* MOVSX64rr16 */
   },
-  { /* 1743 */
-    164,
+  { /* 1736 */
+    182,
     /* MOVSX64rr32 */
   },
-  { /* 1744 */
-    246,
+  { /* 1737 */
+    265,
     /* MOVSX64rr8 */
   },
-  { /* 1745 */
-    223,
+  { /* 1738 */
+    242,
     /* MOVUPDmr */
   },
-  { /* 1746 */
+  { /* 1739 */
     42,
     /* MOVUPDrm */
   },
-  { /* 1747 */
+  { /* 1740 */
     43,
     /* MOVUPDrr */
   },
-  { /* 1748 */
-    224,
+  { /* 1741 */
+    243,
     /* MOVUPDrr_REV */
   },
-  { /* 1749 */
-    223,
+  { /* 1742 */
+    242,
     /* MOVUPSmr */
   },
-  { /* 1750 */
+  { /* 1743 */
     42,
     /* MOVUPSrm */
   },
-  { /* 1751 */
+  { /* 1744 */
     43,
     /* MOVUPSrr */
   },
-  { /* 1752 */
-    224,
+  { /* 1745 */
+    243,
     /* MOVUPSrr_REV */
   },
+  { /* 1746 */
+    0,
+    /*  */
+  },
+  { /* 1747 */
+    43,
+    /* MOVZPQILo2PQIrr */
+  },
+  { /* 1748 */
+    0,
+    /*  */
+  },
+  { /* 1749 */
+    0,
+    /*  */
+  },
+  { /* 1750 */
+    260,
+    /* MOVZX16rm8 */
+  },
+  { /* 1751 */
+    261,
+    /* MOVZX16rr8 */
+  },
+  { /* 1752 */
+    0,
+    /*  */
+  },
   { /* 1753 */
     0,
     /*  */
   },
   { /* 1754 */
-    43,
-    /* MOVZPQILo2PQIrr */
-  },
-  { /* 1755 */
-    0,
-    /*  */
-  },
-  { /* 1756 */
-    0,
-    /*  */
-  },
-  { /* 1757 */
-    241,
-    /* MOVZX16rm8 */
-  },
-  { /* 1758 */
-    242,
-    /* MOVZX16rr8 */
-  },
-  { /* 1759 */
-    0,
-    /*  */
-  },
-  { /* 1760 */
-    0,
-    /*  */
-  },
-  { /* 1761 */
     66,
     /* MOVZX32rm16 */
   },
-  { /* 1762 */
-    241,
+  { /* 1755 */
+    260,
     /* MOVZX32rm8 */
   },
-  { /* 1763 */
-    243,
+  { /* 1756 */
+    262,
     /* MOVZX32rr16 */
   },
-  { /* 1764 */
-    242,
+  { /* 1757 */
+    261,
     /* MOVZX32rr8 */
   },
-  { /* 1765 */
+  { /* 1758 */
     30,
     /* MOVZX64rm16_Q */
   },
-  { /* 1766 */
-    244,
+  { /* 1759 */
+    263,
     /* MOVZX64rm8_Q */
   },
-  { /* 1767 */
-    245,
+  { /* 1760 */
+    264,
     /* MOVZX64rr16_Q */
   },
-  { /* 1768 */
-    246,
+  { /* 1761 */
+    265,
     /* MOVZX64rr8_Q */
   },
-  { /* 1769 */
+  { /* 1762 */
     64,
     /* MPSADBWrmi */
   },
-  { /* 1770 */
+  { /* 1763 */
     65,
     /* MPSADBWrri */
   },
-  { /* 1771 */
+  { /* 1764 */
     40,
     /* MUL16m */
   },
-  { /* 1772 */
+  { /* 1765 */
     75,
     /* MUL16r */
   },
-  { /* 1773 */
+  { /* 1766 */
     40,
     /* MUL32m */
   },
-  { /* 1774 */
+  { /* 1767 */
     75,
     /* MUL32r */
   },
-  { /* 1775 */
+  { /* 1768 */
     40,
     /* MUL64m */
   },
-  { /* 1776 */
+  { /* 1769 */
     77,
     /* MUL64r */
   },
-  { /* 1777 */
+  { /* 1770 */
     80,
     /* MUL8m */
   },
-  { /* 1778 */
+  { /* 1771 */
     129,
     /* MUL8r */
   },
-  { /* 1779 */
+  { /* 1772 */
     32,
     /* MULPDrm */
   },
-  { /* 1780 */
+  { /* 1773 */
     33,
     /* MULPDrr */
   },
-  { /* 1781 */
+  { /* 1774 */
     32,
     /* MULPSrm */
   },
-  { /* 1782 */
+  { /* 1775 */
     33,
     /* MULPSrr */
   },
-  { /* 1783 */
+  { /* 1776 */
     34,
     /* MULSDrm */
   },
-  { /* 1784 */
+  { /* 1777 */
     0,
     /*  */
   },
-  { /* 1785 */
+  { /* 1778 */
     35,
     /* MULSDrr */
   },
-  { /* 1786 */
+  { /* 1779 */
     0,
     /*  */
   },
-  { /* 1787 */
+  { /* 1780 */
     36,
     /* MULSSrm */
   },
-  { /* 1788 */
+  { /* 1781 */
     0,
     /*  */
   },
-  { /* 1789 */
+  { /* 1782 */
     37,
     /* MULSSrr */
   },
-  { /* 1790 */
+  { /* 1783 */
     0,
     /*  */
   },
-  { /* 1791 */
+  { /* 1784 */
     46,
     /* MULX32rm */
   },
-  { /* 1792 */
+  { /* 1785 */
     47,
     /* MULX32rr */
   },
-  { /* 1793 */
+  { /* 1786 */
     48,
     /* MULX64rm */
   },
-  { /* 1794 */
+  { /* 1787 */
     49,
     /* MULX64rr */
   },
-  { /* 1795 */
+  { /* 1788 */
     38,
     /* MUL_F32m */
   },
-  { /* 1796 */
+  { /* 1789 */
     39,
     /* MUL_F64m */
   },
-  { /* 1797 */
+  { /* 1790 */
     40,
     /* MUL_FI16m */
   },
-  { /* 1798 */
+  { /* 1791 */
     40,
     /* MUL_FI32m */
   },
-  { /* 1799 */
+  { /* 1792 */
     41,
     /* MUL_FPrST0 */
   },
-  { /* 1800 */
+  { /* 1793 */
     41,
     /* MUL_FST0r */
   },
+  { /* 1794 */
+    0,
+    /*  */
+  },
+  { /* 1795 */
+    0,
+    /*  */
+  },
+  { /* 1796 */
+    0,
+    /*  */
+  },
+  { /* 1797 */
+    0,
+    /*  */
+  },
+  { /* 1798 */
+    0,
+    /*  */
+  },
+  { /* 1799 */
+    0,
+    /*  */
+  },
+  { /* 1800 */
+    0,
+    /*  */
+  },
   { /* 1801 */
     0,
     /*  */
@@ -12101,2249 +14068,2249 @@
     /*  */
   },
   { /* 1808 */
-    0,
-    /*  */
-  },
-  { /* 1809 */
-    0,
-    /*  */
-  },
-  { /* 1810 */
-    0,
-    /*  */
-  },
-  { /* 1811 */
-    0,
-    /*  */
-  },
-  { /* 1812 */
-    0,
-    /*  */
-  },
-  { /* 1813 */
-    0,
-    /*  */
-  },
-  { /* 1814 */
-    0,
-    /*  */
-  },
-  { /* 1815 */
     41,
     /* MUL_FrST0 */
   },
-  { /* 1816 */
+  { /* 1809 */
     0,
     /* MWAITrr */
   },
-  { /* 1817 */
+  { /* 1810 */
     40,
     /* NEG16m */
   },
-  { /* 1818 */
+  { /* 1811 */
     126,
     /* NEG16r */
   },
-  { /* 1819 */
+  { /* 1812 */
     40,
     /* NEG32m */
   },
-  { /* 1820 */
+  { /* 1813 */
     126,
     /* NEG32r */
   },
-  { /* 1821 */
+  { /* 1814 */
     40,
     /* NEG64m */
   },
-  { /* 1822 */
+  { /* 1815 */
     127,
     /* NEG64r */
   },
-  { /* 1823 */
+  { /* 1816 */
     80,
     /* NEG8m */
   },
-  { /* 1824 */
+  { /* 1817 */
     128,
     /* NEG8r */
   },
-  { /* 1825 */
+  { /* 1818 */
     0,
     /* NOOP */
   },
-  { /* 1826 */
+  { /* 1819 */
     40,
     /* NOOP18_16m4 */
   },
-  { /* 1827 */
+  { /* 1820 */
     40,
     /* NOOP18_16m5 */
   },
-  { /* 1828 */
+  { /* 1821 */
     40,
     /* NOOP18_16m6 */
   },
-  { /* 1829 */
+  { /* 1822 */
     40,
     /* NOOP18_16m7 */
   },
-  { /* 1830 */
+  { /* 1823 */
     75,
     /* NOOP18_16r4 */
   },
-  { /* 1831 */
+  { /* 1824 */
     75,
     /* NOOP18_16r5 */
   },
-  { /* 1832 */
+  { /* 1825 */
     75,
     /* NOOP18_16r6 */
   },
-  { /* 1833 */
+  { /* 1826 */
     75,
     /* NOOP18_16r7 */
   },
-  { /* 1834 */
+  { /* 1827 */
     40,
     /* NOOP18_m4 */
   },
-  { /* 1835 */
+  { /* 1828 */
     40,
     /* NOOP18_m5 */
   },
-  { /* 1836 */
+  { /* 1829 */
     40,
     /* NOOP18_m6 */
   },
-  { /* 1837 */
+  { /* 1830 */
     40,
     /* NOOP18_m7 */
   },
-  { /* 1838 */
+  { /* 1831 */
     75,
     /* NOOP18_r4 */
   },
-  { /* 1839 */
+  { /* 1832 */
     75,
     /* NOOP18_r5 */
   },
-  { /* 1840 */
+  { /* 1833 */
     75,
     /* NOOP18_r6 */
   },
-  { /* 1841 */
+  { /* 1834 */
     75,
     /* NOOP18_r7 */
   },
-  { /* 1842 */
+  { /* 1835 */
     40,
     /* NOOPL */
   },
-  { /* 1843 */
+  { /* 1836 */
     40,
     /* NOOPL_19 */
   },
-  { /* 1844 */
+  { /* 1837 */
     40,
     /* NOOPL_1a */
   },
-  { /* 1845 */
+  { /* 1838 */
     40,
     /* NOOPL_1b */
   },
-  { /* 1846 */
+  { /* 1839 */
     40,
     /* NOOPL_1c */
   },
-  { /* 1847 */
+  { /* 1840 */
     40,
     /* NOOPL_1d */
   },
-  { /* 1848 */
+  { /* 1841 */
     40,
     /* NOOPL_1e */
   },
-  { /* 1849 */
+  { /* 1842 */
     40,
     /* NOOPW */
   },
-  { /* 1850 */
+  { /* 1843 */
     40,
     /* NOOPW_19 */
   },
-  { /* 1851 */
+  { /* 1844 */
     40,
     /* NOOPW_1a */
   },
-  { /* 1852 */
+  { /* 1845 */
     40,
     /* NOOPW_1b */
   },
-  { /* 1853 */
+  { /* 1846 */
     40,
     /* NOOPW_1c */
   },
-  { /* 1854 */
+  { /* 1847 */
     40,
     /* NOOPW_1d */
   },
-  { /* 1855 */
+  { /* 1848 */
     40,
     /* NOOPW_1e */
   },
-  { /* 1856 */
+  { /* 1849 */
     40,
     /* NOT16m */
   },
-  { /* 1857 */
+  { /* 1850 */
     126,
     /* NOT16r */
   },
-  { /* 1858 */
+  { /* 1851 */
     40,
     /* NOT32m */
   },
-  { /* 1859 */
+  { /* 1852 */
     126,
     /* NOT32r */
   },
-  { /* 1860 */
+  { /* 1853 */
     40,
     /* NOT64m */
   },
-  { /* 1861 */
+  { /* 1854 */
     127,
     /* NOT64r */
   },
-  { /* 1862 */
+  { /* 1855 */
     80,
     /* NOT8m */
   },
-  { /* 1863 */
+  { /* 1856 */
     128,
     /* NOT8r */
   },
-  { /* 1864 */
+  { /* 1857 */
     2,
     /* OR16i16 */
   },
-  { /* 1865 */
+  { /* 1858 */
     3,
     /* OR16mi */
   },
-  { /* 1866 */
+  { /* 1859 */
     4,
     /* OR16mi8 */
   },
-  { /* 1867 */
+  { /* 1860 */
     5,
     /* OR16mr */
   },
-  { /* 1868 */
+  { /* 1861 */
     6,
     /* OR16ri */
   },
-  { /* 1869 */
+  { /* 1862 */
     7,
     /* OR16ri8 */
   },
-  { /* 1870 */
+  { /* 1863 */
     8,
     /* OR16rm */
   },
-  { /* 1871 */
+  { /* 1864 */
     9,
     /* OR16rr */
   },
-  { /* 1872 */
+  { /* 1865 */
     10,
     /* OR16rr_REV */
   },
-  { /* 1873 */
+  { /* 1866 */
     2,
     /* OR32i32 */
   },
-  { /* 1874 */
+  { /* 1867 */
     3,
     /* OR32mi */
   },
-  { /* 1875 */
+  { /* 1868 */
     11,
     /* OR32mi8 */
   },
-  { /* 1876 */
+  { /* 1869 */
     5,
     /* OR32mr */
   },
-  { /* 1877 */
+  { /* 1870 */
     0,
     /*  */
   },
-  { /* 1878 */
+  { /* 1871 */
     6,
     /* OR32ri */
   },
-  { /* 1879 */
+  { /* 1872 */
     12,
     /* OR32ri8 */
   },
-  { /* 1880 */
+  { /* 1873 */
     8,
     /* OR32rm */
   },
-  { /* 1881 */
+  { /* 1874 */
     9,
     /* OR32rr */
   },
-  { /* 1882 */
+  { /* 1875 */
     10,
     /* OR32rr_REV */
   },
-  { /* 1883 */
+  { /* 1876 */
     13,
     /* OR64i32 */
   },
-  { /* 1884 */
+  { /* 1877 */
     14,
     /* OR64mi32 */
   },
-  { /* 1885 */
+  { /* 1878 */
     15,
     /* OR64mi8 */
   },
-  { /* 1886 */
+  { /* 1879 */
     16,
     /* OR64mr */
   },
-  { /* 1887 */
+  { /* 1880 */
     17,
     /* OR64ri32 */
   },
-  { /* 1888 */
+  { /* 1881 */
     18,
     /* OR64ri8 */
   },
-  { /* 1889 */
+  { /* 1882 */
     19,
     /* OR64rm */
   },
-  { /* 1890 */
+  { /* 1883 */
     20,
     /* OR64rr */
   },
-  { /* 1891 */
+  { /* 1884 */
     21,
     /* OR64rr_REV */
   },
-  { /* 1892 */
+  { /* 1885 */
     1,
     /* OR8i8 */
   },
-  { /* 1893 */
+  { /* 1886 */
     22,
     /* OR8mi */
   },
-  { /* 1894 */
+  { /* 1887 */
     23,
     /* OR8mr */
   },
-  { /* 1895 */
+  { /* 1888 */
     24,
     /* OR8ri */
   },
-  { /* 1896 */
+  { /* 1889 */
     24,
     /* OR8ri8 */
   },
-  { /* 1897 */
+  { /* 1890 */
     25,
     /* OR8rm */
   },
-  { /* 1898 */
+  { /* 1891 */
     26,
     /* OR8rr */
   },
-  { /* 1899 */
+  { /* 1892 */
     27,
     /* OR8rr_REV */
   },
-  { /* 1900 */
+  { /* 1893 */
     32,
     /* ORPDrm */
   },
-  { /* 1901 */
+  { /* 1894 */
     33,
     /* ORPDrr */
   },
-  { /* 1902 */
+  { /* 1895 */
     32,
     /* ORPSrm */
   },
-  { /* 1903 */
+  { /* 1896 */
     33,
     /* ORPSrr */
   },
-  { /* 1904 */
+  { /* 1897 */
     1,
     /* OUT16ir */
   },
-  { /* 1905 */
+  { /* 1898 */
     0,
     /* OUT16rr */
   },
-  { /* 1906 */
+  { /* 1899 */
     1,
     /* OUT32ir */
   },
-  { /* 1907 */
+  { /* 1900 */
     0,
     /* OUT32rr */
   },
-  { /* 1908 */
+  { /* 1901 */
     1,
     /* OUT8ir */
   },
-  { /* 1909 */
+  { /* 1902 */
     0,
     /* OUT8rr */
   },
-  { /* 1910 */
-    172,
+  { /* 1903 */
+    190,
     /* OUTSB */
   },
-  { /* 1911 */
-    173,
+  { /* 1904 */
+    191,
     /* OUTSL */
   },
-  { /* 1912 */
-    175,
+  { /* 1905 */
+    193,
     /* OUTSW */
   },
-  { /* 1913 */
+  { /* 1906 */
     42,
     /* PABSBrm128 */
   },
-  { /* 1914 */
+  { /* 1907 */
     43,
     /* PABSBrr128 */
   },
-  { /* 1915 */
+  { /* 1908 */
     42,
     /* PABSDrm128 */
   },
-  { /* 1916 */
+  { /* 1909 */
     43,
     /* PABSDrr128 */
   },
-  { /* 1917 */
+  { /* 1910 */
     42,
     /* PABSWrm128 */
   },
-  { /* 1918 */
+  { /* 1911 */
     43,
     /* PABSWrr128 */
   },
-  { /* 1919 */
+  { /* 1912 */
     32,
     /* PACKSSDWrm */
   },
-  { /* 1920 */
+  { /* 1913 */
     33,
     /* PACKSSDWrr */
   },
-  { /* 1921 */
+  { /* 1914 */
     32,
     /* PACKSSWBrm */
   },
-  { /* 1922 */
+  { /* 1915 */
     33,
     /* PACKSSWBrr */
   },
-  { /* 1923 */
+  { /* 1916 */
     32,
     /* PACKUSDWrm */
   },
-  { /* 1924 */
+  { /* 1917 */
     33,
     /* PACKUSDWrr */
   },
-  { /* 1925 */
+  { /* 1918 */
     32,
     /* PACKUSWBrm */
   },
-  { /* 1926 */
+  { /* 1919 */
     33,
     /* PACKUSWBrr */
   },
-  { /* 1927 */
+  { /* 1920 */
     32,
     /* PADDBrm */
   },
-  { /* 1928 */
+  { /* 1921 */
     33,
     /* PADDBrr */
   },
-  { /* 1929 */
+  { /* 1922 */
     32,
     /* PADDDrm */
   },
-  { /* 1930 */
+  { /* 1923 */
     33,
     /* PADDDrr */
   },
-  { /* 1931 */
+  { /* 1924 */
     32,
     /* PADDQrm */
   },
-  { /* 1932 */
+  { /* 1925 */
     33,
     /* PADDQrr */
   },
-  { /* 1933 */
+  { /* 1926 */
     32,
     /* PADDSBrm */
   },
-  { /* 1934 */
+  { /* 1927 */
     33,
     /* PADDSBrr */
   },
-  { /* 1935 */
+  { /* 1928 */
     32,
     /* PADDSWrm */
   },
-  { /* 1936 */
+  { /* 1929 */
     33,
     /* PADDSWrr */
   },
-  { /* 1937 */
+  { /* 1930 */
     32,
     /* PADDUSBrm */
   },
-  { /* 1938 */
+  { /* 1931 */
     33,
     /* PADDUSBrr */
   },
-  { /* 1939 */
+  { /* 1932 */
     32,
     /* PADDUSWrm */
   },
-  { /* 1940 */
+  { /* 1933 */
     33,
     /* PADDUSWrr */
   },
-  { /* 1941 */
+  { /* 1934 */
     32,
     /* PADDWrm */
   },
-  { /* 1942 */
+  { /* 1935 */
     33,
     /* PADDWrr */
   },
-  { /* 1943 */
-    247,
+  { /* 1936 */
+    266,
     /* PALIGNR128rm */
   },
-  { /* 1944 */
-    248,
+  { /* 1937 */
+    267,
     /* PALIGNR128rr */
   },
-  { /* 1945 */
+  { /* 1938 */
     32,
     /* PANDNrm */
   },
-  { /* 1946 */
+  { /* 1939 */
     33,
     /* PANDNrr */
   },
-  { /* 1947 */
+  { /* 1940 */
     32,
     /* PANDrm */
   },
-  { /* 1948 */
+  { /* 1941 */
     33,
     /* PANDrr */
   },
-  { /* 1949 */
+  { /* 1942 */
     0,
     /* PAUSE */
   },
-  { /* 1950 */
+  { /* 1943 */
     32,
     /* PAVGBrm */
   },
-  { /* 1951 */
+  { /* 1944 */
     33,
     /* PAVGBrr */
   },
-  { /* 1952 */
-    190,
+  { /* 1945 */
+    209,
     /* PAVGUSBrm */
   },
-  { /* 1953 */
-    191,
+  { /* 1946 */
+    210,
     /* PAVGUSBrr */
   },
-  { /* 1954 */
+  { /* 1947 */
     32,
     /* PAVGWrm */
   },
-  { /* 1955 */
+  { /* 1948 */
     33,
     /* PAVGWrr */
   },
-  { /* 1956 */
+  { /* 1949 */
     32,
     /* PBLENDVBrm0 */
   },
-  { /* 1957 */
+  { /* 1950 */
     33,
     /* PBLENDVBrr0 */
   },
-  { /* 1958 */
+  { /* 1951 */
     64,
     /* PBLENDWrmi */
   },
-  { /* 1959 */
+  { /* 1952 */
     65,
     /* PBLENDWrri */
   },
-  { /* 1960 */
-    247,
+  { /* 1953 */
+    266,
     /* PCLMULQDQrm */
   },
-  { /* 1961 */
-    248,
+  { /* 1954 */
+    267,
     /* PCLMULQDQrr */
   },
-  { /* 1962 */
+  { /* 1955 */
     32,
     /* PCMPEQBrm */
   },
-  { /* 1963 */
+  { /* 1956 */
     33,
     /* PCMPEQBrr */
   },
-  { /* 1964 */
+  { /* 1957 */
     32,
     /* PCMPEQDrm */
   },
-  { /* 1965 */
+  { /* 1958 */
     33,
     /* PCMPEQDrr */
   },
-  { /* 1966 */
+  { /* 1959 */
     32,
     /* PCMPEQQrm */
   },
-  { /* 1967 */
+  { /* 1960 */
     33,
     /* PCMPEQQrr */
   },
-  { /* 1968 */
+  { /* 1961 */
     32,
     /* PCMPEQWrm */
   },
-  { /* 1969 */
+  { /* 1962 */
     33,
     /* PCMPEQWrr */
   },
-  { /* 1970 */
+  { /* 1963 */
     0,
     /*  */
   },
-  { /* 1971 */
+  { /* 1964 */
     0,
     /*  */
   },
-  { /* 1972 */
+  { /* 1965 */
     44,
     /* PCMPESTRIrm */
   },
-  { /* 1973 */
+  { /* 1966 */
     45,
     /* PCMPESTRIrr */
   },
-  { /* 1974 */
+  { /* 1967 */
     0,
     /*  */
   },
-  { /* 1975 */
+  { /* 1968 */
     0,
     /*  */
   },
-  { /* 1976 */
+  { /* 1969 */
     44,
     /* PCMPESTRM128rm */
   },
-  { /* 1977 */
+  { /* 1970 */
     45,
     /* PCMPESTRM128rr */
   },
-  { /* 1978 */
+  { /* 1971 */
     32,
     /* PCMPGTBrm */
   },
-  { /* 1979 */
+  { /* 1972 */
     33,
     /* PCMPGTBrr */
   },
-  { /* 1980 */
+  { /* 1973 */
     32,
     /* PCMPGTDrm */
   },
-  { /* 1981 */
+  { /* 1974 */
     33,
     /* PCMPGTDrr */
   },
-  { /* 1982 */
+  { /* 1975 */
     32,
     /* PCMPGTQrm */
   },
-  { /* 1983 */
+  { /* 1976 */
     33,
     /* PCMPGTQrr */
   },
-  { /* 1984 */
+  { /* 1977 */
     32,
     /* PCMPGTWrm */
   },
-  { /* 1985 */
+  { /* 1978 */
     33,
     /* PCMPGTWrr */
   },
-  { /* 1986 */
+  { /* 1979 */
     0,
     /*  */
   },
-  { /* 1987 */
+  { /* 1980 */
     0,
     /*  */
   },
-  { /* 1988 */
+  { /* 1981 */
     44,
     /* PCMPISTRIrm */
   },
-  { /* 1989 */
+  { /* 1982 */
     45,
     /* PCMPISTRIrr */
   },
-  { /* 1990 */
+  { /* 1983 */
     0,
     /*  */
   },
-  { /* 1991 */
+  { /* 1984 */
     0,
     /*  */
   },
-  { /* 1992 */
+  { /* 1985 */
     44,
     /* PCMPISTRM128rm */
   },
-  { /* 1993 */
+  { /* 1986 */
     45,
     /* PCMPISTRM128rr */
   },
-  { /* 1994 */
+  { /* 1987 */
     46,
     /* PDEP32rm */
   },
-  { /* 1995 */
+  { /* 1988 */
     47,
     /* PDEP32rr */
   },
-  { /* 1996 */
+  { /* 1989 */
     48,
     /* PDEP64rm */
   },
-  { /* 1997 */
+  { /* 1990 */
     49,
     /* PDEP64rr */
   },
-  { /* 1998 */
+  { /* 1991 */
     46,
     /* PEXT32rm */
   },
-  { /* 1999 */
+  { /* 1992 */
     47,
     /* PEXT32rr */
   },
-  { /* 2000 */
+  { /* 1993 */
     48,
     /* PEXT64rm */
   },
-  { /* 2001 */
+  { /* 1994 */
     49,
     /* PEXT64rr */
   },
-  { /* 2002 */
-    249,
+  { /* 1995 */
+    268,
     /* PEXTRBmr */
   },
-  { /* 2003 */
+  { /* 1996 */
     132,
     /* PEXTRBrr */
   },
-  { /* 2004 */
-    250,
+  { /* 1997 */
+    269,
     /* PEXTRDmr */
   },
-  { /* 2005 */
+  { /* 1998 */
     132,
     /* PEXTRDrr */
   },
-  { /* 2006 */
-    250,
+  { /* 1999 */
+    269,
     /* PEXTRQmr */
   },
-  { /* 2007 */
-    251,
+  { /* 2000 */
+    270,
     /* PEXTRQrr */
   },
-  { /* 2008 */
-    250,
+  { /* 2001 */
+    269,
     /* PEXTRWmr */
   },
-  { /* 2009 */
-    252,
+  { /* 2002 */
+    271,
     /* PEXTRWri */
   },
-  { /* 2010 */
+  { /* 2003 */
     132,
     /* PEXTRWrr_REV */
   },
-  { /* 2011 */
-    187,
+  { /* 2004 */
+    205,
     /* PF2IDrm */
   },
-  { /* 2012 */
-    183,
+  { /* 2005 */
+    201,
     /* PF2IDrr */
   },
-  { /* 2013 */
-    187,
+  { /* 2006 */
+    205,
     /* PF2IWrm */
   },
-  { /* 2014 */
-    183,
+  { /* 2007 */
+    201,
     /* PF2IWrr */
   },
-  { /* 2015 */
-    190,
+  { /* 2008 */
+    209,
     /* PFACCrm */
   },
-  { /* 2016 */
-    191,
+  { /* 2009 */
+    210,
     /* PFACCrr */
   },
-  { /* 2017 */
-    190,
+  { /* 2010 */
+    209,
     /* PFADDrm */
   },
-  { /* 2018 */
-    191,
+  { /* 2011 */
+    210,
     /* PFADDrr */
   },
-  { /* 2019 */
-    190,
+  { /* 2012 */
+    209,
     /* PFCMPEQrm */
   },
-  { /* 2020 */
-    191,
+  { /* 2013 */
+    210,
     /* PFCMPEQrr */
   },
-  { /* 2021 */
-    190,
+  { /* 2014 */
+    209,
     /* PFCMPGErm */
   },
-  { /* 2022 */
-    191,
+  { /* 2015 */
+    210,
     /* PFCMPGErr */
   },
-  { /* 2023 */
-    190,
+  { /* 2016 */
+    209,
     /* PFCMPGTrm */
   },
-  { /* 2024 */
-    191,
+  { /* 2017 */
+    210,
     /* PFCMPGTrr */
   },
-  { /* 2025 */
-    190,
+  { /* 2018 */
+    209,
     /* PFMAXrm */
   },
-  { /* 2026 */
-    191,
+  { /* 2019 */
+    210,
     /* PFMAXrr */
   },
-  { /* 2027 */
-    190,
+  { /* 2020 */
+    209,
     /* PFMINrm */
   },
-  { /* 2028 */
-    191,
+  { /* 2021 */
+    210,
     /* PFMINrr */
   },
-  { /* 2029 */
-    190,
+  { /* 2022 */
+    209,
     /* PFMULrm */
   },
-  { /* 2030 */
-    191,
+  { /* 2023 */
+    210,
     /* PFMULrr */
   },
-  { /* 2031 */
-    190,
+  { /* 2024 */
+    209,
     /* PFNACCrm */
   },
-  { /* 2032 */
-    191,
+  { /* 2025 */
+    210,
     /* PFNACCrr */
   },
-  { /* 2033 */
-    190,
+  { /* 2026 */
+    209,
     /* PFPNACCrm */
   },
-  { /* 2034 */
-    191,
+  { /* 2027 */
+    210,
     /* PFPNACCrr */
   },
-  { /* 2035 */
-    190,
+  { /* 2028 */
+    209,
     /* PFRCPIT1rm */
   },
-  { /* 2036 */
-    191,
+  { /* 2029 */
+    210,
     /* PFRCPIT1rr */
   },
-  { /* 2037 */
-    190,
+  { /* 2030 */
+    209,
     /* PFRCPIT2rm */
   },
-  { /* 2038 */
-    191,
+  { /* 2031 */
+    210,
     /* PFRCPIT2rr */
   },
-  { /* 2039 */
-    187,
+  { /* 2032 */
+    205,
     /* PFRCPrm */
   },
-  { /* 2040 */
-    183,
+  { /* 2033 */
+    201,
     /* PFRCPrr */
   },
-  { /* 2041 */
-    190,
+  { /* 2034 */
+    209,
     /* PFRSQIT1rm */
   },
-  { /* 2042 */
-    191,
+  { /* 2035 */
+    210,
     /* PFRSQIT1rr */
   },
-  { /* 2043 */
-    187,
+  { /* 2036 */
+    205,
     /* PFRSQRTrm */
   },
-  { /* 2044 */
-    183,
+  { /* 2037 */
+    201,
     /* PFRSQRTrr */
   },
-  { /* 2045 */
-    190,
+  { /* 2038 */
+    209,
     /* PFSUBRrm */
   },
-  { /* 2046 */
-    191,
+  { /* 2039 */
+    210,
     /* PFSUBRrr */
   },
-  { /* 2047 */
-    190,
+  { /* 2040 */
+    209,
     /* PFSUBrm */
   },
-  { /* 2048 */
-    191,
+  { /* 2041 */
+    210,
     /* PFSUBrr */
   },
-  { /* 2049 */
+  { /* 2042 */
     32,
     /* PHADDDrm */
   },
-  { /* 2050 */
+  { /* 2043 */
     33,
     /* PHADDDrr */
   },
-  { /* 2051 */
+  { /* 2044 */
     32,
     /* PHADDSWrm128 */
   },
-  { /* 2052 */
+  { /* 2045 */
     33,
     /* PHADDSWrr128 */
   },
-  { /* 2053 */
+  { /* 2046 */
     32,
     /* PHADDWrm */
   },
-  { /* 2054 */
+  { /* 2047 */
     33,
     /* PHADDWrr */
   },
-  { /* 2055 */
+  { /* 2048 */
     42,
     /* PHMINPOSUWrm128 */
   },
-  { /* 2056 */
+  { /* 2049 */
     43,
     /* PHMINPOSUWrr128 */
   },
-  { /* 2057 */
+  { /* 2050 */
     32,
     /* PHSUBDrm */
   },
-  { /* 2058 */
+  { /* 2051 */
     33,
     /* PHSUBDrr */
   },
-  { /* 2059 */
+  { /* 2052 */
     32,
     /* PHSUBSWrm128 */
   },
-  { /* 2060 */
+  { /* 2053 */
     33,
     /* PHSUBSWrr128 */
   },
-  { /* 2061 */
+  { /* 2054 */
     32,
     /* PHSUBWrm */
   },
-  { /* 2062 */
+  { /* 2055 */
     33,
     /* PHSUBWrr */
   },
-  { /* 2063 */
-    187,
+  { /* 2056 */
+    205,
     /* PI2FDrm */
   },
-  { /* 2064 */
-    183,
+  { /* 2057 */
+    201,
     /* PI2FDrr */
   },
-  { /* 2065 */
-    187,
+  { /* 2058 */
+    205,
     /* PI2FWrm */
   },
-  { /* 2066 */
-    183,
+  { /* 2059 */
+    201,
     /* PI2FWrr */
   },
-  { /* 2067 */
-    253,
+  { /* 2060 */
+    272,
     /* PINSRBrm */
   },
-  { /* 2068 */
-    254,
+  { /* 2061 */
+    273,
     /* PINSRBrr */
   },
-  { /* 2069 */
-    255,
+  { /* 2062 */
+    274,
     /* PINSRDrm */
   },
-  { /* 2070 */
-    254,
+  { /* 2063 */
+    273,
     /* PINSRDrr */
   },
-  { /* 2071 */
-    255,
+  { /* 2064 */
+    274,
     /* PINSRQrm */
   },
-  { /* 2072 */
-    256,
+  { /* 2065 */
+    275,
     /* PINSRQrr */
   },
-  { /* 2073 */
-    255,
+  { /* 2066 */
+    274,
     /* PINSRWrmi */
   },
-  { /* 2074 */
-    254,
+  { /* 2067 */
+    273,
     /* PINSRWrri */
   },
-  { /* 2075 */
+  { /* 2068 */
     32,
     /* PMADDUBSWrm128 */
   },
-  { /* 2076 */
+  { /* 2069 */
     33,
     /* PMADDUBSWrr128 */
   },
-  { /* 2077 */
+  { /* 2070 */
     32,
     /* PMADDWDrm */
   },
-  { /* 2078 */
+  { /* 2071 */
     33,
     /* PMADDWDrr */
   },
-  { /* 2079 */
+  { /* 2072 */
     32,
     /* PMAXSBrm */
   },
-  { /* 2080 */
+  { /* 2073 */
     33,
     /* PMAXSBrr */
   },
-  { /* 2081 */
+  { /* 2074 */
     32,
     /* PMAXSDrm */
   },
-  { /* 2082 */
+  { /* 2075 */
     33,
     /* PMAXSDrr */
   },
-  { /* 2083 */
+  { /* 2076 */
     32,
     /* PMAXSWrm */
   },
-  { /* 2084 */
+  { /* 2077 */
     33,
     /* PMAXSWrr */
   },
-  { /* 2085 */
+  { /* 2078 */
     32,
     /* PMAXUBrm */
   },
-  { /* 2086 */
+  { /* 2079 */
     33,
     /* PMAXUBrr */
   },
-  { /* 2087 */
+  { /* 2080 */
     32,
     /* PMAXUDrm */
   },
-  { /* 2088 */
+  { /* 2081 */
     33,
     /* PMAXUDrr */
   },
-  { /* 2089 */
+  { /* 2082 */
     32,
     /* PMAXUWrm */
   },
-  { /* 2090 */
+  { /* 2083 */
     33,
     /* PMAXUWrr */
   },
-  { /* 2091 */
+  { /* 2084 */
     32,
     /* PMINSBrm */
   },
-  { /* 2092 */
+  { /* 2085 */
     33,
     /* PMINSBrr */
   },
-  { /* 2093 */
+  { /* 2086 */
     32,
     /* PMINSDrm */
   },
-  { /* 2094 */
+  { /* 2087 */
     33,
     /* PMINSDrr */
   },
-  { /* 2095 */
+  { /* 2088 */
     32,
     /* PMINSWrm */
   },
-  { /* 2096 */
+  { /* 2089 */
     33,
     /* PMINSWrr */
   },
-  { /* 2097 */
+  { /* 2090 */
     32,
     /* PMINUBrm */
   },
-  { /* 2098 */
+  { /* 2091 */
     33,
     /* PMINUBrr */
   },
-  { /* 2099 */
+  { /* 2092 */
     32,
     /* PMINUDrm */
   },
-  { /* 2100 */
+  { /* 2093 */
     33,
     /* PMINUDrr */
   },
-  { /* 2101 */
+  { /* 2094 */
     32,
     /* PMINUWrm */
   },
-  { /* 2102 */
+  { /* 2095 */
     33,
     /* PMINUWrr */
   },
-  { /* 2103 */
+  { /* 2096 */
     109,
     /* PMOVMSKBrr */
   },
-  { /* 2104 */
+  { /* 2097 */
     104,
     /* PMOVSXBDrm */
   },
-  { /* 2105 */
+  { /* 2098 */
     43,
     /* PMOVSXBDrr */
   },
-  { /* 2106 */
+  { /* 2099 */
     104,
     /* PMOVSXBQrm */
   },
-  { /* 2107 */
+  { /* 2100 */
     43,
     /* PMOVSXBQrr */
   },
-  { /* 2108 */
+  { /* 2101 */
     104,
     /* PMOVSXBWrm */
   },
-  { /* 2109 */
+  { /* 2102 */
     43,
     /* PMOVSXBWrr */
   },
-  { /* 2110 */
+  { /* 2103 */
     104,
     /* PMOVSXDQrm */
   },
-  { /* 2111 */
+  { /* 2104 */
     43,
     /* PMOVSXDQrr */
   },
-  { /* 2112 */
+  { /* 2105 */
     104,
     /* PMOVSXWDrm */
   },
-  { /* 2113 */
+  { /* 2106 */
     43,
     /* PMOVSXWDrr */
   },
-  { /* 2114 */
+  { /* 2107 */
     104,
     /* PMOVSXWQrm */
   },
-  { /* 2115 */
+  { /* 2108 */
     43,
     /* PMOVSXWQrr */
   },
-  { /* 2116 */
+  { /* 2109 */
     104,
     /* PMOVZXBDrm */
   },
-  { /* 2117 */
+  { /* 2110 */
     43,
     /* PMOVZXBDrr */
   },
-  { /* 2118 */
+  { /* 2111 */
     104,
     /* PMOVZXBQrm */
   },
-  { /* 2119 */
+  { /* 2112 */
     43,
     /* PMOVZXBQrr */
   },
-  { /* 2120 */
+  { /* 2113 */
     104,
     /* PMOVZXBWrm */
   },
-  { /* 2121 */
+  { /* 2114 */
     43,
     /* PMOVZXBWrr */
   },
-  { /* 2122 */
+  { /* 2115 */
     104,
     /* PMOVZXDQrm */
   },
-  { /* 2123 */
+  { /* 2116 */
     43,
     /* PMOVZXDQrr */
   },
-  { /* 2124 */
+  { /* 2117 */
     104,
     /* PMOVZXWDrm */
   },
-  { /* 2125 */
+  { /* 2118 */
     43,
     /* PMOVZXWDrr */
   },
-  { /* 2126 */
+  { /* 2119 */
     104,
     /* PMOVZXWQrm */
   },
-  { /* 2127 */
+  { /* 2120 */
     43,
     /* PMOVZXWQrr */
   },
-  { /* 2128 */
+  { /* 2121 */
     32,
     /* PMULDQrm */
   },
-  { /* 2129 */
+  { /* 2122 */
     33,
     /* PMULDQrr */
   },
-  { /* 2130 */
+  { /* 2123 */
     32,
     /* PMULHRSWrm128 */
   },
-  { /* 2131 */
+  { /* 2124 */
     33,
     /* PMULHRSWrr128 */
   },
-  { /* 2132 */
-    190,
+  { /* 2125 */
+    209,
     /* PMULHRWrm */
   },
-  { /* 2133 */
-    191,
+  { /* 2126 */
+    210,
     /* PMULHRWrr */
   },
-  { /* 2134 */
+  { /* 2127 */
     32,
     /* PMULHUWrm */
   },
-  { /* 2135 */
+  { /* 2128 */
     33,
     /* PMULHUWrr */
   },
-  { /* 2136 */
+  { /* 2129 */
     32,
     /* PMULHWrm */
   },
-  { /* 2137 */
+  { /* 2130 */
     33,
     /* PMULHWrr */
   },
-  { /* 2138 */
+  { /* 2131 */
     32,
     /* PMULLDrm */
   },
-  { /* 2139 */
+  { /* 2132 */
     33,
     /* PMULLDrr */
   },
-  { /* 2140 */
+  { /* 2133 */
     32,
     /* PMULLWrm */
   },
-  { /* 2141 */
+  { /* 2134 */
     33,
     /* PMULLWrr */
   },
-  { /* 2142 */
+  { /* 2135 */
     32,
     /* PMULUDQrm */
   },
-  { /* 2143 */
+  { /* 2136 */
     33,
     /* PMULUDQrr */
   },
-  { /* 2144 */
-    257,
+  { /* 2137 */
+    276,
     /* POP16r */
   },
-  { /* 2145 */
+  { /* 2138 */
     40,
     /* POP16rmm */
   },
-  { /* 2146 */
+  { /* 2139 */
     75,
     /* POP16rmr */
   },
-  { /* 2147 */
-    257,
+  { /* 2140 */
+    276,
     /* POP32r */
   },
-  { /* 2148 */
+  { /* 2141 */
     40,
     /* POP32rmm */
   },
-  { /* 2149 */
+  { /* 2142 */
     75,
     /* POP32rmr */
   },
-  { /* 2150 */
-    258,
+  { /* 2143 */
+    277,
     /* POP64r */
   },
-  { /* 2151 */
+  { /* 2144 */
     40,
     /* POP64rmm */
   },
-  { /* 2152 */
+  { /* 2145 */
     77,
     /* POP64rmr */
   },
-  { /* 2153 */
+  { /* 2146 */
     0,
     /* POPA16 */
   },
-  { /* 2154 */
+  { /* 2147 */
     0,
     /* POPA32 */
   },
-  { /* 2155 */
+  { /* 2148 */
     66,
     /* POPCNT16rm */
   },
-  { /* 2156 */
+  { /* 2149 */
     67,
     /* POPCNT16rr */
   },
-  { /* 2157 */
+  { /* 2150 */
     66,
     /* POPCNT32rm */
   },
-  { /* 2158 */
+  { /* 2151 */
     67,
     /* POPCNT32rr */
   },
-  { /* 2159 */
+  { /* 2152 */
     30,
     /* POPCNT64rm */
   },
-  { /* 2160 */
+  { /* 2153 */
     31,
     /* POPCNT64rr */
   },
-  { /* 2161 */
+  { /* 2154 */
     0,
     /* POPDS16 */
   },
-  { /* 2162 */
+  { /* 2155 */
     0,
     /* POPDS32 */
   },
-  { /* 2163 */
+  { /* 2156 */
     0,
     /* POPES16 */
   },
-  { /* 2164 */
+  { /* 2157 */
     0,
     /* POPES32 */
   },
-  { /* 2165 */
+  { /* 2158 */
     0,
     /* POPF16 */
   },
-  { /* 2166 */
+  { /* 2159 */
     0,
     /* POPF32 */
   },
-  { /* 2167 */
+  { /* 2160 */
     0,
     /* POPF64 */
   },
-  { /* 2168 */
+  { /* 2161 */
     0,
     /* POPFS16 */
   },
-  { /* 2169 */
+  { /* 2162 */
     0,
     /* POPFS32 */
   },
-  { /* 2170 */
+  { /* 2163 */
     0,
     /* POPFS64 */
   },
-  { /* 2171 */
+  { /* 2164 */
     0,
     /* POPGS16 */
   },
-  { /* 2172 */
+  { /* 2165 */
     0,
     /* POPGS32 */
   },
-  { /* 2173 */
+  { /* 2166 */
     0,
     /* POPGS64 */
   },
-  { /* 2174 */
+  { /* 2167 */
     0,
     /* POPSS16 */
   },
-  { /* 2175 */
+  { /* 2168 */
     0,
     /* POPSS32 */
   },
-  { /* 2176 */
+  { /* 2169 */
     32,
     /* PORrm */
   },
-  { /* 2177 */
+  { /* 2170 */
     33,
     /* PORrr */
   },
-  { /* 2178 */
+  { /* 2171 */
     80,
     /* PREFETCH */
   },
-  { /* 2179 */
+  { /* 2172 */
     80,
     /* PREFETCHNTA */
   },
-  { /* 2180 */
+  { /* 2173 */
     80,
     /* PREFETCHT0 */
   },
-  { /* 2181 */
+  { /* 2174 */
     80,
     /* PREFETCHT1 */
   },
-  { /* 2182 */
+  { /* 2175 */
     80,
     /* PREFETCHT2 */
   },
-  { /* 2183 */
+  { /* 2176 */
     80,
     /* PREFETCHW */
   },
-  { /* 2184 */
+  { /* 2177 */
     32,
     /* PSADBWrm */
   },
-  { /* 2185 */
+  { /* 2178 */
     33,
     /* PSADBWrr */
   },
-  { /* 2186 */
+  { /* 2179 */
     32,
     /* PSHUFBrm */
   },
-  { /* 2187 */
+  { /* 2180 */
     33,
     /* PSHUFBrr */
   },
-  { /* 2188 */
+  { /* 2181 */
     44,
     /* PSHUFDmi */
   },
-  { /* 2189 */
+  { /* 2182 */
     45,
     /* PSHUFDri */
   },
-  { /* 2190 */
+  { /* 2183 */
     44,
     /* PSHUFHWmi */
   },
-  { /* 2191 */
+  { /* 2184 */
     45,
     /* PSHUFHWri */
   },
-  { /* 2192 */
+  { /* 2185 */
     44,
     /* PSHUFLWmi */
   },
-  { /* 2193 */
+  { /* 2186 */
     45,
     /* PSHUFLWri */
   },
-  { /* 2194 */
+  { /* 2187 */
     32,
     /* PSIGNBrm */
   },
-  { /* 2195 */
+  { /* 2188 */
     33,
     /* PSIGNBrr */
   },
-  { /* 2196 */
+  { /* 2189 */
     32,
     /* PSIGNDrm */
   },
-  { /* 2197 */
+  { /* 2190 */
     33,
     /* PSIGNDrr */
   },
-  { /* 2198 */
+  { /* 2191 */
     32,
     /* PSIGNWrm */
   },
-  { /* 2199 */
+  { /* 2192 */
     33,
     /* PSIGNWrr */
   },
-  { /* 2200 */
-    259,
+  { /* 2193 */
+    278,
     /* PSLLDQri */
   },
-  { /* 2201 */
-    260,
+  { /* 2194 */
+    279,
     /* PSLLDri */
   },
-  { /* 2202 */
+  { /* 2195 */
     32,
     /* PSLLDrm */
   },
-  { /* 2203 */
+  { /* 2196 */
     33,
     /* PSLLDrr */
   },
-  { /* 2204 */
-    260,
+  { /* 2197 */
+    279,
     /* PSLLQri */
   },
-  { /* 2205 */
+  { /* 2198 */
     32,
     /* PSLLQrm */
   },
-  { /* 2206 */
+  { /* 2199 */
     33,
     /* PSLLQrr */
   },
-  { /* 2207 */
-    260,
+  { /* 2200 */
+    279,
     /* PSLLWri */
   },
-  { /* 2208 */
+  { /* 2201 */
     32,
     /* PSLLWrm */
   },
-  { /* 2209 */
+  { /* 2202 */
     33,
     /* PSLLWrr */
   },
-  { /* 2210 */
-    260,
+  { /* 2203 */
+    279,
     /* PSRADri */
   },
-  { /* 2211 */
+  { /* 2204 */
     32,
     /* PSRADrm */
   },
-  { /* 2212 */
+  { /* 2205 */
     33,
     /* PSRADrr */
   },
-  { /* 2213 */
-    260,
+  { /* 2206 */
+    279,
     /* PSRAWri */
   },
-  { /* 2214 */
+  { /* 2207 */
     32,
     /* PSRAWrm */
   },
-  { /* 2215 */
+  { /* 2208 */
     33,
     /* PSRAWrr */
   },
-  { /* 2216 */
-    259,
+  { /* 2209 */
+    278,
     /* PSRLDQri */
   },
-  { /* 2217 */
-    260,
+  { /* 2210 */
+    279,
     /* PSRLDri */
   },
-  { /* 2218 */
+  { /* 2211 */
     32,
     /* PSRLDrm */
   },
-  { /* 2219 */
+  { /* 2212 */
     33,
     /* PSRLDrr */
   },
-  { /* 2220 */
-    260,
+  { /* 2213 */
+    279,
     /* PSRLQri */
   },
-  { /* 2221 */
+  { /* 2214 */
     32,
     /* PSRLQrm */
   },
-  { /* 2222 */
+  { /* 2215 */
     33,
     /* PSRLQrr */
   },
-  { /* 2223 */
-    260,
+  { /* 2216 */
+    279,
     /* PSRLWri */
   },
-  { /* 2224 */
+  { /* 2217 */
     32,
     /* PSRLWrm */
   },
-  { /* 2225 */
+  { /* 2218 */
     33,
     /* PSRLWrr */
   },
-  { /* 2226 */
+  { /* 2219 */
     32,
     /* PSUBBrm */
   },
-  { /* 2227 */
+  { /* 2220 */
     33,
     /* PSUBBrr */
   },
-  { /* 2228 */
+  { /* 2221 */
     32,
     /* PSUBDrm */
   },
-  { /* 2229 */
+  { /* 2222 */
     33,
     /* PSUBDrr */
   },
-  { /* 2230 */
+  { /* 2223 */
     32,
     /* PSUBQrm */
   },
-  { /* 2231 */
+  { /* 2224 */
     33,
     /* PSUBQrr */
   },
-  { /* 2232 */
+  { /* 2225 */
     32,
     /* PSUBSBrm */
   },
-  { /* 2233 */
+  { /* 2226 */
     33,
     /* PSUBSBrr */
   },
-  { /* 2234 */
+  { /* 2227 */
     32,
     /* PSUBSWrm */
   },
-  { /* 2235 */
+  { /* 2228 */
     33,
     /* PSUBSWrr */
   },
-  { /* 2236 */
+  { /* 2229 */
     32,
     /* PSUBUSBrm */
   },
-  { /* 2237 */
+  { /* 2230 */
     33,
     /* PSUBUSBrr */
   },
-  { /* 2238 */
+  { /* 2231 */
     32,
     /* PSUBUSWrm */
   },
-  { /* 2239 */
+  { /* 2232 */
     33,
     /* PSUBUSWrr */
   },
-  { /* 2240 */
+  { /* 2233 */
     32,
     /* PSUBWrm */
   },
-  { /* 2241 */
+  { /* 2234 */
     33,
     /* PSUBWrr */
   },
-  { /* 2242 */
-    187,
+  { /* 2235 */
+    205,
     /* PSWAPDrm */
   },
-  { /* 2243 */
-    183,
+  { /* 2236 */
+    201,
     /* PSWAPDrr */
   },
-  { /* 2244 */
+  { /* 2237 */
     42,
     /* PTESTrm */
   },
-  { /* 2245 */
+  { /* 2238 */
     43,
     /* PTESTrr */
   },
-  { /* 2246 */
+  { /* 2239 */
     32,
     /* PUNPCKHBWrm */
   },
-  { /* 2247 */
+  { /* 2240 */
     33,
     /* PUNPCKHBWrr */
   },
-  { /* 2248 */
+  { /* 2241 */
     32,
     /* PUNPCKHDQrm */
   },
-  { /* 2249 */
+  { /* 2242 */
     33,
     /* PUNPCKHDQrr */
   },
-  { /* 2250 */
+  { /* 2243 */
     32,
     /* PUNPCKHQDQrm */
   },
-  { /* 2251 */
+  { /* 2244 */
     33,
     /* PUNPCKHQDQrr */
   },
-  { /* 2252 */
+  { /* 2245 */
     32,
     /* PUNPCKHWDrm */
   },
-  { /* 2253 */
+  { /* 2246 */
     33,
     /* PUNPCKHWDrr */
   },
-  { /* 2254 */
+  { /* 2247 */
     32,
     /* PUNPCKLBWrm */
   },
-  { /* 2255 */
+  { /* 2248 */
     33,
     /* PUNPCKLBWrr */
   },
-  { /* 2256 */
+  { /* 2249 */
     32,
     /* PUNPCKLDQrm */
   },
-  { /* 2257 */
+  { /* 2250 */
     33,
     /* PUNPCKLDQrr */
   },
-  { /* 2258 */
+  { /* 2251 */
     32,
     /* PUNPCKLQDQrm */
   },
-  { /* 2259 */
+  { /* 2252 */
     33,
     /* PUNPCKLQDQrr */
   },
-  { /* 2260 */
+  { /* 2253 */
     32,
     /* PUNPCKLWDrm */
   },
-  { /* 2261 */
+  { /* 2254 */
     33,
     /* PUNPCKLWDrr */
   },
-  { /* 2262 */
-    261,
+  { /* 2255 */
+    280,
     /* PUSH16i8 */
   },
-  { /* 2263 */
-    257,
+  { /* 2256 */
+    276,
     /* PUSH16r */
   },
-  { /* 2264 */
+  { /* 2257 */
     40,
     /* PUSH16rmm */
   },
-  { /* 2265 */
+  { /* 2258 */
     75,
     /* PUSH16rmr */
   },
-  { /* 2266 */
-    262,
+  { /* 2259 */
+    281,
     /* PUSH32i8 */
   },
-  { /* 2267 */
-    257,
+  { /* 2260 */
+    276,
     /* PUSH32r */
   },
-  { /* 2268 */
+  { /* 2261 */
     40,
     /* PUSH32rmm */
   },
-  { /* 2269 */
+  { /* 2262 */
     75,
     /* PUSH32rmr */
   },
-  { /* 2270 */
+  { /* 2263 */
     2,
     /* PUSH64i16 */
   },
-  { /* 2271 */
+  { /* 2264 */
     13,
     /* PUSH64i32 */
   },
-  { /* 2272 */
-    263,
+  { /* 2265 */
+    282,
     /* PUSH64i8 */
   },
-  { /* 2273 */
-    258,
+  { /* 2266 */
+    277,
     /* PUSH64r */
   },
-  { /* 2274 */
+  { /* 2267 */
     40,
     /* PUSH64rmm */
   },
-  { /* 2275 */
+  { /* 2268 */
     77,
     /* PUSH64rmr */
   },
-  { /* 2276 */
+  { /* 2269 */
     0,
     /* PUSHA16 */
   },
-  { /* 2277 */
+  { /* 2270 */
     0,
     /* PUSHA32 */
   },
-  { /* 2278 */
+  { /* 2271 */
     0,
     /* PUSHCS16 */
   },
-  { /* 2279 */
+  { /* 2272 */
     0,
     /* PUSHCS32 */
   },
-  { /* 2280 */
+  { /* 2273 */
     0,
     /* PUSHDS16 */
   },
-  { /* 2281 */
+  { /* 2274 */
     0,
     /* PUSHDS32 */
   },
-  { /* 2282 */
+  { /* 2275 */
     0,
     /* PUSHES16 */
   },
-  { /* 2283 */
+  { /* 2276 */
     0,
     /* PUSHES32 */
   },
-  { /* 2284 */
+  { /* 2277 */
     0,
     /* PUSHF16 */
   },
-  { /* 2285 */
+  { /* 2278 */
     0,
     /* PUSHF32 */
   },
-  { /* 2286 */
+  { /* 2279 */
     0,
     /* PUSHF64 */
   },
-  { /* 2287 */
+  { /* 2280 */
     0,
     /* PUSHFS16 */
   },
-  { /* 2288 */
+  { /* 2281 */
     0,
     /* PUSHFS32 */
   },
-  { /* 2289 */
+  { /* 2282 */
     0,
     /* PUSHFS64 */
   },
-  { /* 2290 */
+  { /* 2283 */
     0,
     /* PUSHGS16 */
   },
-  { /* 2291 */
+  { /* 2284 */
     0,
     /* PUSHGS32 */
   },
-  { /* 2292 */
+  { /* 2285 */
     0,
     /* PUSHGS64 */
   },
-  { /* 2293 */
+  { /* 2286 */
     0,
     /* PUSHSS16 */
   },
-  { /* 2294 */
+  { /* 2287 */
     0,
     /* PUSHSS32 */
   },
-  { /* 2295 */
+  { /* 2288 */
     2,
     /* PUSHi16 */
   },
-  { /* 2296 */
+  { /* 2289 */
     2,
     /* PUSHi32 */
   },
-  { /* 2297 */
+  { /* 2290 */
     32,
     /* PXORrm */
   },
-  { /* 2298 */
+  { /* 2291 */
     33,
     /* PXORrr */
   },
-  { /* 2299 */
+  { /* 2292 */
     40,
     /* RCL16m1 */
   },
-  { /* 2300 */
+  { /* 2293 */
     40,
     /* RCL16mCL */
   },
-  { /* 2301 */
-    264,
+  { /* 2294 */
+    283,
     /* RCL16mi */
   },
-  { /* 2302 */
+  { /* 2295 */
     126,
     /* RCL16r1 */
   },
-  { /* 2303 */
+  { /* 2296 */
     126,
     /* RCL16rCL */
   },
-  { /* 2304 */
-    265,
+  { /* 2297 */
+    284,
     /* RCL16ri */
   },
-  { /* 2305 */
+  { /* 2298 */
     40,
     /* RCL32m1 */
   },
-  { /* 2306 */
+  { /* 2299 */
     40,
     /* RCL32mCL */
   },
-  { /* 2307 */
-    264,
+  { /* 2300 */
+    283,
     /* RCL32mi */
   },
-  { /* 2308 */
+  { /* 2301 */
     126,
     /* RCL32r1 */
   },
-  { /* 2309 */
+  { /* 2302 */
     126,
     /* RCL32rCL */
   },
-  { /* 2310 */
-    265,
+  { /* 2303 */
+    284,
     /* RCL32ri */
   },
-  { /* 2311 */
+  { /* 2304 */
     40,
     /* RCL64m1 */
   },
-  { /* 2312 */
+  { /* 2305 */
     40,
     /* RCL64mCL */
   },
-  { /* 2313 */
-    264,
+  { /* 2306 */
+    283,
     /* RCL64mi */
   },
-  { /* 2314 */
+  { /* 2307 */
     127,
     /* RCL64r1 */
   },
-  { /* 2315 */
+  { /* 2308 */
     127,
     /* RCL64rCL */
   },
-  { /* 2316 */
-    266,
+  { /* 2309 */
+    285,
     /* RCL64ri */
   },
-  { /* 2317 */
+  { /* 2310 */
     80,
     /* RCL8m1 */
   },
-  { /* 2318 */
+  { /* 2311 */
     80,
     /* RCL8mCL */
   },
-  { /* 2319 */
+  { /* 2312 */
     22,
     /* RCL8mi */
   },
-  { /* 2320 */
+  { /* 2313 */
     128,
     /* RCL8r1 */
   },
-  { /* 2321 */
+  { /* 2314 */
     128,
     /* RCL8rCL */
   },
-  { /* 2322 */
+  { /* 2315 */
     24,
     /* RCL8ri */
   },
-  { /* 2323 */
+  { /* 2316 */
     42,
     /* RCPPSm */
   },
-  { /* 2324 */
+  { /* 2317 */
     0,
     /*  */
   },
-  { /* 2325 */
+  { /* 2318 */
     43,
     /* RCPPSr */
   },
-  { /* 2326 */
+  { /* 2319 */
     0,
     /*  */
   },
-  { /* 2327 */
-    238,
+  { /* 2320 */
+    257,
     /* RCPSSm */
   },
-  { /* 2328 */
+  { /* 2321 */
     0,
     /*  */
   },
-  { /* 2329 */
-    267,
+  { /* 2322 */
+    286,
     /* RCPSSr */
   },
-  { /* 2330 */
+  { /* 2323 */
     0,
     /*  */
   },
-  { /* 2331 */
+  { /* 2324 */
     40,
     /* RCR16m1 */
   },
-  { /* 2332 */
+  { /* 2325 */
     40,
     /* RCR16mCL */
   },
-  { /* 2333 */
-    264,
+  { /* 2326 */
+    283,
     /* RCR16mi */
   },
-  { /* 2334 */
+  { /* 2327 */
     126,
     /* RCR16r1 */
   },
-  { /* 2335 */
+  { /* 2328 */
     126,
     /* RCR16rCL */
   },
-  { /* 2336 */
-    265,
+  { /* 2329 */
+    284,
     /* RCR16ri */
   },
-  { /* 2337 */
+  { /* 2330 */
     40,
     /* RCR32m1 */
   },
-  { /* 2338 */
+  { /* 2331 */
     40,
     /* RCR32mCL */
   },
-  { /* 2339 */
-    264,
+  { /* 2332 */
+    283,
     /* RCR32mi */
   },
-  { /* 2340 */
+  { /* 2333 */
     126,
     /* RCR32r1 */
   },
-  { /* 2341 */
+  { /* 2334 */
     126,
     /* RCR32rCL */
   },
-  { /* 2342 */
-    265,
+  { /* 2335 */
+    284,
     /* RCR32ri */
   },
-  { /* 2343 */
+  { /* 2336 */
     40,
     /* RCR64m1 */
   },
-  { /* 2344 */
+  { /* 2337 */
     40,
     /* RCR64mCL */
   },
-  { /* 2345 */
-    264,
+  { /* 2338 */
+    283,
     /* RCR64mi */
   },
-  { /* 2346 */
+  { /* 2339 */
     127,
     /* RCR64r1 */
   },
-  { /* 2347 */
+  { /* 2340 */
     127,
     /* RCR64rCL */
   },
-  { /* 2348 */
-    266,
+  { /* 2341 */
+    285,
     /* RCR64ri */
   },
-  { /* 2349 */
+  { /* 2342 */
     80,
     /* RCR8m1 */
   },
-  { /* 2350 */
+  { /* 2343 */
     80,
     /* RCR8mCL */
   },
-  { /* 2351 */
+  { /* 2344 */
     22,
     /* RCR8mi */
   },
-  { /* 2352 */
+  { /* 2345 */
     128,
     /* RCR8r1 */
   },
-  { /* 2353 */
+  { /* 2346 */
     128,
     /* RCR8rCL */
   },
-  { /* 2354 */
+  { /* 2347 */
     24,
     /* RCR8ri */
   },
-  { /* 2355 */
-    268,
+  { /* 2348 */
+    287,
     /* RDFSBASE */
   },
-  { /* 2356 */
+  { /* 2349 */
     77,
     /* RDFSBASE64 */
   },
-  { /* 2357 */
-    268,
+  { /* 2350 */
+    287,
     /* RDGSBASE */
   },
-  { /* 2358 */
+  { /* 2351 */
     77,
     /* RDGSBASE64 */
   },
-  { /* 2359 */
+  { /* 2352 */
     0,
     /* RDMSR */
   },
-  { /* 2360 */
+  { /* 2353 */
     0,
     /* RDPMC */
   },
-  { /* 2361 */
+  { /* 2354 */
     75,
     /* RDRAND16r */
   },
-  { /* 2362 */
+  { /* 2355 */
     75,
     /* RDRAND32r */
   },
-  { /* 2363 */
+  { /* 2356 */
     77,
     /* RDRAND64r */
   },
-  { /* 2364 */
+  { /* 2357 */
     75,
     /* RDSEED16r */
   },
-  { /* 2365 */
+  { /* 2358 */
     75,
     /* RDSEED32r */
   },
-  { /* 2366 */
+  { /* 2359 */
     77,
     /* RDSEED64r */
   },
-  { /* 2367 */
+  { /* 2360 */
     0,
     /* RDTSC */
   },
-  { /* 2368 */
+  { /* 2361 */
     0,
     /* RDTSCP */
   },
+  { /* 2362 */
+    0,
+    /*  */
+  },
+  { /* 2363 */
+    0,
+    /*  */
+  },
+  { /* 2364 */
+    0,
+    /*  */
+  },
+  { /* 2365 */
+    0,
+    /*  */
+  },
+  { /* 2366 */
+    0,
+    /* REPNE_PREFIX */
+  },
+  { /* 2367 */
+    0,
+    /*  */
+  },
+  { /* 2368 */
+    0,
+    /*  */
+  },
   { /* 2369 */
     0,
     /*  */
@@ -14362,11 +16329,11 @@
   },
   { /* 2373 */
     0,
-    /* REPNE_PREFIX */
+    /*  */
   },
   { /* 2374 */
     0,
-    /*  */
+    /* REP_PREFIX */
   },
   { /* 2375 */
     0,
@@ -14394,715 +16361,715 @@
   },
   { /* 2381 */
     0,
-    /* REP_PREFIX */
+    /*  */
   },
   { /* 2382 */
-    0,
-    /*  */
-  },
-  { /* 2383 */
-    0,
-    /*  */
-  },
-  { /* 2384 */
-    0,
-    /*  */
-  },
-  { /* 2385 */
-    0,
-    /*  */
-  },
-  { /* 2386 */
-    0,
-    /*  */
-  },
-  { /* 2387 */
-    0,
-    /*  */
-  },
-  { /* 2388 */
-    0,
-    /*  */
-  },
-  { /* 2389 */
-    176,
+    194,
     /* RETIL */
   },
-  { /* 2390 */
-    176,
+  { /* 2383 */
+    194,
     /* RETIQ */
   },
-  { /* 2391 */
+  { /* 2384 */
     2,
     /* RETIW */
   },
-  { /* 2392 */
+  { /* 2385 */
     0,
     /* RETL */
   },
-  { /* 2393 */
+  { /* 2386 */
     0,
     /* RETQ */
   },
-  { /* 2394 */
+  { /* 2387 */
     0,
     /* RETW */
   },
-  { /* 2395 */
+  { /* 2388 */
     0,
     /* REX64_PREFIX */
   },
-  { /* 2396 */
+  { /* 2389 */
     40,
     /* ROL16m1 */
   },
-  { /* 2397 */
+  { /* 2390 */
     40,
     /* ROL16mCL */
   },
-  { /* 2398 */
-    264,
+  { /* 2391 */
+    283,
     /* ROL16mi */
   },
-  { /* 2399 */
+  { /* 2392 */
     126,
     /* ROL16r1 */
   },
-  { /* 2400 */
+  { /* 2393 */
     126,
     /* ROL16rCL */
   },
-  { /* 2401 */
-    265,
+  { /* 2394 */
+    284,
     /* ROL16ri */
   },
-  { /* 2402 */
+  { /* 2395 */
     40,
     /* ROL32m1 */
   },
-  { /* 2403 */
+  { /* 2396 */
     40,
     /* ROL32mCL */
   },
-  { /* 2404 */
-    264,
+  { /* 2397 */
+    283,
     /* ROL32mi */
   },
-  { /* 2405 */
+  { /* 2398 */
     126,
     /* ROL32r1 */
   },
-  { /* 2406 */
+  { /* 2399 */
     126,
     /* ROL32rCL */
   },
-  { /* 2407 */
-    265,
+  { /* 2400 */
+    284,
     /* ROL32ri */
   },
-  { /* 2408 */
+  { /* 2401 */
     40,
     /* ROL64m1 */
   },
-  { /* 2409 */
+  { /* 2402 */
     40,
     /* ROL64mCL */
   },
-  { /* 2410 */
-    264,
+  { /* 2403 */
+    283,
     /* ROL64mi */
   },
-  { /* 2411 */
+  { /* 2404 */
     127,
     /* ROL64r1 */
   },
-  { /* 2412 */
+  { /* 2405 */
     127,
     /* ROL64rCL */
   },
-  { /* 2413 */
-    266,
+  { /* 2406 */
+    285,
     /* ROL64ri */
   },
-  { /* 2414 */
+  { /* 2407 */
     80,
     /* ROL8m1 */
   },
-  { /* 2415 */
+  { /* 2408 */
     80,
     /* ROL8mCL */
   },
-  { /* 2416 */
+  { /* 2409 */
     22,
     /* ROL8mi */
   },
-  { /* 2417 */
+  { /* 2410 */
     128,
     /* ROL8r1 */
   },
-  { /* 2418 */
+  { /* 2411 */
     128,
     /* ROL8rCL */
   },
-  { /* 2419 */
+  { /* 2412 */
     24,
     /* ROL8ri */
   },
-  { /* 2420 */
+  { /* 2413 */
     40,
     /* ROR16m1 */
   },
-  { /* 2421 */
+  { /* 2414 */
     40,
     /* ROR16mCL */
   },
-  { /* 2422 */
-    264,
+  { /* 2415 */
+    283,
     /* ROR16mi */
   },
-  { /* 2423 */
+  { /* 2416 */
     126,
     /* ROR16r1 */
   },
-  { /* 2424 */
+  { /* 2417 */
     126,
     /* ROR16rCL */
   },
-  { /* 2425 */
-    265,
+  { /* 2418 */
+    284,
     /* ROR16ri */
   },
-  { /* 2426 */
+  { /* 2419 */
     40,
     /* ROR32m1 */
   },
-  { /* 2427 */
+  { /* 2420 */
     40,
     /* ROR32mCL */
   },
-  { /* 2428 */
-    264,
+  { /* 2421 */
+    283,
     /* ROR32mi */
   },
-  { /* 2429 */
+  { /* 2422 */
     126,
     /* ROR32r1 */
   },
-  { /* 2430 */
+  { /* 2423 */
     126,
     /* ROR32rCL */
   },
-  { /* 2431 */
-    265,
+  { /* 2424 */
+    284,
     /* ROR32ri */
   },
-  { /* 2432 */
+  { /* 2425 */
     40,
     /* ROR64m1 */
   },
-  { /* 2433 */
+  { /* 2426 */
     40,
     /* ROR64mCL */
   },
-  { /* 2434 */
-    264,
+  { /* 2427 */
+    283,
     /* ROR64mi */
   },
-  { /* 2435 */
+  { /* 2428 */
     127,
     /* ROR64r1 */
   },
-  { /* 2436 */
+  { /* 2429 */
     127,
     /* ROR64rCL */
   },
-  { /* 2437 */
-    266,
+  { /* 2430 */
+    285,
     /* ROR64ri */
   },
-  { /* 2438 */
+  { /* 2431 */
     80,
     /* ROR8m1 */
   },
-  { /* 2439 */
+  { /* 2432 */
     80,
     /* ROR8mCL */
   },
-  { /* 2440 */
+  { /* 2433 */
     22,
     /* ROR8mi */
   },
-  { /* 2441 */
+  { /* 2434 */
     128,
     /* ROR8r1 */
   },
-  { /* 2442 */
+  { /* 2435 */
     128,
     /* ROR8rCL */
   },
-  { /* 2443 */
+  { /* 2436 */
     24,
     /* ROR8ri */
   },
-  { /* 2444 */
-    269,
+  { /* 2437 */
+    288,
     /* RORX32mi */
   },
-  { /* 2445 */
-    270,
+  { /* 2438 */
+    289,
     /* RORX32ri */
   },
-  { /* 2446 */
-    271,
+  { /* 2439 */
+    290,
     /* RORX64mi */
   },
-  { /* 2447 */
-    272,
+  { /* 2440 */
+    291,
     /* RORX64ri */
   },
-  { /* 2448 */
-    273,
+  { /* 2441 */
+    292,
     /* ROUNDPDm */
   },
-  { /* 2449 */
-    274,
+  { /* 2442 */
+    293,
     /* ROUNDPDr */
   },
-  { /* 2450 */
-    273,
+  { /* 2443 */
+    292,
     /* ROUNDPSm */
   },
-  { /* 2451 */
-    274,
+  { /* 2444 */
+    293,
     /* ROUNDPSr */
   },
-  { /* 2452 */
-    275,
+  { /* 2445 */
+    294,
     /* ROUNDSDm */
   },
-  { /* 2453 */
-    276,
+  { /* 2446 */
+    295,
     /* ROUNDSDr */
   },
-  { /* 2454 */
+  { /* 2447 */
     0,
     /*  */
   },
-  { /* 2455 */
-    151,
+  { /* 2448 */
+    149,
     /* ROUNDSSm */
   },
-  { /* 2456 */
-    277,
+  { /* 2449 */
+    296,
     /* ROUNDSSr */
   },
+  { /* 2450 */
+    0,
+    /*  */
+  },
+  { /* 2451 */
+    0,
+    /* RSM */
+  },
+  { /* 2452 */
+    42,
+    /* RSQRTPSm */
+  },
+  { /* 2453 */
+    0,
+    /*  */
+  },
+  { /* 2454 */
+    43,
+    /* RSQRTPSr */
+  },
+  { /* 2455 */
+    0,
+    /*  */
+  },
+  { /* 2456 */
+    257,
+    /* RSQRTSSm */
+  },
   { /* 2457 */
     0,
     /*  */
   },
   { /* 2458 */
-    0,
-    /* RSM */
+    286,
+    /* RSQRTSSr */
   },
   { /* 2459 */
-    42,
-    /* RSQRTPSm */
+    0,
+    /*  */
   },
   { /* 2460 */
     0,
-    /*  */
-  },
-  { /* 2461 */
-    43,
-    /* RSQRTPSr */
-  },
-  { /* 2462 */
-    0,
-    /*  */
-  },
-  { /* 2463 */
-    238,
-    /* RSQRTSSm */
-  },
-  { /* 2464 */
-    0,
-    /*  */
-  },
-  { /* 2465 */
-    267,
-    /* RSQRTSSr */
-  },
-  { /* 2466 */
-    0,
-    /*  */
-  },
-  { /* 2467 */
-    0,
     /* SAHF */
   },
-  { /* 2468 */
+  { /* 2461 */
     40,
     /* SAL16m1 */
   },
-  { /* 2469 */
+  { /* 2462 */
     40,
     /* SAL16mCL */
   },
-  { /* 2470 */
-    264,
+  { /* 2463 */
+    283,
     /* SAL16mi */
   },
-  { /* 2471 */
+  { /* 2464 */
     126,
     /* SAL16r1 */
   },
-  { /* 2472 */
+  { /* 2465 */
     126,
     /* SAL16rCL */
   },
-  { /* 2473 */
-    265,
+  { /* 2466 */
+    284,
     /* SAL16ri */
   },
-  { /* 2474 */
+  { /* 2467 */
     40,
     /* SAL32m1 */
   },
-  { /* 2475 */
+  { /* 2468 */
     40,
     /* SAL32mCL */
   },
-  { /* 2476 */
-    264,
+  { /* 2469 */
+    283,
     /* SAL32mi */
   },
-  { /* 2477 */
+  { /* 2470 */
     126,
     /* SAL32r1 */
   },
-  { /* 2478 */
+  { /* 2471 */
     126,
     /* SAL32rCL */
   },
-  { /* 2479 */
-    265,
+  { /* 2472 */
+    284,
     /* SAL32ri */
   },
-  { /* 2480 */
+  { /* 2473 */
     40,
     /* SAL64m1 */
   },
-  { /* 2481 */
+  { /* 2474 */
     40,
     /* SAL64mCL */
   },
-  { /* 2482 */
-    264,
+  { /* 2475 */
+    283,
     /* SAL64mi */
   },
-  { /* 2483 */
+  { /* 2476 */
     127,
     /* SAL64r1 */
   },
-  { /* 2484 */
+  { /* 2477 */
     127,
     /* SAL64rCL */
   },
-  { /* 2485 */
-    266,
+  { /* 2478 */
+    285,
     /* SAL64ri */
   },
-  { /* 2486 */
+  { /* 2479 */
     80,
     /* SAL8m1 */
   },
-  { /* 2487 */
+  { /* 2480 */
     80,
     /* SAL8mCL */
   },
-  { /* 2488 */
+  { /* 2481 */
     22,
     /* SAL8mi */
   },
-  { /* 2489 */
+  { /* 2482 */
     128,
     /* SAL8r1 */
   },
-  { /* 2490 */
+  { /* 2483 */
     128,
     /* SAL8rCL */
   },
-  { /* 2491 */
+  { /* 2484 */
     24,
     /* SAL8ri */
   },
-  { /* 2492 */
+  { /* 2485 */
     0,
     /* SALC */
   },
-  { /* 2493 */
+  { /* 2486 */
     40,
     /* SAR16m1 */
   },
-  { /* 2494 */
+  { /* 2487 */
     40,
     /* SAR16mCL */
   },
-  { /* 2495 */
-    264,
+  { /* 2488 */
+    283,
     /* SAR16mi */
   },
-  { /* 2496 */
+  { /* 2489 */
     126,
     /* SAR16r1 */
   },
-  { /* 2497 */
+  { /* 2490 */
     126,
     /* SAR16rCL */
   },
-  { /* 2498 */
-    265,
+  { /* 2491 */
+    284,
     /* SAR16ri */
   },
-  { /* 2499 */
+  { /* 2492 */
     40,
     /* SAR32m1 */
   },
-  { /* 2500 */
+  { /* 2493 */
     40,
     /* SAR32mCL */
   },
-  { /* 2501 */
-    264,
+  { /* 2494 */
+    283,
     /* SAR32mi */
   },
-  { /* 2502 */
+  { /* 2495 */
     126,
     /* SAR32r1 */
   },
-  { /* 2503 */
+  { /* 2496 */
     126,
     /* SAR32rCL */
   },
-  { /* 2504 */
-    265,
+  { /* 2497 */
+    284,
     /* SAR32ri */
   },
-  { /* 2505 */
+  { /* 2498 */
     40,
     /* SAR64m1 */
   },
-  { /* 2506 */
+  { /* 2499 */
     40,
     /* SAR64mCL */
   },
-  { /* 2507 */
-    264,
+  { /* 2500 */
+    283,
     /* SAR64mi */
   },
-  { /* 2508 */
+  { /* 2501 */
     127,
     /* SAR64r1 */
   },
-  { /* 2509 */
+  { /* 2502 */
     127,
     /* SAR64rCL */
   },
-  { /* 2510 */
-    266,
+  { /* 2503 */
+    285,
     /* SAR64ri */
   },
-  { /* 2511 */
+  { /* 2504 */
     80,
     /* SAR8m1 */
   },
-  { /* 2512 */
+  { /* 2505 */
     80,
     /* SAR8mCL */
   },
-  { /* 2513 */
+  { /* 2506 */
     22,
     /* SAR8mi */
   },
-  { /* 2514 */
+  { /* 2507 */
     128,
     /* SAR8r1 */
   },
-  { /* 2515 */
+  { /* 2508 */
     128,
     /* SAR8rCL */
   },
-  { /* 2516 */
+  { /* 2509 */
     24,
     /* SAR8ri */
   },
-  { /* 2517 */
+  { /* 2510 */
     52,
     /* SARX32rm */
   },
-  { /* 2518 */
+  { /* 2511 */
     53,
     /* SARX32rr */
   },
-  { /* 2519 */
+  { /* 2512 */
     54,
     /* SARX64rm */
   },
-  { /* 2520 */
+  { /* 2513 */
     55,
     /* SARX64rr */
   },
-  { /* 2521 */
+  { /* 2514 */
     2,
     /* SBB16i16 */
   },
-  { /* 2522 */
+  { /* 2515 */
     3,
     /* SBB16mi */
   },
-  { /* 2523 */
+  { /* 2516 */
     4,
     /* SBB16mi8 */
   },
-  { /* 2524 */
+  { /* 2517 */
     5,
     /* SBB16mr */
   },
-  { /* 2525 */
+  { /* 2518 */
     6,
     /* SBB16ri */
   },
-  { /* 2526 */
+  { /* 2519 */
     7,
     /* SBB16ri8 */
   },
-  { /* 2527 */
+  { /* 2520 */
     8,
     /* SBB16rm */
   },
-  { /* 2528 */
+  { /* 2521 */
     9,
     /* SBB16rr */
   },
-  { /* 2529 */
+  { /* 2522 */
     10,
     /* SBB16rr_REV */
   },
-  { /* 2530 */
+  { /* 2523 */
     2,
     /* SBB32i32 */
   },
-  { /* 2531 */
+  { /* 2524 */
     3,
     /* SBB32mi */
   },
-  { /* 2532 */
+  { /* 2525 */
     11,
     /* SBB32mi8 */
   },
-  { /* 2533 */
+  { /* 2526 */
     5,
     /* SBB32mr */
   },
-  { /* 2534 */
+  { /* 2527 */
     6,
     /* SBB32ri */
   },
-  { /* 2535 */
+  { /* 2528 */
     12,
     /* SBB32ri8 */
   },
-  { /* 2536 */
+  { /* 2529 */
     8,
     /* SBB32rm */
   },
-  { /* 2537 */
+  { /* 2530 */
     9,
     /* SBB32rr */
   },
-  { /* 2538 */
+  { /* 2531 */
     10,
     /* SBB32rr_REV */
   },
-  { /* 2539 */
+  { /* 2532 */
     13,
     /* SBB64i32 */
   },
-  { /* 2540 */
+  { /* 2533 */
     14,
     /* SBB64mi32 */
   },
-  { /* 2541 */
+  { /* 2534 */
     15,
     /* SBB64mi8 */
   },
-  { /* 2542 */
+  { /* 2535 */
     16,
     /* SBB64mr */
   },
-  { /* 2543 */
+  { /* 2536 */
     17,
     /* SBB64ri32 */
   },
-  { /* 2544 */
+  { /* 2537 */
     18,
     /* SBB64ri8 */
   },
-  { /* 2545 */
+  { /* 2538 */
     19,
     /* SBB64rm */
   },
-  { /* 2546 */
+  { /* 2539 */
     20,
     /* SBB64rr */
   },
-  { /* 2547 */
+  { /* 2540 */
     21,
     /* SBB64rr_REV */
   },
-  { /* 2548 */
+  { /* 2541 */
     1,
     /* SBB8i8 */
   },
-  { /* 2549 */
+  { /* 2542 */
     22,
     /* SBB8mi */
   },
-  { /* 2550 */
+  { /* 2543 */
     23,
     /* SBB8mr */
   },
-  { /* 2551 */
+  { /* 2544 */
     24,
     /* SBB8ri */
   },
-  { /* 2552 */
+  { /* 2545 */
     25,
     /* SBB8rm */
   },
-  { /* 2553 */
+  { /* 2546 */
     26,
     /* SBB8rr */
   },
-  { /* 2554 */
+  { /* 2547 */
     27,
     /* SBB8rr_REV */
   },
-  { /* 2555 */
+  { /* 2548 */
     148,
-    /* SCAS16 */
+    /* SCASB */
+  },
+  { /* 2549 */
+    151,
+    /* SCASL */
+  },
+  { /* 2550 */
+    297,
+    /* SCASQ */
+  },
+  { /* 2551 */
+    152,
+    /* SCASW */
+  },
+  { /* 2552 */
+    0,
+    /*  */
+  },
+  { /* 2553 */
+    0,
+    /*  */
+  },
+  { /* 2554 */
+    0,
+    /*  */
+  },
+  { /* 2555 */
+    0,
+    /*  */
   },
   { /* 2556 */
-    149,
-    /* SCAS32 */
+    0,
+    /*  */
   },
   { /* 2557 */
-    278,
-    /* SCAS64 */
+    0,
+    /*  */
   },
   { /* 2558 */
-    150,
-    /* SCAS8 */
+    0,
+    /*  */
   },
   { /* 2559 */
     0,
@@ -15113,33 +17080,33 @@
     /*  */
   },
   { /* 2561 */
+    0,
+    /*  */
+  },
+  { /* 2562 */
     80,
     /* SETAEm */
   },
-  { /* 2562 */
+  { /* 2563 */
     129,
     /* SETAEr */
   },
-  { /* 2563 */
+  { /* 2564 */
     80,
     /* SETAm */
   },
-  { /* 2564 */
+  { /* 2565 */
     129,
     /* SETAr */
   },
-  { /* 2565 */
+  { /* 2566 */
     80,
     /* SETBEm */
   },
-  { /* 2566 */
+  { /* 2567 */
     129,
     /* SETBEr */
   },
-  { /* 2567 */
-    0,
-    /*  */
-  },
   { /* 2568 */
     0,
     /*  */
@@ -15153,536 +17120,536 @@
     /*  */
   },
   { /* 2571 */
+    0,
+    /*  */
+  },
+  { /* 2572 */
     80,
     /* SETBm */
   },
-  { /* 2572 */
+  { /* 2573 */
     129,
     /* SETBr */
   },
-  { /* 2573 */
+  { /* 2574 */
     80,
     /* SETEm */
   },
-  { /* 2574 */
+  { /* 2575 */
     129,
     /* SETEr */
   },
-  { /* 2575 */
+  { /* 2576 */
     80,
     /* SETGEm */
   },
-  { /* 2576 */
+  { /* 2577 */
     129,
     /* SETGEr */
   },
-  { /* 2577 */
+  { /* 2578 */
     80,
     /* SETGm */
   },
-  { /* 2578 */
+  { /* 2579 */
     129,
     /* SETGr */
   },
-  { /* 2579 */
+  { /* 2580 */
     80,
     /* SETLEm */
   },
-  { /* 2580 */
+  { /* 2581 */
     129,
     /* SETLEr */
   },
-  { /* 2581 */
+  { /* 2582 */
     80,
     /* SETLm */
   },
-  { /* 2582 */
+  { /* 2583 */
     129,
     /* SETLr */
   },
-  { /* 2583 */
+  { /* 2584 */
     80,
     /* SETNEm */
   },
-  { /* 2584 */
+  { /* 2585 */
     129,
     /* SETNEr */
   },
-  { /* 2585 */
+  { /* 2586 */
     80,
     /* SETNOm */
   },
-  { /* 2586 */
+  { /* 2587 */
     129,
     /* SETNOr */
   },
-  { /* 2587 */
+  { /* 2588 */
     80,
     /* SETNPm */
   },
-  { /* 2588 */
+  { /* 2589 */
     129,
     /* SETNPr */
   },
-  { /* 2589 */
+  { /* 2590 */
     80,
     /* SETNSm */
   },
-  { /* 2590 */
+  { /* 2591 */
     129,
     /* SETNSr */
   },
-  { /* 2591 */
+  { /* 2592 */
     80,
     /* SETOm */
   },
-  { /* 2592 */
+  { /* 2593 */
     129,
     /* SETOr */
   },
-  { /* 2593 */
+  { /* 2594 */
     80,
     /* SETPm */
   },
-  { /* 2594 */
+  { /* 2595 */
     129,
     /* SETPr */
   },
-  { /* 2595 */
+  { /* 2596 */
     80,
     /* SETSm */
   },
-  { /* 2596 */
+  { /* 2597 */
     129,
     /* SETSr */
   },
-  { /* 2597 */
+  { /* 2598 */
     0,
     /* SFENCE */
   },
-  { /* 2598 */
+  { /* 2599 */
     137,
     /* SGDT16m */
   },
-  { /* 2599 */
+  { /* 2600 */
     137,
     /* SGDT32m */
   },
-  { /* 2600 */
+  { /* 2601 */
     138,
     /* SGDT64m */
   },
-  { /* 2601 */
+  { /* 2602 */
     32,
     /* SHA1MSG1rm */
   },
-  { /* 2602 */
+  { /* 2603 */
     33,
     /* SHA1MSG1rr */
   },
-  { /* 2603 */
+  { /* 2604 */
     32,
     /* SHA1MSG2rm */
   },
-  { /* 2604 */
+  { /* 2605 */
     33,
     /* SHA1MSG2rr */
   },
-  { /* 2605 */
+  { /* 2606 */
     32,
     /* SHA1NEXTErm */
   },
-  { /* 2606 */
+  { /* 2607 */
     33,
     /* SHA1NEXTErr */
   },
-  { /* 2607 */
-    247,
+  { /* 2608 */
+    266,
     /* SHA1RNDS4rmi */
   },
-  { /* 2608 */
-    248,
+  { /* 2609 */
+    267,
     /* SHA1RNDS4rri */
   },
-  { /* 2609 */
+  { /* 2610 */
     32,
     /* SHA256MSG1rm */
   },
-  { /* 2610 */
+  { /* 2611 */
     33,
     /* SHA256MSG1rr */
   },
-  { /* 2611 */
+  { /* 2612 */
     32,
     /* SHA256MSG2rm */
   },
-  { /* 2612 */
+  { /* 2613 */
     33,
     /* SHA256MSG2rr */
   },
-  { /* 2613 */
+  { /* 2614 */
     32,
     /* SHA256RNDS2rm */
   },
-  { /* 2614 */
+  { /* 2615 */
     33,
     /* SHA256RNDS2rr */
   },
-  { /* 2615 */
+  { /* 2616 */
     40,
     /* SHL16m1 */
   },
-  { /* 2616 */
+  { /* 2617 */
     40,
     /* SHL16mCL */
   },
-  { /* 2617 */
-    264,
-    /* SHL16mi */
-  },
   { /* 2618 */
-    126,
-    /* SHL16r1 */
+    283,
+    /* SHL16mi */
   },
   { /* 2619 */
     126,
-    /* SHL16rCL */
+    /* SHL16r1 */
   },
   { /* 2620 */
-    265,
-    /* SHL16ri */
+    126,
+    /* SHL16rCL */
   },
   { /* 2621 */
-    40,
-    /* SHL32m1 */
+    284,
+    /* SHL16ri */
   },
   { /* 2622 */
     40,
-    /* SHL32mCL */
+    /* SHL32m1 */
   },
   { /* 2623 */
-    264,
-    /* SHL32mi */
+    40,
+    /* SHL32mCL */
   },
   { /* 2624 */
-    126,
-    /* SHL32r1 */
+    283,
+    /* SHL32mi */
   },
   { /* 2625 */
     126,
-    /* SHL32rCL */
+    /* SHL32r1 */
   },
   { /* 2626 */
-    265,
-    /* SHL32ri */
+    126,
+    /* SHL32rCL */
   },
   { /* 2627 */
-    40,
-    /* SHL64m1 */
+    284,
+    /* SHL32ri */
   },
   { /* 2628 */
     40,
-    /* SHL64mCL */
+    /* SHL64m1 */
   },
   { /* 2629 */
-    264,
-    /* SHL64mi */
+    40,
+    /* SHL64mCL */
   },
   { /* 2630 */
-    127,
-    /* SHL64r1 */
+    283,
+    /* SHL64mi */
   },
   { /* 2631 */
     127,
-    /* SHL64rCL */
+    /* SHL64r1 */
   },
   { /* 2632 */
-    266,
-    /* SHL64ri */
+    127,
+    /* SHL64rCL */
   },
   { /* 2633 */
-    80,
-    /* SHL8m1 */
+    285,
+    /* SHL64ri */
   },
   { /* 2634 */
     80,
-    /* SHL8mCL */
+    /* SHL8m1 */
   },
   { /* 2635 */
+    80,
+    /* SHL8mCL */
+  },
+  { /* 2636 */
     22,
     /* SHL8mi */
   },
-  { /* 2636 */
+  { /* 2637 */
     128,
     /* SHL8r1 */
   },
-  { /* 2637 */
+  { /* 2638 */
     128,
     /* SHL8rCL */
   },
-  { /* 2638 */
+  { /* 2639 */
     24,
     /* SHL8ri */
   },
-  { /* 2639 */
+  { /* 2640 */
     5,
     /* SHLD16mrCL */
   },
-  { /* 2640 */
-    279,
+  { /* 2641 */
+    298,
     /* SHLD16mri8 */
   },
-  { /* 2641 */
+  { /* 2642 */
     9,
     /* SHLD16rrCL */
   },
-  { /* 2642 */
-    280,
+  { /* 2643 */
+    299,
     /* SHLD16rri8 */
   },
-  { /* 2643 */
+  { /* 2644 */
     5,
     /* SHLD32mrCL */
   },
-  { /* 2644 */
-    279,
+  { /* 2645 */
+    298,
     /* SHLD32mri8 */
   },
-  { /* 2645 */
+  { /* 2646 */
     9,
     /* SHLD32rrCL */
   },
-  { /* 2646 */
-    280,
+  { /* 2647 */
+    299,
     /* SHLD32rri8 */
   },
-  { /* 2647 */
+  { /* 2648 */
     16,
     /* SHLD64mrCL */
   },
-  { /* 2648 */
-    281,
+  { /* 2649 */
+    300,
     /* SHLD64mri8 */
   },
-  { /* 2649 */
+  { /* 2650 */
     20,
     /* SHLD64rrCL */
   },
-  { /* 2650 */
-    282,
+  { /* 2651 */
+    301,
     /* SHLD64rri8 */
   },
-  { /* 2651 */
+  { /* 2652 */
     52,
     /* SHLX32rm */
   },
-  { /* 2652 */
+  { /* 2653 */
     53,
     /* SHLX32rr */
   },
-  { /* 2653 */
+  { /* 2654 */
     54,
     /* SHLX64rm */
   },
-  { /* 2654 */
+  { /* 2655 */
     55,
     /* SHLX64rr */
   },
-  { /* 2655 */
+  { /* 2656 */
     40,
     /* SHR16m1 */
   },
-  { /* 2656 */
+  { /* 2657 */
     40,
     /* SHR16mCL */
   },
-  { /* 2657 */
-    264,
-    /* SHR16mi */
-  },
   { /* 2658 */
-    126,
-    /* SHR16r1 */
+    283,
+    /* SHR16mi */
   },
   { /* 2659 */
     126,
-    /* SHR16rCL */
+    /* SHR16r1 */
   },
   { /* 2660 */
-    265,
-    /* SHR16ri */
+    126,
+    /* SHR16rCL */
   },
   { /* 2661 */
-    40,
-    /* SHR32m1 */
+    284,
+    /* SHR16ri */
   },
   { /* 2662 */
     40,
-    /* SHR32mCL */
+    /* SHR32m1 */
   },
   { /* 2663 */
-    264,
-    /* SHR32mi */
+    40,
+    /* SHR32mCL */
   },
   { /* 2664 */
-    126,
-    /* SHR32r1 */
+    283,
+    /* SHR32mi */
   },
   { /* 2665 */
     126,
-    /* SHR32rCL */
+    /* SHR32r1 */
   },
   { /* 2666 */
-    265,
-    /* SHR32ri */
+    126,
+    /* SHR32rCL */
   },
   { /* 2667 */
-    40,
-    /* SHR64m1 */
+    284,
+    /* SHR32ri */
   },
   { /* 2668 */
     40,
-    /* SHR64mCL */
+    /* SHR64m1 */
   },
   { /* 2669 */
-    264,
-    /* SHR64mi */
+    40,
+    /* SHR64mCL */
   },
   { /* 2670 */
-    127,
-    /* SHR64r1 */
+    283,
+    /* SHR64mi */
   },
   { /* 2671 */
     127,
-    /* SHR64rCL */
+    /* SHR64r1 */
   },
   { /* 2672 */
-    266,
-    /* SHR64ri */
+    127,
+    /* SHR64rCL */
   },
   { /* 2673 */
-    80,
-    /* SHR8m1 */
+    285,
+    /* SHR64ri */
   },
   { /* 2674 */
     80,
-    /* SHR8mCL */
+    /* SHR8m1 */
   },
   { /* 2675 */
+    80,
+    /* SHR8mCL */
+  },
+  { /* 2676 */
     22,
     /* SHR8mi */
   },
-  { /* 2676 */
+  { /* 2677 */
     128,
     /* SHR8r1 */
   },
-  { /* 2677 */
+  { /* 2678 */
     128,
     /* SHR8rCL */
   },
-  { /* 2678 */
+  { /* 2679 */
     24,
     /* SHR8ri */
   },
-  { /* 2679 */
+  { /* 2680 */
     5,
     /* SHRD16mrCL */
   },
-  { /* 2680 */
-    279,
+  { /* 2681 */
+    298,
     /* SHRD16mri8 */
   },
-  { /* 2681 */
+  { /* 2682 */
     9,
     /* SHRD16rrCL */
   },
-  { /* 2682 */
-    280,
+  { /* 2683 */
+    299,
     /* SHRD16rri8 */
   },
-  { /* 2683 */
+  { /* 2684 */
     5,
     /* SHRD32mrCL */
   },
-  { /* 2684 */
-    279,
+  { /* 2685 */
+    298,
     /* SHRD32mri8 */
   },
-  { /* 2685 */
+  { /* 2686 */
     9,
     /* SHRD32rrCL */
   },
-  { /* 2686 */
-    280,
+  { /* 2687 */
+    299,
     /* SHRD32rri8 */
   },
-  { /* 2687 */
+  { /* 2688 */
     16,
     /* SHRD64mrCL */
   },
-  { /* 2688 */
-    281,
+  { /* 2689 */
+    300,
     /* SHRD64mri8 */
   },
-  { /* 2689 */
+  { /* 2690 */
     20,
     /* SHRD64rrCL */
   },
-  { /* 2690 */
-    282,
+  { /* 2691 */
+    301,
     /* SHRD64rri8 */
   },
-  { /* 2691 */
+  { /* 2692 */
     52,
     /* SHRX32rm */
   },
-  { /* 2692 */
+  { /* 2693 */
     53,
     /* SHRX32rr */
   },
-  { /* 2693 */
+  { /* 2694 */
     54,
     /* SHRX64rm */
   },
-  { /* 2694 */
+  { /* 2695 */
     55,
     /* SHRX64rr */
   },
-  { /* 2695 */
-    247,
+  { /* 2696 */
+    266,
     /* SHUFPDrmi */
   },
-  { /* 2696 */
-    248,
+  { /* 2697 */
+    267,
     /* SHUFPDrri */
   },
-  { /* 2697 */
-    247,
+  { /* 2698 */
+    266,
     /* SHUFPSrmi */
   },
-  { /* 2698 */
-    248,
-    /* SHUFPSrri */
-  },
   { /* 2699 */
-    137,
-    /* SIDT16m */
+    267,
+    /* SHUFPSrri */
   },
   { /* 2700 */
     137,
-    /* SIDT32m */
+    /* SIDT16m */
   },
   { /* 2701 */
+    137,
+    /* SIDT32m */
+  },
+  { /* 2702 */
     138,
     /* SIDT64m */
   },
-  { /* 2702 */
-    0,
-    /* SIN_F */
-  },
   { /* 2703 */
     0,
-    /*  */
+    /* SIN_F */
   },
   { /* 2704 */
     0,
@@ -15694,99 +17661,99 @@
   },
   { /* 2706 */
     0,
-    /* SKINIT */
+    /*  */
   },
   { /* 2707 */
+    0,
+    /* SKINIT */
+  },
+  { /* 2708 */
     40,
     /* SLDT16m */
   },
-  { /* 2708 */
+  { /* 2709 */
     75,
     /* SLDT16r */
   },
-  { /* 2709 */
+  { /* 2710 */
     75,
     /* SLDT32r */
   },
-  { /* 2710 */
+  { /* 2711 */
     40,
     /* SLDT64m */
   },
-  { /* 2711 */
+  { /* 2712 */
     77,
     /* SLDT64r */
   },
-  { /* 2712 */
+  { /* 2713 */
     40,
     /* SMSW16m */
   },
-  { /* 2713 */
+  { /* 2714 */
     75,
     /* SMSW16r */
   },
-  { /* 2714 */
+  { /* 2715 */
     75,
     /* SMSW32r */
   },
-  { /* 2715 */
+  { /* 2716 */
     77,
     /* SMSW64r */
   },
-  { /* 2716 */
+  { /* 2717 */
     42,
     /* SQRTPDm */
   },
-  { /* 2717 */
+  { /* 2718 */
     43,
     /* SQRTPDr */
   },
-  { /* 2718 */
+  { /* 2719 */
     42,
     /* SQRTPSm */
   },
-  { /* 2719 */
+  { /* 2720 */
     43,
     /* SQRTPSr */
   },
-  { /* 2720 */
-    234,
+  { /* 2721 */
+    253,
     /* SQRTSDm */
   },
-  { /* 2721 */
+  { /* 2722 */
     0,
     /*  */
   },
-  { /* 2722 */
-    283,
-    /* SQRTSDr */
-  },
   { /* 2723 */
-    0,
-    /*  */
+    302,
+    /* SQRTSDr */
   },
   { /* 2724 */
-    238,
-    /* SQRTSSm */
+    0,
+    /*  */
   },
   { /* 2725 */
-    0,
-    /*  */
+    257,
+    /* SQRTSSm */
   },
   { /* 2726 */
-    267,
-    /* SQRTSSr */
-  },
-  { /* 2727 */
     0,
     /*  */
   },
+  { /* 2727 */
+    286,
+    /* SQRTSSr */
+  },
   { /* 2728 */
     0,
-    /* SQRT_F */
+    /*  */
   },
   { /* 2729 */
     0,
-    /*  */
+    /* SQRT_F */
   },
   { /* 2730 */
     0,
@@ -15798,99 +17765,99 @@
   },
   { /* 2732 */
     0,
-    /* SS_PREFIX */
+    /*  */
   },
   { /* 2733 */
     0,
-    /* STAC */
+    /* SS_PREFIX */
   },
   { /* 2734 */
     0,
-    /* STC */
+    /* STAC */
   },
   { /* 2735 */
     0,
-    /* STD */
+    /* STC */
   },
   { /* 2736 */
     0,
-    /* STGI */
+    /* STD */
   },
   { /* 2737 */
     0,
-    /* STI */
+    /* STGI */
   },
   { /* 2738 */
+    0,
+    /* STI */
+  },
+  { /* 2739 */
     40,
     /* STMXCSR */
   },
-  { /* 2739 */
-    150,
+  { /* 2740 */
+    148,
     /* STOSB */
   },
-  { /* 2740 */
-    149,
+  { /* 2741 */
+    151,
     /* STOSL */
   },
-  { /* 2741 */
-    278,
+  { /* 2742 */
+    297,
     /* STOSQ */
   },
-  { /* 2742 */
-    148,
-    /* STOSW */
-  },
   { /* 2743 */
-    75,
-    /* STR16r */
+    152,
+    /* STOSW */
   },
   { /* 2744 */
     75,
-    /* STR32r */
+    /* STR16r */
   },
   { /* 2745 */
+    75,
+    /* STR32r */
+  },
+  { /* 2746 */
     77,
     /* STR64r */
   },
-  { /* 2746 */
+  { /* 2747 */
     40,
     /* STRm */
   },
-  { /* 2747 */
+  { /* 2748 */
     38,
     /* ST_F32m */
   },
-  { /* 2748 */
+  { /* 2749 */
     39,
     /* ST_F64m */
   },
-  { /* 2749 */
+  { /* 2750 */
     38,
     /* ST_FP32m */
   },
-  { /* 2750 */
+  { /* 2751 */
     39,
     /* ST_FP64m */
   },
-  { /* 2751 */
-    167,
-    /* ST_FP80m */
-  },
   { /* 2752 */
-    0,
-    /* ST_FPNCE */
+    185,
+    /* ST_FP80m */
   },
   { /* 2753 */
     0,
-    /* ST_FPr0r7 */
+    /* ST_FPNCE */
   },
   { /* 2754 */
-    41,
-    /* ST_FPrr */
+    0,
+    /* ST_FPr0r7 */
   },
   { /* 2755 */
-    0,
-    /*  */
+    41,
+    /* ST_FPrr */
   },
   { /* 2756 */
     0,
@@ -15933,193 +17900,193 @@
     /*  */
   },
   { /* 2766 */
+    0,
+    /*  */
+  },
+  { /* 2767 */
     41,
     /* ST_Frr */
   },
-  { /* 2767 */
+  { /* 2768 */
     2,
     /* SUB16i16 */
   },
-  { /* 2768 */
+  { /* 2769 */
     3,
     /* SUB16mi */
   },
-  { /* 2769 */
+  { /* 2770 */
     4,
     /* SUB16mi8 */
   },
-  { /* 2770 */
+  { /* 2771 */
     5,
     /* SUB16mr */
   },
-  { /* 2771 */
+  { /* 2772 */
     6,
     /* SUB16ri */
   },
-  { /* 2772 */
+  { /* 2773 */
     7,
     /* SUB16ri8 */
   },
-  { /* 2773 */
+  { /* 2774 */
     8,
     /* SUB16rm */
   },
-  { /* 2774 */
+  { /* 2775 */
     9,
     /* SUB16rr */
   },
-  { /* 2775 */
+  { /* 2776 */
     10,
     /* SUB16rr_REV */
   },
-  { /* 2776 */
+  { /* 2777 */
     2,
     /* SUB32i32 */
   },
-  { /* 2777 */
+  { /* 2778 */
     3,
     /* SUB32mi */
   },
-  { /* 2778 */
+  { /* 2779 */
     11,
     /* SUB32mi8 */
   },
-  { /* 2779 */
+  { /* 2780 */
     5,
     /* SUB32mr */
   },
-  { /* 2780 */
+  { /* 2781 */
     6,
     /* SUB32ri */
   },
-  { /* 2781 */
+  { /* 2782 */
     12,
     /* SUB32ri8 */
   },
-  { /* 2782 */
+  { /* 2783 */
     8,
     /* SUB32rm */
   },
-  { /* 2783 */
+  { /* 2784 */
     9,
     /* SUB32rr */
   },
-  { /* 2784 */
+  { /* 2785 */
     10,
     /* SUB32rr_REV */
   },
-  { /* 2785 */
+  { /* 2786 */
     13,
     /* SUB64i32 */
   },
-  { /* 2786 */
+  { /* 2787 */
     14,
     /* SUB64mi32 */
   },
-  { /* 2787 */
+  { /* 2788 */
     15,
     /* SUB64mi8 */
   },
-  { /* 2788 */
+  { /* 2789 */
     16,
     /* SUB64mr */
   },
-  { /* 2789 */
+  { /* 2790 */
     17,
     /* SUB64ri32 */
   },
-  { /* 2790 */
+  { /* 2791 */
     18,
     /* SUB64ri8 */
   },
-  { /* 2791 */
+  { /* 2792 */
     19,
     /* SUB64rm */
   },
-  { /* 2792 */
+  { /* 2793 */
     20,
     /* SUB64rr */
   },
-  { /* 2793 */
+  { /* 2794 */
     21,
     /* SUB64rr_REV */
   },
-  { /* 2794 */
+  { /* 2795 */
     1,
     /* SUB8i8 */
   },
-  { /* 2795 */
+  { /* 2796 */
     22,
     /* SUB8mi */
   },
-  { /* 2796 */
+  { /* 2797 */
     23,
     /* SUB8mr */
   },
-  { /* 2797 */
+  { /* 2798 */
     24,
     /* SUB8ri */
   },
-  { /* 2798 */
+  { /* 2799 */
     24,
     /* SUB8ri8 */
   },
-  { /* 2799 */
+  { /* 2800 */
     25,
     /* SUB8rm */
   },
-  { /* 2800 */
+  { /* 2801 */
     26,
     /* SUB8rr */
   },
-  { /* 2801 */
+  { /* 2802 */
     27,
     /* SUB8rr_REV */
   },
-  { /* 2802 */
+  { /* 2803 */
     32,
     /* SUBPDrm */
   },
-  { /* 2803 */
+  { /* 2804 */
     33,
     /* SUBPDrr */
   },
-  { /* 2804 */
+  { /* 2805 */
     32,
     /* SUBPSrm */
   },
-  { /* 2805 */
+  { /* 2806 */
     33,
     /* SUBPSrr */
   },
-  { /* 2806 */
+  { /* 2807 */
     38,
     /* SUBR_F32m */
   },
-  { /* 2807 */
+  { /* 2808 */
     39,
     /* SUBR_F64m */
   },
-  { /* 2808 */
+  { /* 2809 */
     40,
     /* SUBR_FI16m */
   },
-  { /* 2809 */
+  { /* 2810 */
     40,
     /* SUBR_FI32m */
   },
-  { /* 2810 */
+  { /* 2811 */
     41,
     /* SUBR_FPrST0 */
   },
-  { /* 2811 */
+  { /* 2812 */
     41,
     /* SUBR_FST0r */
   },
-  { /* 2812 */
-    0,
-    /*  */
-  },
   { /* 2813 */
     0,
     /*  */
@@ -16161,69 +18128,69 @@
     /*  */
   },
   { /* 2823 */
+    0,
+    /*  */
+  },
+  { /* 2824 */
     41,
     /* SUBR_FrST0 */
   },
-  { /* 2824 */
+  { /* 2825 */
     34,
     /* SUBSDrm */
   },
-  { /* 2825 */
+  { /* 2826 */
     0,
     /*  */
   },
-  { /* 2826 */
+  { /* 2827 */
     35,
     /* SUBSDrr */
   },
-  { /* 2827 */
+  { /* 2828 */
     0,
     /*  */
   },
-  { /* 2828 */
+  { /* 2829 */
     36,
     /* SUBSSrm */
   },
-  { /* 2829 */
+  { /* 2830 */
     0,
     /*  */
   },
-  { /* 2830 */
+  { /* 2831 */
     37,
     /* SUBSSrr */
   },
-  { /* 2831 */
+  { /* 2832 */
     0,
     /*  */
   },
-  { /* 2832 */
+  { /* 2833 */
     38,
     /* SUB_F32m */
   },
-  { /* 2833 */
+  { /* 2834 */
     39,
     /* SUB_F64m */
   },
-  { /* 2834 */
+  { /* 2835 */
     40,
     /* SUB_FI16m */
   },
-  { /* 2835 */
+  { /* 2836 */
     40,
     /* SUB_FI32m */
   },
-  { /* 2836 */
+  { /* 2837 */
     41,
     /* SUB_FPrST0 */
   },
-  { /* 2837 */
+  { /* 2838 */
     41,
     /* SUB_FST0r */
   },
-  { /* 2838 */
-    0,
-    /*  */
-  },
   { /* 2839 */
     0,
     /*  */
@@ -16277,57 +18244,57 @@
     /*  */
   },
   { /* 2852 */
+    0,
+    /*  */
+  },
+  { /* 2853 */
     41,
     /* SUB_FrST0 */
   },
-  { /* 2853 */
+  { /* 2854 */
     0,
     /* SWAPGS */
   },
-  { /* 2854 */
+  { /* 2855 */
     0,
     /* SYSCALL */
   },
-  { /* 2855 */
+  { /* 2856 */
     0,
     /* SYSENTER */
   },
-  { /* 2856 */
+  { /* 2857 */
     0,
     /* SYSEXIT */
   },
-  { /* 2857 */
+  { /* 2858 */
     0,
     /* SYSEXIT64 */
   },
-  { /* 2858 */
+  { /* 2859 */
     0,
     /* SYSRET */
   },
-  { /* 2859 */
+  { /* 2860 */
     0,
     /* SYSRET64 */
   },
-  { /* 2860 */
+  { /* 2861 */
     60,
     /* T1MSKC32rm */
   },
-  { /* 2861 */
+  { /* 2862 */
     61,
     /* T1MSKC32rr */
   },
-  { /* 2862 */
+  { /* 2863 */
     62,
     /* T1MSKC64rm */
   },
-  { /* 2863 */
+  { /* 2864 */
     63,
     /* T1MSKC64rr */
   },
-  { /* 2864 */
-    0,
-    /*  */
-  },
   { /* 2865 */
     0,
     /*  */
@@ -16373,125 +18340,125 @@
     /*  */
   },
   { /* 2876 */
+    0,
+    /*  */
+  },
+  { /* 2877 */
     2,
     /* TEST16i16 */
   },
-  { /* 2877 */
+  { /* 2878 */
     3,
     /* TEST16mi */
   },
-  { /* 2878 */
+  { /* 2879 */
     3,
     /* TEST16mi_alt */
   },
-  { /* 2879 */
+  { /* 2880 */
     81,
     /* TEST16ri */
   },
-  { /* 2880 */
+  { /* 2881 */
     81,
     /* TEST16ri_alt */
   },
-  { /* 2881 */
+  { /* 2882 */
     5,
     /* TEST16rm */
   },
-  { /* 2882 */
+  { /* 2883 */
     67,
     /* TEST16rr */
   },
-  { /* 2883 */
+  { /* 2884 */
     2,
     /* TEST32i32 */
   },
-  { /* 2884 */
+  { /* 2885 */
     3,
     /* TEST32mi */
   },
-  { /* 2885 */
+  { /* 2886 */
     3,
     /* TEST32mi_alt */
   },
-  { /* 2886 */
+  { /* 2887 */
     81,
     /* TEST32ri */
   },
-  { /* 2887 */
+  { /* 2888 */
     81,
     /* TEST32ri_alt */
   },
-  { /* 2888 */
+  { /* 2889 */
     5,
     /* TEST32rm */
   },
-  { /* 2889 */
+  { /* 2890 */
     67,
     /* TEST32rr */
   },
-  { /* 2890 */
+  { /* 2891 */
     13,
     /* TEST64i32 */
   },
-  { /* 2891 */
+  { /* 2892 */
     14,
     /* TEST64mi32 */
   },
-  { /* 2892 */
+  { /* 2893 */
     14,
     /* TEST64mi32_alt */
   },
-  { /* 2893 */
+  { /* 2894 */
     82,
     /* TEST64ri32 */
   },
-  { /* 2894 */
+  { /* 2895 */
     82,
     /* TEST64ri32_alt */
   },
-  { /* 2895 */
+  { /* 2896 */
     16,
     /* TEST64rm */
   },
-  { /* 2896 */
+  { /* 2897 */
     31,
     /* TEST64rr */
   },
-  { /* 2897 */
+  { /* 2898 */
     1,
     /* TEST8i8 */
   },
-  { /* 2898 */
+  { /* 2899 */
     22,
     /* TEST8mi */
   },
-  { /* 2899 */
+  { /* 2900 */
     22,
     /* TEST8mi_alt */
   },
-  { /* 2900 */
+  { /* 2901 */
     83,
     /* TEST8ri */
   },
-  { /* 2901 */
+  { /* 2902 */
     0,
     /*  */
   },
-  { /* 2902 */
+  { /* 2903 */
     83,
     /* TEST8ri_alt */
   },
-  { /* 2903 */
+  { /* 2904 */
     23,
     /* TEST8rm */
   },
-  { /* 2904 */
+  { /* 2905 */
     86,
     /* TEST8rr */
   },
-  { /* 2905 */
-    0,
-    /*  */
-  },
   { /* 2906 */
     0,
     /*  */
@@ -16514,15 +18481,15 @@
   },
   { /* 2911 */
     0,
-    /* TRAP */
+    /*  */
   },
   { /* 2912 */
     0,
-    /* TST_F */
+    /* TRAP */
   },
   { /* 2913 */
     0,
-    /*  */
+    /* TST_F */
   },
   { /* 2914 */
     0,
@@ -16533,81 +18500,81 @@
     /*  */
   },
   { /* 2916 */
+    0,
+    /*  */
+  },
+  { /* 2917 */
     66,
     /* TZCNT16rm */
   },
-  { /* 2917 */
+  { /* 2918 */
     67,
     /* TZCNT16rr */
   },
-  { /* 2918 */
+  { /* 2919 */
     66,
     /* TZCNT32rm */
   },
-  { /* 2919 */
+  { /* 2920 */
     67,
     /* TZCNT32rr */
   },
-  { /* 2920 */
+  { /* 2921 */
     30,
     /* TZCNT64rm */
   },
-  { /* 2921 */
+  { /* 2922 */
     31,
     /* TZCNT64rr */
   },
-  { /* 2922 */
+  { /* 2923 */
     60,
     /* TZMSK32rm */
   },
-  { /* 2923 */
+  { /* 2924 */
     61,
     /* TZMSK32rr */
   },
-  { /* 2924 */
+  { /* 2925 */
     62,
     /* TZMSK64rm */
   },
-  { /* 2925 */
+  { /* 2926 */
     63,
     /* TZMSK64rr */
   },
-  { /* 2926 */
-    234,
+  { /* 2927 */
+    253,
     /* UCOMISDrm */
   },
-  { /* 2927 */
-    283,
+  { /* 2928 */
+    302,
     /* UCOMISDrr */
   },
-  { /* 2928 */
-    238,
+  { /* 2929 */
+    257,
     /* UCOMISSrm */
   },
-  { /* 2929 */
-    267,
-    /* UCOMISSrr */
-  },
   { /* 2930 */
-    41,
-    /* UCOM_FIPr */
+    286,
+    /* UCOMISSrr */
   },
   { /* 2931 */
     41,
-    /* UCOM_FIr */
+    /* UCOM_FIPr */
   },
   { /* 2932 */
+    41,
+    /* UCOM_FIr */
+  },
+  { /* 2933 */
     0,
     /* UCOM_FPPr */
   },
-  { /* 2933 */
+  { /* 2934 */
     41,
     /* UCOM_FPr */
   },
-  { /* 2934 */
-    0,
-    /*  */
-  },
   { /* 2935 */
     0,
     /*  */
@@ -16629,3672 +18596,3672 @@
     /*  */
   },
   { /* 2940 */
+    0,
+    /*  */
+  },
+  { /* 2941 */
     41,
     /* UCOM_Fr */
   },
-  { /* 2941 */
+  { /* 2942 */
     0,
     /* UD2B */
   },
-  { /* 2942 */
+  { /* 2943 */
     32,
     /* UNPCKHPDrm */
   },
-  { /* 2943 */
+  { /* 2944 */
     33,
     /* UNPCKHPDrr */
   },
-  { /* 2944 */
+  { /* 2945 */
     32,
     /* UNPCKHPSrm */
   },
-  { /* 2945 */
+  { /* 2946 */
     33,
     /* UNPCKHPSrr */
   },
-  { /* 2946 */
+  { /* 2947 */
     32,
     /* UNPCKLPDrm */
   },
-  { /* 2947 */
+  { /* 2948 */
     33,
     /* UNPCKLPDrr */
   },
-  { /* 2948 */
+  { /* 2949 */
     32,
     /* UNPCKLPSrm */
   },
-  { /* 2949 */
+  { /* 2950 */
     33,
     /* UNPCKLPSrr */
   },
-  { /* 2950 */
+  { /* 2951 */
     0,
     /*  */
   },
-  { /* 2951 */
-    284,
-    /* VADDPDYrm */
-  },
   { /* 2952 */
-    285,
-    /* VADDPDYrr */
+    303,
+    /* VADDPDYrm */
   },
   { /* 2953 */
-    286,
-    /* VADDPDZrm */
+    304,
+    /* VADDPDYrr */
   },
   { /* 2954 */
-    287,
-    /* VADDPDZrmb */
+    305,
+    /* VADDPDZrm */
   },
   { /* 2955 */
-    288,
-    /* VADDPDZrr */
+    306,
+    /* VADDPDZrmb */
   },
   { /* 2956 */
-    289,
-    /* VADDPDrm */
+    307,
+    /* VADDPDZrmbk */
   },
   { /* 2957 */
-    290,
-    /* VADDPDrr */
+    307,
+    /* VADDPDZrmbkz */
   },
   { /* 2958 */
-    284,
-    /* VADDPSYrm */
+    308,
+    /* VADDPDZrmk */
   },
   { /* 2959 */
-    285,
-    /* VADDPSYrr */
+    308,
+    /* VADDPDZrmkz */
   },
   { /* 2960 */
-    286,
-    /* VADDPSZrm */
+    309,
+    /* VADDPDZrr */
   },
   { /* 2961 */
-    291,
-    /* VADDPSZrmb */
+    310,
+    /* VADDPDZrrk */
   },
   { /* 2962 */
-    288,
-    /* VADDPSZrr */
+    310,
+    /* VADDPDZrrkz */
   },
   { /* 2963 */
-    289,
-    /* VADDPSrm */
+    311,
+    /* VADDPDrm */
   },
   { /* 2964 */
-    290,
-    /* VADDPSrr */
+    312,
+    /* VADDPDrr */
   },
   { /* 2965 */
-    292,
-    /* VADDSDZrm */
+    303,
+    /* VADDPSYrm */
   },
   { /* 2966 */
-    293,
-    /* VADDSDZrr */
+    304,
+    /* VADDPSYrr */
   },
   { /* 2967 */
-    292,
-    /* VADDSDrm */
+    305,
+    /* VADDPSZrm */
   },
   { /* 2968 */
-    0,
-    /*  */
+    313,
+    /* VADDPSZrmb */
   },
   { /* 2969 */
-    293,
-    /* VADDSDrr */
+    314,
+    /* VADDPSZrmbk */
   },
   { /* 2970 */
-    0,
-    /*  */
+    314,
+    /* VADDPSZrmbkz */
   },
   { /* 2971 */
-    294,
-    /* VADDSSZrm */
+    315,
+    /* VADDPSZrmk */
   },
   { /* 2972 */
-    295,
-    /* VADDSSZrr */
+    315,
+    /* VADDPSZrmkz */
   },
   { /* 2973 */
-    294,
-    /* VADDSSrm */
+    309,
+    /* VADDPSZrr */
   },
   { /* 2974 */
-    0,
-    /*  */
+    316,
+    /* VADDPSZrrk */
   },
   { /* 2975 */
-    295,
-    /* VADDSSrr */
+    316,
+    /* VADDPSZrrkz */
   },
   { /* 2976 */
+    311,
+    /* VADDPSrm */
+  },
+  { /* 2977 */
+    312,
+    /* VADDPSrr */
+  },
+  { /* 2978 */
+    317,
+    /* VADDSDZrm */
+  },
+  { /* 2979 */
+    318,
+    /* VADDSDZrr */
+  },
+  { /* 2980 */
+    319,
+    /* VADDSDrm */
+  },
+  { /* 2981 */
     0,
     /*  */
   },
-  { /* 2977 */
-    284,
-    /* VADDSUBPDYrm */
-  },
-  { /* 2978 */
-    285,
-    /* VADDSUBPDYrr */
-  },
-  { /* 2979 */
-    289,
-    /* VADDSUBPDrm */
-  },
-  { /* 2980 */
-    290,
-    /* VADDSUBPDrr */
-  },
-  { /* 2981 */
-    284,
-    /* VADDSUBPSYrm */
-  },
   { /* 2982 */
-    285,
-    /* VADDSUBPSYrr */
+    320,
+    /* VADDSDrr */
   },
   { /* 2983 */
-    289,
-    /* VADDSUBPSrm */
+    0,
+    /*  */
   },
   { /* 2984 */
-    290,
-    /* VADDSUBPSrr */
+    321,
+    /* VADDSSZrm */
   },
   { /* 2985 */
-    289,
-    /* VAESDECLASTrm */
+    322,
+    /* VADDSSZrr */
   },
   { /* 2986 */
-    290,
-    /* VAESDECLASTrr */
+    323,
+    /* VADDSSrm */
   },
   { /* 2987 */
-    289,
-    /* VAESDECrm */
+    0,
+    /*  */
   },
   { /* 2988 */
-    290,
-    /* VAESDECrr */
+    324,
+    /* VADDSSrr */
   },
   { /* 2989 */
-    289,
-    /* VAESENCLASTrm */
+    0,
+    /*  */
   },
   { /* 2990 */
-    290,
-    /* VAESENCLASTrr */
+    303,
+    /* VADDSUBPDYrm */
   },
   { /* 2991 */
-    289,
-    /* VAESENCrm */
+    304,
+    /* VADDSUBPDYrr */
   },
   { /* 2992 */
-    290,
-    /* VAESENCrr */
+    311,
+    /* VADDSUBPDrm */
   },
   { /* 2993 */
+    312,
+    /* VADDSUBPDrr */
+  },
+  { /* 2994 */
+    303,
+    /* VADDSUBPSYrm */
+  },
+  { /* 2995 */
+    304,
+    /* VADDSUBPSYrr */
+  },
+  { /* 2996 */
+    311,
+    /* VADDSUBPSrm */
+  },
+  { /* 2997 */
+    312,
+    /* VADDSUBPSrr */
+  },
+  { /* 2998 */
+    311,
+    /* VAESDECLASTrm */
+  },
+  { /* 2999 */
+    312,
+    /* VAESDECLASTrr */
+  },
+  { /* 3000 */
+    311,
+    /* VAESDECrm */
+  },
+  { /* 3001 */
+    312,
+    /* VAESDECrr */
+  },
+  { /* 3002 */
+    311,
+    /* VAESENCLASTrm */
+  },
+  { /* 3003 */
+    312,
+    /* VAESENCLASTrr */
+  },
+  { /* 3004 */
+    311,
+    /* VAESENCrm */
+  },
+  { /* 3005 */
+    312,
+    /* VAESENCrr */
+  },
+  { /* 3006 */
     42,
     /* VAESIMCrm */
   },
-  { /* 2994 */
+  { /* 3007 */
     43,
     /* VAESIMCrr */
   },
-  { /* 2995 */
+  { /* 3008 */
     44,
     /* VAESKEYGENASSIST128rm */
   },
-  { /* 2996 */
+  { /* 3009 */
     45,
     /* VAESKEYGENASSIST128rr */
   },
-  { /* 2997 */
-    296,
+  { /* 3010 */
+    325,
     /* VALIGNDrmi */
   },
-  { /* 2998 */
-    297,
+  { /* 3011 */
+    326,
     /* VALIGNDrri */
   },
-  { /* 2999 */
-    296,
-    /* VALIGNQrmi */
-  },
-  { /* 3000 */
-    297,
-    /* VALIGNQrri */
-  },
-  { /* 3001 */
-    284,
-    /* VANDNPDYrm */
-  },
-  { /* 3002 */
-    285,
-    /* VANDNPDYrr */
-  },
-  { /* 3003 */
-    289,
-    /* VANDNPDrm */
-  },
-  { /* 3004 */
-    290,
-    /* VANDNPDrr */
-  },
-  { /* 3005 */
-    284,
-    /* VANDNPSYrm */
-  },
-  { /* 3006 */
-    285,
-    /* VANDNPSYrr */
-  },
-  { /* 3007 */
-    289,
-    /* VANDNPSrm */
-  },
-  { /* 3008 */
-    290,
-    /* VANDNPSrr */
-  },
-  { /* 3009 */
-    284,
-    /* VANDPDYrm */
-  },
-  { /* 3010 */
-    285,
-    /* VANDPDYrr */
-  },
-  { /* 3011 */
-    289,
-    /* VANDPDrm */
-  },
   { /* 3012 */
-    290,
-    /* VANDPDrr */
+    327,
+    /* VALIGNDrrik */
   },
   { /* 3013 */
-    284,
-    /* VANDPSYrm */
+    328,
+    /* VALIGNDrrikz */
   },
   { /* 3014 */
-    285,
-    /* VANDPSYrr */
+    325,
+    /* VALIGNQrmi */
   },
   { /* 3015 */
-    289,
-    /* VANDPSrm */
+    326,
+    /* VALIGNQrri */
   },
   { /* 3016 */
-    290,
-    /* VANDPSrr */
+    329,
+    /* VALIGNQrrik */
   },
   { /* 3017 */
+    330,
+    /* VALIGNQrrikz */
+  },
+  { /* 3018 */
+    303,
+    /* VANDNPDYrm */
+  },
+  { /* 3019 */
+    304,
+    /* VANDNPDYrr */
+  },
+  { /* 3020 */
+    311,
+    /* VANDNPDrm */
+  },
+  { /* 3021 */
+    312,
+    /* VANDNPDrr */
+  },
+  { /* 3022 */
+    303,
+    /* VANDNPSYrm */
+  },
+  { /* 3023 */
+    304,
+    /* VANDNPSYrr */
+  },
+  { /* 3024 */
+    311,
+    /* VANDNPSrm */
+  },
+  { /* 3025 */
+    312,
+    /* VANDNPSrr */
+  },
+  { /* 3026 */
+    303,
+    /* VANDPDYrm */
+  },
+  { /* 3027 */
+    304,
+    /* VANDPDYrr */
+  },
+  { /* 3028 */
+    311,
+    /* VANDPDrm */
+  },
+  { /* 3029 */
+    312,
+    /* VANDPDrr */
+  },
+  { /* 3030 */
+    303,
+    /* VANDPSYrm */
+  },
+  { /* 3031 */
+    304,
+    /* VANDPSYrr */
+  },
+  { /* 3032 */
+    311,
+    /* VANDPSrm */
+  },
+  { /* 3033 */
+    312,
+    /* VANDPSrr */
+  },
+  { /* 3034 */
     0,
     /*  */
   },
-  { /* 3018 */
-    298,
+  { /* 3035 */
+    308,
     /* VBLENDMPDZrm */
   },
-  { /* 3019 */
-    299,
+  { /* 3036 */
+    310,
     /* VBLENDMPDZrr */
   },
-  { /* 3020 */
-    300,
+  { /* 3037 */
+    315,
     /* VBLENDMPSZrm */
   },
-  { /* 3021 */
-    301,
+  { /* 3038 */
+    316,
     /* VBLENDMPSZrr */
   },
-  { /* 3022 */
-    302,
+  { /* 3039 */
+    331,
     /* VBLENDPDYrmi */
   },
-  { /* 3023 */
-    303,
+  { /* 3040 */
+    332,
     /* VBLENDPDYrri */
   },
-  { /* 3024 */
-    304,
+  { /* 3041 */
+    333,
     /* VBLENDPDrmi */
   },
-  { /* 3025 */
-    305,
+  { /* 3042 */
+    334,
     /* VBLENDPDrri */
   },
-  { /* 3026 */
-    302,
+  { /* 3043 */
+    331,
     /* VBLENDPSYrmi */
   },
-  { /* 3027 */
-    303,
+  { /* 3044 */
+    332,
     /* VBLENDPSYrri */
   },
-  { /* 3028 */
-    304,
+  { /* 3045 */
+    333,
     /* VBLENDPSrmi */
   },
-  { /* 3029 */
-    305,
+  { /* 3046 */
+    334,
     /* VBLENDPSrri */
   },
-  { /* 3030 */
-    306,
+  { /* 3047 */
+    335,
     /* VBLENDVPDYrm */
   },
-  { /* 3031 */
-    307,
+  { /* 3048 */
+    336,
     /* VBLENDVPDYrr */
   },
-  { /* 3032 */
-    308,
+  { /* 3049 */
+    337,
     /* VBLENDVPDrm */
   },
-  { /* 3033 */
-    309,
+  { /* 3050 */
+    338,
     /* VBLENDVPDrr */
   },
-  { /* 3034 */
-    306,
+  { /* 3051 */
+    335,
     /* VBLENDVPSYrm */
   },
-  { /* 3035 */
-    307,
+  { /* 3052 */
+    336,
     /* VBLENDVPSYrr */
   },
-  { /* 3036 */
-    308,
+  { /* 3053 */
+    337,
     /* VBLENDVPSrm */
   },
-  { /* 3037 */
-    309,
+  { /* 3054 */
+    338,
     /* VBLENDVPSrr */
   },
-  { /* 3038 */
-    310,
+  { /* 3055 */
+    339,
     /* VBROADCASTF128 */
   },
-  { /* 3039 */
-    310,
+  { /* 3056 */
+    339,
     /* VBROADCASTI128 */
   },
-  { /* 3040 */
-    311,
+  { /* 3057 */
+    340,
+    /* VBROADCASTI32X4krm */
+  },
+  { /* 3058 */
+    341,
+    /* VBROADCASTI32X4rm */
+  },
+  { /* 3059 */
+    342,
+    /* VBROADCASTI64X4krm */
+  },
+  { /* 3060 */
+    343,
+    /* VBROADCASTI64X4rm */
+  },
+  { /* 3061 */
+    344,
     /* VBROADCASTSDYrm */
   },
-  { /* 3041 */
-    312,
+  { /* 3062 */
+    345,
     /* VBROADCASTSDYrr */
   },
-  { /* 3042 */
-    313,
+  { /* 3063 */
+    346,
     /* VBROADCASTSDZrm */
   },
-  { /* 3043 */
-    314,
+  { /* 3064 */
+    347,
     /* VBROADCASTSDZrr */
   },
-  { /* 3044 */
-    315,
+  { /* 3065 */
+    348,
     /* VBROADCASTSSYrm */
   },
-  { /* 3045 */
-    312,
+  { /* 3066 */
+    345,
     /* VBROADCASTSSYrr */
   },
-  { /* 3046 */
-    316,
+  { /* 3067 */
+    349,
     /* VBROADCASTSSZrm */
   },
-  { /* 3047 */
-    314,
+  { /* 3068 */
+    350,
     /* VBROADCASTSSZrr */
   },
-  { /* 3048 */
-    317,
+  { /* 3069 */
+    351,
     /* VBROADCASTSSrm */
   },
-  { /* 3049 */
+  { /* 3070 */
     43,
     /* VBROADCASTSSrr */
   },
-  { /* 3050 */
-    318,
+  { /* 3071 */
+    352,
     /* VCMPPDYrmi */
   },
-  { /* 3051 */
-    0,
-    /*  */
-  },
-  { /* 3052 */
-    319,
-    /* VCMPPDYrri */
-  },
-  { /* 3053 */
-    0,
-    /*  */
-  },
-  { /* 3054 */
-    320,
-    /* VCMPPDZrmi */
-  },
-  { /* 3055 */
-    0,
-    /*  */
-  },
-  { /* 3056 */
-    321,
-    /* VCMPPDZrri */
-  },
-  { /* 3057 */
-    0,
-    /*  */
-  },
-  { /* 3058 */
-    321,
-    /* VCMPPDZrrib */
-  },
-  { /* 3059 */
-    322,
-    /* VCMPPDrmi */
-  },
-  { /* 3060 */
-    0,
-    /*  */
-  },
-  { /* 3061 */
-    323,
-    /* VCMPPDrri */
-  },
-  { /* 3062 */
-    0,
-    /*  */
-  },
-  { /* 3063 */
-    318,
-    /* VCMPPSYrmi */
-  },
-  { /* 3064 */
-    0,
-    /*  */
-  },
-  { /* 3065 */
-    319,
-    /* VCMPPSYrri */
-  },
-  { /* 3066 */
-    0,
-    /*  */
-  },
-  { /* 3067 */
-    324,
-    /* VCMPPSZrmi */
-  },
-  { /* 3068 */
-    0,
-    /*  */
-  },
-  { /* 3069 */
-    325,
-    /* VCMPPSZrri */
-  },
-  { /* 3070 */
-    0,
-    /*  */
-  },
-  { /* 3071 */
-    325,
-    /* VCMPPSZrrib */
-  },
   { /* 3072 */
-    322,
-    /* VCMPPSrmi */
+    0,
+    /*  */
   },
   { /* 3073 */
-    0,
-    /*  */
+    353,
+    /* VCMPPDYrri */
   },
   { /* 3074 */
-    323,
-    /* VCMPPSrri */
+    0,
+    /*  */
   },
   { /* 3075 */
-    0,
-    /*  */
+    354,
+    /* VCMPPDZrmi */
   },
   { /* 3076 */
-    326,
-    /* VCMPSDZrm */
+    0,
+    /*  */
   },
   { /* 3077 */
-    0,
-    /*  */
+    355,
+    /* VCMPPDZrri */
   },
   { /* 3078 */
-    327,
-    /* VCMPSDZrr */
-  },
-  { /* 3079 */
     0,
     /*  */
   },
+  { /* 3079 */
+    356,
+    /* VCMPPDZrrib */
+  },
   { /* 3080 */
-    328,
-    /* VCMPSDrm */
+    357,
+    /* VCMPPDrmi */
   },
   { /* 3081 */
     0,
     /*  */
   },
   { /* 3082 */
-    329,
-    /* VCMPSDrr */
+    358,
+    /* VCMPPDrri */
   },
   { /* 3083 */
     0,
     /*  */
   },
   { /* 3084 */
-    330,
-    /* VCMPSSZrm */
+    352,
+    /* VCMPPSYrmi */
   },
   { /* 3085 */
     0,
     /*  */
   },
   { /* 3086 */
-    331,
-    /* VCMPSSZrr */
+    353,
+    /* VCMPPSYrri */
   },
   { /* 3087 */
     0,
     /*  */
   },
   { /* 3088 */
-    332,
-    /* VCMPSSrm */
+    359,
+    /* VCMPPSZrmi */
   },
   { /* 3089 */
     0,
     /*  */
   },
   { /* 3090 */
-    333,
-    /* VCMPSSrr */
+    360,
+    /* VCMPPSZrri */
   },
   { /* 3091 */
     0,
     /*  */
   },
   { /* 3092 */
-    42,
-    /* VCOMISDZrm */
+    361,
+    /* VCMPPSZrrib */
   },
   { /* 3093 */
-    43,
-    /* VCOMISDZrr */
+    357,
+    /* VCMPPSrmi */
   },
   { /* 3094 */
+    0,
+    /*  */
+  },
+  { /* 3095 */
+    358,
+    /* VCMPPSrri */
+  },
+  { /* 3096 */
+    0,
+    /*  */
+  },
+  { /* 3097 */
+    362,
+    /* VCMPSDZrm */
+  },
+  { /* 3098 */
+    0,
+    /*  */
+  },
+  { /* 3099 */
+    363,
+    /* VCMPSDZrr */
+  },
+  { /* 3100 */
+    0,
+    /*  */
+  },
+  { /* 3101 */
+    364,
+    /* VCMPSDrm */
+  },
+  { /* 3102 */
+    0,
+    /*  */
+  },
+  { /* 3103 */
+    365,
+    /* VCMPSDrr */
+  },
+  { /* 3104 */
+    0,
+    /*  */
+  },
+  { /* 3105 */
+    366,
+    /* VCMPSSZrm */
+  },
+  { /* 3106 */
+    0,
+    /*  */
+  },
+  { /* 3107 */
+    367,
+    /* VCMPSSZrr */
+  },
+  { /* 3108 */
+    0,
+    /*  */
+  },
+  { /* 3109 */
+    368,
+    /* VCMPSSrm */
+  },
+  { /* 3110 */
+    0,
+    /*  */
+  },
+  { /* 3111 */
+    369,
+    /* VCMPSSrr */
+  },
+  { /* 3112 */
+    0,
+    /*  */
+  },
+  { /* 3113 */
+    370,
+    /* VCOMISDZrm */
+  },
+  { /* 3114 */
+    371,
+    /* VCOMISDZrr */
+  },
+  { /* 3115 */
     42,
     /* VCOMISDrm */
   },
-  { /* 3095 */
+  { /* 3116 */
     43,
     /* VCOMISDrr */
   },
-  { /* 3096 */
-    42,
+  { /* 3117 */
+    372,
     /* VCOMISSZrm */
   },
-  { /* 3097 */
-    43,
+  { /* 3118 */
+    373,
     /* VCOMISSZrr */
   },
-  { /* 3098 */
+  { /* 3119 */
     42,
     /* VCOMISSrm */
   },
-  { /* 3099 */
+  { /* 3120 */
     43,
     /* VCOMISSrr */
   },
-  { /* 3100 */
-    310,
+  { /* 3121 */
+    339,
     /* VCVTDQ2PDYrm */
   },
-  { /* 3101 */
-    312,
-    /* VCVTDQ2PDYrr */
-  },
-  { /* 3102 */
-    334,
-    /* VCVTDQ2PDZrm */
-  },
-  { /* 3103 */
-    335,
-    /* VCVTDQ2PDZrr */
-  },
-  { /* 3104 */
-    104,
-    /* VCVTDQ2PDrm */
-  },
-  { /* 3105 */
-    43,
-    /* VCVTDQ2PDrr */
-  },
-  { /* 3106 */
-    336,
-    /* VCVTDQ2PSYrm */
-  },
-  { /* 3107 */
-    337,
-    /* VCVTDQ2PSYrr */
-  },
-  { /* 3108 */
-    338,
-    /* VCVTDQ2PSZrm */
-  },
-  { /* 3109 */
-    339,
-    /* VCVTDQ2PSZrr */
-  },
-  { /* 3110 */
-    340,
-    /* VCVTDQ2PSZrrb */
-  },
-  { /* 3111 */
-    42,
-    /* VCVTDQ2PSrm */
-  },
-  { /* 3112 */
-    43,
-    /* VCVTDQ2PSrr */
-  },
-  { /* 3113 */
-    42,
-    /* VCVTPD2DQXrm */
-  },
-  { /* 3114 */
-    341,
-    /* VCVTPD2DQYrm */
-  },
-  { /* 3115 */
-    342,
-    /* VCVTPD2DQYrr */
-  },
-  { /* 3116 */
-    343,
-    /* VCVTPD2DQZrm */
-  },
-  { /* 3117 */
-    344,
-    /* VCVTPD2DQZrr */
-  },
-  { /* 3118 */
-    345,
-    /* VCVTPD2DQZrrb */
-  },
-  { /* 3119 */
-    43,
-    /* VCVTPD2DQrr */
-  },
-  { /* 3120 */
-    42,
-    /* VCVTPD2PSXrm */
-  },
-  { /* 3121 */
-    341,
-    /* VCVTPD2PSYrm */
-  },
   { /* 3122 */
-    342,
-    /* VCVTPD2PSYrr */
+    345,
+    /* VCVTDQ2PDYrr */
   },
   { /* 3123 */
     343,
-    /* VCVTPD2PSZrm */
+    /* VCVTDQ2PDZrm */
   },
   { /* 3124 */
-    344,
-    /* VCVTPD2PSZrr */
+    374,
+    /* VCVTDQ2PDZrr */
   },
   { /* 3125 */
-    345,
-    /* VCVTPD2PSZrrb */
+    104,
+    /* VCVTDQ2PDrm */
   },
   { /* 3126 */
     43,
-    /* VCVTPD2PSrr */
+    /* VCVTDQ2PDrr */
   },
   { /* 3127 */
-    343,
-    /* VCVTPD2UDQZrm */
+    375,
+    /* VCVTDQ2PSYrm */
   },
   { /* 3128 */
-    344,
-    /* VCVTPD2UDQZrr */
+    376,
+    /* VCVTDQ2PSYrr */
   },
   { /* 3129 */
-    345,
-    /* VCVTPD2UDQZrrb */
+    377,
+    /* VCVTDQ2PSZrm */
   },
   { /* 3130 */
-    310,
-    /* VCVTPH2PSYrm */
+    378,
+    /* VCVTDQ2PSZrr */
   },
   { /* 3131 */
-    312,
-    /* VCVTPH2PSYrr */
+    379,
+    /* VCVTDQ2PSZrrb */
   },
   { /* 3132 */
-    334,
-    /* VCVTPH2PSZrm */
+    42,
+    /* VCVTDQ2PSrm */
   },
   { /* 3133 */
-    335,
-    /* VCVTPH2PSZrr */
+    43,
+    /* VCVTDQ2PSrr */
   },
   { /* 3134 */
-    105,
-    /* VCVTPH2PSrm */
+    42,
+    /* VCVTPD2DQXrm */
   },
   { /* 3135 */
-    43,
-    /* VCVTPH2PSrr */
+    380,
+    /* VCVTPD2DQYrm */
   },
   { /* 3136 */
-    336,
-    /* VCVTPS2DQYrm */
+    381,
+    /* VCVTPD2DQYrr */
   },
   { /* 3137 */
-    337,
-    /* VCVTPS2DQYrr */
+    382,
+    /* VCVTPD2DQZrm */
   },
   { /* 3138 */
-    338,
-    /* VCVTPS2DQZrm */
+    383,
+    /* VCVTPD2DQZrr */
   },
   { /* 3139 */
-    339,
-    /* VCVTPS2DQZrr */
+    384,
+    /* VCVTPD2DQZrrb */
   },
   { /* 3140 */
-    340,
-    /* VCVTPS2DQZrrb */
+    43,
+    /* VCVTPD2DQrr */
   },
   { /* 3141 */
     42,
-    /* VCVTPS2DQrm */
+    /* VCVTPD2PSXrm */
   },
   { /* 3142 */
+    380,
+    /* VCVTPD2PSYrm */
+  },
+  { /* 3143 */
+    381,
+    /* VCVTPD2PSYrr */
+  },
+  { /* 3144 */
+    382,
+    /* VCVTPD2PSZrm */
+  },
+  { /* 3145 */
+    383,
+    /* VCVTPD2PSZrr */
+  },
+  { /* 3146 */
+    384,
+    /* VCVTPD2PSZrrb */
+  },
+  { /* 3147 */
+    43,
+    /* VCVTPD2PSrr */
+  },
+  { /* 3148 */
+    382,
+    /* VCVTPD2UDQZrm */
+  },
+  { /* 3149 */
+    383,
+    /* VCVTPD2UDQZrr */
+  },
+  { /* 3150 */
+    384,
+    /* VCVTPD2UDQZrrb */
+  },
+  { /* 3151 */
+    339,
+    /* VCVTPH2PSYrm */
+  },
+  { /* 3152 */
+    345,
+    /* VCVTPH2PSYrr */
+  },
+  { /* 3153 */
+    343,
+    /* VCVTPH2PSZrm */
+  },
+  { /* 3154 */
+    374,
+    /* VCVTPH2PSZrr */
+  },
+  { /* 3155 */
+    105,
+    /* VCVTPH2PSrm */
+  },
+  { /* 3156 */
+    43,
+    /* VCVTPH2PSrr */
+  },
+  { /* 3157 */
+    375,
+    /* VCVTPS2DQYrm */
+  },
+  { /* 3158 */
+    376,
+    /* VCVTPS2DQYrr */
+  },
+  { /* 3159 */
+    377,
+    /* VCVTPS2DQZrm */
+  },
+  { /* 3160 */
+    378,
+    /* VCVTPS2DQZrr */
+  },
+  { /* 3161 */
+    379,
+    /* VCVTPS2DQZrrb */
+  },
+  { /* 3162 */
+    42,
+    /* VCVTPS2DQrm */
+  },
+  { /* 3163 */
     43,
     /* VCVTPS2DQrr */
   },
-  { /* 3143 */
-    310,
+  { /* 3164 */
+    339,
     /* VCVTPS2PDYrm */
   },
-  { /* 3144 */
-    312,
+  { /* 3165 */
+    345,
     /* VCVTPS2PDYrr */
   },
-  { /* 3145 */
-    334,
+  { /* 3166 */
+    343,
     /* VCVTPS2PDZrm */
   },
-  { /* 3146 */
-    335,
+  { /* 3167 */
+    374,
     /* VCVTPS2PDZrr */
   },
-  { /* 3147 */
+  { /* 3168 */
     105,
     /* VCVTPS2PDrm */
   },
-  { /* 3148 */
+  { /* 3169 */
     43,
     /* VCVTPS2PDrr */
   },
-  { /* 3149 */
-    346,
+  { /* 3170 */
+    385,
     /* VCVTPS2PHYmr */
   },
-  { /* 3150 */
-    347,
+  { /* 3171 */
+    386,
     /* VCVTPS2PHYrr */
   },
-  { /* 3151 */
-    348,
+  { /* 3172 */
+    387,
     /* VCVTPS2PHZmr */
   },
-  { /* 3152 */
-    349,
+  { /* 3173 */
+    388,
     /* VCVTPS2PHZrr */
   },
-  { /* 3153 */
-    350,
+  { /* 3174 */
+    389,
     /* VCVTPS2PHmr */
   },
-  { /* 3154 */
-    351,
+  { /* 3175 */
+    390,
     /* VCVTPS2PHrr */
   },
-  { /* 3155 */
-    338,
+  { /* 3176 */
+    377,
     /* VCVTPS2UDQZrm */
   },
-  { /* 3156 */
-    339,
+  { /* 3177 */
+    378,
     /* VCVTPS2UDQZrr */
   },
-  { /* 3157 */
-    340,
+  { /* 3178 */
+    379,
     /* VCVTPS2UDQZrrb */
   },
-  { /* 3158 */
-    106,
+  { /* 3179 */
+    391,
     /* VCVTSD2SI64Zrm */
   },
-  { /* 3159 */
-    107,
+  { /* 3180 */
+    392,
     /* VCVTSD2SI64Zrr */
   },
-  { /* 3160 */
+  { /* 3181 */
     106,
     /* VCVTSD2SI64rm */
   },
-  { /* 3161 */
+  { /* 3182 */
     107,
     /* VCVTSD2SI64rr */
   },
-  { /* 3162 */
-    108,
+  { /* 3183 */
+    393,
     /* VCVTSD2SIZrm */
   },
-  { /* 3163 */
-    109,
+  { /* 3184 */
+    394,
     /* VCVTSD2SIZrr */
   },
-  { /* 3164 */
+  { /* 3185 */
     108,
     /* VCVTSD2SIrm */
   },
-  { /* 3165 */
+  { /* 3186 */
     109,
     /* VCVTSD2SIrr */
   },
-  { /* 3166 */
-    352,
+  { /* 3187 */
+    395,
     /* VCVTSD2SSZrm */
   },
-  { /* 3167 */
-    353,
+  { /* 3188 */
+    396,
     /* VCVTSD2SSZrr */
   },
-  { /* 3168 */
-    352,
+  { /* 3189 */
+    397,
     /* VCVTSD2SSrm */
   },
-  { /* 3169 */
-    353,
+  { /* 3190 */
+    398,
     /* VCVTSD2SSrr */
   },
-  { /* 3170 */
-    106,
+  { /* 3191 */
+    391,
     /* VCVTSD2USI64Zrm */
   },
-  { /* 3171 */
-    107,
+  { /* 3192 */
+    392,
     /* VCVTSD2USI64Zrr */
   },
-  { /* 3172 */
-    108,
+  { /* 3193 */
+    393,
     /* VCVTSD2USIZrm */
   },
-  { /* 3173 */
-    109,
+  { /* 3194 */
+    394,
     /* VCVTSD2USIZrr */
   },
-  { /* 3174 */
-    354,
+  { /* 3195 */
+    399,
     /* VCVTSI2SD64rm */
   },
-  { /* 3175 */
-    355,
+  { /* 3196 */
+    400,
     /* VCVTSI2SD64rr */
   },
-  { /* 3176 */
-    354,
+  { /* 3197 */
+    401,
     /* VCVTSI2SDZrm */
   },
-  { /* 3177 */
-    356,
+  { /* 3198 */
+    402,
     /* VCVTSI2SDZrr */
   },
-  { /* 3178 */
-    354,
+  { /* 3199 */
+    399,
     /* VCVTSI2SDrm */
   },
-  { /* 3179 */
-    356,
+  { /* 3200 */
+    403,
     /* VCVTSI2SDrr */
   },
-  { /* 3180 */
-    357,
+  { /* 3201 */
+    404,
     /* VCVTSI2SS64rm */
   },
-  { /* 3181 */
-    358,
+  { /* 3202 */
+    405,
     /* VCVTSI2SS64rr */
   },
-  { /* 3182 */
-    357,
+  { /* 3203 */
+    406,
     /* VCVTSI2SSZrm */
   },
-  { /* 3183 */
-    359,
+  { /* 3204 */
+    407,
     /* VCVTSI2SSZrr */
   },
-  { /* 3184 */
-    357,
+  { /* 3205 */
+    404,
     /* VCVTSI2SSrm */
   },
-  { /* 3185 */
-    359,
+  { /* 3206 */
+    408,
     /* VCVTSI2SSrr */
   },
-  { /* 3186 */
-    354,
+  { /* 3207 */
+    409,
     /* VCVTSI642SDZrm */
   },
-  { /* 3187 */
-    355,
+  { /* 3208 */
+    410,
     /* VCVTSI642SDZrr */
   },
-  { /* 3188 */
-    357,
+  { /* 3209 */
+    411,
     /* VCVTSI642SSZrm */
   },
-  { /* 3189 */
-    358,
+  { /* 3210 */
+    412,
     /* VCVTSI642SSZrr */
   },
-  { /* 3190 */
-    360,
+  { /* 3211 */
+    413,
     /* VCVTSS2SDZrm */
   },
-  { /* 3191 */
-    361,
+  { /* 3212 */
+    414,
     /* VCVTSS2SDZrr */
   },
-  { /* 3192 */
-    360,
+  { /* 3213 */
+    415,
     /* VCVTSS2SDrm */
   },
-  { /* 3193 */
-    361,
+  { /* 3214 */
+    416,
     /* VCVTSS2SDrr */
   },
-  { /* 3194 */
-    120,
+  { /* 3215 */
+    417,
     /* VCVTSS2SI64Zrm */
   },
-  { /* 3195 */
-    107,
+  { /* 3216 */
+    418,
     /* VCVTSS2SI64Zrr */
   },
-  { /* 3196 */
+  { /* 3217 */
     120,
     /* VCVTSS2SI64rm */
   },
-  { /* 3197 */
+  { /* 3218 */
     107,
     /* VCVTSS2SI64rr */
   },
-  { /* 3198 */
-    121,
+  { /* 3219 */
+    419,
     /* VCVTSS2SIZrm */
   },
-  { /* 3199 */
-    109,
+  { /* 3220 */
+    420,
     /* VCVTSS2SIZrr */
   },
-  { /* 3200 */
+  { /* 3221 */
     121,
     /* VCVTSS2SIrm */
   },
-  { /* 3201 */
+  { /* 3222 */
     109,
     /* VCVTSS2SIrr */
   },
-  { /* 3202 */
-    120,
+  { /* 3223 */
+    417,
     /* VCVTSS2USI64Zrm */
   },
-  { /* 3203 */
-    107,
+  { /* 3224 */
+    418,
     /* VCVTSS2USI64Zrr */
   },
-  { /* 3204 */
-    121,
+  { /* 3225 */
+    419,
     /* VCVTSS2USIZrm */
   },
-  { /* 3205 */
-    109,
+  { /* 3226 */
+    420,
     /* VCVTSS2USIZrr */
   },
-  { /* 3206 */
+  { /* 3227 */
     42,
     /* VCVTTPD2DQXrm */
   },
-  { /* 3207 */
-    341,
+  { /* 3228 */
+    380,
     /* VCVTTPD2DQYrm */
   },
-  { /* 3208 */
-    342,
+  { /* 3229 */
+    381,
     /* VCVTTPD2DQYrr */
   },
-  { /* 3209 */
-    343,
+  { /* 3230 */
+    382,
     /* VCVTTPD2DQZrm */
   },
-  { /* 3210 */
-    344,
+  { /* 3231 */
+    383,
     /* VCVTTPD2DQZrr */
   },
-  { /* 3211 */
+  { /* 3232 */
     43,
     /* VCVTTPD2DQrr */
   },
-  { /* 3212 */
-    343,
+  { /* 3233 */
+    382,
     /* VCVTTPD2UDQZrm */
   },
-  { /* 3213 */
-    344,
+  { /* 3234 */
+    383,
     /* VCVTTPD2UDQZrr */
   },
-  { /* 3214 */
-    336,
+  { /* 3235 */
+    375,
     /* VCVTTPS2DQYrm */
   },
-  { /* 3215 */
-    337,
+  { /* 3236 */
+    376,
     /* VCVTTPS2DQYrr */
   },
-  { /* 3216 */
-    338,
+  { /* 3237 */
+    377,
     /* VCVTTPS2DQZrm */
   },
-  { /* 3217 */
-    339,
+  { /* 3238 */
+    378,
     /* VCVTTPS2DQZrr */
   },
-  { /* 3218 */
+  { /* 3239 */
     42,
     /* VCVTTPS2DQrm */
   },
-  { /* 3219 */
+  { /* 3240 */
     43,
     /* VCVTTPS2DQrr */
   },
-  { /* 3220 */
-    338,
+  { /* 3241 */
+    377,
     /* VCVTTPS2UDQZrm */
   },
-  { /* 3221 */
-    339,
+  { /* 3242 */
+    378,
     /* VCVTTPS2UDQZrr */
   },
-  { /* 3222 */
-    106,
+  { /* 3243 */
+    391,
     /* VCVTTSD2SI64Zrm */
   },
-  { /* 3223 */
-    122,
+  { /* 3244 */
+    421,
     /* VCVTTSD2SI64Zrr */
   },
-  { /* 3224 */
+  { /* 3245 */
     106,
     /* VCVTTSD2SI64rm */
   },
-  { /* 3225 */
+  { /* 3246 */
     122,
     /* VCVTTSD2SI64rr */
   },
-  { /* 3226 */
-    108,
+  { /* 3247 */
+    393,
     /* VCVTTSD2SIZrm */
   },
-  { /* 3227 */
-    123,
+  { /* 3248 */
+    422,
     /* VCVTTSD2SIZrr */
   },
-  { /* 3228 */
+  { /* 3249 */
     108,
     /* VCVTTSD2SIrm */
   },
-  { /* 3229 */
+  { /* 3250 */
     123,
     /* VCVTTSD2SIrr */
   },
-  { /* 3230 */
-    106,
+  { /* 3251 */
+    391,
     /* VCVTTSD2USI64Zrm */
   },
-  { /* 3231 */
-    122,
+  { /* 3252 */
+    421,
     /* VCVTTSD2USI64Zrr */
   },
-  { /* 3232 */
-    108,
+  { /* 3253 */
+    393,
     /* VCVTTSD2USIZrm */
   },
-  { /* 3233 */
-    123,
+  { /* 3254 */
+    422,
     /* VCVTTSD2USIZrr */
   },
-  { /* 3234 */
-    120,
+  { /* 3255 */
+    417,
     /* VCVTTSS2SI64Zrm */
   },
-  { /* 3235 */
-    124,
+  { /* 3256 */
+    423,
     /* VCVTTSS2SI64Zrr */
   },
-  { /* 3236 */
+  { /* 3257 */
     120,
     /* VCVTTSS2SI64rm */
   },
-  { /* 3237 */
+  { /* 3258 */
     124,
     /* VCVTTSS2SI64rr */
   },
-  { /* 3238 */
-    121,
+  { /* 3259 */
+    419,
     /* VCVTTSS2SIZrm */
   },
-  { /* 3239 */
-    125,
+  { /* 3260 */
+    424,
     /* VCVTTSS2SIZrr */
   },
-  { /* 3240 */
+  { /* 3261 */
     121,
     /* VCVTTSS2SIrm */
   },
-  { /* 3241 */
+  { /* 3262 */
     125,
     /* VCVTTSS2SIrr */
   },
-  { /* 3242 */
-    120,
+  { /* 3263 */
+    417,
     /* VCVTTSS2USI64Zrm */
   },
-  { /* 3243 */
-    124,
+  { /* 3264 */
+    423,
     /* VCVTTSS2USI64Zrr */
   },
-  { /* 3244 */
-    121,
+  { /* 3265 */
+    419,
     /* VCVTTSS2USIZrm */
   },
-  { /* 3245 */
-    125,
+  { /* 3266 */
+    424,
     /* VCVTTSS2USIZrr */
   },
-  { /* 3246 */
-    334,
+  { /* 3267 */
+    343,
     /* VCVTUDQ2PDZrm */
   },
-  { /* 3247 */
-    335,
+  { /* 3268 */
+    374,
     /* VCVTUDQ2PDZrr */
   },
-  { /* 3248 */
-    338,
+  { /* 3269 */
+    377,
     /* VCVTUDQ2PSZrm */
   },
-  { /* 3249 */
-    339,
+  { /* 3270 */
+    378,
     /* VCVTUDQ2PSZrr */
   },
-  { /* 3250 */
-    340,
+  { /* 3271 */
+    379,
     /* VCVTUDQ2PSZrrb */
   },
-  { /* 3251 */
-    354,
+  { /* 3272 */
+    401,
     /* VCVTUSI2SDZrm */
   },
-  { /* 3252 */
-    356,
+  { /* 3273 */
+    402,
     /* VCVTUSI2SDZrr */
   },
-  { /* 3253 */
-    357,
+  { /* 3274 */
+    406,
     /* VCVTUSI2SSZrm */
   },
-  { /* 3254 */
-    359,
+  { /* 3275 */
+    407,
     /* VCVTUSI2SSZrr */
   },
-  { /* 3255 */
-    354,
+  { /* 3276 */
+    409,
     /* VCVTUSI642SDZrm */
   },
-  { /* 3256 */
-    355,
+  { /* 3277 */
+    410,
     /* VCVTUSI642SDZrr */
   },
-  { /* 3257 */
-    357,
+  { /* 3278 */
+    411,
     /* VCVTUSI642SSZrm */
   },
-  { /* 3258 */
-    358,
+  { /* 3279 */
+    412,
     /* VCVTUSI642SSZrr */
   },
-  { /* 3259 */
-    284,
+  { /* 3280 */
+    303,
     /* VDIVPDYrm */
   },
-  { /* 3260 */
-    285,
+  { /* 3281 */
+    304,
     /* VDIVPDYrr */
   },
-  { /* 3261 */
-    286,
+  { /* 3282 */
+    305,
     /* VDIVPDZrm */
   },
-  { /* 3262 */
-    287,
+  { /* 3283 */
+    306,
     /* VDIVPDZrmb */
   },
-  { /* 3263 */
-    288,
-    /* VDIVPDZrr */
-  },
-  { /* 3264 */
-    289,
-    /* VDIVPDrm */
-  },
-  { /* 3265 */
-    290,
-    /* VDIVPDrr */
-  },
-  { /* 3266 */
-    284,
-    /* VDIVPSYrm */
-  },
-  { /* 3267 */
-    285,
-    /* VDIVPSYrr */
-  },
-  { /* 3268 */
-    286,
-    /* VDIVPSZrm */
-  },
-  { /* 3269 */
-    291,
-    /* VDIVPSZrmb */
-  },
-  { /* 3270 */
-    288,
-    /* VDIVPSZrr */
-  },
-  { /* 3271 */
-    289,
-    /* VDIVPSrm */
-  },
-  { /* 3272 */
-    290,
-    /* VDIVPSrr */
-  },
-  { /* 3273 */
-    292,
-    /* VDIVSDZrm */
-  },
-  { /* 3274 */
-    293,
-    /* VDIVSDZrr */
-  },
-  { /* 3275 */
-    292,
-    /* VDIVSDrm */
-  },
-  { /* 3276 */
-    0,
-    /*  */
-  },
-  { /* 3277 */
-    293,
-    /* VDIVSDrr */
-  },
-  { /* 3278 */
-    0,
-    /*  */
-  },
-  { /* 3279 */
-    294,
-    /* VDIVSSZrm */
-  },
-  { /* 3280 */
-    295,
-    /* VDIVSSZrr */
-  },
-  { /* 3281 */
-    294,
-    /* VDIVSSrm */
-  },
-  { /* 3282 */
-    0,
-    /*  */
-  },
-  { /* 3283 */
-    295,
-    /* VDIVSSrr */
-  },
   { /* 3284 */
-    0,
-    /*  */
+    307,
+    /* VDIVPDZrmbk */
   },
   { /* 3285 */
-    304,
-    /* VDPPDrmi */
+    307,
+    /* VDIVPDZrmbkz */
   },
   { /* 3286 */
-    305,
-    /* VDPPDrri */
+    308,
+    /* VDIVPDZrmk */
   },
   { /* 3287 */
-    302,
-    /* VDPPSYrmi */
+    308,
+    /* VDIVPDZrmkz */
   },
   { /* 3288 */
-    303,
-    /* VDPPSYrri */
+    309,
+    /* VDIVPDZrr */
   },
   { /* 3289 */
-    304,
-    /* VDPPSrmi */
+    310,
+    /* VDIVPDZrrk */
   },
   { /* 3290 */
-    305,
-    /* VDPPSrri */
+    310,
+    /* VDIVPDZrrkz */
   },
   { /* 3291 */
+    311,
+    /* VDIVPDrm */
+  },
+  { /* 3292 */
+    312,
+    /* VDIVPDrr */
+  },
+  { /* 3293 */
+    303,
+    /* VDIVPSYrm */
+  },
+  { /* 3294 */
+    304,
+    /* VDIVPSYrr */
+  },
+  { /* 3295 */
+    305,
+    /* VDIVPSZrm */
+  },
+  { /* 3296 */
+    313,
+    /* VDIVPSZrmb */
+  },
+  { /* 3297 */
+    314,
+    /* VDIVPSZrmbk */
+  },
+  { /* 3298 */
+    314,
+    /* VDIVPSZrmbkz */
+  },
+  { /* 3299 */
+    315,
+    /* VDIVPSZrmk */
+  },
+  { /* 3300 */
+    315,
+    /* VDIVPSZrmkz */
+  },
+  { /* 3301 */
+    309,
+    /* VDIVPSZrr */
+  },
+  { /* 3302 */
+    316,
+    /* VDIVPSZrrk */
+  },
+  { /* 3303 */
+    316,
+    /* VDIVPSZrrkz */
+  },
+  { /* 3304 */
+    311,
+    /* VDIVPSrm */
+  },
+  { /* 3305 */
+    312,
+    /* VDIVPSrr */
+  },
+  { /* 3306 */
+    317,
+    /* VDIVSDZrm */
+  },
+  { /* 3307 */
+    318,
+    /* VDIVSDZrr */
+  },
+  { /* 3308 */
+    319,
+    /* VDIVSDrm */
+  },
+  { /* 3309 */
+    0,
+    /*  */
+  },
+  { /* 3310 */
+    320,
+    /* VDIVSDrr */
+  },
+  { /* 3311 */
+    0,
+    /*  */
+  },
+  { /* 3312 */
+    321,
+    /* VDIVSSZrm */
+  },
+  { /* 3313 */
+    322,
+    /* VDIVSSZrr */
+  },
+  { /* 3314 */
+    323,
+    /* VDIVSSrm */
+  },
+  { /* 3315 */
+    0,
+    /*  */
+  },
+  { /* 3316 */
+    324,
+    /* VDIVSSrr */
+  },
+  { /* 3317 */
+    0,
+    /*  */
+  },
+  { /* 3318 */
+    333,
+    /* VDPPDrmi */
+  },
+  { /* 3319 */
+    334,
+    /* VDPPDrri */
+  },
+  { /* 3320 */
+    331,
+    /* VDPPSYrmi */
+  },
+  { /* 3321 */
+    332,
+    /* VDPPSYrri */
+  },
+  { /* 3322 */
+    333,
+    /* VDPPSrmi */
+  },
+  { /* 3323 */
+    334,
+    /* VDPPSrri */
+  },
+  { /* 3324 */
     40,
     /* VERRm */
   },
-  { /* 3292 */
-    171,
+  { /* 3325 */
+    189,
     /* VERRr */
   },
-  { /* 3293 */
+  { /* 3326 */
     40,
     /* VERWm */
   },
-  { /* 3294 */
-    171,
+  { /* 3327 */
+    189,
     /* VERWr */
   },
-  { /* 3295 */
-    362,
+  { /* 3328 */
+    425,
     /* VEXTRACTF128mr */
   },
-  { /* 3296 */
-    363,
+  { /* 3329 */
+    426,
     /* VEXTRACTF128rr */
   },
-  { /* 3297 */
-    364,
+  { /* 3330 */
+    427,
     /* VEXTRACTF32x4mr */
   },
-  { /* 3298 */
-    365,
+  { /* 3331 */
+    428,
     /* VEXTRACTF32x4rr */
   },
-  { /* 3299 */
-    366,
+  { /* 3332 */
+    429,
     /* VEXTRACTF64x4mr */
   },
-  { /* 3300 */
-    367,
+  { /* 3333 */
+    430,
     /* VEXTRACTF64x4rr */
   },
-  { /* 3301 */
-    362,
+  { /* 3334 */
+    425,
     /* VEXTRACTI128mr */
   },
-  { /* 3302 */
-    363,
+  { /* 3335 */
+    426,
     /* VEXTRACTI128rr */
   },
-  { /* 3303 */
-    364,
+  { /* 3336 */
+    427,
     /* VEXTRACTI32x4mr */
   },
-  { /* 3304 */
-    365,
+  { /* 3337 */
+    428,
     /* VEXTRACTI32x4rr */
   },
-  { /* 3305 */
-    366,
+  { /* 3338 */
+    429,
     /* VEXTRACTI64x4mr */
   },
-  { /* 3306 */
-    367,
+  { /* 3339 */
+    430,
     /* VEXTRACTI64x4rr */
   },
-  { /* 3307 */
+  { /* 3340 */
     131,
     /* VEXTRACTPSmr */
   },
-  { /* 3308 */
+  { /* 3341 */
     132,
     /* VEXTRACTPSrr */
   },
-  { /* 3309 */
-    131,
+  { /* 3342 */
+    431,
     /* VEXTRACTPSzmr */
   },
-  { /* 3310 */
-    132,
+  { /* 3343 */
+    432,
     /* VEXTRACTPSzrr */
   },
-  { /* 3311 */
-    368,
+  { /* 3344 */
+    433,
     /* VFMADD132PDZm */
   },
-  { /* 3312 */
-    369,
+  { /* 3345 */
+    434,
     /* VFMADD132PDZmb */
   },
-  { /* 3313 */
-    368,
+  { /* 3346 */
+    433,
     /* VFMADD132PSZm */
   },
-  { /* 3314 */
-    370,
+  { /* 3347 */
+    435,
     /* VFMADD132PSZmb */
   },
-  { /* 3315 */
-    368,
+  { /* 3348 */
+    433,
     /* VFMADD213PDZm */
   },
-  { /* 3316 */
-    369,
+  { /* 3349 */
+    434,
     /* VFMADD213PDZmb */
   },
-  { /* 3317 */
-    371,
+  { /* 3350 */
+    436,
     /* VFMADD213PDZr */
   },
-  { /* 3318 */
-    368,
+  { /* 3351 */
+    433,
     /* VFMADD213PSZm */
   },
-  { /* 3319 */
-    370,
+  { /* 3352 */
+    435,
     /* VFMADD213PSZmb */
   },
-  { /* 3320 */
-    371,
+  { /* 3353 */
+    436,
     /* VFMADD213PSZr */
   },
-  { /* 3321 */
-    308,
+  { /* 3354 */
+    337,
     /* VFMADDPD4mr */
   },
-  { /* 3322 */
-    306,
+  { /* 3355 */
+    335,
     /* VFMADDPD4mrY */
   },
-  { /* 3323 */
-    372,
+  { /* 3356 */
+    437,
     /* VFMADDPD4rm */
   },
-  { /* 3324 */
-    373,
+  { /* 3357 */
+    438,
     /* VFMADDPD4rmY */
   },
-  { /* 3325 */
-    374,
+  { /* 3358 */
+    439,
     /* VFMADDPD4rr */
   },
-  { /* 3326 */
-    375,
+  { /* 3359 */
+    440,
     /* VFMADDPD4rrY */
   },
-  { /* 3327 */
-    307,
+  { /* 3360 */
+    336,
     /* VFMADDPD4rrY_REV */
   },
-  { /* 3328 */
-    309,
+  { /* 3361 */
+    338,
     /* VFMADDPD4rr_REV */
   },
-  { /* 3329 */
-    376,
+  { /* 3362 */
+    441,
     /* VFMADDPDr132m */
   },
-  { /* 3330 */
-    377,
+  { /* 3363 */
+    442,
     /* VFMADDPDr132mY */
   },
-  { /* 3331 */
-    378,
+  { /* 3364 */
+    443,
     /* VFMADDPDr132r */
   },
-  { /* 3332 */
-    379,
+  { /* 3365 */
+    444,
     /* VFMADDPDr132rY */
   },
-  { /* 3333 */
-    376,
+  { /* 3366 */
+    441,
     /* VFMADDPDr213m */
   },
-  { /* 3334 */
-    377,
+  { /* 3367 */
+    442,
     /* VFMADDPDr213mY */
   },
-  { /* 3335 */
-    378,
+  { /* 3368 */
+    443,
     /* VFMADDPDr213r */
   },
-  { /* 3336 */
-    379,
+  { /* 3369 */
+    444,
     /* VFMADDPDr213rY */
   },
-  { /* 3337 */
-    376,
+  { /* 3370 */
+    441,
     /* VFMADDPDr231m */
   },
-  { /* 3338 */
-    377,
+  { /* 3371 */
+    442,
     /* VFMADDPDr231mY */
   },
-  { /* 3339 */
-    378,
+  { /* 3372 */
+    443,
     /* VFMADDPDr231r */
   },
-  { /* 3340 */
-    379,
+  { /* 3373 */
+    444,
     /* VFMADDPDr231rY */
   },
-  { /* 3341 */
-    308,
+  { /* 3374 */
+    337,
     /* VFMADDPS4mr */
   },
-  { /* 3342 */
-    306,
+  { /* 3375 */
+    335,
     /* VFMADDPS4mrY */
   },
-  { /* 3343 */
-    372,
+  { /* 3376 */
+    437,
     /* VFMADDPS4rm */
   },
-  { /* 3344 */
-    373,
+  { /* 3377 */
+    438,
     /* VFMADDPS4rmY */
   },
-  { /* 3345 */
-    374,
+  { /* 3378 */
+    439,
     /* VFMADDPS4rr */
   },
-  { /* 3346 */
-    375,
+  { /* 3379 */
+    440,
     /* VFMADDPS4rrY */
   },
-  { /* 3347 */
-    307,
+  { /* 3380 */
+    336,
     /* VFMADDPS4rrY_REV */
   },
-  { /* 3348 */
-    309,
+  { /* 3381 */
+    338,
     /* VFMADDPS4rr_REV */
   },
-  { /* 3349 */
-    376,
+  { /* 3382 */
+    441,
     /* VFMADDPSr132m */
   },
-  { /* 3350 */
-    377,
+  { /* 3383 */
+    442,
     /* VFMADDPSr132mY */
   },
-  { /* 3351 */
-    378,
+  { /* 3384 */
+    443,
     /* VFMADDPSr132r */
   },
-  { /* 3352 */
-    379,
+  { /* 3385 */
+    444,
     /* VFMADDPSr132rY */
   },
-  { /* 3353 */
-    376,
+  { /* 3386 */
+    441,
     /* VFMADDPSr213m */
   },
-  { /* 3354 */
-    377,
+  { /* 3387 */
+    442,
     /* VFMADDPSr213mY */
   },
-  { /* 3355 */
-    378,
+  { /* 3388 */
+    443,
     /* VFMADDPSr213r */
   },
-  { /* 3356 */
-    379,
+  { /* 3389 */
+    444,
     /* VFMADDPSr213rY */
   },
-  { /* 3357 */
-    376,
+  { /* 3390 */
+    441,
     /* VFMADDPSr231m */
   },
-  { /* 3358 */
-    377,
+  { /* 3391 */
+    442,
     /* VFMADDPSr231mY */
   },
-  { /* 3359 */
-    378,
+  { /* 3392 */
+    443,
     /* VFMADDPSr231r */
   },
-  { /* 3360 */
-    379,
+  { /* 3393 */
+    444,
     /* VFMADDPSr231rY */
   },
-  { /* 3361 */
-    380,
+  { /* 3394 */
+    445,
     /* VFMADDSD4mr */
   },
-  { /* 3362 */
-    0,
-    /*  */
-  },
-  { /* 3363 */
-    381,
-    /* VFMADDSD4rm */
-  },
-  { /* 3364 */
-    0,
-    /*  */
-  },
-  { /* 3365 */
-    382,
-    /* VFMADDSD4rr */
-  },
-  { /* 3366 */
-    0,
-    /*  */
-  },
-  { /* 3367 */
-    383,
-    /* VFMADDSD4rr_REV */
-  },
-  { /* 3368 */
-    384,
-    /* VFMADDSDZm */
-  },
-  { /* 3369 */
-    385,
-    /* VFMADDSDZr */
-  },
-  { /* 3370 */
-    386,
-    /* VFMADDSDr132m */
-  },
-  { /* 3371 */
-    385,
-    /* VFMADDSDr132r */
-  },
-  { /* 3372 */
-    386,
-    /* VFMADDSDr213m */
-  },
-  { /* 3373 */
-    385,
-    /* VFMADDSDr213r */
-  },
-  { /* 3374 */
-    386,
-    /* VFMADDSDr231m */
-  },
-  { /* 3375 */
-    385,
-    /* VFMADDSDr231r */
-  },
-  { /* 3376 */
-    387,
-    /* VFMADDSS4mr */
-  },
-  { /* 3377 */
-    0,
-    /*  */
-  },
-  { /* 3378 */
-    388,
-    /* VFMADDSS4rm */
-  },
-  { /* 3379 */
-    0,
-    /*  */
-  },
-  { /* 3380 */
-    389,
-    /* VFMADDSS4rr */
-  },
-  { /* 3381 */
-    0,
-    /*  */
-  },
-  { /* 3382 */
-    390,
-    /* VFMADDSS4rr_REV */
-  },
-  { /* 3383 */
-    391,
-    /* VFMADDSSZm */
-  },
-  { /* 3384 */
-    392,
-    /* VFMADDSSZr */
-  },
-  { /* 3385 */
-    393,
-    /* VFMADDSSr132m */
-  },
-  { /* 3386 */
-    392,
-    /* VFMADDSSr132r */
-  },
-  { /* 3387 */
-    393,
-    /* VFMADDSSr213m */
-  },
-  { /* 3388 */
-    392,
-    /* VFMADDSSr213r */
-  },
-  { /* 3389 */
-    393,
-    /* VFMADDSSr231m */
-  },
-  { /* 3390 */
-    392,
-    /* VFMADDSSr231r */
-  },
-  { /* 3391 */
-    368,
-    /* VFMADDSUB132PDZm */
-  },
-  { /* 3392 */
-    369,
-    /* VFMADDSUB132PDZmb */
-  },
-  { /* 3393 */
-    368,
-    /* VFMADDSUB132PSZm */
-  },
-  { /* 3394 */
-    370,
-    /* VFMADDSUB132PSZmb */
-  },
   { /* 3395 */
-    368,
-    /* VFMADDSUB213PDZm */
+    0,
+    /*  */
   },
   { /* 3396 */
-    369,
-    /* VFMADDSUB213PDZmb */
+    446,
+    /* VFMADDSD4rm */
   },
   { /* 3397 */
-    371,
-    /* VFMADDSUB213PDZr */
+    0,
+    /*  */
   },
   { /* 3398 */
-    368,
-    /* VFMADDSUB213PSZm */
+    447,
+    /* VFMADDSD4rr */
   },
   { /* 3399 */
-    370,
-    /* VFMADDSUB213PSZmb */
+    0,
+    /*  */
   },
   { /* 3400 */
-    371,
-    /* VFMADDSUB213PSZr */
+    448,
+    /* VFMADDSD4rr_REV */
   },
   { /* 3401 */
-    308,
-    /* VFMADDSUBPD4mr */
+    449,
+    /* VFMADDSDZm */
   },
   { /* 3402 */
-    306,
-    /* VFMADDSUBPD4mrY */
+    450,
+    /* VFMADDSDZr */
   },
   { /* 3403 */
-    372,
-    /* VFMADDSUBPD4rm */
+    451,
+    /* VFMADDSDr132m */
   },
   { /* 3404 */
-    373,
-    /* VFMADDSUBPD4rmY */
+    452,
+    /* VFMADDSDr132r */
   },
   { /* 3405 */
-    374,
-    /* VFMADDSUBPD4rr */
+    451,
+    /* VFMADDSDr213m */
   },
   { /* 3406 */
-    375,
-    /* VFMADDSUBPD4rrY */
+    452,
+    /* VFMADDSDr213r */
   },
   { /* 3407 */
-    307,
-    /* VFMADDSUBPD4rrY_REV */
+    451,
+    /* VFMADDSDr231m */
   },
   { /* 3408 */
-    309,
-    /* VFMADDSUBPD4rr_REV */
+    452,
+    /* VFMADDSDr231r */
   },
   { /* 3409 */
-    376,
-    /* VFMADDSUBPDr132m */
+    453,
+    /* VFMADDSS4mr */
   },
   { /* 3410 */
-    377,
-    /* VFMADDSUBPDr132mY */
+    0,
+    /*  */
   },
   { /* 3411 */
-    378,
-    /* VFMADDSUBPDr132r */
+    454,
+    /* VFMADDSS4rm */
   },
   { /* 3412 */
-    379,
-    /* VFMADDSUBPDr132rY */
+    0,
+    /*  */
   },
   { /* 3413 */
-    376,
-    /* VFMADDSUBPDr213m */
+    455,
+    /* VFMADDSS4rr */
   },
   { /* 3414 */
-    377,
-    /* VFMADDSUBPDr213mY */
+    0,
+    /*  */
   },
   { /* 3415 */
-    378,
-    /* VFMADDSUBPDr213r */
+    456,
+    /* VFMADDSS4rr_REV */
   },
   { /* 3416 */
-    379,
-    /* VFMADDSUBPDr213rY */
+    457,
+    /* VFMADDSSZm */
   },
   { /* 3417 */
-    376,
-    /* VFMADDSUBPDr231m */
+    458,
+    /* VFMADDSSZr */
   },
   { /* 3418 */
-    377,
-    /* VFMADDSUBPDr231mY */
+    459,
+    /* VFMADDSSr132m */
   },
   { /* 3419 */
-    378,
-    /* VFMADDSUBPDr231r */
+    460,
+    /* VFMADDSSr132r */
   },
   { /* 3420 */
-    379,
-    /* VFMADDSUBPDr231rY */
+    459,
+    /* VFMADDSSr213m */
   },
   { /* 3421 */
-    308,
-    /* VFMADDSUBPS4mr */
+    460,
+    /* VFMADDSSr213r */
   },
   { /* 3422 */
-    306,
-    /* VFMADDSUBPS4mrY */
+    459,
+    /* VFMADDSSr231m */
   },
   { /* 3423 */
-    372,
-    /* VFMADDSUBPS4rm */
+    460,
+    /* VFMADDSSr231r */
   },
   { /* 3424 */
-    373,
-    /* VFMADDSUBPS4rmY */
+    433,
+    /* VFMADDSUB132PDZm */
   },
   { /* 3425 */
-    374,
-    /* VFMADDSUBPS4rr */
+    434,
+    /* VFMADDSUB132PDZmb */
   },
   { /* 3426 */
-    375,
-    /* VFMADDSUBPS4rrY */
+    433,
+    /* VFMADDSUB132PSZm */
   },
   { /* 3427 */
-    307,
-    /* VFMADDSUBPS4rrY_REV */
+    435,
+    /* VFMADDSUB132PSZmb */
   },
   { /* 3428 */
-    309,
-    /* VFMADDSUBPS4rr_REV */
+    433,
+    /* VFMADDSUB213PDZm */
   },
   { /* 3429 */
-    376,
-    /* VFMADDSUBPSr132m */
+    434,
+    /* VFMADDSUB213PDZmb */
   },
   { /* 3430 */
-    377,
-    /* VFMADDSUBPSr132mY */
+    436,
+    /* VFMADDSUB213PDZr */
   },
   { /* 3431 */
-    378,
-    /* VFMADDSUBPSr132r */
+    433,
+    /* VFMADDSUB213PSZm */
   },
   { /* 3432 */
-    379,
-    /* VFMADDSUBPSr132rY */
+    435,
+    /* VFMADDSUB213PSZmb */
   },
   { /* 3433 */
-    376,
-    /* VFMADDSUBPSr213m */
+    436,
+    /* VFMADDSUB213PSZr */
   },
   { /* 3434 */
-    377,
-    /* VFMADDSUBPSr213mY */
+    337,
+    /* VFMADDSUBPD4mr */
   },
   { /* 3435 */
-    378,
-    /* VFMADDSUBPSr213r */
+    335,
+    /* VFMADDSUBPD4mrY */
   },
   { /* 3436 */
-    379,
-    /* VFMADDSUBPSr213rY */
+    437,
+    /* VFMADDSUBPD4rm */
   },
   { /* 3437 */
-    376,
-    /* VFMADDSUBPSr231m */
+    438,
+    /* VFMADDSUBPD4rmY */
   },
   { /* 3438 */
-    377,
-    /* VFMADDSUBPSr231mY */
+    439,
+    /* VFMADDSUBPD4rr */
   },
   { /* 3439 */
-    378,
-    /* VFMADDSUBPSr231r */
+    440,
+    /* VFMADDSUBPD4rrY */
   },
   { /* 3440 */
-    379,
-    /* VFMADDSUBPSr231rY */
+    336,
+    /* VFMADDSUBPD4rrY_REV */
   },
   { /* 3441 */
-    368,
-    /* VFMSUB132PDZm */
+    338,
+    /* VFMADDSUBPD4rr_REV */
   },
   { /* 3442 */
-    369,
-    /* VFMSUB132PDZmb */
+    441,
+    /* VFMADDSUBPDr132m */
   },
   { /* 3443 */
-    368,
-    /* VFMSUB132PSZm */
+    442,
+    /* VFMADDSUBPDr132mY */
   },
   { /* 3444 */
-    370,
-    /* VFMSUB132PSZmb */
+    443,
+    /* VFMADDSUBPDr132r */
   },
   { /* 3445 */
-    368,
-    /* VFMSUB213PDZm */
+    444,
+    /* VFMADDSUBPDr132rY */
   },
   { /* 3446 */
-    369,
-    /* VFMSUB213PDZmb */
+    441,
+    /* VFMADDSUBPDr213m */
   },
   { /* 3447 */
-    371,
-    /* VFMSUB213PDZr */
+    442,
+    /* VFMADDSUBPDr213mY */
   },
   { /* 3448 */
-    368,
-    /* VFMSUB213PSZm */
+    443,
+    /* VFMADDSUBPDr213r */
   },
   { /* 3449 */
-    370,
-    /* VFMSUB213PSZmb */
+    444,
+    /* VFMADDSUBPDr213rY */
   },
   { /* 3450 */
-    371,
-    /* VFMSUB213PSZr */
+    441,
+    /* VFMADDSUBPDr231m */
   },
   { /* 3451 */
-    368,
-    /* VFMSUBADD132PDZm */
+    442,
+    /* VFMADDSUBPDr231mY */
   },
   { /* 3452 */
-    369,
-    /* VFMSUBADD132PDZmb */
+    443,
+    /* VFMADDSUBPDr231r */
   },
   { /* 3453 */
-    368,
-    /* VFMSUBADD132PSZm */
+    444,
+    /* VFMADDSUBPDr231rY */
   },
   { /* 3454 */
-    370,
-    /* VFMSUBADD132PSZmb */
+    337,
+    /* VFMADDSUBPS4mr */
   },
   { /* 3455 */
-    368,
-    /* VFMSUBADD213PDZm */
+    335,
+    /* VFMADDSUBPS4mrY */
   },
   { /* 3456 */
-    369,
-    /* VFMSUBADD213PDZmb */
+    437,
+    /* VFMADDSUBPS4rm */
   },
   { /* 3457 */
-    371,
-    /* VFMSUBADD213PDZr */
+    438,
+    /* VFMADDSUBPS4rmY */
   },
   { /* 3458 */
-    368,
-    /* VFMSUBADD213PSZm */
+    439,
+    /* VFMADDSUBPS4rr */
   },
   { /* 3459 */
-    370,
-    /* VFMSUBADD213PSZmb */
+    440,
+    /* VFMADDSUBPS4rrY */
   },
   { /* 3460 */
-    371,
-    /* VFMSUBADD213PSZr */
+    336,
+    /* VFMADDSUBPS4rrY_REV */
   },
   { /* 3461 */
-    308,
-    /* VFMSUBADDPD4mr */
+    338,
+    /* VFMADDSUBPS4rr_REV */
   },
   { /* 3462 */
-    306,
-    /* VFMSUBADDPD4mrY */
+    441,
+    /* VFMADDSUBPSr132m */
   },
   { /* 3463 */
-    372,
-    /* VFMSUBADDPD4rm */
+    442,
+    /* VFMADDSUBPSr132mY */
   },
   { /* 3464 */
-    373,
-    /* VFMSUBADDPD4rmY */
+    443,
+    /* VFMADDSUBPSr132r */
   },
   { /* 3465 */
-    374,
-    /* VFMSUBADDPD4rr */
+    444,
+    /* VFMADDSUBPSr132rY */
   },
   { /* 3466 */
-    375,
-    /* VFMSUBADDPD4rrY */
+    441,
+    /* VFMADDSUBPSr213m */
   },
   { /* 3467 */
-    307,
-    /* VFMSUBADDPD4rrY_REV */
+    442,
+    /* VFMADDSUBPSr213mY */
   },
   { /* 3468 */
-    309,
-    /* VFMSUBADDPD4rr_REV */
+    443,
+    /* VFMADDSUBPSr213r */
   },
   { /* 3469 */
-    376,
-    /* VFMSUBADDPDr132m */
+    444,
+    /* VFMADDSUBPSr213rY */
   },
   { /* 3470 */
-    377,
-    /* VFMSUBADDPDr132mY */
+    441,
+    /* VFMADDSUBPSr231m */
   },
   { /* 3471 */
-    378,
-    /* VFMSUBADDPDr132r */
+    442,
+    /* VFMADDSUBPSr231mY */
   },
   { /* 3472 */
-    379,
-    /* VFMSUBADDPDr132rY */
+    443,
+    /* VFMADDSUBPSr231r */
   },
   { /* 3473 */
-    376,
-    /* VFMSUBADDPDr213m */
+    444,
+    /* VFMADDSUBPSr231rY */
   },
   { /* 3474 */
-    377,
-    /* VFMSUBADDPDr213mY */
+    433,
+    /* VFMSUB132PDZm */
   },
   { /* 3475 */
-    378,
-    /* VFMSUBADDPDr213r */
+    434,
+    /* VFMSUB132PDZmb */
   },
   { /* 3476 */
-    379,
-    /* VFMSUBADDPDr213rY */
+    433,
+    /* VFMSUB132PSZm */
   },
   { /* 3477 */
-    376,
-    /* VFMSUBADDPDr231m */
+    435,
+    /* VFMSUB132PSZmb */
   },
   { /* 3478 */
-    377,
-    /* VFMSUBADDPDr231mY */
+    433,
+    /* VFMSUB213PDZm */
   },
   { /* 3479 */
-    378,
-    /* VFMSUBADDPDr231r */
+    434,
+    /* VFMSUB213PDZmb */
   },
   { /* 3480 */
-    379,
-    /* VFMSUBADDPDr231rY */
+    436,
+    /* VFMSUB213PDZr */
   },
   { /* 3481 */
-    308,
-    /* VFMSUBADDPS4mr */
+    433,
+    /* VFMSUB213PSZm */
   },
   { /* 3482 */
-    306,
-    /* VFMSUBADDPS4mrY */
+    435,
+    /* VFMSUB213PSZmb */
   },
   { /* 3483 */
-    372,
-    /* VFMSUBADDPS4rm */
+    436,
+    /* VFMSUB213PSZr */
   },
   { /* 3484 */
-    373,
-    /* VFMSUBADDPS4rmY */
+    433,
+    /* VFMSUBADD132PDZm */
   },
   { /* 3485 */
-    374,
-    /* VFMSUBADDPS4rr */
+    434,
+    /* VFMSUBADD132PDZmb */
   },
   { /* 3486 */
-    375,
-    /* VFMSUBADDPS4rrY */
+    433,
+    /* VFMSUBADD132PSZm */
   },
   { /* 3487 */
-    307,
-    /* VFMSUBADDPS4rrY_REV */
+    435,
+    /* VFMSUBADD132PSZmb */
   },
   { /* 3488 */
-    309,
-    /* VFMSUBADDPS4rr_REV */
+    433,
+    /* VFMSUBADD213PDZm */
   },
   { /* 3489 */
-    376,
-    /* VFMSUBADDPSr132m */
+    434,
+    /* VFMSUBADD213PDZmb */
   },
   { /* 3490 */
-    377,
-    /* VFMSUBADDPSr132mY */
+    436,
+    /* VFMSUBADD213PDZr */
   },
   { /* 3491 */
-    378,
-    /* VFMSUBADDPSr132r */
+    433,
+    /* VFMSUBADD213PSZm */
   },
   { /* 3492 */
-    379,
-    /* VFMSUBADDPSr132rY */
+    435,
+    /* VFMSUBADD213PSZmb */
   },
   { /* 3493 */
-    376,
-    /* VFMSUBADDPSr213m */
+    436,
+    /* VFMSUBADD213PSZr */
   },
   { /* 3494 */
-    377,
-    /* VFMSUBADDPSr213mY */
+    337,
+    /* VFMSUBADDPD4mr */
   },
   { /* 3495 */
-    378,
-    /* VFMSUBADDPSr213r */
+    335,
+    /* VFMSUBADDPD4mrY */
   },
   { /* 3496 */
-    379,
-    /* VFMSUBADDPSr213rY */
+    437,
+    /* VFMSUBADDPD4rm */
   },
   { /* 3497 */
-    376,
-    /* VFMSUBADDPSr231m */
+    438,
+    /* VFMSUBADDPD4rmY */
   },
   { /* 3498 */
-    377,
-    /* VFMSUBADDPSr231mY */
+    439,
+    /* VFMSUBADDPD4rr */
   },
   { /* 3499 */
-    378,
-    /* VFMSUBADDPSr231r */
+    440,
+    /* VFMSUBADDPD4rrY */
   },
   { /* 3500 */
-    379,
-    /* VFMSUBADDPSr231rY */
+    336,
+    /* VFMSUBADDPD4rrY_REV */
   },
   { /* 3501 */
-    308,
-    /* VFMSUBPD4mr */
+    338,
+    /* VFMSUBADDPD4rr_REV */
   },
   { /* 3502 */
-    306,
-    /* VFMSUBPD4mrY */
+    441,
+    /* VFMSUBADDPDr132m */
   },
   { /* 3503 */
-    372,
-    /* VFMSUBPD4rm */
+    442,
+    /* VFMSUBADDPDr132mY */
   },
   { /* 3504 */
-    373,
-    /* VFMSUBPD4rmY */
+    443,
+    /* VFMSUBADDPDr132r */
   },
   { /* 3505 */
-    374,
-    /* VFMSUBPD4rr */
+    444,
+    /* VFMSUBADDPDr132rY */
   },
   { /* 3506 */
-    375,
-    /* VFMSUBPD4rrY */
+    441,
+    /* VFMSUBADDPDr213m */
   },
   { /* 3507 */
-    307,
-    /* VFMSUBPD4rrY_REV */
+    442,
+    /* VFMSUBADDPDr213mY */
   },
   { /* 3508 */
-    309,
-    /* VFMSUBPD4rr_REV */
+    443,
+    /* VFMSUBADDPDr213r */
   },
   { /* 3509 */
-    376,
-    /* VFMSUBPDr132m */
+    444,
+    /* VFMSUBADDPDr213rY */
   },
   { /* 3510 */
-    377,
-    /* VFMSUBPDr132mY */
+    441,
+    /* VFMSUBADDPDr231m */
   },
   { /* 3511 */
-    378,
-    /* VFMSUBPDr132r */
+    442,
+    /* VFMSUBADDPDr231mY */
   },
   { /* 3512 */
-    379,
-    /* VFMSUBPDr132rY */
+    443,
+    /* VFMSUBADDPDr231r */
   },
   { /* 3513 */
-    376,
-    /* VFMSUBPDr213m */
+    444,
+    /* VFMSUBADDPDr231rY */
   },
   { /* 3514 */
-    377,
-    /* VFMSUBPDr213mY */
+    337,
+    /* VFMSUBADDPS4mr */
   },
   { /* 3515 */
-    378,
-    /* VFMSUBPDr213r */
+    335,
+    /* VFMSUBADDPS4mrY */
   },
   { /* 3516 */
-    379,
-    /* VFMSUBPDr213rY */
+    437,
+    /* VFMSUBADDPS4rm */
   },
   { /* 3517 */
-    376,
-    /* VFMSUBPDr231m */
+    438,
+    /* VFMSUBADDPS4rmY */
   },
   { /* 3518 */
-    377,
-    /* VFMSUBPDr231mY */
+    439,
+    /* VFMSUBADDPS4rr */
   },
   { /* 3519 */
-    378,
-    /* VFMSUBPDr231r */
+    440,
+    /* VFMSUBADDPS4rrY */
   },
   { /* 3520 */
-    379,
-    /* VFMSUBPDr231rY */
+    336,
+    /* VFMSUBADDPS4rrY_REV */
   },
   { /* 3521 */
-    308,
-    /* VFMSUBPS4mr */
+    338,
+    /* VFMSUBADDPS4rr_REV */
   },
   { /* 3522 */
-    306,
-    /* VFMSUBPS4mrY */
+    441,
+    /* VFMSUBADDPSr132m */
   },
   { /* 3523 */
-    372,
-    /* VFMSUBPS4rm */
+    442,
+    /* VFMSUBADDPSr132mY */
   },
   { /* 3524 */
-    373,
-    /* VFMSUBPS4rmY */
+    443,
+    /* VFMSUBADDPSr132r */
   },
   { /* 3525 */
-    374,
-    /* VFMSUBPS4rr */
+    444,
+    /* VFMSUBADDPSr132rY */
   },
   { /* 3526 */
-    375,
-    /* VFMSUBPS4rrY */
+    441,
+    /* VFMSUBADDPSr213m */
   },
   { /* 3527 */
-    307,
-    /* VFMSUBPS4rrY_REV */
+    442,
+    /* VFMSUBADDPSr213mY */
   },
   { /* 3528 */
-    309,
-    /* VFMSUBPS4rr_REV */
+    443,
+    /* VFMSUBADDPSr213r */
   },
   { /* 3529 */
-    376,
-    /* VFMSUBPSr132m */
+    444,
+    /* VFMSUBADDPSr213rY */
   },
   { /* 3530 */
-    377,
-    /* VFMSUBPSr132mY */
+    441,
+    /* VFMSUBADDPSr231m */
   },
   { /* 3531 */
-    378,
-    /* VFMSUBPSr132r */
+    442,
+    /* VFMSUBADDPSr231mY */
   },
   { /* 3532 */
-    379,
-    /* VFMSUBPSr132rY */
+    443,
+    /* VFMSUBADDPSr231r */
   },
   { /* 3533 */
-    376,
-    /* VFMSUBPSr213m */
+    444,
+    /* VFMSUBADDPSr231rY */
   },
   { /* 3534 */
-    377,
-    /* VFMSUBPSr213mY */
+    337,
+    /* VFMSUBPD4mr */
   },
   { /* 3535 */
-    378,
-    /* VFMSUBPSr213r */
+    335,
+    /* VFMSUBPD4mrY */
   },
   { /* 3536 */
-    379,
-    /* VFMSUBPSr213rY */
+    437,
+    /* VFMSUBPD4rm */
   },
   { /* 3537 */
-    376,
-    /* VFMSUBPSr231m */
+    438,
+    /* VFMSUBPD4rmY */
   },
   { /* 3538 */
-    377,
-    /* VFMSUBPSr231mY */
+    439,
+    /* VFMSUBPD4rr */
   },
   { /* 3539 */
-    378,
-    /* VFMSUBPSr231r */
+    440,
+    /* VFMSUBPD4rrY */
   },
   { /* 3540 */
-    379,
-    /* VFMSUBPSr231rY */
+    336,
+    /* VFMSUBPD4rrY_REV */
   },
   { /* 3541 */
-    380,
-    /* VFMSUBSD4mr */
+    338,
+    /* VFMSUBPD4rr_REV */
   },
   { /* 3542 */
-    0,
-    /*  */
+    441,
+    /* VFMSUBPDr132m */
   },
   { /* 3543 */
-    381,
-    /* VFMSUBSD4rm */
+    442,
+    /* VFMSUBPDr132mY */
   },
   { /* 3544 */
-    0,
-    /*  */
+    443,
+    /* VFMSUBPDr132r */
   },
   { /* 3545 */
-    382,
-    /* VFMSUBSD4rr */
+    444,
+    /* VFMSUBPDr132rY */
   },
   { /* 3546 */
-    0,
-    /*  */
+    441,
+    /* VFMSUBPDr213m */
   },
   { /* 3547 */
-    383,
-    /* VFMSUBSD4rr_REV */
+    442,
+    /* VFMSUBPDr213mY */
   },
   { /* 3548 */
-    384,
-    /* VFMSUBSDZm */
+    443,
+    /* VFMSUBPDr213r */
   },
   { /* 3549 */
-    385,
-    /* VFMSUBSDZr */
+    444,
+    /* VFMSUBPDr213rY */
   },
   { /* 3550 */
-    386,
-    /* VFMSUBSDr132m */
+    441,
+    /* VFMSUBPDr231m */
   },
   { /* 3551 */
-    385,
-    /* VFMSUBSDr132r */
+    442,
+    /* VFMSUBPDr231mY */
   },
   { /* 3552 */
-    386,
-    /* VFMSUBSDr213m */
+    443,
+    /* VFMSUBPDr231r */
   },
   { /* 3553 */
-    385,
-    /* VFMSUBSDr213r */
+    444,
+    /* VFMSUBPDr231rY */
   },
   { /* 3554 */
-    386,
-    /* VFMSUBSDr231m */
+    337,
+    /* VFMSUBPS4mr */
   },
   { /* 3555 */
-    385,
-    /* VFMSUBSDr231r */
+    335,
+    /* VFMSUBPS4mrY */
   },
   { /* 3556 */
-    387,
-    /* VFMSUBSS4mr */
+    437,
+    /* VFMSUBPS4rm */
   },
   { /* 3557 */
-    0,
-    /*  */
+    438,
+    /* VFMSUBPS4rmY */
   },
   { /* 3558 */
-    388,
-    /* VFMSUBSS4rm */
+    439,
+    /* VFMSUBPS4rr */
   },
   { /* 3559 */
-    0,
-    /*  */
+    440,
+    /* VFMSUBPS4rrY */
   },
   { /* 3560 */
-    389,
-    /* VFMSUBSS4rr */
+    336,
+    /* VFMSUBPS4rrY_REV */
   },
   { /* 3561 */
-    0,
-    /*  */
+    338,
+    /* VFMSUBPS4rr_REV */
   },
   { /* 3562 */
-    390,
-    /* VFMSUBSS4rr_REV */
+    441,
+    /* VFMSUBPSr132m */
   },
   { /* 3563 */
-    391,
-    /* VFMSUBSSZm */
+    442,
+    /* VFMSUBPSr132mY */
   },
   { /* 3564 */
-    392,
-    /* VFMSUBSSZr */
+    443,
+    /* VFMSUBPSr132r */
   },
   { /* 3565 */
-    393,
-    /* VFMSUBSSr132m */
+    444,
+    /* VFMSUBPSr132rY */
   },
   { /* 3566 */
-    392,
-    /* VFMSUBSSr132r */
+    441,
+    /* VFMSUBPSr213m */
   },
   { /* 3567 */
-    393,
-    /* VFMSUBSSr213m */
+    442,
+    /* VFMSUBPSr213mY */
   },
   { /* 3568 */
-    392,
-    /* VFMSUBSSr213r */
+    443,
+    /* VFMSUBPSr213r */
   },
   { /* 3569 */
-    393,
-    /* VFMSUBSSr231m */
+    444,
+    /* VFMSUBPSr213rY */
   },
   { /* 3570 */
-    392,
-    /* VFMSUBSSr231r */
+    441,
+    /* VFMSUBPSr231m */
   },
   { /* 3571 */
-    368,
-    /* VFNMADD132PDZm */
+    442,
+    /* VFMSUBPSr231mY */
   },
   { /* 3572 */
-    369,
-    /* VFNMADD132PDZmb */
+    443,
+    /* VFMSUBPSr231r */
   },
   { /* 3573 */
-    368,
-    /* VFNMADD132PSZm */
+    444,
+    /* VFMSUBPSr231rY */
   },
   { /* 3574 */
-    370,
-    /* VFNMADD132PSZmb */
+    445,
+    /* VFMSUBSD4mr */
   },
   { /* 3575 */
-    368,
-    /* VFNMADD213PDZm */
+    0,
+    /*  */
   },
   { /* 3576 */
-    369,
-    /* VFNMADD213PDZmb */
+    446,
+    /* VFMSUBSD4rm */
   },
   { /* 3577 */
-    371,
-    /* VFNMADD213PDZr */
+    0,
+    /*  */
   },
   { /* 3578 */
-    368,
-    /* VFNMADD213PSZm */
+    447,
+    /* VFMSUBSD4rr */
   },
   { /* 3579 */
-    370,
-    /* VFNMADD213PSZmb */
+    0,
+    /*  */
   },
   { /* 3580 */
-    371,
-    /* VFNMADD213PSZr */
+    448,
+    /* VFMSUBSD4rr_REV */
   },
   { /* 3581 */
-    308,
-    /* VFNMADDPD4mr */
+    449,
+    /* VFMSUBSDZm */
   },
   { /* 3582 */
-    306,
-    /* VFNMADDPD4mrY */
+    450,
+    /* VFMSUBSDZr */
   },
   { /* 3583 */
-    372,
-    /* VFNMADDPD4rm */
+    451,
+    /* VFMSUBSDr132m */
   },
   { /* 3584 */
-    373,
-    /* VFNMADDPD4rmY */
+    452,
+    /* VFMSUBSDr132r */
   },
   { /* 3585 */
-    374,
-    /* VFNMADDPD4rr */
+    451,
+    /* VFMSUBSDr213m */
   },
   { /* 3586 */
-    375,
-    /* VFNMADDPD4rrY */
+    452,
+    /* VFMSUBSDr213r */
   },
   { /* 3587 */
-    307,
-    /* VFNMADDPD4rrY_REV */
+    451,
+    /* VFMSUBSDr231m */
   },
   { /* 3588 */
-    309,
-    /* VFNMADDPD4rr_REV */
+    452,
+    /* VFMSUBSDr231r */
   },
   { /* 3589 */
-    376,
-    /* VFNMADDPDr132m */
+    453,
+    /* VFMSUBSS4mr */
   },
   { /* 3590 */
-    377,
-    /* VFNMADDPDr132mY */
+    0,
+    /*  */
   },
   { /* 3591 */
-    378,
-    /* VFNMADDPDr132r */
+    454,
+    /* VFMSUBSS4rm */
   },
   { /* 3592 */
-    379,
-    /* VFNMADDPDr132rY */
+    0,
+    /*  */
   },
   { /* 3593 */
-    376,
-    /* VFNMADDPDr213m */
+    455,
+    /* VFMSUBSS4rr */
   },
   { /* 3594 */
-    377,
-    /* VFNMADDPDr213mY */
+    0,
+    /*  */
   },
   { /* 3595 */
-    378,
-    /* VFNMADDPDr213r */
+    456,
+    /* VFMSUBSS4rr_REV */
   },
   { /* 3596 */
-    379,
-    /* VFNMADDPDr213rY */
+    457,
+    /* VFMSUBSSZm */
   },
   { /* 3597 */
-    376,
-    /* VFNMADDPDr231m */
+    458,
+    /* VFMSUBSSZr */
   },
   { /* 3598 */
-    377,
-    /* VFNMADDPDr231mY */
+    459,
+    /* VFMSUBSSr132m */
   },
   { /* 3599 */
-    378,
-    /* VFNMADDPDr231r */
+    460,
+    /* VFMSUBSSr132r */
   },
   { /* 3600 */
-    379,
-    /* VFNMADDPDr231rY */
+    459,
+    /* VFMSUBSSr213m */
   },
   { /* 3601 */
-    308,
-    /* VFNMADDPS4mr */
+    460,
+    /* VFMSUBSSr213r */
   },
   { /* 3602 */
-    306,
-    /* VFNMADDPS4mrY */
+    459,
+    /* VFMSUBSSr231m */
   },
   { /* 3603 */
-    372,
-    /* VFNMADDPS4rm */
+    460,
+    /* VFMSUBSSr231r */
   },
   { /* 3604 */
-    373,
-    /* VFNMADDPS4rmY */
+    433,
+    /* VFNMADD132PDZm */
   },
   { /* 3605 */
-    374,
-    /* VFNMADDPS4rr */
+    434,
+    /* VFNMADD132PDZmb */
   },
   { /* 3606 */
-    375,
-    /* VFNMADDPS4rrY */
+    433,
+    /* VFNMADD132PSZm */
   },
   { /* 3607 */
-    307,
-    /* VFNMADDPS4rrY_REV */
+    435,
+    /* VFNMADD132PSZmb */
   },
   { /* 3608 */
-    309,
-    /* VFNMADDPS4rr_REV */
+    433,
+    /* VFNMADD213PDZm */
   },
   { /* 3609 */
-    376,
-    /* VFNMADDPSr132m */
+    434,
+    /* VFNMADD213PDZmb */
   },
   { /* 3610 */
-    377,
-    /* VFNMADDPSr132mY */
+    436,
+    /* VFNMADD213PDZr */
   },
   { /* 3611 */
-    378,
-    /* VFNMADDPSr132r */
+    433,
+    /* VFNMADD213PSZm */
   },
   { /* 3612 */
-    379,
-    /* VFNMADDPSr132rY */
+    435,
+    /* VFNMADD213PSZmb */
   },
   { /* 3613 */
-    376,
-    /* VFNMADDPSr213m */
+    436,
+    /* VFNMADD213PSZr */
   },
   { /* 3614 */
-    377,
-    /* VFNMADDPSr213mY */
+    337,
+    /* VFNMADDPD4mr */
   },
   { /* 3615 */
-    378,
-    /* VFNMADDPSr213r */
+    335,
+    /* VFNMADDPD4mrY */
   },
   { /* 3616 */
-    379,
-    /* VFNMADDPSr213rY */
+    437,
+    /* VFNMADDPD4rm */
   },
   { /* 3617 */
-    376,
-    /* VFNMADDPSr231m */
+    438,
+    /* VFNMADDPD4rmY */
   },
   { /* 3618 */
-    377,
-    /* VFNMADDPSr231mY */
+    439,
+    /* VFNMADDPD4rr */
   },
   { /* 3619 */
-    378,
-    /* VFNMADDPSr231r */
+    440,
+    /* VFNMADDPD4rrY */
   },
   { /* 3620 */
-    379,
-    /* VFNMADDPSr231rY */
+    336,
+    /* VFNMADDPD4rrY_REV */
   },
   { /* 3621 */
-    380,
-    /* VFNMADDSD4mr */
+    338,
+    /* VFNMADDPD4rr_REV */
   },
   { /* 3622 */
-    0,
-    /*  */
+    441,
+    /* VFNMADDPDr132m */
   },
   { /* 3623 */
-    381,
-    /* VFNMADDSD4rm */
+    442,
+    /* VFNMADDPDr132mY */
   },
   { /* 3624 */
-    0,
-    /*  */
+    443,
+    /* VFNMADDPDr132r */
   },
   { /* 3625 */
-    382,
-    /* VFNMADDSD4rr */
+    444,
+    /* VFNMADDPDr132rY */
   },
   { /* 3626 */
-    0,
-    /*  */
+    441,
+    /* VFNMADDPDr213m */
   },
   { /* 3627 */
-    383,
-    /* VFNMADDSD4rr_REV */
+    442,
+    /* VFNMADDPDr213mY */
   },
   { /* 3628 */
-    384,
-    /* VFNMADDSDZm */
+    443,
+    /* VFNMADDPDr213r */
   },
   { /* 3629 */
-    385,
-    /* VFNMADDSDZr */
+    444,
+    /* VFNMADDPDr213rY */
   },
   { /* 3630 */
-    386,
-    /* VFNMADDSDr132m */
+    441,
+    /* VFNMADDPDr231m */
   },
   { /* 3631 */
-    385,
-    /* VFNMADDSDr132r */
+    442,
+    /* VFNMADDPDr231mY */
   },
   { /* 3632 */
-    386,
-    /* VFNMADDSDr213m */
+    443,
+    /* VFNMADDPDr231r */
   },
   { /* 3633 */
-    385,
-    /* VFNMADDSDr213r */
+    444,
+    /* VFNMADDPDr231rY */
   },
   { /* 3634 */
-    386,
-    /* VFNMADDSDr231m */
+    337,
+    /* VFNMADDPS4mr */
   },
   { /* 3635 */
-    385,
-    /* VFNMADDSDr231r */
+    335,
+    /* VFNMADDPS4mrY */
   },
   { /* 3636 */
-    387,
-    /* VFNMADDSS4mr */
+    437,
+    /* VFNMADDPS4rm */
   },
   { /* 3637 */
-    0,
-    /*  */
+    438,
+    /* VFNMADDPS4rmY */
   },
   { /* 3638 */
-    388,
-    /* VFNMADDSS4rm */
+    439,
+    /* VFNMADDPS4rr */
   },
   { /* 3639 */
-    0,
-    /*  */
+    440,
+    /* VFNMADDPS4rrY */
   },
   { /* 3640 */
-    389,
-    /* VFNMADDSS4rr */
+    336,
+    /* VFNMADDPS4rrY_REV */
   },
   { /* 3641 */
-    0,
-    /*  */
+    338,
+    /* VFNMADDPS4rr_REV */
   },
   { /* 3642 */
-    390,
-    /* VFNMADDSS4rr_REV */
+    441,
+    /* VFNMADDPSr132m */
   },
   { /* 3643 */
-    391,
-    /* VFNMADDSSZm */
+    442,
+    /* VFNMADDPSr132mY */
   },
   { /* 3644 */
-    392,
-    /* VFNMADDSSZr */
+    443,
+    /* VFNMADDPSr132r */
   },
   { /* 3645 */
-    393,
-    /* VFNMADDSSr132m */
+    444,
+    /* VFNMADDPSr132rY */
   },
   { /* 3646 */
-    392,
-    /* VFNMADDSSr132r */
+    441,
+    /* VFNMADDPSr213m */
   },
   { /* 3647 */
-    393,
-    /* VFNMADDSSr213m */
+    442,
+    /* VFNMADDPSr213mY */
   },
   { /* 3648 */
-    392,
-    /* VFNMADDSSr213r */
+    443,
+    /* VFNMADDPSr213r */
   },
   { /* 3649 */
-    393,
-    /* VFNMADDSSr231m */
+    444,
+    /* VFNMADDPSr213rY */
   },
   { /* 3650 */
-    392,
-    /* VFNMADDSSr231r */
+    441,
+    /* VFNMADDPSr231m */
   },
   { /* 3651 */
-    368,
-    /* VFNMSUB132PDZm */
+    442,
+    /* VFNMADDPSr231mY */
   },
   { /* 3652 */
-    369,
-    /* VFNMSUB132PDZmb */
+    443,
+    /* VFNMADDPSr231r */
   },
   { /* 3653 */
-    368,
-    /* VFNMSUB132PSZm */
+    444,
+    /* VFNMADDPSr231rY */
   },
   { /* 3654 */
-    370,
-    /* VFNMSUB132PSZmb */
+    445,
+    /* VFNMADDSD4mr */
   },
   { /* 3655 */
-    368,
-    /* VFNMSUB213PDZm */
+    0,
+    /*  */
   },
   { /* 3656 */
-    369,
-    /* VFNMSUB213PDZmb */
+    446,
+    /* VFNMADDSD4rm */
   },
   { /* 3657 */
-    371,
-    /* VFNMSUB213PDZr */
+    0,
+    /*  */
   },
   { /* 3658 */
-    368,
-    /* VFNMSUB213PSZm */
+    447,
+    /* VFNMADDSD4rr */
   },
   { /* 3659 */
-    370,
-    /* VFNMSUB213PSZmb */
+    0,
+    /*  */
   },
   { /* 3660 */
-    371,
-    /* VFNMSUB213PSZr */
+    448,
+    /* VFNMADDSD4rr_REV */
   },
   { /* 3661 */
-    308,
-    /* VFNMSUBPD4mr */
+    449,
+    /* VFNMADDSDZm */
   },
   { /* 3662 */
-    306,
-    /* VFNMSUBPD4mrY */
+    450,
+    /* VFNMADDSDZr */
   },
   { /* 3663 */
-    372,
-    /* VFNMSUBPD4rm */
+    451,
+    /* VFNMADDSDr132m */
   },
   { /* 3664 */
-    373,
-    /* VFNMSUBPD4rmY */
+    452,
+    /* VFNMADDSDr132r */
   },
   { /* 3665 */
-    374,
-    /* VFNMSUBPD4rr */
+    451,
+    /* VFNMADDSDr213m */
   },
   { /* 3666 */
-    375,
-    /* VFNMSUBPD4rrY */
+    452,
+    /* VFNMADDSDr213r */
   },
   { /* 3667 */
-    307,
-    /* VFNMSUBPD4rrY_REV */
+    451,
+    /* VFNMADDSDr231m */
   },
   { /* 3668 */
-    309,
-    /* VFNMSUBPD4rr_REV */
+    452,
+    /* VFNMADDSDr231r */
   },
   { /* 3669 */
-    376,
-    /* VFNMSUBPDr132m */
+    453,
+    /* VFNMADDSS4mr */
   },
   { /* 3670 */
-    377,
-    /* VFNMSUBPDr132mY */
+    0,
+    /*  */
   },
   { /* 3671 */
-    378,
-    /* VFNMSUBPDr132r */
+    454,
+    /* VFNMADDSS4rm */
   },
   { /* 3672 */
-    379,
-    /* VFNMSUBPDr132rY */
+    0,
+    /*  */
   },
   { /* 3673 */
-    376,
-    /* VFNMSUBPDr213m */
+    455,
+    /* VFNMADDSS4rr */
   },
   { /* 3674 */
-    377,
-    /* VFNMSUBPDr213mY */
+    0,
+    /*  */
   },
   { /* 3675 */
-    378,
-    /* VFNMSUBPDr213r */
+    456,
+    /* VFNMADDSS4rr_REV */
   },
   { /* 3676 */
-    379,
-    /* VFNMSUBPDr213rY */
+    457,
+    /* VFNMADDSSZm */
   },
   { /* 3677 */
-    376,
-    /* VFNMSUBPDr231m */
+    458,
+    /* VFNMADDSSZr */
   },
   { /* 3678 */
-    377,
-    /* VFNMSUBPDr231mY */
+    459,
+    /* VFNMADDSSr132m */
   },
   { /* 3679 */
-    378,
-    /* VFNMSUBPDr231r */
+    460,
+    /* VFNMADDSSr132r */
   },
   { /* 3680 */
-    379,
-    /* VFNMSUBPDr231rY */
+    459,
+    /* VFNMADDSSr213m */
   },
   { /* 3681 */
-    308,
-    /* VFNMSUBPS4mr */
+    460,
+    /* VFNMADDSSr213r */
   },
   { /* 3682 */
-    306,
-    /* VFNMSUBPS4mrY */
+    459,
+    /* VFNMADDSSr231m */
   },
   { /* 3683 */
-    372,
-    /* VFNMSUBPS4rm */
+    460,
+    /* VFNMADDSSr231r */
   },
   { /* 3684 */
-    373,
-    /* VFNMSUBPS4rmY */
+    433,
+    /* VFNMSUB132PDZm */
   },
   { /* 3685 */
-    374,
-    /* VFNMSUBPS4rr */
+    434,
+    /* VFNMSUB132PDZmb */
   },
   { /* 3686 */
-    375,
-    /* VFNMSUBPS4rrY */
+    433,
+    /* VFNMSUB132PSZm */
   },
   { /* 3687 */
-    307,
-    /* VFNMSUBPS4rrY_REV */
+    435,
+    /* VFNMSUB132PSZmb */
   },
   { /* 3688 */
-    309,
-    /* VFNMSUBPS4rr_REV */
+    433,
+    /* VFNMSUB213PDZm */
   },
   { /* 3689 */
-    376,
-    /* VFNMSUBPSr132m */
+    434,
+    /* VFNMSUB213PDZmb */
   },
   { /* 3690 */
-    377,
-    /* VFNMSUBPSr132mY */
+    436,
+    /* VFNMSUB213PDZr */
   },
   { /* 3691 */
-    378,
-    /* VFNMSUBPSr132r */
+    433,
+    /* VFNMSUB213PSZm */
   },
   { /* 3692 */
-    379,
-    /* VFNMSUBPSr132rY */
+    435,
+    /* VFNMSUB213PSZmb */
   },
   { /* 3693 */
-    376,
-    /* VFNMSUBPSr213m */
+    436,
+    /* VFNMSUB213PSZr */
   },
   { /* 3694 */
-    377,
-    /* VFNMSUBPSr213mY */
+    337,
+    /* VFNMSUBPD4mr */
   },
   { /* 3695 */
-    378,
-    /* VFNMSUBPSr213r */
+    335,
+    /* VFNMSUBPD4mrY */
   },
   { /* 3696 */
-    379,
-    /* VFNMSUBPSr213rY */
+    437,
+    /* VFNMSUBPD4rm */
   },
   { /* 3697 */
-    376,
-    /* VFNMSUBPSr231m */
+    438,
+    /* VFNMSUBPD4rmY */
   },
   { /* 3698 */
-    377,
-    /* VFNMSUBPSr231mY */
+    439,
+    /* VFNMSUBPD4rr */
   },
   { /* 3699 */
-    378,
-    /* VFNMSUBPSr231r */
+    440,
+    /* VFNMSUBPD4rrY */
   },
   { /* 3700 */
-    379,
-    /* VFNMSUBPSr231rY */
+    336,
+    /* VFNMSUBPD4rrY_REV */
   },
   { /* 3701 */
-    380,
-    /* VFNMSUBSD4mr */
+    338,
+    /* VFNMSUBPD4rr_REV */
   },
   { /* 3702 */
-    0,
-    /*  */
+    441,
+    /* VFNMSUBPDr132m */
   },
   { /* 3703 */
-    381,
-    /* VFNMSUBSD4rm */
+    442,
+    /* VFNMSUBPDr132mY */
   },
   { /* 3704 */
-    0,
-    /*  */
+    443,
+    /* VFNMSUBPDr132r */
   },
   { /* 3705 */
-    382,
-    /* VFNMSUBSD4rr */
+    444,
+    /* VFNMSUBPDr132rY */
   },
   { /* 3706 */
-    0,
-    /*  */
+    441,
+    /* VFNMSUBPDr213m */
   },
   { /* 3707 */
-    383,
-    /* VFNMSUBSD4rr_REV */
+    442,
+    /* VFNMSUBPDr213mY */
   },
   { /* 3708 */
-    384,
-    /* VFNMSUBSDZm */
+    443,
+    /* VFNMSUBPDr213r */
   },
   { /* 3709 */
-    385,
-    /* VFNMSUBSDZr */
+    444,
+    /* VFNMSUBPDr213rY */
   },
   { /* 3710 */
-    386,
-    /* VFNMSUBSDr132m */
+    441,
+    /* VFNMSUBPDr231m */
   },
   { /* 3711 */
-    385,
-    /* VFNMSUBSDr132r */
+    442,
+    /* VFNMSUBPDr231mY */
   },
   { /* 3712 */
-    386,
-    /* VFNMSUBSDr213m */
+    443,
+    /* VFNMSUBPDr231r */
   },
   { /* 3713 */
-    385,
-    /* VFNMSUBSDr213r */
+    444,
+    /* VFNMSUBPDr231rY */
   },
   { /* 3714 */
-    386,
-    /* VFNMSUBSDr231m */
+    337,
+    /* VFNMSUBPS4mr */
   },
   { /* 3715 */
-    385,
-    /* VFNMSUBSDr231r */
+    335,
+    /* VFNMSUBPS4mrY */
   },
   { /* 3716 */
-    387,
-    /* VFNMSUBSS4mr */
+    437,
+    /* VFNMSUBPS4rm */
   },
   { /* 3717 */
-    0,
-    /*  */
+    438,
+    /* VFNMSUBPS4rmY */
   },
   { /* 3718 */
-    388,
-    /* VFNMSUBSS4rm */
+    439,
+    /* VFNMSUBPS4rr */
   },
   { /* 3719 */
-    0,
-    /*  */
+    440,
+    /* VFNMSUBPS4rrY */
   },
   { /* 3720 */
-    389,
-    /* VFNMSUBSS4rr */
+    336,
+    /* VFNMSUBPS4rrY_REV */
   },
   { /* 3721 */
-    0,
-    /*  */
+    338,
+    /* VFNMSUBPS4rr_REV */
   },
   { /* 3722 */
-    390,
-    /* VFNMSUBSS4rr_REV */
+    441,
+    /* VFNMSUBPSr132m */
   },
   { /* 3723 */
-    391,
-    /* VFNMSUBSSZm */
+    442,
+    /* VFNMSUBPSr132mY */
   },
   { /* 3724 */
-    392,
-    /* VFNMSUBSSZr */
+    443,
+    /* VFNMSUBPSr132r */
   },
   { /* 3725 */
-    393,
-    /* VFNMSUBSSr132m */
+    444,
+    /* VFNMSUBPSr132rY */
   },
   { /* 3726 */
-    392,
-    /* VFNMSUBSSr132r */
+    441,
+    /* VFNMSUBPSr213m */
   },
   { /* 3727 */
-    393,
-    /* VFNMSUBSSr213m */
+    442,
+    /* VFNMSUBPSr213mY */
   },
   { /* 3728 */
-    392,
-    /* VFNMSUBSSr213r */
+    443,
+    /* VFNMSUBPSr213r */
   },
   { /* 3729 */
-    393,
-    /* VFNMSUBSSr231m */
+    444,
+    /* VFNMSUBPSr213rY */
   },
   { /* 3730 */
-    392,
-    /* VFNMSUBSSr231r */
+    441,
+    /* VFNMSUBPSr231m */
   },
   { /* 3731 */
-    42,
-    /* VFRCZPDrm */
+    442,
+    /* VFNMSUBPSr231mY */
   },
   { /* 3732 */
-    336,
-    /* VFRCZPDrmY */
+    443,
+    /* VFNMSUBPSr231r */
   },
   { /* 3733 */
-    43,
-    /* VFRCZPDrr */
+    444,
+    /* VFNMSUBPSr231rY */
   },
   { /* 3734 */
-    337,
-    /* VFRCZPDrrY */
+    445,
+    /* VFNMSUBSD4mr */
   },
   { /* 3735 */
-    42,
-    /* VFRCZPSrm */
+    0,
+    /*  */
   },
   { /* 3736 */
-    336,
-    /* VFRCZPSrmY */
+    446,
+    /* VFNMSUBSD4rm */
   },
   { /* 3737 */
-    43,
-    /* VFRCZPSrr */
+    0,
+    /*  */
   },
   { /* 3738 */
-    337,
-    /* VFRCZPSrrY */
+    447,
+    /* VFNMSUBSD4rr */
   },
   { /* 3739 */
-    105,
-    /* VFRCZSDrm */
+    0,
+    /*  */
   },
   { /* 3740 */
-    43,
-    /* VFRCZSDrr */
+    448,
+    /* VFNMSUBSD4rr_REV */
   },
   { /* 3741 */
-    317,
-    /* VFRCZSSrm */
+    449,
+    /* VFNMSUBSDZm */
   },
   { /* 3742 */
-    43,
-    /* VFRCZSSrr */
+    450,
+    /* VFNMSUBSDZr */
   },
   { /* 3743 */
-    0,
-    /*  */
+    451,
+    /* VFNMSUBSDr132m */
   },
   { /* 3744 */
-    0,
-    /*  */
+    452,
+    /* VFNMSUBSDr132r */
   },
   { /* 3745 */
-    0,
-    /*  */
+    451,
+    /* VFNMSUBSDr213m */
   },
   { /* 3746 */
-    0,
-    /*  */
+    452,
+    /* VFNMSUBSDr213r */
   },
   { /* 3747 */
-    0,
-    /*  */
+    451,
+    /* VFNMSUBSDr231m */
   },
   { /* 3748 */
-    0,
-    /*  */
+    452,
+    /* VFNMSUBSDr231r */
   },
   { /* 3749 */
-    0,
-    /*  */
+    453,
+    /* VFNMSUBSS4mr */
   },
   { /* 3750 */
     0,
     /*  */
   },
   { /* 3751 */
-    0,
-    /*  */
+    454,
+    /* VFNMSUBSS4rm */
   },
   { /* 3752 */
     0,
     /*  */
   },
   { /* 3753 */
-    0,
-    /*  */
+    455,
+    /* VFNMSUBSS4rr */
   },
   { /* 3754 */
     0,
     /*  */
   },
   { /* 3755 */
-    0,
-    /*  */
+    456,
+    /* VFNMSUBSS4rr_REV */
   },
   { /* 3756 */
-    0,
-    /*  */
+    457,
+    /* VFNMSUBSSZm */
   },
   { /* 3757 */
-    0,
-    /*  */
+    458,
+    /* VFNMSUBSSZr */
   },
   { /* 3758 */
+    459,
+    /* VFNMSUBSSr132m */
+  },
+  { /* 3759 */
+    460,
+    /* VFNMSUBSSr132r */
+  },
+  { /* 3760 */
+    459,
+    /* VFNMSUBSSr213m */
+  },
+  { /* 3761 */
+    460,
+    /* VFNMSUBSSr213r */
+  },
+  { /* 3762 */
+    459,
+    /* VFNMSUBSSr231m */
+  },
+  { /* 3763 */
+    460,
+    /* VFNMSUBSSr231r */
+  },
+  { /* 3764 */
+    42,
+    /* VFRCZPDrm */
+  },
+  { /* 3765 */
+    375,
+    /* VFRCZPDrmY */
+  },
+  { /* 3766 */
+    43,
+    /* VFRCZPDrr */
+  },
+  { /* 3767 */
+    376,
+    /* VFRCZPDrrY */
+  },
+  { /* 3768 */
+    42,
+    /* VFRCZPSrm */
+  },
+  { /* 3769 */
+    375,
+    /* VFRCZPSrmY */
+  },
+  { /* 3770 */
+    43,
+    /* VFRCZPSrr */
+  },
+  { /* 3771 */
+    376,
+    /* VFRCZPSrrY */
+  },
+  { /* 3772 */
+    105,
+    /* VFRCZSDrm */
+  },
+  { /* 3773 */
+    43,
+    /* VFRCZSDrr */
+  },
+  { /* 3774 */
+    351,
+    /* VFRCZSSrm */
+  },
+  { /* 3775 */
+    43,
+    /* VFRCZSSrr */
+  },
+  { /* 3776 */
     0,
     /*  */
   },
-  { /* 3759 */
-    394,
-    /* VGATHERDPDYrm */
-  },
-  { /* 3760 */
-    395,
-    /* VGATHERDPDZrm */
-  },
-  { /* 3761 */
-    396,
-    /* VGATHERDPDrm */
-  },
-  { /* 3762 */
-    397,
-    /* VGATHERDPSYrm */
-  },
-  { /* 3763 */
-    398,
-    /* VGATHERDPSZrm */
-  },
-  { /* 3764 */
-    399,
-    /* VGATHERDPSrm */
-  },
-  { /* 3765 */
-    394,
-    /* VGATHERQPDYrm */
-  },
-  { /* 3766 */
-    395,
-    /* VGATHERQPDZrm */
-  },
-  { /* 3767 */
-    396,
-    /* VGATHERQPDrm */
-  },
-  { /* 3768 */
-    399,
-    /* VGATHERQPSYrm */
-  },
-  { /* 3769 */
-    400,
-    /* VGATHERQPSZrm */
-  },
-  { /* 3770 */
-    399,
-    /* VGATHERQPSrm */
-  },
-  { /* 3771 */
-    284,
-    /* VHADDPDYrm */
-  },
-  { /* 3772 */
-    285,
-    /* VHADDPDYrr */
-  },
-  { /* 3773 */
-    289,
-    /* VHADDPDrm */
-  },
-  { /* 3774 */
-    290,
-    /* VHADDPDrr */
-  },
-  { /* 3775 */
-    284,
-    /* VHADDPSYrm */
-  },
-  { /* 3776 */
-    285,
-    /* VHADDPSYrr */
-  },
   { /* 3777 */
-    289,
-    /* VHADDPSrm */
+    0,
+    /*  */
   },
   { /* 3778 */
-    290,
-    /* VHADDPSrr */
+    0,
+    /*  */
   },
   { /* 3779 */
-    284,
-    /* VHSUBPDYrm */
+    0,
+    /*  */
   },
   { /* 3780 */
-    285,
-    /* VHSUBPDYrr */
+    0,
+    /*  */
   },
   { /* 3781 */
-    289,
-    /* VHSUBPDrm */
+    0,
+    /*  */
   },
   { /* 3782 */
-    290,
-    /* VHSUBPDrr */
+    0,
+    /*  */
   },
   { /* 3783 */
-    284,
-    /* VHSUBPSYrm */
+    0,
+    /*  */
   },
   { /* 3784 */
-    285,
-    /* VHSUBPSYrr */
+    0,
+    /*  */
   },
   { /* 3785 */
-    289,
-    /* VHSUBPSrm */
+    0,
+    /*  */
   },
   { /* 3786 */
-    290,
-    /* VHSUBPSrr */
+    0,
+    /*  */
   },
   { /* 3787 */
-    401,
-    /* VINSERTF128rm */
+    0,
+    /*  */
   },
   { /* 3788 */
-    402,
-    /* VINSERTF128rr */
+    0,
+    /*  */
   },
   { /* 3789 */
-    403,
-    /* VINSERTF32x4rm */
+    0,
+    /*  */
   },
   { /* 3790 */
-    404,
-    /* VINSERTF32x4rr */
+    0,
+    /*  */
   },
   { /* 3791 */
-    405,
-    /* VINSERTF64x4rm */
+    0,
+    /*  */
   },
   { /* 3792 */
-    406,
-    /* VINSERTF64x4rr */
+    461,
+    /* VGATHERDPDYrm */
   },
   { /* 3793 */
-    401,
-    /* VINSERTI128rm */
+    462,
+    /* VGATHERDPDZrm */
   },
   { /* 3794 */
-    402,
-    /* VINSERTI128rr */
+    463,
+    /* VGATHERDPDrm */
   },
   { /* 3795 */
-    403,
-    /* VINSERTI32x4rm */
+    464,
+    /* VGATHERDPSYrm */
   },
   { /* 3796 */
-    404,
-    /* VINSERTI32x4rr */
+    465,
+    /* VGATHERDPSZrm */
   },
   { /* 3797 */
-    405,
-    /* VINSERTI64x4rm */
+    466,
+    /* VGATHERDPSrm */
   },
   { /* 3798 */
-    406,
-    /* VINSERTI64x4rr */
+    467,
+    /* VGATHERPF0DPDm */
   },
   { /* 3799 */
-    407,
-    /* VINSERTPSrm */
+    468,
+    /* VGATHERPF0DPSm */
   },
   { /* 3800 */
-    305,
-    /* VINSERTPSrr */
+    469,
+    /* VGATHERPF0QPDm */
   },
   { /* 3801 */
-    407,
-    /* VINSERTPSzrm */
+    469,
+    /* VGATHERPF0QPSm */
   },
   { /* 3802 */
-    305,
-    /* VINSERTPSzrr */
+    467,
+    /* VGATHERPF1DPDm */
   },
   { /* 3803 */
-    336,
-    /* VLDDQUYrm */
+    468,
+    /* VGATHERPF1DPSm */
   },
   { /* 3804 */
+    469,
+    /* VGATHERPF1QPDm */
+  },
+  { /* 3805 */
+    469,
+    /* VGATHERPF1QPSm */
+  },
+  { /* 3806 */
+    461,
+    /* VGATHERQPDYrm */
+  },
+  { /* 3807 */
+    462,
+    /* VGATHERQPDZrm */
+  },
+  { /* 3808 */
+    463,
+    /* VGATHERQPDrm */
+  },
+  { /* 3809 */
+    466,
+    /* VGATHERQPSYrm */
+  },
+  { /* 3810 */
+    470,
+    /* VGATHERQPSZrm */
+  },
+  { /* 3811 */
+    466,
+    /* VGATHERQPSrm */
+  },
+  { /* 3812 */
+    303,
+    /* VHADDPDYrm */
+  },
+  { /* 3813 */
+    304,
+    /* VHADDPDYrr */
+  },
+  { /* 3814 */
+    311,
+    /* VHADDPDrm */
+  },
+  { /* 3815 */
+    312,
+    /* VHADDPDrr */
+  },
+  { /* 3816 */
+    303,
+    /* VHADDPSYrm */
+  },
+  { /* 3817 */
+    304,
+    /* VHADDPSYrr */
+  },
+  { /* 3818 */
+    311,
+    /* VHADDPSrm */
+  },
+  { /* 3819 */
+    312,
+    /* VHADDPSrr */
+  },
+  { /* 3820 */
+    303,
+    /* VHSUBPDYrm */
+  },
+  { /* 3821 */
+    304,
+    /* VHSUBPDYrr */
+  },
+  { /* 3822 */
+    311,
+    /* VHSUBPDrm */
+  },
+  { /* 3823 */
+    312,
+    /* VHSUBPDrr */
+  },
+  { /* 3824 */
+    303,
+    /* VHSUBPSYrm */
+  },
+  { /* 3825 */
+    304,
+    /* VHSUBPSYrr */
+  },
+  { /* 3826 */
+    311,
+    /* VHSUBPSrm */
+  },
+  { /* 3827 */
+    312,
+    /* VHSUBPSrr */
+  },
+  { /* 3828 */
+    471,
+    /* VINSERTF128rm */
+  },
+  { /* 3829 */
+    472,
+    /* VINSERTF128rr */
+  },
+  { /* 3830 */
+    473,
+    /* VINSERTF32x4rm */
+  },
+  { /* 3831 */
+    474,
+    /* VINSERTF32x4rr */
+  },
+  { /* 3832 */
+    475,
+    /* VINSERTF64x4rm */
+  },
+  { /* 3833 */
+    476,
+    /* VINSERTF64x4rr */
+  },
+  { /* 3834 */
+    471,
+    /* VINSERTI128rm */
+  },
+  { /* 3835 */
+    472,
+    /* VINSERTI128rr */
+  },
+  { /* 3836 */
+    473,
+    /* VINSERTI32x4rm */
+  },
+  { /* 3837 */
+    474,
+    /* VINSERTI32x4rr */
+  },
+  { /* 3838 */
+    475,
+    /* VINSERTI64x4rm */
+  },
+  { /* 3839 */
+    476,
+    /* VINSERTI64x4rr */
+  },
+  { /* 3840 */
+    477,
+    /* VINSERTPSrm */
+  },
+  { /* 3841 */
+    334,
+    /* VINSERTPSrr */
+  },
+  { /* 3842 */
+    478,
+    /* VINSERTPSzrm */
+  },
+  { /* 3843 */
+    479,
+    /* VINSERTPSzrr */
+  },
+  { /* 3844 */
+    375,
+    /* VLDDQUYrm */
+  },
+  { /* 3845 */
     42,
     /* VLDDQUrm */
   },
-  { /* 3805 */
+  { /* 3846 */
     40,
     /* VLDMXCSR */
   },
-  { /* 3806 */
+  { /* 3847 */
     43,
     /* VMASKMOVDQU */
   },
-  { /* 3807 */
-    0,
-    /*  */
-  },
-  { /* 3808 */
-    408,
-    /* VMASKMOVPDYmr */
-  },
-  { /* 3809 */
-    284,
-    /* VMASKMOVPDYrm */
-  },
-  { /* 3810 */
-    409,
-    /* VMASKMOVPDmr */
-  },
-  { /* 3811 */
-    289,
-    /* VMASKMOVPDrm */
-  },
-  { /* 3812 */
-    408,
-    /* VMASKMOVPSYmr */
-  },
-  { /* 3813 */
-    284,
-    /* VMASKMOVPSYrm */
-  },
-  { /* 3814 */
-    409,
-    /* VMASKMOVPSmr */
-  },
-  { /* 3815 */
-    289,
-    /* VMASKMOVPSrm */
-  },
-  { /* 3816 */
-    0,
-    /*  */
-  },
-  { /* 3817 */
-    0,
-    /*  */
-  },
-  { /* 3818 */
-    0,
-    /*  */
-  },
-  { /* 3819 */
-    0,
-    /*  */
-  },
-  { /* 3820 */
-    0,
-    /*  */
-  },
-  { /* 3821 */
-    0,
-    /*  */
-  },
-  { /* 3822 */
-    0,
-    /*  */
-  },
-  { /* 3823 */
-    0,
-    /*  */
-  },
-  { /* 3824 */
-    0,
-    /*  */
-  },
-  { /* 3825 */
-    0,
-    /*  */
-  },
-  { /* 3826 */
-    0,
-    /*  */
-  },
-  { /* 3827 */
-    0,
-    /*  */
-  },
-  { /* 3828 */
-    284,
-    /* VMAXPDYrm */
-  },
-  { /* 3829 */
-    285,
-    /* VMAXPDYrr */
-  },
-  { /* 3830 */
-    286,
-    /* VMAXPDZrm */
-  },
-  { /* 3831 */
-    287,
-    /* VMAXPDZrmb */
-  },
-  { /* 3832 */
-    288,
-    /* VMAXPDZrr */
-  },
-  { /* 3833 */
-    289,
-    /* VMAXPDrm */
-  },
-  { /* 3834 */
-    290,
-    /* VMAXPDrr */
-  },
-  { /* 3835 */
-    284,
-    /* VMAXPSYrm */
-  },
-  { /* 3836 */
-    285,
-    /* VMAXPSYrr */
-  },
-  { /* 3837 */
-    286,
-    /* VMAXPSZrm */
-  },
-  { /* 3838 */
-    291,
-    /* VMAXPSZrmb */
-  },
-  { /* 3839 */
-    288,
-    /* VMAXPSZrr */
-  },
-  { /* 3840 */
-    289,
-    /* VMAXPSrm */
-  },
-  { /* 3841 */
-    290,
-    /* VMAXPSrr */
-  },
-  { /* 3842 */
-    292,
-    /* VMAXSDZrm */
-  },
-  { /* 3843 */
-    293,
-    /* VMAXSDZrr */
-  },
-  { /* 3844 */
-    292,
-    /* VMAXSDrm */
-  },
-  { /* 3845 */
-    0,
-    /*  */
-  },
-  { /* 3846 */
-    293,
-    /* VMAXSDrr */
-  },
-  { /* 3847 */
-    0,
-    /*  */
-  },
   { /* 3848 */
-    294,
-    /* VMAXSSZrm */
+    0,
+    /*  */
   },
   { /* 3849 */
-    295,
-    /* VMAXSSZrr */
+    480,
+    /* VMASKMOVPDYmr */
   },
   { /* 3850 */
-    294,
-    /* VMAXSSrm */
+    303,
+    /* VMASKMOVPDYrm */
   },
   { /* 3851 */
-    0,
-    /*  */
+    481,
+    /* VMASKMOVPDmr */
   },
   { /* 3852 */
-    295,
-    /* VMAXSSrr */
+    311,
+    /* VMASKMOVPDrm */
   },
   { /* 3853 */
-    0,
-    /*  */
+    480,
+    /* VMASKMOVPSYmr */
   },
   { /* 3854 */
-    0,
-    /* VMCALL */
+    303,
+    /* VMASKMOVPSYrm */
   },
   { /* 3855 */
-    40,
-    /* VMCLEARm */
+    481,
+    /* VMASKMOVPSmr */
   },
   { /* 3856 */
-    0,
-    /* VMFUNC */
+    311,
+    /* VMASKMOVPSrm */
   },
   { /* 3857 */
     0,
@@ -20345,6326 +22312,9010 @@
     /*  */
   },
   { /* 3869 */
-    284,
-    /* VMINPDYrm */
+    303,
+    /* VMAXPDYrm */
   },
   { /* 3870 */
-    285,
-    /* VMINPDYrr */
+    304,
+    /* VMAXPDYrr */
   },
   { /* 3871 */
-    286,
-    /* VMINPDZrm */
+    305,
+    /* VMAXPDZrm */
   },
   { /* 3872 */
-    287,
-    /* VMINPDZrmb */
+    306,
+    /* VMAXPDZrmb */
   },
   { /* 3873 */
-    288,
-    /* VMINPDZrr */
+    307,
+    /* VMAXPDZrmbk */
   },
   { /* 3874 */
-    289,
-    /* VMINPDrm */
+    307,
+    /* VMAXPDZrmbkz */
   },
   { /* 3875 */
-    290,
-    /* VMINPDrr */
+    308,
+    /* VMAXPDZrmk */
   },
   { /* 3876 */
-    284,
-    /* VMINPSYrm */
+    308,
+    /* VMAXPDZrmkz */
   },
   { /* 3877 */
-    285,
-    /* VMINPSYrr */
+    309,
+    /* VMAXPDZrr */
   },
   { /* 3878 */
-    286,
-    /* VMINPSZrm */
+    310,
+    /* VMAXPDZrrk */
   },
   { /* 3879 */
-    291,
-    /* VMINPSZrmb */
+    310,
+    /* VMAXPDZrrkz */
   },
   { /* 3880 */
-    288,
-    /* VMINPSZrr */
+    311,
+    /* VMAXPDrm */
   },
   { /* 3881 */
-    289,
-    /* VMINPSrm */
+    312,
+    /* VMAXPDrr */
   },
   { /* 3882 */
-    290,
-    /* VMINPSrr */
+    303,
+    /* VMAXPSYrm */
   },
   { /* 3883 */
-    292,
-    /* VMINSDZrm */
+    304,
+    /* VMAXPSYrr */
   },
   { /* 3884 */
-    293,
-    /* VMINSDZrr */
+    305,
+    /* VMAXPSZrm */
   },
   { /* 3885 */
-    292,
-    /* VMINSDrm */
+    313,
+    /* VMAXPSZrmb */
   },
   { /* 3886 */
-    0,
-    /*  */
+    314,
+    /* VMAXPSZrmbk */
   },
   { /* 3887 */
-    293,
-    /* VMINSDrr */
+    314,
+    /* VMAXPSZrmbkz */
   },
   { /* 3888 */
-    0,
-    /*  */
+    315,
+    /* VMAXPSZrmk */
   },
   { /* 3889 */
-    294,
-    /* VMINSSZrm */
+    315,
+    /* VMAXPSZrmkz */
   },
   { /* 3890 */
-    295,
-    /* VMINSSZrr */
+    309,
+    /* VMAXPSZrr */
   },
   { /* 3891 */
-    294,
-    /* VMINSSrm */
+    316,
+    /* VMAXPSZrrk */
   },
   { /* 3892 */
-    0,
-    /*  */
+    316,
+    /* VMAXPSZrrkz */
   },
   { /* 3893 */
-    295,
-    /* VMINSSrr */
+    311,
+    /* VMAXPSrm */
   },
   { /* 3894 */
-    0,
-    /*  */
+    312,
+    /* VMAXPSrr */
   },
   { /* 3895 */
-    0,
-    /* VMLAUNCH */
+    317,
+    /* VMAXSDZrm */
   },
   { /* 3896 */
-    0,
-    /* VMLOAD32 */
+    318,
+    /* VMAXSDZrr */
   },
   { /* 3897 */
-    0,
-    /* VMLOAD64 */
+    319,
+    /* VMAXSDrm */
   },
   { /* 3898 */
     0,
-    /* VMMCALL */
+    /*  */
   },
   { /* 3899 */
-    221,
-    /* VMOV64toPQIZrr */
+    320,
+    /* VMAXSDrr */
   },
   { /* 3900 */
-    221,
-    /* VMOV64toPQIrr */
+    0,
+    /*  */
   },
   { /* 3901 */
-    0,
-    /*  */
+    321,
+    /* VMAXSSZrm */
   },
   { /* 3902 */
-    0,
-    /*  */
+    322,
+    /* VMAXSSZrr */
   },
   { /* 3903 */
+    323,
+    /* VMAXSSrm */
+  },
+  { /* 3904 */
     0,
     /*  */
   },
-  { /* 3904 */
-    410,
-    /* VMOVAPDYmr */
-  },
   { /* 3905 */
-    336,
-    /* VMOVAPDYrm */
+    324,
+    /* VMAXSSrr */
   },
   { /* 3906 */
-    337,
-    /* VMOVAPDYrr */
+    0,
+    /*  */
   },
   { /* 3907 */
-    411,
-    /* VMOVAPDYrr_REV */
+    0,
+    /* VMCALL */
   },
   { /* 3908 */
-    412,
-    /* VMOVAPDZmr */
+    40,
+    /* VMCLEARm */
   },
   { /* 3909 */
-    338,
-    /* VMOVAPDZrm */
+    0,
+    /* VMFUNC */
   },
   { /* 3910 */
-    413,
-    /* VMOVAPDZrmk */
+    0,
+    /*  */
   },
   { /* 3911 */
-    339,
-    /* VMOVAPDZrr */
+    0,
+    /*  */
   },
   { /* 3912 */
-    414,
-    /* VMOVAPDZrrk */
+    0,
+    /*  */
   },
   { /* 3913 */
-    223,
-    /* VMOVAPDmr */
+    0,
+    /*  */
   },
   { /* 3914 */
+    0,
+    /*  */
+  },
+  { /* 3915 */
+    0,
+    /*  */
+  },
+  { /* 3916 */
+    0,
+    /*  */
+  },
+  { /* 3917 */
+    0,
+    /*  */
+  },
+  { /* 3918 */
+    0,
+    /*  */
+  },
+  { /* 3919 */
+    0,
+    /*  */
+  },
+  { /* 3920 */
+    0,
+    /*  */
+  },
+  { /* 3921 */
+    0,
+    /*  */
+  },
+  { /* 3922 */
+    303,
+    /* VMINPDYrm */
+  },
+  { /* 3923 */
+    304,
+    /* VMINPDYrr */
+  },
+  { /* 3924 */
+    305,
+    /* VMINPDZrm */
+  },
+  { /* 3925 */
+    306,
+    /* VMINPDZrmb */
+  },
+  { /* 3926 */
+    307,
+    /* VMINPDZrmbk */
+  },
+  { /* 3927 */
+    307,
+    /* VMINPDZrmbkz */
+  },
+  { /* 3928 */
+    308,
+    /* VMINPDZrmk */
+  },
+  { /* 3929 */
+    308,
+    /* VMINPDZrmkz */
+  },
+  { /* 3930 */
+    309,
+    /* VMINPDZrr */
+  },
+  { /* 3931 */
+    310,
+    /* VMINPDZrrk */
+  },
+  { /* 3932 */
+    310,
+    /* VMINPDZrrkz */
+  },
+  { /* 3933 */
+    311,
+    /* VMINPDrm */
+  },
+  { /* 3934 */
+    312,
+    /* VMINPDrr */
+  },
+  { /* 3935 */
+    303,
+    /* VMINPSYrm */
+  },
+  { /* 3936 */
+    304,
+    /* VMINPSYrr */
+  },
+  { /* 3937 */
+    305,
+    /* VMINPSZrm */
+  },
+  { /* 3938 */
+    313,
+    /* VMINPSZrmb */
+  },
+  { /* 3939 */
+    314,
+    /* VMINPSZrmbk */
+  },
+  { /* 3940 */
+    314,
+    /* VMINPSZrmbkz */
+  },
+  { /* 3941 */
+    315,
+    /* VMINPSZrmk */
+  },
+  { /* 3942 */
+    315,
+    /* VMINPSZrmkz */
+  },
+  { /* 3943 */
+    309,
+    /* VMINPSZrr */
+  },
+  { /* 3944 */
+    316,
+    /* VMINPSZrrk */
+  },
+  { /* 3945 */
+    316,
+    /* VMINPSZrrkz */
+  },
+  { /* 3946 */
+    311,
+    /* VMINPSrm */
+  },
+  { /* 3947 */
+    312,
+    /* VMINPSrr */
+  },
+  { /* 3948 */
+    317,
+    /* VMINSDZrm */
+  },
+  { /* 3949 */
+    318,
+    /* VMINSDZrr */
+  },
+  { /* 3950 */
+    319,
+    /* VMINSDrm */
+  },
+  { /* 3951 */
+    0,
+    /*  */
+  },
+  { /* 3952 */
+    320,
+    /* VMINSDrr */
+  },
+  { /* 3953 */
+    0,
+    /*  */
+  },
+  { /* 3954 */
+    321,
+    /* VMINSSZrm */
+  },
+  { /* 3955 */
+    322,
+    /* VMINSSZrr */
+  },
+  { /* 3956 */
+    323,
+    /* VMINSSrm */
+  },
+  { /* 3957 */
+    0,
+    /*  */
+  },
+  { /* 3958 */
+    324,
+    /* VMINSSrr */
+  },
+  { /* 3959 */
+    0,
+    /*  */
+  },
+  { /* 3960 */
+    0,
+    /* VMLAUNCH */
+  },
+  { /* 3961 */
+    0,
+    /* VMLOAD32 */
+  },
+  { /* 3962 */
+    0,
+    /* VMLOAD64 */
+  },
+  { /* 3963 */
+    0,
+    /* VMMCALL */
+  },
+  { /* 3964 */
+    482,
+    /* VMOV64toPQIZrr */
+  },
+  { /* 3965 */
+    240,
+    /* VMOV64toPQIrr */
+  },
+  { /* 3966 */
+    0,
+    /*  */
+  },
+  { /* 3967 */
+    0,
+    /*  */
+  },
+  { /* 3968 */
+    0,
+    /*  */
+  },
+  { /* 3969 */
+    483,
+    /* VMOVAPDYmr */
+  },
+  { /* 3970 */
+    375,
+    /* VMOVAPDYrm */
+  },
+  { /* 3971 */
+    376,
+    /* VMOVAPDYrr */
+  },
+  { /* 3972 */
+    484,
+    /* VMOVAPDYrr_REV */
+  },
+  { /* 3973 */
+    485,
+    /* VMOVAPDZ128mr */
+  },
+  { /* 3974 */
+    486,
+    /* VMOVAPDZ128mrk */
+  },
+  { /* 3975 */
+    487,
+    /* VMOVAPDZ128rm */
+  },
+  { /* 3976 */
+    488,
+    /* VMOVAPDZ128rmk */
+  },
+  { /* 3977 */
+    489,
+    /* VMOVAPDZ128rmkz */
+  },
+  { /* 3978 */
+    490,
+    /* VMOVAPDZ128rr */
+  },
+  { /* 3979 */
+    0,
+    /*  */
+  },
+  { /* 3980 */
+    491,
+    /* VMOVAPDZ128rrk */
+  },
+  { /* 3981 */
+    0,
+    /*  */
+  },
+  { /* 3982 */
+    492,
+    /* VMOVAPDZ128rrkz */
+  },
+  { /* 3983 */
+    0,
+    /*  */
+  },
+  { /* 3984 */
+    493,
+    /* VMOVAPDZ256mr */
+  },
+  { /* 3985 */
+    494,
+    /* VMOVAPDZ256mrk */
+  },
+  { /* 3986 */
+    495,
+    /* VMOVAPDZ256rm */
+  },
+  { /* 3987 */
+    496,
+    /* VMOVAPDZ256rmk */
+  },
+  { /* 3988 */
+    497,
+    /* VMOVAPDZ256rmkz */
+  },
+  { /* 3989 */
+    498,
+    /* VMOVAPDZ256rr */
+  },
+  { /* 3990 */
+    0,
+    /*  */
+  },
+  { /* 3991 */
+    499,
+    /* VMOVAPDZ256rrk */
+  },
+  { /* 3992 */
+    0,
+    /*  */
+  },
+  { /* 3993 */
+    500,
+    /* VMOVAPDZ256rrkz */
+  },
+  { /* 3994 */
+    0,
+    /*  */
+  },
+  { /* 3995 */
+    501,
+    /* VMOVAPDZmr */
+  },
+  { /* 3996 */
+    502,
+    /* VMOVAPDZmrk */
+  },
+  { /* 3997 */
+    377,
+    /* VMOVAPDZrm */
+  },
+  { /* 3998 */
+    503,
+    /* VMOVAPDZrmk */
+  },
+  { /* 3999 */
+    504,
+    /* VMOVAPDZrmkz */
+  },
+  { /* 4000 */
+    378,
+    /* VMOVAPDZrr */
+  },
+  { /* 4001 */
+    0,
+    /*  */
+  },
+  { /* 4002 */
+    505,
+    /* VMOVAPDZrrk */
+  },
+  { /* 4003 */
+    0,
+    /*  */
+  },
+  { /* 4004 */
+    506,
+    /* VMOVAPDZrrkz */
+  },
+  { /* 4005 */
+    0,
+    /*  */
+  },
+  { /* 4006 */
+    242,
+    /* VMOVAPDmr */
+  },
+  { /* 4007 */
     42,
     /* VMOVAPDrm */
   },
-  { /* 3915 */
+  { /* 4008 */
     43,
     /* VMOVAPDrr */
   },
-  { /* 3916 */
-    224,
+  { /* 4009 */
+    243,
     /* VMOVAPDrr_REV */
   },
-  { /* 3917 */
-    410,
+  { /* 4010 */
+    483,
     /* VMOVAPSYmr */
   },
-  { /* 3918 */
-    336,
+  { /* 4011 */
+    375,
     /* VMOVAPSYrm */
   },
-  { /* 3919 */
-    337,
+  { /* 4012 */
+    376,
     /* VMOVAPSYrr */
   },
-  { /* 3920 */
-    411,
+  { /* 4013 */
+    484,
     /* VMOVAPSYrr_REV */
   },
-  { /* 3921 */
-    412,
-    /* VMOVAPSZmr */
-  },
-  { /* 3922 */
-    338,
-    /* VMOVAPSZrm */
-  },
-  { /* 3923 */
-    415,
-    /* VMOVAPSZrmk */
-  },
-  { /* 3924 */
-    339,
-    /* VMOVAPSZrr */
-  },
-  { /* 3925 */
-    416,
-    /* VMOVAPSZrrk */
-  },
-  { /* 3926 */
-    223,
-    /* VMOVAPSmr */
-  },
-  { /* 3927 */
-    42,
-    /* VMOVAPSrm */
-  },
-  { /* 3928 */
-    43,
-    /* VMOVAPSrr */
-  },
-  { /* 3929 */
-    224,
-    /* VMOVAPSrr_REV */
-  },
-  { /* 3930 */
-    336,
-    /* VMOVDDUPYrm */
-  },
-  { /* 3931 */
-    337,
-    /* VMOVDDUPYrr */
-  },
-  { /* 3932 */
-    338,
-    /* VMOVDDUPZrm */
-  },
-  { /* 3933 */
-    339,
-    /* VMOVDDUPZrr */
-  },
-  { /* 3934 */
-    105,
-    /* VMOVDDUPrm */
-  },
-  { /* 3935 */
-    43,
-    /* VMOVDDUPrr */
-  },
-  { /* 3936 */
-    104,
-    /* VMOVDI2PDIZrm */
-  },
-  { /* 3937 */
-    225,
-    /* VMOVDI2PDIZrr */
-  },
-  { /* 3938 */
-    104,
-    /* VMOVDI2PDIrm */
-  },
-  { /* 3939 */
-    225,
-    /* VMOVDI2PDIrr */
-  },
-  { /* 3940 */
-    0,
-    /*  */
-  },
-  { /* 3941 */
-    0,
-    /*  */
-  },
-  { /* 3942 */
-    0,
-    /*  */
-  },
-  { /* 3943 */
-    0,
-    /*  */
-  },
-  { /* 3944 */
-    412,
-    /* VMOVDQA32mr */
-  },
-  { /* 3945 */
-    338,
-    /* VMOVDQA32rm */
-  },
-  { /* 3946 */
-    339,
-    /* VMOVDQA32rr */
-  },
-  { /* 3947 */
-    412,
-    /* VMOVDQA64mr */
-  },
-  { /* 3948 */
-    338,
-    /* VMOVDQA64rm */
-  },
-  { /* 3949 */
-    339,
-    /* VMOVDQA64rr */
-  },
-  { /* 3950 */
-    410,
-    /* VMOVDQAYmr */
-  },
-  { /* 3951 */
-    336,
-    /* VMOVDQAYrm */
-  },
-  { /* 3952 */
-    337,
-    /* VMOVDQAYrr */
-  },
-  { /* 3953 */
-    411,
-    /* VMOVDQAYrr_REV */
-  },
-  { /* 3954 */
-    223,
-    /* VMOVDQAmr */
-  },
-  { /* 3955 */
-    42,
-    /* VMOVDQArm */
-  },
-  { /* 3956 */
-    43,
-    /* VMOVDQArr */
-  },
-  { /* 3957 */
-    224,
-    /* VMOVDQArr_REV */
-  },
-  { /* 3958 */
-    412,
-    /* VMOVDQU32mr */
-  },
-  { /* 3959 */
-    338,
-    /* VMOVDQU32rm */
-  },
-  { /* 3960 */
-    415,
-    /* VMOVDQU32rmk */
-  },
-  { /* 3961 */
-    339,
-    /* VMOVDQU32rr */
-  },
-  { /* 3962 */
-    416,
-    /* VMOVDQU32rrk */
-  },
-  { /* 3963 */
-    417,
-    /* VMOVDQU32rrkz */
-  },
-  { /* 3964 */
-    412,
-    /* VMOVDQU64mr */
-  },
-  { /* 3965 */
-    338,
-    /* VMOVDQU64rm */
-  },
-  { /* 3966 */
-    413,
-    /* VMOVDQU64rmk */
-  },
-  { /* 3967 */
-    339,
-    /* VMOVDQU64rr */
-  },
-  { /* 3968 */
-    414,
-    /* VMOVDQU64rrk */
-  },
-  { /* 3969 */
-    418,
-    /* VMOVDQU64rrkz */
-  },
-  { /* 3970 */
-    410,
-    /* VMOVDQUYmr */
-  },
-  { /* 3971 */
-    336,
-    /* VMOVDQUYrm */
-  },
-  { /* 3972 */
-    337,
-    /* VMOVDQUYrr */
-  },
-  { /* 3973 */
-    411,
-    /* VMOVDQUYrr_REV */
-  },
-  { /* 3974 */
-    223,
-    /* VMOVDQUmr */
-  },
-  { /* 3975 */
-    42,
-    /* VMOVDQUrm */
-  },
-  { /* 3976 */
-    43,
-    /* VMOVDQUrr */
-  },
-  { /* 3977 */
-    224,
-    /* VMOVDQUrr_REV */
-  },
-  { /* 3978 */
-    290,
-    /* VMOVHLPSZrr */
-  },
-  { /* 3979 */
-    290,
-    /* VMOVHLPSrr */
-  },
-  { /* 3980 */
-    226,
-    /* VMOVHPDmr */
-  },
-  { /* 3981 */
-    419,
-    /* VMOVHPDrm */
-  },
-  { /* 3982 */
-    226,
-    /* VMOVHPSmr */
-  },
-  { /* 3983 */
-    419,
-    /* VMOVHPSrm */
-  },
-  { /* 3984 */
-    290,
-    /* VMOVLHPSZrr */
-  },
-  { /* 3985 */
-    290,
-    /* VMOVLHPSrr */
-  },
-  { /* 3986 */
-    226,
-    /* VMOVLPDmr */
-  },
-  { /* 3987 */
-    419,
-    /* VMOVLPDrm */
-  },
-  { /* 3988 */
-    226,
-    /* VMOVLPSmr */
-  },
-  { /* 3989 */
-    419,
-    /* VMOVLPSrm */
-  },
-  { /* 3990 */
-    420,
-    /* VMOVMSKPDYrr */
-  },
-  { /* 3991 */
-    109,
-    /* VMOVMSKPDrr */
-  },
-  { /* 3992 */
-    420,
-    /* VMOVMSKPSYrr */
-  },
-  { /* 3993 */
-    109,
-    /* VMOVMSKPSrr */
-  },
-  { /* 3994 */
-    336,
-    /* VMOVNTDQAYrm */
-  },
-  { /* 3995 */
-    42,
-    /* VMOVNTDQArm */
-  },
-  { /* 3996 */
-    410,
-    /* VMOVNTDQYmr */
-  },
-  { /* 3997 */
-    223,
-    /* VMOVNTDQmr */
-  },
-  { /* 3998 */
-    410,
-    /* VMOVNTPDYmr */
-  },
-  { /* 3999 */
-    223,
-    /* VMOVNTPDmr */
-  },
-  { /* 4000 */
-    410,
-    /* VMOVNTPSYmr */
-  },
-  { /* 4001 */
-    223,
-    /* VMOVNTPSmr */
-  },
-  { /* 4002 */
-    230,
-    /* VMOVPDI2DIZmr */
-  },
-  { /* 4003 */
-    231,
-    /* VMOVPDI2DIZrr */
-  },
-  { /* 4004 */
-    230,
-    /* VMOVPDI2DImr */
-  },
-  { /* 4005 */
-    231,
-    /* VMOVPDI2DIrr */
-  },
-  { /* 4006 */
-    230,
-    /* VMOVPQI2QImr */
-  },
-  { /* 4007 */
-    224,
-    /* VMOVPQI2QIrr */
-  },
-  { /* 4008 */
-    230,
-    /* VMOVPQIto64Zmr */
-  },
-  { /* 4009 */
-    232,
-    /* VMOVPQIto64Zrr */
-  },
-  { /* 4010 */
-    232,
-    /* VMOVPQIto64rr */
-  },
-  { /* 4011 */
-    104,
-    /* VMOVQI2PQIZrm */
-  },
-  { /* 4012 */
-    104,
-    /* VMOVQI2PQIrm */
-  },
-  { /* 4013 */
-    233,
-    /* VMOVSDZmr */
-  },
   { /* 4014 */
-    234,
-    /* VMOVSDZrm */
+    485,
+    /* VMOVAPSZ128mr */
   },
   { /* 4015 */
-    421,
-    /* VMOVSDZrr */
+    507,
+    /* VMOVAPSZ128mrk */
   },
   { /* 4016 */
-    422,
-    /* VMOVSDZrr_REV */
+    487,
+    /* VMOVAPSZ128rm */
   },
   { /* 4017 */
-    423,
-    /* VMOVSDZrrk */
+    508,
+    /* VMOVAPSZ128rmk */
   },
   { /* 4018 */
-    233,
-    /* VMOVSDmr */
+    509,
+    /* VMOVAPSZ128rmkz */
   },
   { /* 4019 */
-    234,
-    /* VMOVSDrm */
+    490,
+    /* VMOVAPSZ128rr */
   },
   { /* 4020 */
-    421,
-    /* VMOVSDrr */
-  },
-  { /* 4021 */
-    422,
-    /* VMOVSDrr_REV */
-  },
-  { /* 4022 */
-    424,
-    /* VMOVSDto64Zmr */
-  },
-  { /* 4023 */
     0,
     /*  */
   },
+  { /* 4021 */
+    510,
+    /* VMOVAPSZ128rrk */
+  },
+  { /* 4022 */
+    0,
+    /*  */
+  },
+  { /* 4023 */
+    511,
+    /* VMOVAPSZ128rrkz */
+  },
   { /* 4024 */
     0,
     /*  */
   },
   { /* 4025 */
-    0,
-    /*  */
+    493,
+    /* VMOVAPSZ256mr */
   },
   { /* 4026 */
-    336,
-    /* VMOVSHDUPYrm */
+    512,
+    /* VMOVAPSZ256mrk */
   },
   { /* 4027 */
-    337,
-    /* VMOVSHDUPYrr */
+    495,
+    /* VMOVAPSZ256rm */
   },
   { /* 4028 */
-    338,
-    /* VMOVSHDUPZrm */
+    513,
+    /* VMOVAPSZ256rmk */
   },
   { /* 4029 */
-    339,
-    /* VMOVSHDUPZrr */
+    514,
+    /* VMOVAPSZ256rmkz */
   },
   { /* 4030 */
-    42,
-    /* VMOVSHDUPrm */
+    498,
+    /* VMOVAPSZ256rr */
   },
   { /* 4031 */
-    43,
-    /* VMOVSHDUPrr */
+    0,
+    /*  */
   },
   { /* 4032 */
-    336,
-    /* VMOVSLDUPYrm */
+    515,
+    /* VMOVAPSZ256rrk */
   },
   { /* 4033 */
-    337,
-    /* VMOVSLDUPYrr */
+    0,
+    /*  */
   },
   { /* 4034 */
-    338,
-    /* VMOVSLDUPZrm */
+    516,
+    /* VMOVAPSZ256rrkz */
   },
   { /* 4035 */
-    339,
-    /* VMOVSLDUPZrr */
+    0,
+    /*  */
   },
   { /* 4036 */
-    42,
-    /* VMOVSLDUPrm */
+    501,
+    /* VMOVAPSZmr */
   },
   { /* 4037 */
-    43,
-    /* VMOVSLDUPrr */
+    517,
+    /* VMOVAPSZmrk */
   },
   { /* 4038 */
-    0,
-    /*  */
+    377,
+    /* VMOVAPSZrm */
   },
   { /* 4039 */
-    0,
-    /*  */
+    518,
+    /* VMOVAPSZrmk */
   },
   { /* 4040 */
-    0,
-    /*  */
+    519,
+    /* VMOVAPSZrmkz */
   },
   { /* 4041 */
-    0,
-    /*  */
+    378,
+    /* VMOVAPSZrr */
   },
   { /* 4042 */
-    237,
-    /* VMOVSSZmr */
+    0,
+    /*  */
   },
   { /* 4043 */
-    238,
-    /* VMOVSSZrm */
+    520,
+    /* VMOVAPSZrrk */
   },
   { /* 4044 */
-    425,
-    /* VMOVSSZrr */
+    0,
+    /*  */
   },
   { /* 4045 */
-    426,
-    /* VMOVSSZrr_REV */
+    521,
+    /* VMOVAPSZrrkz */
   },
   { /* 4046 */
-    427,
-    /* VMOVSSZrrk */
+    0,
+    /*  */
   },
   { /* 4047 */
-    237,
-    /* VMOVSSmr */
+    242,
+    /* VMOVAPSmr */
   },
   { /* 4048 */
-    238,
-    /* VMOVSSrm */
+    42,
+    /* VMOVAPSrm */
   },
   { /* 4049 */
-    425,
-    /* VMOVSSrr */
+    43,
+    /* VMOVAPSrr */
   },
   { /* 4050 */
-    426,
-    /* VMOVSSrr_REV */
+    243,
+    /* VMOVAPSrr_REV */
   },
   { /* 4051 */
-    410,
-    /* VMOVUPDYmr */
+    375,
+    /* VMOVDDUPYrm */
   },
   { /* 4052 */
-    336,
-    /* VMOVUPDYrm */
+    376,
+    /* VMOVDDUPYrr */
   },
   { /* 4053 */
-    337,
-    /* VMOVUPDYrr */
+    377,
+    /* VMOVDDUPZrm */
   },
   { /* 4054 */
-    411,
-    /* VMOVUPDYrr_REV */
+    378,
+    /* VMOVDDUPZrr */
   },
   { /* 4055 */
-    412,
-    /* VMOVUPDZmr */
+    105,
+    /* VMOVDDUPrm */
   },
   { /* 4056 */
-    338,
-    /* VMOVUPDZrm */
+    43,
+    /* VMOVDDUPrr */
   },
   { /* 4057 */
-    413,
-    /* VMOVUPDZrmk */
+    522,
+    /* VMOVDI2PDIZrm */
   },
   { /* 4058 */
-    339,
-    /* VMOVUPDZrr */
+    523,
+    /* VMOVDI2PDIZrr */
   },
   { /* 4059 */
-    414,
-    /* VMOVUPDZrrk */
+    104,
+    /* VMOVDI2PDIrm */
   },
   { /* 4060 */
-    223,
-    /* VMOVUPDmr */
+    244,
+    /* VMOVDI2PDIrr */
   },
   { /* 4061 */
-    42,
-    /* VMOVUPDrm */
+    0,
+    /*  */
   },
   { /* 4062 */
-    43,
-    /* VMOVUPDrr */
+    0,
+    /*  */
   },
   { /* 4063 */
-    224,
-    /* VMOVUPDrr_REV */
+    0,
+    /*  */
   },
   { /* 4064 */
-    410,
-    /* VMOVUPSYmr */
+    0,
+    /*  */
   },
   { /* 4065 */
-    336,
-    /* VMOVUPSYrm */
+    485,
+    /* VMOVDQA32Z128mr */
   },
   { /* 4066 */
-    337,
-    /* VMOVUPSYrr */
+    507,
+    /* VMOVDQA32Z128mrk */
   },
   { /* 4067 */
-    411,
-    /* VMOVUPSYrr_REV */
+    487,
+    /* VMOVDQA32Z128rm */
   },
   { /* 4068 */
-    412,
-    /* VMOVUPSZmr */
+    508,
+    /* VMOVDQA32Z128rmk */
   },
   { /* 4069 */
-    338,
-    /* VMOVUPSZrm */
+    509,
+    /* VMOVDQA32Z128rmkz */
   },
   { /* 4070 */
-    415,
-    /* VMOVUPSZrmk */
+    490,
+    /* VMOVDQA32Z128rr */
   },
   { /* 4071 */
-    339,
-    /* VMOVUPSZrr */
+    0,
+    /*  */
   },
   { /* 4072 */
-    416,
-    /* VMOVUPSZrrk */
+    510,
+    /* VMOVDQA32Z128rrk */
   },
   { /* 4073 */
-    223,
-    /* VMOVUPSmr */
+    0,
+    /*  */
   },
   { /* 4074 */
-    42,
-    /* VMOVUPSrm */
+    511,
+    /* VMOVDQA32Z128rrkz */
   },
   { /* 4075 */
-    43,
-    /* VMOVUPSrr */
+    0,
+    /*  */
   },
   { /* 4076 */
-    224,
-    /* VMOVUPSrr_REV */
+    493,
+    /* VMOVDQA32Z256mr */
   },
   { /* 4077 */
-    42,
-    /* VMOVZPQILo2PQIZrm */
+    512,
+    /* VMOVDQA32Z256mrk */
   },
   { /* 4078 */
-    43,
-    /* VMOVZPQILo2PQIZrr */
+    495,
+    /* VMOVDQA32Z256rm */
   },
   { /* 4079 */
-    0,
-    /*  */
+    513,
+    /* VMOVDQA32Z256rmk */
   },
   { /* 4080 */
-    43,
-    /* VMOVZPQILo2PQIrr */
+    514,
+    /* VMOVDQA32Z256rmkz */
   },
   { /* 4081 */
-    0,
-    /*  */
+    498,
+    /* VMOVDQA32Z256rr */
   },
   { /* 4082 */
     0,
     /*  */
   },
   { /* 4083 */
-    302,
-    /* VMPSADBWYrmi */
+    515,
+    /* VMOVDQA32Z256rrk */
   },
   { /* 4084 */
-    303,
-    /* VMPSADBWYrri */
+    0,
+    /*  */
   },
   { /* 4085 */
-    304,
-    /* VMPSADBWrmi */
+    516,
+    /* VMOVDQA32Z256rrkz */
   },
   { /* 4086 */
-    305,
-    /* VMPSADBWrri */
+    0,
+    /*  */
   },
   { /* 4087 */
-    40,
-    /* VMPTRLDm */
+    501,
+    /* VMOVDQA32Zmr */
   },
   { /* 4088 */
-    40,
-    /* VMPTRSTm */
+    517,
+    /* VMOVDQA32Zmrk */
   },
   { /* 4089 */
-    228,
-    /* VMREAD32rm */
+    377,
+    /* VMOVDQA32Zrm */
   },
   { /* 4090 */
-    428,
-    /* VMREAD32rr */
+    518,
+    /* VMOVDQA32Zrmk */
   },
   { /* 4091 */
-    16,
-    /* VMREAD64rm */
+    519,
+    /* VMOVDQA32Zrmkz */
   },
   { /* 4092 */
-    74,
-    /* VMREAD64rr */
+    378,
+    /* VMOVDQA32Zrr */
   },
   { /* 4093 */
     0,
-    /* VMRESUME */
+    /*  */
   },
   { /* 4094 */
-    0,
-    /* VMRUN32 */
+    520,
+    /* VMOVDQA32Zrrk */
   },
   { /* 4095 */
     0,
-    /* VMRUN64 */
+    /*  */
   },
   { /* 4096 */
-    0,
-    /* VMSAVE32 */
+    521,
+    /* VMOVDQA32Zrrkz */
   },
   { /* 4097 */
     0,
-    /* VMSAVE64 */
+    /*  */
   },
   { /* 4098 */
-    284,
-    /* VMULPDYrm */
+    485,
+    /* VMOVDQA64Z128mr */
   },
   { /* 4099 */
-    285,
-    /* VMULPDYrr */
+    486,
+    /* VMOVDQA64Z128mrk */
   },
   { /* 4100 */
-    286,
-    /* VMULPDZrm */
+    487,
+    /* VMOVDQA64Z128rm */
   },
   { /* 4101 */
-    287,
-    /* VMULPDZrmb */
+    488,
+    /* VMOVDQA64Z128rmk */
   },
   { /* 4102 */
-    288,
-    /* VMULPDZrr */
+    489,
+    /* VMOVDQA64Z128rmkz */
   },
   { /* 4103 */
-    289,
-    /* VMULPDrm */
+    490,
+    /* VMOVDQA64Z128rr */
   },
   { /* 4104 */
-    290,
-    /* VMULPDrr */
+    0,
+    /*  */
   },
   { /* 4105 */
-    284,
-    /* VMULPSYrm */
+    491,
+    /* VMOVDQA64Z128rrk */
   },
   { /* 4106 */
-    285,
-    /* VMULPSYrr */
+    0,
+    /*  */
   },
   { /* 4107 */
-    286,
-    /* VMULPSZrm */
+    492,
+    /* VMOVDQA64Z128rrkz */
   },
   { /* 4108 */
-    291,
-    /* VMULPSZrmb */
+    0,
+    /*  */
   },
   { /* 4109 */
-    288,
-    /* VMULPSZrr */
+    493,
+    /* VMOVDQA64Z256mr */
   },
   { /* 4110 */
-    289,
-    /* VMULPSrm */
+    494,
+    /* VMOVDQA64Z256mrk */
   },
   { /* 4111 */
-    290,
-    /* VMULPSrr */
+    495,
+    /* VMOVDQA64Z256rm */
   },
   { /* 4112 */
-    292,
-    /* VMULSDZrm */
+    496,
+    /* VMOVDQA64Z256rmk */
   },
   { /* 4113 */
-    293,
-    /* VMULSDZrr */
+    497,
+    /* VMOVDQA64Z256rmkz */
   },
   { /* 4114 */
-    292,
-    /* VMULSDrm */
+    498,
+    /* VMOVDQA64Z256rr */
   },
   { /* 4115 */
     0,
     /*  */
   },
   { /* 4116 */
-    293,
-    /* VMULSDrr */
+    499,
+    /* VMOVDQA64Z256rrk */
   },
   { /* 4117 */
     0,
     /*  */
   },
   { /* 4118 */
-    294,
-    /* VMULSSZrm */
+    500,
+    /* VMOVDQA64Z256rrkz */
   },
   { /* 4119 */
-    295,
-    /* VMULSSZrr */
+    0,
+    /*  */
   },
   { /* 4120 */
-    294,
-    /* VMULSSrm */
+    501,
+    /* VMOVDQA64Zmr */
   },
   { /* 4121 */
-    0,
-    /*  */
+    502,
+    /* VMOVDQA64Zmrk */
   },
   { /* 4122 */
-    295,
-    /* VMULSSrr */
+    377,
+    /* VMOVDQA64Zrm */
   },
   { /* 4123 */
+    503,
+    /* VMOVDQA64Zrmk */
+  },
+  { /* 4124 */
+    504,
+    /* VMOVDQA64Zrmkz */
+  },
+  { /* 4125 */
+    378,
+    /* VMOVDQA64Zrr */
+  },
+  { /* 4126 */
     0,
     /*  */
   },
-  { /* 4124 */
-    28,
-    /* VMWRITE32rm */
-  },
-  { /* 4125 */
-    29,
-    /* VMWRITE32rr */
-  },
-  { /* 4126 */
-    30,
-    /* VMWRITE64rm */
-  },
   { /* 4127 */
-    31,
-    /* VMWRITE64rr */
+    505,
+    /* VMOVDQA64Zrrk */
   },
   { /* 4128 */
     0,
-    /* VMXOFF */
+    /*  */
   },
   { /* 4129 */
-    40,
-    /* VMXON */
+    506,
+    /* VMOVDQA64Zrrkz */
   },
   { /* 4130 */
-    284,
-    /* VORPDYrm */
+    0,
+    /*  */
   },
   { /* 4131 */
-    285,
-    /* VORPDYrr */
+    483,
+    /* VMOVDQAYmr */
   },
   { /* 4132 */
-    289,
-    /* VORPDrm */
+    375,
+    /* VMOVDQAYrm */
   },
   { /* 4133 */
-    290,
-    /* VORPDrr */
+    376,
+    /* VMOVDQAYrr */
   },
   { /* 4134 */
-    284,
-    /* VORPSYrm */
+    484,
+    /* VMOVDQAYrr_REV */
   },
   { /* 4135 */
-    285,
-    /* VORPSYrr */
+    242,
+    /* VMOVDQAmr */
   },
   { /* 4136 */
-    289,
-    /* VORPSrm */
+    42,
+    /* VMOVDQArm */
   },
   { /* 4137 */
-    290,
-    /* VORPSrr */
+    43,
+    /* VMOVDQArr */
   },
   { /* 4138 */
-    42,
-    /* VPABSBrm128 */
+    243,
+    /* VMOVDQArr_REV */
   },
   { /* 4139 */
-    336,
-    /* VPABSBrm256 */
+    485,
+    /* VMOVDQU16Z128mr */
   },
   { /* 4140 */
-    43,
-    /* VPABSBrr128 */
+    524,
+    /* VMOVDQU16Z128mrk */
   },
   { /* 4141 */
-    337,
-    /* VPABSBrr256 */
+    487,
+    /* VMOVDQU16Z128rm */
   },
   { /* 4142 */
-    338,
-    /* VPABSDrm */
+    525,
+    /* VMOVDQU16Z128rmk */
   },
   { /* 4143 */
-    42,
-    /* VPABSDrm128 */
+    526,
+    /* VMOVDQU16Z128rmkz */
   },
   { /* 4144 */
-    336,
-    /* VPABSDrm256 */
+    490,
+    /* VMOVDQU16Z128rr */
   },
   { /* 4145 */
-    339,
-    /* VPABSDrr */
+    0,
+    /*  */
   },
   { /* 4146 */
-    43,
-    /* VPABSDrr128 */
+    527,
+    /* VMOVDQU16Z128rrk */
   },
   { /* 4147 */
-    337,
-    /* VPABSDrr256 */
+    0,
+    /*  */
   },
   { /* 4148 */
-    338,
-    /* VPABSQrm */
+    528,
+    /* VMOVDQU16Z128rrkz */
   },
   { /* 4149 */
-    339,
-    /* VPABSQrr */
+    0,
+    /*  */
   },
   { /* 4150 */
-    42,
-    /* VPABSWrm128 */
+    493,
+    /* VMOVDQU16Z256mr */
   },
   { /* 4151 */
-    336,
-    /* VPABSWrm256 */
+    529,
+    /* VMOVDQU16Z256mrk */
   },
   { /* 4152 */
-    43,
-    /* VPABSWrr128 */
+    495,
+    /* VMOVDQU16Z256rm */
   },
   { /* 4153 */
-    337,
-    /* VPABSWrr256 */
+    530,
+    /* VMOVDQU16Z256rmk */
   },
   { /* 4154 */
-    284,
-    /* VPACKSSDWYrm */
+    531,
+    /* VMOVDQU16Z256rmkz */
   },
   { /* 4155 */
-    285,
-    /* VPACKSSDWYrr */
+    498,
+    /* VMOVDQU16Z256rr */
   },
   { /* 4156 */
-    289,
-    /* VPACKSSDWrm */
+    0,
+    /*  */
   },
   { /* 4157 */
-    290,
-    /* VPACKSSDWrr */
+    532,
+    /* VMOVDQU16Z256rrk */
   },
   { /* 4158 */
-    284,
-    /* VPACKSSWBYrm */
+    0,
+    /*  */
   },
   { /* 4159 */
-    285,
-    /* VPACKSSWBYrr */
+    533,
+    /* VMOVDQU16Z256rrkz */
   },
   { /* 4160 */
-    289,
-    /* VPACKSSWBrm */
+    0,
+    /*  */
   },
   { /* 4161 */
-    290,
-    /* VPACKSSWBrr */
+    501,
+    /* VMOVDQU16Zmr */
   },
   { /* 4162 */
-    284,
-    /* VPACKUSDWYrm */
+    534,
+    /* VMOVDQU16Zmrk */
   },
   { /* 4163 */
-    285,
-    /* VPACKUSDWYrr */
+    377,
+    /* VMOVDQU16Zrm */
   },
   { /* 4164 */
-    289,
-    /* VPACKUSDWrm */
+    535,
+    /* VMOVDQU16Zrmk */
   },
   { /* 4165 */
-    290,
-    /* VPACKUSDWrr */
+    536,
+    /* VMOVDQU16Zrmkz */
   },
   { /* 4166 */
-    284,
-    /* VPACKUSWBYrm */
+    378,
+    /* VMOVDQU16Zrr */
   },
   { /* 4167 */
-    285,
-    /* VPACKUSWBYrr */
+    0,
+    /*  */
   },
   { /* 4168 */
-    289,
-    /* VPACKUSWBrm */
+    537,
+    /* VMOVDQU16Zrrk */
   },
   { /* 4169 */
-    290,
-    /* VPACKUSWBrr */
+    0,
+    /*  */
   },
   { /* 4170 */
-    284,
-    /* VPADDBYrm */
+    538,
+    /* VMOVDQU16Zrrkz */
   },
   { /* 4171 */
-    285,
-    /* VPADDBYrr */
+    0,
+    /*  */
   },
   { /* 4172 */
-    289,
-    /* VPADDBrm */
+    485,
+    /* VMOVDQU32Z128mr */
   },
   { /* 4173 */
-    290,
-    /* VPADDBrr */
+    507,
+    /* VMOVDQU32Z128mrk */
   },
   { /* 4174 */
-    284,
-    /* VPADDDYrm */
+    487,
+    /* VMOVDQU32Z128rm */
   },
   { /* 4175 */
-    285,
-    /* VPADDDYrr */
+    508,
+    /* VMOVDQU32Z128rmk */
   },
   { /* 4176 */
-    286,
-    /* VPADDDZrm */
+    509,
+    /* VMOVDQU32Z128rmkz */
   },
   { /* 4177 */
-    429,
-    /* VPADDDZrmb */
+    490,
+    /* VMOVDQU32Z128rr */
   },
   { /* 4178 */
-    288,
-    /* VPADDDZrr */
+    0,
+    /*  */
   },
   { /* 4179 */
-    289,
-    /* VPADDDrm */
+    510,
+    /* VMOVDQU32Z128rrk */
   },
   { /* 4180 */
-    290,
-    /* VPADDDrr */
+    0,
+    /*  */
   },
   { /* 4181 */
-    284,
-    /* VPADDQYrm */
+    511,
+    /* VMOVDQU32Z128rrkz */
   },
   { /* 4182 */
-    285,
-    /* VPADDQYrr */
+    0,
+    /*  */
   },
   { /* 4183 */
-    286,
-    /* VPADDQZrm */
+    493,
+    /* VMOVDQU32Z256mr */
   },
   { /* 4184 */
-    429,
-    /* VPADDQZrmb */
+    512,
+    /* VMOVDQU32Z256mrk */
   },
   { /* 4185 */
-    288,
-    /* VPADDQZrr */
+    495,
+    /* VMOVDQU32Z256rm */
   },
   { /* 4186 */
-    289,
-    /* VPADDQrm */
+    513,
+    /* VMOVDQU32Z256rmk */
   },
   { /* 4187 */
-    290,
-    /* VPADDQrr */
+    514,
+    /* VMOVDQU32Z256rmkz */
   },
   { /* 4188 */
-    284,
-    /* VPADDSBYrm */
+    498,
+    /* VMOVDQU32Z256rr */
   },
   { /* 4189 */
-    285,
-    /* VPADDSBYrr */
+    0,
+    /*  */
   },
   { /* 4190 */
-    289,
-    /* VPADDSBrm */
+    515,
+    /* VMOVDQU32Z256rrk */
   },
   { /* 4191 */
-    290,
-    /* VPADDSBrr */
+    0,
+    /*  */
   },
   { /* 4192 */
-    284,
-    /* VPADDSWYrm */
+    516,
+    /* VMOVDQU32Z256rrkz */
   },
   { /* 4193 */
-    285,
-    /* VPADDSWYrr */
+    0,
+    /*  */
   },
   { /* 4194 */
-    289,
-    /* VPADDSWrm */
+    501,
+    /* VMOVDQU32Zmr */
   },
   { /* 4195 */
-    290,
-    /* VPADDSWrr */
+    517,
+    /* VMOVDQU32Zmrk */
   },
   { /* 4196 */
-    284,
-    /* VPADDUSBYrm */
+    377,
+    /* VMOVDQU32Zrm */
   },
   { /* 4197 */
-    285,
-    /* VPADDUSBYrr */
+    518,
+    /* VMOVDQU32Zrmk */
   },
   { /* 4198 */
-    289,
-    /* VPADDUSBrm */
+    519,
+    /* VMOVDQU32Zrmkz */
   },
   { /* 4199 */
-    290,
-    /* VPADDUSBrr */
+    378,
+    /* VMOVDQU32Zrr */
   },
   { /* 4200 */
-    284,
-    /* VPADDUSWYrm */
+    0,
+    /*  */
   },
   { /* 4201 */
-    285,
-    /* VPADDUSWYrr */
+    520,
+    /* VMOVDQU32Zrrk */
   },
   { /* 4202 */
-    289,
-    /* VPADDUSWrm */
+    0,
+    /*  */
   },
   { /* 4203 */
-    290,
-    /* VPADDUSWrr */
+    521,
+    /* VMOVDQU32Zrrkz */
   },
   { /* 4204 */
-    284,
-    /* VPADDWYrm */
+    0,
+    /*  */
   },
   { /* 4205 */
-    285,
-    /* VPADDWYrr */
+    485,
+    /* VMOVDQU64Z128mr */
   },
   { /* 4206 */
-    289,
-    /* VPADDWrm */
+    486,
+    /* VMOVDQU64Z128mrk */
   },
   { /* 4207 */
-    290,
-    /* VPADDWrr */
+    487,
+    /* VMOVDQU64Z128rm */
   },
   { /* 4208 */
-    430,
-    /* VPALIGNR128rm */
+    488,
+    /* VMOVDQU64Z128rmk */
   },
   { /* 4209 */
-    431,
-    /* VPALIGNR128rr */
+    489,
+    /* VMOVDQU64Z128rmkz */
   },
   { /* 4210 */
-    432,
-    /* VPALIGNR256rm */
+    490,
+    /* VMOVDQU64Z128rr */
   },
   { /* 4211 */
-    433,
-    /* VPALIGNR256rr */
+    0,
+    /*  */
   },
   { /* 4212 */
-    286,
-    /* VPANDDZrm */
+    491,
+    /* VMOVDQU64Z128rrk */
   },
   { /* 4213 */
-    429,
-    /* VPANDDZrmb */
+    0,
+    /*  */
   },
   { /* 4214 */
-    288,
-    /* VPANDDZrr */
+    492,
+    /* VMOVDQU64Z128rrkz */
   },
   { /* 4215 */
-    286,
-    /* VPANDNDZrm */
+    0,
+    /*  */
   },
   { /* 4216 */
-    429,
-    /* VPANDNDZrmb */
+    493,
+    /* VMOVDQU64Z256mr */
   },
   { /* 4217 */
-    288,
-    /* VPANDNDZrr */
+    494,
+    /* VMOVDQU64Z256mrk */
   },
   { /* 4218 */
-    286,
-    /* VPANDNQZrm */
+    495,
+    /* VMOVDQU64Z256rm */
   },
   { /* 4219 */
-    429,
-    /* VPANDNQZrmb */
+    496,
+    /* VMOVDQU64Z256rmk */
   },
   { /* 4220 */
-    288,
-    /* VPANDNQZrr */
+    497,
+    /* VMOVDQU64Z256rmkz */
   },
   { /* 4221 */
-    284,
-    /* VPANDNYrm */
+    498,
+    /* VMOVDQU64Z256rr */
   },
   { /* 4222 */
-    285,
-    /* VPANDNYrr */
+    0,
+    /*  */
   },
   { /* 4223 */
-    289,
-    /* VPANDNrm */
+    499,
+    /* VMOVDQU64Z256rrk */
   },
   { /* 4224 */
-    290,
-    /* VPANDNrr */
+    0,
+    /*  */
   },
   { /* 4225 */
-    286,
-    /* VPANDQZrm */
+    500,
+    /* VMOVDQU64Z256rrkz */
   },
   { /* 4226 */
-    429,
-    /* VPANDQZrmb */
+    0,
+    /*  */
   },
   { /* 4227 */
-    288,
-    /* VPANDQZrr */
+    501,
+    /* VMOVDQU64Zmr */
   },
   { /* 4228 */
-    284,
-    /* VPANDYrm */
+    502,
+    /* VMOVDQU64Zmrk */
   },
   { /* 4229 */
-    285,
-    /* VPANDYrr */
+    377,
+    /* VMOVDQU64Zrm */
   },
   { /* 4230 */
-    289,
-    /* VPANDrm */
+    503,
+    /* VMOVDQU64Zrmk */
   },
   { /* 4231 */
-    290,
-    /* VPANDrr */
+    504,
+    /* VMOVDQU64Zrmkz */
   },
   { /* 4232 */
-    284,
-    /* VPAVGBYrm */
+    378,
+    /* VMOVDQU64Zrr */
   },
   { /* 4233 */
-    285,
-    /* VPAVGBYrr */
+    0,
+    /*  */
   },
   { /* 4234 */
-    289,
-    /* VPAVGBrm */
+    505,
+    /* VMOVDQU64Zrrk */
   },
   { /* 4235 */
-    290,
-    /* VPAVGBrr */
+    0,
+    /*  */
   },
   { /* 4236 */
-    284,
-    /* VPAVGWYrm */
+    506,
+    /* VMOVDQU64Zrrkz */
   },
   { /* 4237 */
-    285,
-    /* VPAVGWYrr */
+    0,
+    /*  */
   },
   { /* 4238 */
-    289,
-    /* VPAVGWrm */
+    485,
+    /* VMOVDQU8Z128mr */
   },
   { /* 4239 */
-    290,
-    /* VPAVGWrr */
+    539,
+    /* VMOVDQU8Z128mrk */
   },
   { /* 4240 */
-    302,
-    /* VPBLENDDYrmi */
+    487,
+    /* VMOVDQU8Z128rm */
   },
   { /* 4241 */
-    303,
-    /* VPBLENDDYrri */
+    540,
+    /* VMOVDQU8Z128rmk */
   },
   { /* 4242 */
-    304,
-    /* VPBLENDDrmi */
+    541,
+    /* VMOVDQU8Z128rmkz */
   },
   { /* 4243 */
-    305,
-    /* VPBLENDDrri */
+    490,
+    /* VMOVDQU8Z128rr */
   },
   { /* 4244 */
-    300,
-    /* VPBLENDMDZrm */
+    0,
+    /*  */
   },
   { /* 4245 */
-    301,
-    /* VPBLENDMDZrr */
+    542,
+    /* VMOVDQU8Z128rrk */
   },
   { /* 4246 */
-    298,
-    /* VPBLENDMQZrm */
+    0,
+    /*  */
   },
   { /* 4247 */
-    299,
-    /* VPBLENDMQZrr */
+    543,
+    /* VMOVDQU8Z128rrkz */
   },
   { /* 4248 */
-    306,
-    /* VPBLENDVBYrm */
+    0,
+    /*  */
   },
   { /* 4249 */
-    307,
-    /* VPBLENDVBYrr */
+    493,
+    /* VMOVDQU8Z256mr */
   },
   { /* 4250 */
-    308,
-    /* VPBLENDVBrm */
+    544,
+    /* VMOVDQU8Z256mrk */
   },
   { /* 4251 */
-    309,
-    /* VPBLENDVBrr */
+    495,
+    /* VMOVDQU8Z256rm */
   },
   { /* 4252 */
-    302,
-    /* VPBLENDWYrmi */
+    545,
+    /* VMOVDQU8Z256rmk */
   },
   { /* 4253 */
-    303,
-    /* VPBLENDWYrri */
+    546,
+    /* VMOVDQU8Z256rmkz */
   },
   { /* 4254 */
-    304,
-    /* VPBLENDWrmi */
+    498,
+    /* VMOVDQU8Z256rr */
   },
   { /* 4255 */
-    305,
-    /* VPBLENDWrri */
+    0,
+    /*  */
   },
   { /* 4256 */
-    434,
-    /* VPBROADCASTBYrm */
+    547,
+    /* VMOVDQU8Z256rrk */
   },
   { /* 4257 */
-    312,
-    /* VPBROADCASTBYrr */
+    0,
+    /*  */
   },
   { /* 4258 */
-    435,
-    /* VPBROADCASTBrm */
+    548,
+    /* VMOVDQU8Z256rrkz */
   },
   { /* 4259 */
-    43,
-    /* VPBROADCASTBrr */
+    0,
+    /*  */
   },
   { /* 4260 */
-    436,
-    /* VPBROADCASTDYrm */
+    501,
+    /* VMOVDQU8Zmr */
   },
   { /* 4261 */
-    312,
-    /* VPBROADCASTDYrr */
+    549,
+    /* VMOVDQU8Zmrk */
   },
   { /* 4262 */
-    437,
-    /* VPBROADCASTDZkrm */
+    377,
+    /* VMOVDQU8Zrm */
   },
   { /* 4263 */
-    438,
-    /* VPBROADCASTDZkrr */
+    550,
+    /* VMOVDQU8Zrmk */
   },
   { /* 4264 */
-    439,
-    /* VPBROADCASTDZrm */
+    551,
+    /* VMOVDQU8Zrmkz */
   },
   { /* 4265 */
-    314,
-    /* VPBROADCASTDZrr */
+    378,
+    /* VMOVDQU8Zrr */
   },
   { /* 4266 */
-    440,
-    /* VPBROADCASTDrZkrr */
+    0,
+    /*  */
   },
   { /* 4267 */
-    441,
-    /* VPBROADCASTDrZrr */
+    552,
+    /* VMOVDQU8Zrrk */
   },
   { /* 4268 */
-    104,
-    /* VPBROADCASTDrm */
+    0,
+    /*  */
   },
   { /* 4269 */
-    43,
-    /* VPBROADCASTDrr */
+    553,
+    /* VMOVDQU8Zrrkz */
   },
   { /* 4270 */
-    442,
-    /* VPBROADCASTMB2Qrr */
+    0,
+    /*  */
   },
   { /* 4271 */
-    443,
-    /* VPBROADCASTMW2Drr */
+    483,
+    /* VMOVDQUYmr */
   },
   { /* 4272 */
-    436,
-    /* VPBROADCASTQYrm */
+    375,
+    /* VMOVDQUYrm */
   },
   { /* 4273 */
-    312,
-    /* VPBROADCASTQYrr */
+    376,
+    /* VMOVDQUYrr */
   },
   { /* 4274 */
-    444,
-    /* VPBROADCASTQZkrm */
+    484,
+    /* VMOVDQUYrr_REV */
   },
   { /* 4275 */
-    445,
-    /* VPBROADCASTQZkrr */
+    242,
+    /* VMOVDQUmr */
   },
   { /* 4276 */
-    439,
-    /* VPBROADCASTQZrm */
+    42,
+    /* VMOVDQUrm */
   },
   { /* 4277 */
-    314,
-    /* VPBROADCASTQZrr */
+    43,
+    /* VMOVDQUrr */
   },
   { /* 4278 */
-    446,
-    /* VPBROADCASTQrZkrr */
+    243,
+    /* VMOVDQUrr_REV */
   },
   { /* 4279 */
-    447,
-    /* VPBROADCASTQrZrr */
+    554,
+    /* VMOVHLPSZrr */
   },
   { /* 4280 */
-    104,
-    /* VPBROADCASTQrm */
+    312,
+    /* VMOVHLPSrr */
   },
   { /* 4281 */
-    43,
-    /* VPBROADCASTQrr */
+    245,
+    /* VMOVHPDmr */
   },
   { /* 4282 */
-    436,
-    /* VPBROADCASTWYrm */
+    555,
+    /* VMOVHPDrm */
   },
   { /* 4283 */
-    312,
-    /* VPBROADCASTWYrr */
+    245,
+    /* VMOVHPSmr */
   },
   { /* 4284 */
-    104,
-    /* VPBROADCASTWrm */
+    555,
+    /* VMOVHPSrm */
   },
   { /* 4285 */
-    43,
-    /* VPBROADCASTWrr */
+    554,
+    /* VMOVLHPSZrr */
   },
   { /* 4286 */
-    430,
-    /* VPCLMULQDQrm */
+    312,
+    /* VMOVLHPSrr */
   },
   { /* 4287 */
-    431,
-    /* VPCLMULQDQrr */
+    245,
+    /* VMOVLPDmr */
   },
   { /* 4288 */
-    308,
-    /* VPCMOVmr */
+    555,
+    /* VMOVLPDrm */
   },
   { /* 4289 */
-    306,
-    /* VPCMOVmrY */
+    245,
+    /* VMOVLPSmr */
   },
   { /* 4290 */
-    372,
-    /* VPCMOVrm */
+    555,
+    /* VMOVLPSrm */
   },
   { /* 4291 */
-    373,
-    /* VPCMOVrmY */
+    556,
+    /* VMOVMSKPDYrr */
   },
   { /* 4292 */
-    309,
-    /* VPCMOVrr */
+    109,
+    /* VMOVMSKPDrr */
   },
   { /* 4293 */
-    307,
-    /* VPCMOVrrY */
+    556,
+    /* VMOVMSKPSYrr */
   },
   { /* 4294 */
-    324,
-    /* VPCMPDZrmi */
+    109,
+    /* VMOVMSKPSrr */
   },
   { /* 4295 */
-    0,
-    /*  */
+    375,
+    /* VMOVNTDQAYrm */
   },
   { /* 4296 */
-    325,
-    /* VPCMPDZrri */
+    377,
+    /* VMOVNTDQAZrm */
   },
   { /* 4297 */
-    0,
-    /*  */
+    42,
+    /* VMOVNTDQArm */
   },
   { /* 4298 */
-    284,
-    /* VPCMPEQBYrm */
+    483,
+    /* VMOVNTDQYmr */
   },
   { /* 4299 */
-    285,
-    /* VPCMPEQBYrr */
+    501,
+    /* VMOVNTDQZmr */
   },
   { /* 4300 */
-    289,
-    /* VPCMPEQBrm */
+    242,
+    /* VMOVNTDQmr */
   },
   { /* 4301 */
-    290,
-    /* VPCMPEQBrr */
+    483,
+    /* VMOVNTPDYmr */
   },
   { /* 4302 */
-    284,
-    /* VPCMPEQDYrm */
+    501,
+    /* VMOVNTPDZmr */
   },
   { /* 4303 */
-    285,
-    /* VPCMPEQDYrr */
+    242,
+    /* VMOVNTPDmr */
   },
   { /* 4304 */
-    448,
-    /* VPCMPEQDZrm */
+    483,
+    /* VMOVNTPSYmr */
   },
   { /* 4305 */
-    449,
-    /* VPCMPEQDZrr */
+    501,
+    /* VMOVNTPSZmr */
   },
   { /* 4306 */
-    289,
-    /* VPCMPEQDrm */
+    242,
+    /* VMOVNTPSmr */
   },
   { /* 4307 */
-    290,
-    /* VPCMPEQDrr */
+    557,
+    /* VMOVPDI2DIZmr */
   },
   { /* 4308 */
-    284,
-    /* VPCMPEQQYrm */
+    558,
+    /* VMOVPDI2DIZrr */
   },
   { /* 4309 */
-    285,
-    /* VPCMPEQQYrr */
+    249,
+    /* VMOVPDI2DImr */
   },
   { /* 4310 */
-    450,
-    /* VPCMPEQQZrm */
+    250,
+    /* VMOVPDI2DIrr */
   },
   { /* 4311 */
-    451,
-    /* VPCMPEQQZrr */
+    249,
+    /* VMOVPQI2QImr */
   },
   { /* 4312 */
-    289,
-    /* VPCMPEQQrm */
+    243,
+    /* VMOVPQI2QIrr */
   },
   { /* 4313 */
-    290,
-    /* VPCMPEQQrr */
+    559,
+    /* VMOVPQIto64Zmr */
   },
   { /* 4314 */
-    284,
-    /* VPCMPEQWYrm */
+    560,
+    /* VMOVPQIto64Zrr */
   },
   { /* 4315 */
-    285,
-    /* VPCMPEQWYrr */
+    251,
+    /* VMOVPQIto64rr */
   },
   { /* 4316 */
-    289,
-    /* VPCMPEQWrm */
+    561,
+    /* VMOVQI2PQIZrm */
   },
   { /* 4317 */
-    290,
-    /* VPCMPEQWrr */
+    104,
+    /* VMOVQI2PQIrm */
   },
   { /* 4318 */
-    0,
-    /*  */
+    562,
+    /* VMOVSDZmr */
   },
   { /* 4319 */
-    0,
-    /*  */
+    563,
+    /* VMOVSDZrm */
   },
   { /* 4320 */
-    44,
-    /* VPCMPESTRIrm */
+    564,
+    /* VMOVSDZrr */
   },
   { /* 4321 */
-    45,
-    /* VPCMPESTRIrr */
+    565,
+    /* VMOVSDZrr_REV */
   },
   { /* 4322 */
-    0,
-    /*  */
+    566,
+    /* VMOVSDZrrk */
   },
   { /* 4323 */
+    252,
+    /* VMOVSDmr */
+  },
+  { /* 4324 */
+    253,
+    /* VMOVSDrm */
+  },
+  { /* 4325 */
+    567,
+    /* VMOVSDrr */
+  },
+  { /* 4326 */
+    568,
+    /* VMOVSDrr_REV */
+  },
+  { /* 4327 */
+    569,
+    /* VMOVSDto64Zmr */
+  },
+  { /* 4328 */
     0,
     /*  */
   },
-  { /* 4324 */
-    44,
-    /* VPCMPESTRM128rm */
-  },
-  { /* 4325 */
-    45,
-    /* VPCMPESTRM128rr */
-  },
-  { /* 4326 */
-    284,
-    /* VPCMPGTBYrm */
-  },
-  { /* 4327 */
-    285,
-    /* VPCMPGTBYrr */
-  },
-  { /* 4328 */
-    289,
-    /* VPCMPGTBrm */
-  },
   { /* 4329 */
-    290,
-    /* VPCMPGTBrr */
+    0,
+    /*  */
   },
   { /* 4330 */
-    284,
-    /* VPCMPGTDYrm */
+    0,
+    /*  */
   },
   { /* 4331 */
-    285,
-    /* VPCMPGTDYrr */
+    375,
+    /* VMOVSHDUPYrm */
   },
   { /* 4332 */
-    448,
-    /* VPCMPGTDZrm */
+    376,
+    /* VMOVSHDUPYrr */
   },
   { /* 4333 */
-    449,
-    /* VPCMPGTDZrr */
+    377,
+    /* VMOVSHDUPZrm */
   },
   { /* 4334 */
-    289,
-    /* VPCMPGTDrm */
+    378,
+    /* VMOVSHDUPZrr */
   },
   { /* 4335 */
-    290,
-    /* VPCMPGTDrr */
+    42,
+    /* VMOVSHDUPrm */
   },
   { /* 4336 */
-    284,
-    /* VPCMPGTQYrm */
+    43,
+    /* VMOVSHDUPrr */
   },
   { /* 4337 */
-    285,
-    /* VPCMPGTQYrr */
+    375,
+    /* VMOVSLDUPYrm */
   },
   { /* 4338 */
-    450,
-    /* VPCMPGTQZrm */
+    376,
+    /* VMOVSLDUPYrr */
   },
   { /* 4339 */
-    451,
-    /* VPCMPGTQZrr */
+    377,
+    /* VMOVSLDUPZrm */
   },
   { /* 4340 */
-    289,
-    /* VPCMPGTQrm */
+    378,
+    /* VMOVSLDUPZrr */
   },
   { /* 4341 */
-    290,
-    /* VPCMPGTQrr */
+    42,
+    /* VMOVSLDUPrm */
   },
   { /* 4342 */
-    284,
-    /* VPCMPGTWYrm */
+    43,
+    /* VMOVSLDUPrr */
   },
   { /* 4343 */
-    285,
-    /* VPCMPGTWYrr */
+    0,
+    /*  */
   },
   { /* 4344 */
-    289,
-    /* VPCMPGTWrm */
+    0,
+    /*  */
   },
   { /* 4345 */
-    290,
-    /* VPCMPGTWrr */
+    0,
+    /*  */
   },
   { /* 4346 */
     0,
     /*  */
   },
   { /* 4347 */
-    0,
-    /*  */
+    570,
+    /* VMOVSSZmr */
   },
   { /* 4348 */
-    44,
-    /* VPCMPISTRIrm */
+    571,
+    /* VMOVSSZrm */
   },
   { /* 4349 */
-    45,
-    /* VPCMPISTRIrr */
+    572,
+    /* VMOVSSZrr */
   },
   { /* 4350 */
-    0,
-    /*  */
+    573,
+    /* VMOVSSZrr_REV */
   },
   { /* 4351 */
-    0,
-    /*  */
+    574,
+    /* VMOVSSZrrk */
   },
   { /* 4352 */
-    44,
-    /* VPCMPISTRM128rm */
+    256,
+    /* VMOVSSmr */
   },
   { /* 4353 */
-    45,
-    /* VPCMPISTRM128rr */
+    257,
+    /* VMOVSSrm */
   },
   { /* 4354 */
-    320,
-    /* VPCMPQZrmi */
+    575,
+    /* VMOVSSrr */
   },
   { /* 4355 */
-    0,
-    /*  */
+    576,
+    /* VMOVSSrr_REV */
   },
   { /* 4356 */
-    321,
-    /* VPCMPQZrri */
+    483,
+    /* VMOVUPDYmr */
   },
   { /* 4357 */
-    0,
-    /*  */
+    375,
+    /* VMOVUPDYrm */
   },
   { /* 4358 */
-    324,
-    /* VPCMPUDZrmi */
+    376,
+    /* VMOVUPDYrr */
   },
   { /* 4359 */
-    0,
-    /*  */
+    484,
+    /* VMOVUPDYrr_REV */
   },
   { /* 4360 */
-    325,
-    /* VPCMPUDZrri */
+    485,
+    /* VMOVUPDZ128mr */
   },
   { /* 4361 */
-    0,
-    /*  */
+    486,
+    /* VMOVUPDZ128mrk */
   },
   { /* 4362 */
-    320,
-    /* VPCMPUQZrmi */
+    487,
+    /* VMOVUPDZ128rm */
   },
   { /* 4363 */
-    0,
-    /*  */
+    488,
+    /* VMOVUPDZ128rmk */
   },
   { /* 4364 */
-    321,
-    /* VPCMPUQZrri */
+    489,
+    /* VMOVUPDZ128rmkz */
   },
   { /* 4365 */
+    490,
+    /* VMOVUPDZ128rr */
+  },
+  { /* 4366 */
     0,
     /*  */
   },
-  { /* 4366 */
-    430,
-    /* VPCOMBmi */
-  },
   { /* 4367 */
-    431,
-    /* VPCOMBri */
+    491,
+    /* VMOVUPDZ128rrk */
   },
   { /* 4368 */
-    430,
-    /* VPCOMDmi */
+    0,
+    /*  */
   },
   { /* 4369 */
-    431,
-    /* VPCOMDri */
+    492,
+    /* VMOVUPDZ128rrkz */
   },
   { /* 4370 */
-    430,
-    /* VPCOMQmi */
+    0,
+    /*  */
   },
   { /* 4371 */
-    431,
-    /* VPCOMQri */
+    493,
+    /* VMOVUPDZ256mr */
   },
   { /* 4372 */
-    430,
-    /* VPCOMUBmi */
+    494,
+    /* VMOVUPDZ256mrk */
   },
   { /* 4373 */
-    431,
-    /* VPCOMUBri */
+    495,
+    /* VMOVUPDZ256rm */
   },
   { /* 4374 */
-    430,
-    /* VPCOMUDmi */
+    496,
+    /* VMOVUPDZ256rmk */
   },
   { /* 4375 */
-    431,
-    /* VPCOMUDri */
+    497,
+    /* VMOVUPDZ256rmkz */
   },
   { /* 4376 */
-    430,
-    /* VPCOMUQmi */
+    498,
+    /* VMOVUPDZ256rr */
   },
   { /* 4377 */
-    431,
-    /* VPCOMUQri */
+    0,
+    /*  */
   },
   { /* 4378 */
-    430,
-    /* VPCOMUWmi */
+    499,
+    /* VMOVUPDZ256rrk */
   },
   { /* 4379 */
-    431,
-    /* VPCOMUWri */
+    0,
+    /*  */
   },
   { /* 4380 */
-    430,
-    /* VPCOMWmi */
+    500,
+    /* VMOVUPDZ256rrkz */
   },
   { /* 4381 */
-    431,
-    /* VPCOMWri */
+    0,
+    /*  */
   },
   { /* 4382 */
-    338,
-    /* VPCONFLICTDrm */
+    501,
+    /* VMOVUPDZmr */
   },
   { /* 4383 */
-    439,
-    /* VPCONFLICTDrmb */
+    502,
+    /* VMOVUPDZmrk */
   },
   { /* 4384 */
-    452,
-    /* VPCONFLICTDrmbk */
+    377,
+    /* VMOVUPDZrm */
   },
   { /* 4385 */
-    437,
-    /* VPCONFLICTDrmbkz */
+    503,
+    /* VMOVUPDZrmk */
   },
   { /* 4386 */
-    415,
-    /* VPCONFLICTDrmk */
+    504,
+    /* VMOVUPDZrmkz */
   },
   { /* 4387 */
-    453,
-    /* VPCONFLICTDrmkz */
+    378,
+    /* VMOVUPDZrr */
   },
   { /* 4388 */
-    339,
-    /* VPCONFLICTDrr */
+    0,
+    /*  */
   },
   { /* 4389 */
-    416,
-    /* VPCONFLICTDrrk */
+    505,
+    /* VMOVUPDZrrk */
   },
   { /* 4390 */
-    417,
-    /* VPCONFLICTDrrkz */
+    0,
+    /*  */
   },
   { /* 4391 */
-    338,
-    /* VPCONFLICTQrm */
+    506,
+    /* VMOVUPDZrrkz */
   },
   { /* 4392 */
-    439,
-    /* VPCONFLICTQrmb */
+    0,
+    /*  */
   },
   { /* 4393 */
-    454,
-    /* VPCONFLICTQrmbk */
+    242,
+    /* VMOVUPDmr */
   },
   { /* 4394 */
-    444,
-    /* VPCONFLICTQrmbkz */
+    42,
+    /* VMOVUPDrm */
   },
   { /* 4395 */
-    413,
-    /* VPCONFLICTQrmk */
+    43,
+    /* VMOVUPDrr */
   },
   { /* 4396 */
-    455,
-    /* VPCONFLICTQrmkz */
+    243,
+    /* VMOVUPDrr_REV */
   },
   { /* 4397 */
-    339,
-    /* VPCONFLICTQrr */
+    483,
+    /* VMOVUPSYmr */
   },
   { /* 4398 */
-    414,
-    /* VPCONFLICTQrrk */
+    375,
+    /* VMOVUPSYrm */
   },
   { /* 4399 */
-    418,
-    /* VPCONFLICTQrrkz */
+    376,
+    /* VMOVUPSYrr */
   },
   { /* 4400 */
-    432,
-    /* VPERM2F128rm */
+    484,
+    /* VMOVUPSYrr_REV */
   },
   { /* 4401 */
-    433,
-    /* VPERM2F128rr */
+    485,
+    /* VMOVUPSZ128mr */
   },
   { /* 4402 */
-    432,
-    /* VPERM2I128rm */
+    507,
+    /* VMOVUPSZ128mrk */
   },
   { /* 4403 */
-    433,
-    /* VPERM2I128rr */
+    487,
+    /* VMOVUPSZ128rm */
   },
   { /* 4404 */
-    284,
-    /* VPERMDYrm */
+    508,
+    /* VMOVUPSZ128rmk */
   },
   { /* 4405 */
-    285,
-    /* VPERMDYrr */
+    509,
+    /* VMOVUPSZ128rmkz */
   },
   { /* 4406 */
-    286,
-    /* VPERMDZrm */
+    490,
+    /* VMOVUPSZ128rr */
   },
   { /* 4407 */
-    288,
-    /* VPERMDZrr */
+    0,
+    /*  */
   },
   { /* 4408 */
-    368,
-    /* VPERMI2Drm */
+    510,
+    /* VMOVUPSZ128rrk */
   },
   { /* 4409 */
-    371,
-    /* VPERMI2Drr */
+    0,
+    /*  */
   },
   { /* 4410 */
-    368,
-    /* VPERMI2PDrm */
+    511,
+    /* VMOVUPSZ128rrkz */
   },
   { /* 4411 */
-    371,
-    /* VPERMI2PDrr */
+    0,
+    /*  */
   },
   { /* 4412 */
-    368,
-    /* VPERMI2PSrm */
+    493,
+    /* VMOVUPSZ256mr */
   },
   { /* 4413 */
-    371,
-    /* VPERMI2PSrr */
+    512,
+    /* VMOVUPSZ256mrk */
   },
   { /* 4414 */
-    368,
-    /* VPERMI2Qrm */
+    495,
+    /* VMOVUPSZ256rm */
   },
   { /* 4415 */
-    371,
-    /* VPERMI2Qrr */
+    513,
+    /* VMOVUPSZ256rmk */
   },
   { /* 4416 */
-    456,
-    /* VPERMIL2PDmr */
+    514,
+    /* VMOVUPSZ256rmkz */
   },
   { /* 4417 */
-    457,
-    /* VPERMIL2PDmrY */
+    498,
+    /* VMOVUPSZ256rr */
   },
   { /* 4418 */
-    458,
-    /* VPERMIL2PDrm */
+    0,
+    /*  */
   },
   { /* 4419 */
-    459,
-    /* VPERMIL2PDrmY */
+    515,
+    /* VMOVUPSZ256rrk */
   },
   { /* 4420 */
-    460,
-    /* VPERMIL2PDrr */
+    0,
+    /*  */
   },
   { /* 4421 */
-    461,
-    /* VPERMIL2PDrrY */
+    516,
+    /* VMOVUPSZ256rrkz */
   },
   { /* 4422 */
-    456,
-    /* VPERMIL2PSmr */
+    0,
+    /*  */
   },
   { /* 4423 */
-    457,
-    /* VPERMIL2PSmrY */
+    501,
+    /* VMOVUPSZmr */
   },
   { /* 4424 */
-    458,
-    /* VPERMIL2PSrm */
+    517,
+    /* VMOVUPSZmrk */
   },
   { /* 4425 */
-    459,
-    /* VPERMIL2PSrmY */
+    377,
+    /* VMOVUPSZrm */
   },
   { /* 4426 */
-    460,
-    /* VPERMIL2PSrr */
+    518,
+    /* VMOVUPSZrmk */
   },
   { /* 4427 */
-    461,
-    /* VPERMIL2PSrrY */
+    519,
+    /* VMOVUPSZrmkz */
   },
   { /* 4428 */
-    462,
-    /* VPERMILPDYmi */
+    378,
+    /* VMOVUPSZrr */
   },
   { /* 4429 */
-    463,
-    /* VPERMILPDYri */
+    0,
+    /*  */
   },
   { /* 4430 */
-    284,
-    /* VPERMILPDYrm */
+    520,
+    /* VMOVUPSZrrk */
   },
   { /* 4431 */
-    285,
-    /* VPERMILPDYrr */
+    0,
+    /*  */
   },
   { /* 4432 */
-    464,
-    /* VPERMILPDZmi */
+    521,
+    /* VMOVUPSZrrkz */
   },
   { /* 4433 */
-    465,
-    /* VPERMILPDZri */
+    0,
+    /*  */
   },
   { /* 4434 */
-    44,
-    /* VPERMILPDmi */
+    242,
+    /* VMOVUPSmr */
   },
   { /* 4435 */
-    45,
-    /* VPERMILPDri */
+    42,
+    /* VMOVUPSrm */
   },
   { /* 4436 */
-    289,
-    /* VPERMILPDrm */
+    43,
+    /* VMOVUPSrr */
   },
   { /* 4437 */
-    290,
-    /* VPERMILPDrr */
+    243,
+    /* VMOVUPSrr_REV */
   },
   { /* 4438 */
-    462,
-    /* VPERMILPSYmi */
+    370,
+    /* VMOVZPQILo2PQIZrm */
   },
   { /* 4439 */
-    463,
-    /* VPERMILPSYri */
+    490,
+    /* VMOVZPQILo2PQIZrr */
   },
   { /* 4440 */
-    284,
-    /* VPERMILPSYrm */
+    0,
+    /*  */
   },
   { /* 4441 */
-    285,
-    /* VPERMILPSYrr */
+    43,
+    /* VMOVZPQILo2PQIrr */
   },
   { /* 4442 */
-    464,
-    /* VPERMILPSZmi */
+    0,
+    /*  */
   },
   { /* 4443 */
-    465,
-    /* VPERMILPSZri */
+    0,
+    /*  */
   },
   { /* 4444 */
-    44,
-    /* VPERMILPSmi */
+    331,
+    /* VMPSADBWYrmi */
   },
   { /* 4445 */
-    45,
-    /* VPERMILPSri */
+    332,
+    /* VMPSADBWYrri */
   },
   { /* 4446 */
-    289,
-    /* VPERMILPSrm */
+    333,
+    /* VMPSADBWrmi */
   },
   { /* 4447 */
-    290,
-    /* VPERMILPSrr */
+    334,
+    /* VMPSADBWrri */
   },
   { /* 4448 */
-    462,
-    /* VPERMPDYmi */
+    40,
+    /* VMPTRLDm */
   },
   { /* 4449 */
-    463,
-    /* VPERMPDYri */
+    40,
+    /* VMPTRSTm */
   },
   { /* 4450 */
-    464,
-    /* VPERMPDZmi */
+    247,
+    /* VMREAD32rm */
   },
   { /* 4451 */
-    465,
-    /* VPERMPDZri */
+    577,
+    /* VMREAD32rr */
   },
   { /* 4452 */
-    286,
-    /* VPERMPDZrm */
+    16,
+    /* VMREAD64rm */
   },
   { /* 4453 */
-    288,
-    /* VPERMPDZrr */
+    74,
+    /* VMREAD64rr */
   },
   { /* 4454 */
-    284,
-    /* VPERMPSYrm */
+    0,
+    /* VMRESUME */
   },
   { /* 4455 */
-    285,
-    /* VPERMPSYrr */
+    0,
+    /* VMRUN32 */
   },
   { /* 4456 */
-    286,
-    /* VPERMPSZrm */
+    0,
+    /* VMRUN64 */
   },
   { /* 4457 */
-    288,
-    /* VPERMPSZrr */
+    0,
+    /* VMSAVE32 */
   },
   { /* 4458 */
-    462,
-    /* VPERMQYmi */
+    0,
+    /* VMSAVE64 */
   },
   { /* 4459 */
-    463,
-    /* VPERMQYri */
+    303,
+    /* VMULPDYrm */
   },
   { /* 4460 */
-    464,
-    /* VPERMQZmi */
+    304,
+    /* VMULPDYrr */
   },
   { /* 4461 */
-    465,
-    /* VPERMQZri */
+    305,
+    /* VMULPDZrm */
   },
   { /* 4462 */
-    286,
-    /* VPERMQZrm */
+    306,
+    /* VMULPDZrmb */
   },
   { /* 4463 */
-    288,
-    /* VPERMQZrr */
+    307,
+    /* VMULPDZrmbk */
   },
   { /* 4464 */
-    368,
-    /* VPERMT2Drm */
+    307,
+    /* VMULPDZrmbkz */
   },
   { /* 4465 */
-    371,
-    /* VPERMT2Drr */
+    308,
+    /* VMULPDZrmk */
   },
   { /* 4466 */
-    368,
-    /* VPERMT2PDrm */
+    308,
+    /* VMULPDZrmkz */
   },
   { /* 4467 */
-    371,
-    /* VPERMT2PDrr */
+    309,
+    /* VMULPDZrr */
   },
   { /* 4468 */
-    368,
-    /* VPERMT2PSrm */
+    310,
+    /* VMULPDZrrk */
   },
   { /* 4469 */
-    371,
-    /* VPERMT2PSrr */
+    310,
+    /* VMULPDZrrkz */
   },
   { /* 4470 */
-    368,
-    /* VPERMT2Qrm */
+    311,
+    /* VMULPDrm */
   },
   { /* 4471 */
-    371,
-    /* VPERMT2Qrr */
+    312,
+    /* VMULPDrr */
   },
   { /* 4472 */
-    249,
-    /* VPEXTRBmr */
+    303,
+    /* VMULPSYrm */
   },
   { /* 4473 */
-    132,
-    /* VPEXTRBrr */
+    304,
+    /* VMULPSYrr */
   },
   { /* 4474 */
-    250,
-    /* VPEXTRDmr */
+    305,
+    /* VMULPSZrm */
   },
   { /* 4475 */
-    132,
-    /* VPEXTRDrr */
+    313,
+    /* VMULPSZrmb */
   },
   { /* 4476 */
-    250,
-    /* VPEXTRQmr */
+    314,
+    /* VMULPSZrmbk */
   },
   { /* 4477 */
-    251,
-    /* VPEXTRQrr */
+    314,
+    /* VMULPSZrmbkz */
   },
   { /* 4478 */
-    250,
-    /* VPEXTRWmr */
+    315,
+    /* VMULPSZrmk */
   },
   { /* 4479 */
-    252,
-    /* VPEXTRWri */
+    315,
+    /* VMULPSZrmkz */
   },
   { /* 4480 */
-    132,
-    /* VPEXTRWrr_REV */
+    309,
+    /* VMULPSZrr */
   },
   { /* 4481 */
-    397,
-    /* VPGATHERDDYrm */
+    316,
+    /* VMULPSZrrk */
   },
   { /* 4482 */
-    398,
-    /* VPGATHERDDZrm */
+    316,
+    /* VMULPSZrrkz */
   },
   { /* 4483 */
-    399,
-    /* VPGATHERDDrm */
+    311,
+    /* VMULPSrm */
   },
   { /* 4484 */
-    394,
-    /* VPGATHERDQYrm */
+    312,
+    /* VMULPSrr */
   },
   { /* 4485 */
-    395,
-    /* VPGATHERDQZrm */
+    317,
+    /* VMULSDZrm */
   },
   { /* 4486 */
-    396,
-    /* VPGATHERDQrm */
+    318,
+    /* VMULSDZrr */
   },
   { /* 4487 */
-    399,
-    /* VPGATHERQDYrm */
+    319,
+    /* VMULSDrm */
   },
   { /* 4488 */
-    400,
-    /* VPGATHERQDZrm */
+    0,
+    /*  */
   },
   { /* 4489 */
-    399,
-    /* VPGATHERQDrm */
+    320,
+    /* VMULSDrr */
   },
   { /* 4490 */
-    394,
-    /* VPGATHERQQYrm */
+    0,
+    /*  */
   },
   { /* 4491 */
-    395,
-    /* VPGATHERQQZrm */
+    321,
+    /* VMULSSZrm */
   },
   { /* 4492 */
-    396,
-    /* VPGATHERQQrm */
+    322,
+    /* VMULSSZrr */
   },
   { /* 4493 */
-    42,
-    /* VPHADDBDrm */
+    323,
+    /* VMULSSrm */
   },
   { /* 4494 */
-    43,
-    /* VPHADDBDrr */
+    0,
+    /*  */
   },
   { /* 4495 */
-    42,
-    /* VPHADDBQrm */
+    324,
+    /* VMULSSrr */
   },
   { /* 4496 */
-    43,
-    /* VPHADDBQrr */
+    0,
+    /*  */
   },
   { /* 4497 */
-    42,
-    /* VPHADDBWrm */
+    28,
+    /* VMWRITE32rm */
   },
   { /* 4498 */
-    43,
-    /* VPHADDBWrr */
+    29,
+    /* VMWRITE32rr */
   },
   { /* 4499 */
-    42,
-    /* VPHADDDQrm */
+    30,
+    /* VMWRITE64rm */
   },
   { /* 4500 */
-    43,
-    /* VPHADDDQrr */
+    31,
+    /* VMWRITE64rr */
   },
   { /* 4501 */
-    284,
-    /* VPHADDDYrm */
+    0,
+    /* VMXOFF */
   },
   { /* 4502 */
-    285,
-    /* VPHADDDYrr */
+    40,
+    /* VMXON */
   },
   { /* 4503 */
-    289,
-    /* VPHADDDrm */
+    303,
+    /* VORPDYrm */
   },
   { /* 4504 */
-    290,
-    /* VPHADDDrr */
+    304,
+    /* VORPDYrr */
   },
   { /* 4505 */
-    289,
-    /* VPHADDSWrm128 */
+    311,
+    /* VORPDrm */
   },
   { /* 4506 */
-    284,
-    /* VPHADDSWrm256 */
+    312,
+    /* VORPDrr */
   },
   { /* 4507 */
-    290,
-    /* VPHADDSWrr128 */
+    303,
+    /* VORPSYrm */
   },
   { /* 4508 */
-    285,
-    /* VPHADDSWrr256 */
+    304,
+    /* VORPSYrr */
   },
   { /* 4509 */
-    42,
-    /* VPHADDUBDrm */
+    311,
+    /* VORPSrm */
   },
   { /* 4510 */
-    43,
-    /* VPHADDUBDrr */
+    312,
+    /* VORPSrr */
   },
   { /* 4511 */
     42,
-    /* VPHADDUBQrm */
+    /* VPABSBrm128 */
   },
   { /* 4512 */
+    375,
+    /* VPABSBrm256 */
+  },
+  { /* 4513 */
+    43,
+    /* VPABSBrr128 */
+  },
+  { /* 4514 */
+    376,
+    /* VPABSBrr256 */
+  },
+  { /* 4515 */
+    377,
+    /* VPABSDZrm */
+  },
+  { /* 4516 */
+    578,
+    /* VPABSDZrmb */
+  },
+  { /* 4517 */
+    579,
+    /* VPABSDZrmbk */
+  },
+  { /* 4518 */
+    579,
+    /* VPABSDZrmbkz */
+  },
+  { /* 4519 */
+    519,
+    /* VPABSDZrmk */
+  },
+  { /* 4520 */
+    519,
+    /* VPABSDZrmkz */
+  },
+  { /* 4521 */
+    378,
+    /* VPABSDZrr */
+  },
+  { /* 4522 */
+    521,
+    /* VPABSDZrrk */
+  },
+  { /* 4523 */
+    521,
+    /* VPABSDZrrkz */
+  },
+  { /* 4524 */
+    42,
+    /* VPABSDrm128 */
+  },
+  { /* 4525 */
+    375,
+    /* VPABSDrm256 */
+  },
+  { /* 4526 */
+    43,
+    /* VPABSDrr128 */
+  },
+  { /* 4527 */
+    376,
+    /* VPABSDrr256 */
+  },
+  { /* 4528 */
+    377,
+    /* VPABSQZrm */
+  },
+  { /* 4529 */
+    580,
+    /* VPABSQZrmb */
+  },
+  { /* 4530 */
+    581,
+    /* VPABSQZrmbk */
+  },
+  { /* 4531 */
+    581,
+    /* VPABSQZrmbkz */
+  },
+  { /* 4532 */
+    504,
+    /* VPABSQZrmk */
+  },
+  { /* 4533 */
+    504,
+    /* VPABSQZrmkz */
+  },
+  { /* 4534 */
+    378,
+    /* VPABSQZrr */
+  },
+  { /* 4535 */
+    506,
+    /* VPABSQZrrk */
+  },
+  { /* 4536 */
+    506,
+    /* VPABSQZrrkz */
+  },
+  { /* 4537 */
+    42,
+    /* VPABSWrm128 */
+  },
+  { /* 4538 */
+    375,
+    /* VPABSWrm256 */
+  },
+  { /* 4539 */
+    43,
+    /* VPABSWrr128 */
+  },
+  { /* 4540 */
+    376,
+    /* VPABSWrr256 */
+  },
+  { /* 4541 */
+    303,
+    /* VPACKSSDWYrm */
+  },
+  { /* 4542 */
+    304,
+    /* VPACKSSDWYrr */
+  },
+  { /* 4543 */
+    311,
+    /* VPACKSSDWrm */
+  },
+  { /* 4544 */
+    312,
+    /* VPACKSSDWrr */
+  },
+  { /* 4545 */
+    303,
+    /* VPACKSSWBYrm */
+  },
+  { /* 4546 */
+    304,
+    /* VPACKSSWBYrr */
+  },
+  { /* 4547 */
+    311,
+    /* VPACKSSWBrm */
+  },
+  { /* 4548 */
+    312,
+    /* VPACKSSWBrr */
+  },
+  { /* 4549 */
+    303,
+    /* VPACKUSDWYrm */
+  },
+  { /* 4550 */
+    304,
+    /* VPACKUSDWYrr */
+  },
+  { /* 4551 */
+    311,
+    /* VPACKUSDWrm */
+  },
+  { /* 4552 */
+    312,
+    /* VPACKUSDWrr */
+  },
+  { /* 4553 */
+    303,
+    /* VPACKUSWBYrm */
+  },
+  { /* 4554 */
+    304,
+    /* VPACKUSWBYrr */
+  },
+  { /* 4555 */
+    311,
+    /* VPACKUSWBrm */
+  },
+  { /* 4556 */
+    312,
+    /* VPACKUSWBrr */
+  },
+  { /* 4557 */
+    303,
+    /* VPADDBYrm */
+  },
+  { /* 4558 */
+    304,
+    /* VPADDBYrr */
+  },
+  { /* 4559 */
+    311,
+    /* VPADDBrm */
+  },
+  { /* 4560 */
+    312,
+    /* VPADDBrr */
+  },
+  { /* 4561 */
+    303,
+    /* VPADDDYrm */
+  },
+  { /* 4562 */
+    304,
+    /* VPADDDYrr */
+  },
+  { /* 4563 */
+    305,
+    /* VPADDDZrm */
+  },
+  { /* 4564 */
+    582,
+    /* VPADDDZrmb */
+  },
+  { /* 4565 */
+    583,
+    /* VPADDDZrmbk */
+  },
+  { /* 4566 */
+    584,
+    /* VPADDDZrmbkz */
+  },
+  { /* 4567 */
+    585,
+    /* VPADDDZrmk */
+  },
+  { /* 4568 */
+    315,
+    /* VPADDDZrmkz */
+  },
+  { /* 4569 */
+    309,
+    /* VPADDDZrr */
+  },
+  { /* 4570 */
+    586,
+    /* VPADDDZrrk */
+  },
+  { /* 4571 */
+    316,
+    /* VPADDDZrrkz */
+  },
+  { /* 4572 */
+    311,
+    /* VPADDDrm */
+  },
+  { /* 4573 */
+    312,
+    /* VPADDDrr */
+  },
+  { /* 4574 */
+    303,
+    /* VPADDQYrm */
+  },
+  { /* 4575 */
+    304,
+    /* VPADDQYrr */
+  },
+  { /* 4576 */
+    305,
+    /* VPADDQZrm */
+  },
+  { /* 4577 */
+    587,
+    /* VPADDQZrmb */
+  },
+  { /* 4578 */
+    588,
+    /* VPADDQZrmbk */
+  },
+  { /* 4579 */
+    589,
+    /* VPADDQZrmbkz */
+  },
+  { /* 4580 */
+    590,
+    /* VPADDQZrmk */
+  },
+  { /* 4581 */
+    308,
+    /* VPADDQZrmkz */
+  },
+  { /* 4582 */
+    309,
+    /* VPADDQZrr */
+  },
+  { /* 4583 */
+    591,
+    /* VPADDQZrrk */
+  },
+  { /* 4584 */
+    310,
+    /* VPADDQZrrkz */
+  },
+  { /* 4585 */
+    311,
+    /* VPADDQrm */
+  },
+  { /* 4586 */
+    312,
+    /* VPADDQrr */
+  },
+  { /* 4587 */
+    303,
+    /* VPADDSBYrm */
+  },
+  { /* 4588 */
+    304,
+    /* VPADDSBYrr */
+  },
+  { /* 4589 */
+    311,
+    /* VPADDSBrm */
+  },
+  { /* 4590 */
+    312,
+    /* VPADDSBrr */
+  },
+  { /* 4591 */
+    303,
+    /* VPADDSWYrm */
+  },
+  { /* 4592 */
+    304,
+    /* VPADDSWYrr */
+  },
+  { /* 4593 */
+    311,
+    /* VPADDSWrm */
+  },
+  { /* 4594 */
+    312,
+    /* VPADDSWrr */
+  },
+  { /* 4595 */
+    303,
+    /* VPADDUSBYrm */
+  },
+  { /* 4596 */
+    304,
+    /* VPADDUSBYrr */
+  },
+  { /* 4597 */
+    311,
+    /* VPADDUSBrm */
+  },
+  { /* 4598 */
+    312,
+    /* VPADDUSBrr */
+  },
+  { /* 4599 */
+    303,
+    /* VPADDUSWYrm */
+  },
+  { /* 4600 */
+    304,
+    /* VPADDUSWYrr */
+  },
+  { /* 4601 */
+    311,
+    /* VPADDUSWrm */
+  },
+  { /* 4602 */
+    312,
+    /* VPADDUSWrr */
+  },
+  { /* 4603 */
+    303,
+    /* VPADDWYrm */
+  },
+  { /* 4604 */
+    304,
+    /* VPADDWYrr */
+  },
+  { /* 4605 */
+    311,
+    /* VPADDWrm */
+  },
+  { /* 4606 */
+    312,
+    /* VPADDWrr */
+  },
+  { /* 4607 */
+    592,
+    /* VPALIGNR128rm */
+  },
+  { /* 4608 */
+    593,
+    /* VPALIGNR128rr */
+  },
+  { /* 4609 */
+    594,
+    /* VPALIGNR256rm */
+  },
+  { /* 4610 */
+    595,
+    /* VPALIGNR256rr */
+  },
+  { /* 4611 */
+    305,
+    /* VPANDDZrm */
+  },
+  { /* 4612 */
+    582,
+    /* VPANDDZrmb */
+  },
+  { /* 4613 */
+    583,
+    /* VPANDDZrmbk */
+  },
+  { /* 4614 */
+    584,
+    /* VPANDDZrmbkz */
+  },
+  { /* 4615 */
+    585,
+    /* VPANDDZrmk */
+  },
+  { /* 4616 */
+    315,
+    /* VPANDDZrmkz */
+  },
+  { /* 4617 */
+    309,
+    /* VPANDDZrr */
+  },
+  { /* 4618 */
+    586,
+    /* VPANDDZrrk */
+  },
+  { /* 4619 */
+    316,
+    /* VPANDDZrrkz */
+  },
+  { /* 4620 */
+    305,
+    /* VPANDNDZrm */
+  },
+  { /* 4621 */
+    582,
+    /* VPANDNDZrmb */
+  },
+  { /* 4622 */
+    583,
+    /* VPANDNDZrmbk */
+  },
+  { /* 4623 */
+    584,
+    /* VPANDNDZrmbkz */
+  },
+  { /* 4624 */
+    585,
+    /* VPANDNDZrmk */
+  },
+  { /* 4625 */
+    315,
+    /* VPANDNDZrmkz */
+  },
+  { /* 4626 */
+    309,
+    /* VPANDNDZrr */
+  },
+  { /* 4627 */
+    586,
+    /* VPANDNDZrrk */
+  },
+  { /* 4628 */
+    316,
+    /* VPANDNDZrrkz */
+  },
+  { /* 4629 */
+    305,
+    /* VPANDNQZrm */
+  },
+  { /* 4630 */
+    587,
+    /* VPANDNQZrmb */
+  },
+  { /* 4631 */
+    588,
+    /* VPANDNQZrmbk */
+  },
+  { /* 4632 */
+    589,
+    /* VPANDNQZrmbkz */
+  },
+  { /* 4633 */
+    590,
+    /* VPANDNQZrmk */
+  },
+  { /* 4634 */
+    308,
+    /* VPANDNQZrmkz */
+  },
+  { /* 4635 */
+    309,
+    /* VPANDNQZrr */
+  },
+  { /* 4636 */
+    591,
+    /* VPANDNQZrrk */
+  },
+  { /* 4637 */
+    310,
+    /* VPANDNQZrrkz */
+  },
+  { /* 4638 */
+    303,
+    /* VPANDNYrm */
+  },
+  { /* 4639 */
+    304,
+    /* VPANDNYrr */
+  },
+  { /* 4640 */
+    311,
+    /* VPANDNrm */
+  },
+  { /* 4641 */
+    312,
+    /* VPANDNrr */
+  },
+  { /* 4642 */
+    305,
+    /* VPANDQZrm */
+  },
+  { /* 4643 */
+    587,
+    /* VPANDQZrmb */
+  },
+  { /* 4644 */
+    588,
+    /* VPANDQZrmbk */
+  },
+  { /* 4645 */
+    589,
+    /* VPANDQZrmbkz */
+  },
+  { /* 4646 */
+    590,
+    /* VPANDQZrmk */
+  },
+  { /* 4647 */
+    308,
+    /* VPANDQZrmkz */
+  },
+  { /* 4648 */
+    309,
+    /* VPANDQZrr */
+  },
+  { /* 4649 */
+    591,
+    /* VPANDQZrrk */
+  },
+  { /* 4650 */
+    310,
+    /* VPANDQZrrkz */
+  },
+  { /* 4651 */
+    303,
+    /* VPANDYrm */
+  },
+  { /* 4652 */
+    304,
+    /* VPANDYrr */
+  },
+  { /* 4653 */
+    311,
+    /* VPANDrm */
+  },
+  { /* 4654 */
+    312,
+    /* VPANDrr */
+  },
+  { /* 4655 */
+    303,
+    /* VPAVGBYrm */
+  },
+  { /* 4656 */
+    304,
+    /* VPAVGBYrr */
+  },
+  { /* 4657 */
+    311,
+    /* VPAVGBrm */
+  },
+  { /* 4658 */
+    312,
+    /* VPAVGBrr */
+  },
+  { /* 4659 */
+    303,
+    /* VPAVGWYrm */
+  },
+  { /* 4660 */
+    304,
+    /* VPAVGWYrr */
+  },
+  { /* 4661 */
+    311,
+    /* VPAVGWrm */
+  },
+  { /* 4662 */
+    312,
+    /* VPAVGWrr */
+  },
+  { /* 4663 */
+    331,
+    /* VPBLENDDYrmi */
+  },
+  { /* 4664 */
+    332,
+    /* VPBLENDDYrri */
+  },
+  { /* 4665 */
+    333,
+    /* VPBLENDDrmi */
+  },
+  { /* 4666 */
+    334,
+    /* VPBLENDDrri */
+  },
+  { /* 4667 */
+    315,
+    /* VPBLENDMDZrm */
+  },
+  { /* 4668 */
+    316,
+    /* VPBLENDMDZrr */
+  },
+  { /* 4669 */
+    308,
+    /* VPBLENDMQZrm */
+  },
+  { /* 4670 */
+    310,
+    /* VPBLENDMQZrr */
+  },
+  { /* 4671 */
+    335,
+    /* VPBLENDVBYrm */
+  },
+  { /* 4672 */
+    336,
+    /* VPBLENDVBYrr */
+  },
+  { /* 4673 */
+    337,
+    /* VPBLENDVBrm */
+  },
+  { /* 4674 */
+    338,
+    /* VPBLENDVBrr */
+  },
+  { /* 4675 */
+    331,
+    /* VPBLENDWYrmi */
+  },
+  { /* 4676 */
+    332,
+    /* VPBLENDWYrri */
+  },
+  { /* 4677 */
+    333,
+    /* VPBLENDWrmi */
+  },
+  { /* 4678 */
+    334,
+    /* VPBLENDWrri */
+  },
+  { /* 4679 */
+    596,
+    /* VPBROADCASTBYrm */
+  },
+  { /* 4680 */
+    345,
+    /* VPBROADCASTBYrr */
+  },
+  { /* 4681 */
+    597,
+    /* VPBROADCASTBrm */
+  },
+  { /* 4682 */
+    43,
+    /* VPBROADCASTBrr */
+  },
+  { /* 4683 */
+    598,
+    /* VPBROADCASTDYrm */
+  },
+  { /* 4684 */
+    345,
+    /* VPBROADCASTDYrr */
+  },
+  { /* 4685 */
+    579,
+    /* VPBROADCASTDZkrm */
+  },
+  { /* 4686 */
+    599,
+    /* VPBROADCASTDZkrr */
+  },
+  { /* 4687 */
+    578,
+    /* VPBROADCASTDZrm */
+  },
+  { /* 4688 */
+    350,
+    /* VPBROADCASTDZrr */
+  },
+  { /* 4689 */
+    600,
+    /* VPBROADCASTDrZkrr */
+  },
+  { /* 4690 */
+    601,
+    /* VPBROADCASTDrZrr */
+  },
+  { /* 4691 */
+    104,
+    /* VPBROADCASTDrm */
+  },
+  { /* 4692 */
+    43,
+    /* VPBROADCASTDrr */
+  },
+  { /* 4693 */
+    602,
+    /* VPBROADCASTMB2Qrr */
+  },
+  { /* 4694 */
+    603,
+    /* VPBROADCASTMW2Drr */
+  },
+  { /* 4695 */
+    598,
+    /* VPBROADCASTQYrm */
+  },
+  { /* 4696 */
+    345,
+    /* VPBROADCASTQYrr */
+  },
+  { /* 4697 */
+    581,
+    /* VPBROADCASTQZkrm */
+  },
+  { /* 4698 */
+    604,
+    /* VPBROADCASTQZkrr */
+  },
+  { /* 4699 */
+    580,
+    /* VPBROADCASTQZrm */
+  },
+  { /* 4700 */
+    347,
+    /* VPBROADCASTQZrr */
+  },
+  { /* 4701 */
+    605,
+    /* VPBROADCASTQrZkrr */
+  },
+  { /* 4702 */
+    606,
+    /* VPBROADCASTQrZrr */
+  },
+  { /* 4703 */
+    104,
+    /* VPBROADCASTQrm */
+  },
+  { /* 4704 */
+    43,
+    /* VPBROADCASTQrr */
+  },
+  { /* 4705 */
+    598,
+    /* VPBROADCASTWYrm */
+  },
+  { /* 4706 */
+    345,
+    /* VPBROADCASTWYrr */
+  },
+  { /* 4707 */
+    104,
+    /* VPBROADCASTWrm */
+  },
+  { /* 4708 */
+    43,
+    /* VPBROADCASTWrr */
+  },
+  { /* 4709 */
+    592,
+    /* VPCLMULQDQrm */
+  },
+  { /* 4710 */
+    593,
+    /* VPCLMULQDQrr */
+  },
+  { /* 4711 */
+    337,
+    /* VPCMOVmr */
+  },
+  { /* 4712 */
+    335,
+    /* VPCMOVmrY */
+  },
+  { /* 4713 */
+    437,
+    /* VPCMOVrm */
+  },
+  { /* 4714 */
+    438,
+    /* VPCMOVrmY */
+  },
+  { /* 4715 */
+    338,
+    /* VPCMOVrr */
+  },
+  { /* 4716 */
+    336,
+    /* VPCMOVrrY */
+  },
+  { /* 4717 */
+    359,
+    /* VPCMPDZrmi */
+  },
+  { /* 4718 */
+    0,
+    /*  */
+  },
+  { /* 4719 */
+    0,
+    /*  */
+  },
+  { /* 4720 */
+    360,
+    /* VPCMPDZrri */
+  },
+  { /* 4721 */
+    0,
+    /*  */
+  },
+  { /* 4722 */
+    0,
+    /*  */
+  },
+  { /* 4723 */
+    303,
+    /* VPCMPEQBYrm */
+  },
+  { /* 4724 */
+    304,
+    /* VPCMPEQBYrr */
+  },
+  { /* 4725 */
+    311,
+    /* VPCMPEQBrm */
+  },
+  { /* 4726 */
+    312,
+    /* VPCMPEQBrr */
+  },
+  { /* 4727 */
+    303,
+    /* VPCMPEQDYrm */
+  },
+  { /* 4728 */
+    304,
+    /* VPCMPEQDYrr */
+  },
+  { /* 4729 */
+    607,
+    /* VPCMPEQDZrm */
+  },
+  { /* 4730 */
+    608,
+    /* VPCMPEQDZrr */
+  },
+  { /* 4731 */
+    311,
+    /* VPCMPEQDrm */
+  },
+  { /* 4732 */
+    312,
+    /* VPCMPEQDrr */
+  },
+  { /* 4733 */
+    303,
+    /* VPCMPEQQYrm */
+  },
+  { /* 4734 */
+    304,
+    /* VPCMPEQQYrr */
+  },
+  { /* 4735 */
+    609,
+    /* VPCMPEQQZrm */
+  },
+  { /* 4736 */
+    610,
+    /* VPCMPEQQZrr */
+  },
+  { /* 4737 */
+    311,
+    /* VPCMPEQQrm */
+  },
+  { /* 4738 */
+    312,
+    /* VPCMPEQQrr */
+  },
+  { /* 4739 */
+    303,
+    /* VPCMPEQWYrm */
+  },
+  { /* 4740 */
+    304,
+    /* VPCMPEQWYrr */
+  },
+  { /* 4741 */
+    311,
+    /* VPCMPEQWrm */
+  },
+  { /* 4742 */
+    312,
+    /* VPCMPEQWrr */
+  },
+  { /* 4743 */
+    0,
+    /*  */
+  },
+  { /* 4744 */
+    0,
+    /*  */
+  },
+  { /* 4745 */
+    44,
+    /* VPCMPESTRIrm */
+  },
+  { /* 4746 */
+    45,
+    /* VPCMPESTRIrr */
+  },
+  { /* 4747 */
+    0,
+    /*  */
+  },
+  { /* 4748 */
+    0,
+    /*  */
+  },
+  { /* 4749 */
+    44,
+    /* VPCMPESTRM128rm */
+  },
+  { /* 4750 */
+    45,
+    /* VPCMPESTRM128rr */
+  },
+  { /* 4751 */
+    303,
+    /* VPCMPGTBYrm */
+  },
+  { /* 4752 */
+    304,
+    /* VPCMPGTBYrr */
+  },
+  { /* 4753 */
+    311,
+    /* VPCMPGTBrm */
+  },
+  { /* 4754 */
+    312,
+    /* VPCMPGTBrr */
+  },
+  { /* 4755 */
+    303,
+    /* VPCMPGTDYrm */
+  },
+  { /* 4756 */
+    304,
+    /* VPCMPGTDYrr */
+  },
+  { /* 4757 */
+    607,
+    /* VPCMPGTDZrm */
+  },
+  { /* 4758 */
+    608,
+    /* VPCMPGTDZrr */
+  },
+  { /* 4759 */
+    311,
+    /* VPCMPGTDrm */
+  },
+  { /* 4760 */
+    312,
+    /* VPCMPGTDrr */
+  },
+  { /* 4761 */
+    303,
+    /* VPCMPGTQYrm */
+  },
+  { /* 4762 */
+    304,
+    /* VPCMPGTQYrr */
+  },
+  { /* 4763 */
+    609,
+    /* VPCMPGTQZrm */
+  },
+  { /* 4764 */
+    610,
+    /* VPCMPGTQZrr */
+  },
+  { /* 4765 */
+    311,
+    /* VPCMPGTQrm */
+  },
+  { /* 4766 */
+    312,
+    /* VPCMPGTQrr */
+  },
+  { /* 4767 */
+    303,
+    /* VPCMPGTWYrm */
+  },
+  { /* 4768 */
+    304,
+    /* VPCMPGTWYrr */
+  },
+  { /* 4769 */
+    311,
+    /* VPCMPGTWrm */
+  },
+  { /* 4770 */
+    312,
+    /* VPCMPGTWrr */
+  },
+  { /* 4771 */
+    0,
+    /*  */
+  },
+  { /* 4772 */
+    0,
+    /*  */
+  },
+  { /* 4773 */
+    44,
+    /* VPCMPISTRIrm */
+  },
+  { /* 4774 */
+    45,
+    /* VPCMPISTRIrr */
+  },
+  { /* 4775 */
+    0,
+    /*  */
+  },
+  { /* 4776 */
+    0,
+    /*  */
+  },
+  { /* 4777 */
+    44,
+    /* VPCMPISTRM128rm */
+  },
+  { /* 4778 */
+    45,
+    /* VPCMPISTRM128rr */
+  },
+  { /* 4779 */
+    354,
+    /* VPCMPQZrmi */
+  },
+  { /* 4780 */
+    0,
+    /*  */
+  },
+  { /* 4781 */
+    0,
+    /*  */
+  },
+  { /* 4782 */
+    355,
+    /* VPCMPQZrri */
+  },
+  { /* 4783 */
+    0,
+    /*  */
+  },
+  { /* 4784 */
+    0,
+    /*  */
+  },
+  { /* 4785 */
+    359,
+    /* VPCMPUDZrmi */
+  },
+  { /* 4786 */
+    0,
+    /*  */
+  },
+  { /* 4787 */
+    0,
+    /*  */
+  },
+  { /* 4788 */
+    360,
+    /* VPCMPUDZrri */
+  },
+  { /* 4789 */
+    0,
+    /*  */
+  },
+  { /* 4790 */
+    0,
+    /*  */
+  },
+  { /* 4791 */
+    354,
+    /* VPCMPUQZrmi */
+  },
+  { /* 4792 */
+    0,
+    /*  */
+  },
+  { /* 4793 */
+    0,
+    /*  */
+  },
+  { /* 4794 */
+    355,
+    /* VPCMPUQZrri */
+  },
+  { /* 4795 */
+    0,
+    /*  */
+  },
+  { /* 4796 */
+    0,
+    /*  */
+  },
+  { /* 4797 */
+    592,
+    /* VPCOMBmi */
+  },
+  { /* 4798 */
+    593,
+    /* VPCOMBri */
+  },
+  { /* 4799 */
+    592,
+    /* VPCOMDmi */
+  },
+  { /* 4800 */
+    593,
+    /* VPCOMDri */
+  },
+  { /* 4801 */
+    592,
+    /* VPCOMQmi */
+  },
+  { /* 4802 */
+    593,
+    /* VPCOMQri */
+  },
+  { /* 4803 */
+    592,
+    /* VPCOMUBmi */
+  },
+  { /* 4804 */
+    593,
+    /* VPCOMUBri */
+  },
+  { /* 4805 */
+    592,
+    /* VPCOMUDmi */
+  },
+  { /* 4806 */
+    593,
+    /* VPCOMUDri */
+  },
+  { /* 4807 */
+    592,
+    /* VPCOMUQmi */
+  },
+  { /* 4808 */
+    593,
+    /* VPCOMUQri */
+  },
+  { /* 4809 */
+    592,
+    /* VPCOMUWmi */
+  },
+  { /* 4810 */
+    593,
+    /* VPCOMUWri */
+  },
+  { /* 4811 */
+    592,
+    /* VPCOMWmi */
+  },
+  { /* 4812 */
+    593,
+    /* VPCOMWri */
+  },
+  { /* 4813 */
+    377,
+    /* VPCONFLICTDrm */
+  },
+  { /* 4814 */
+    578,
+    /* VPCONFLICTDrmb */
+  },
+  { /* 4815 */
+    611,
+    /* VPCONFLICTDrmbk */
+  },
+  { /* 4816 */
+    579,
+    /* VPCONFLICTDrmbkz */
+  },
+  { /* 4817 */
+    518,
+    /* VPCONFLICTDrmk */
+  },
+  { /* 4818 */
+    519,
+    /* VPCONFLICTDrmkz */
+  },
+  { /* 4819 */
+    378,
+    /* VPCONFLICTDrr */
+  },
+  { /* 4820 */
+    520,
+    /* VPCONFLICTDrrk */
+  },
+  { /* 4821 */
+    521,
+    /* VPCONFLICTDrrkz */
+  },
+  { /* 4822 */
+    377,
+    /* VPCONFLICTQrm */
+  },
+  { /* 4823 */
+    580,
+    /* VPCONFLICTQrmb */
+  },
+  { /* 4824 */
+    612,
+    /* VPCONFLICTQrmbk */
+  },
+  { /* 4825 */
+    581,
+    /* VPCONFLICTQrmbkz */
+  },
+  { /* 4826 */
+    503,
+    /* VPCONFLICTQrmk */
+  },
+  { /* 4827 */
+    504,
+    /* VPCONFLICTQrmkz */
+  },
+  { /* 4828 */
+    378,
+    /* VPCONFLICTQrr */
+  },
+  { /* 4829 */
+    505,
+    /* VPCONFLICTQrrk */
+  },
+  { /* 4830 */
+    506,
+    /* VPCONFLICTQrrkz */
+  },
+  { /* 4831 */
+    594,
+    /* VPERM2F128rm */
+  },
+  { /* 4832 */
+    595,
+    /* VPERM2F128rr */
+  },
+  { /* 4833 */
+    594,
+    /* VPERM2I128rm */
+  },
+  { /* 4834 */
+    595,
+    /* VPERM2I128rr */
+  },
+  { /* 4835 */
+    303,
+    /* VPERMDYrm */
+  },
+  { /* 4836 */
+    304,
+    /* VPERMDYrr */
+  },
+  { /* 4837 */
+    305,
+    /* VPERMDZrm */
+  },
+  { /* 4838 */
+    309,
+    /* VPERMDZrr */
+  },
+  { /* 4839 */
+    433,
+    /* VPERMI2Drm */
+  },
+  { /* 4840 */
+    585,
+    /* VPERMI2Drmk */
+  },
+  { /* 4841 */
+    585,
+    /* VPERMI2Drmkz */
+  },
+  { /* 4842 */
+    436,
+    /* VPERMI2Drr */
+  },
+  { /* 4843 */
+    586,
+    /* VPERMI2Drrk */
+  },
+  { /* 4844 */
+    586,
+    /* VPERMI2Drrkz */
+  },
+  { /* 4845 */
+    433,
+    /* VPERMI2PDrm */
+  },
+  { /* 4846 */
+    590,
+    /* VPERMI2PDrmk */
+  },
+  { /* 4847 */
+    590,
+    /* VPERMI2PDrmkz */
+  },
+  { /* 4848 */
+    436,
+    /* VPERMI2PDrr */
+  },
+  { /* 4849 */
+    591,
+    /* VPERMI2PDrrk */
+  },
+  { /* 4850 */
+    591,
+    /* VPERMI2PDrrkz */
+  },
+  { /* 4851 */
+    433,
+    /* VPERMI2PSrm */
+  },
+  { /* 4852 */
+    585,
+    /* VPERMI2PSrmk */
+  },
+  { /* 4853 */
+    585,
+    /* VPERMI2PSrmkz */
+  },
+  { /* 4854 */
+    436,
+    /* VPERMI2PSrr */
+  },
+  { /* 4855 */
+    586,
+    /* VPERMI2PSrrk */
+  },
+  { /* 4856 */
+    586,
+    /* VPERMI2PSrrkz */
+  },
+  { /* 4857 */
+    433,
+    /* VPERMI2Qrm */
+  },
+  { /* 4858 */
+    590,
+    /* VPERMI2Qrmk */
+  },
+  { /* 4859 */
+    590,
+    /* VPERMI2Qrmkz */
+  },
+  { /* 4860 */
+    436,
+    /* VPERMI2Qrr */
+  },
+  { /* 4861 */
+    591,
+    /* VPERMI2Qrrk */
+  },
+  { /* 4862 */
+    591,
+    /* VPERMI2Qrrkz */
+  },
+  { /* 4863 */
+    613,
+    /* VPERMIL2PDmr */
+  },
+  { /* 4864 */
+    614,
+    /* VPERMIL2PDmrY */
+  },
+  { /* 4865 */
+    615,
+    /* VPERMIL2PDrm */
+  },
+  { /* 4866 */
+    616,
+    /* VPERMIL2PDrmY */
+  },
+  { /* 4867 */
+    617,
+    /* VPERMIL2PDrr */
+  },
+  { /* 4868 */
+    618,
+    /* VPERMIL2PDrrY */
+  },
+  { /* 4869 */
+    613,
+    /* VPERMIL2PSmr */
+  },
+  { /* 4870 */
+    614,
+    /* VPERMIL2PSmrY */
+  },
+  { /* 4871 */
+    615,
+    /* VPERMIL2PSrm */
+  },
+  { /* 4872 */
+    616,
+    /* VPERMIL2PSrmY */
+  },
+  { /* 4873 */
+    617,
+    /* VPERMIL2PSrr */
+  },
+  { /* 4874 */
+    618,
+    /* VPERMIL2PSrrY */
+  },
+  { /* 4875 */
+    619,
+    /* VPERMILPDYmi */
+  },
+  { /* 4876 */
+    620,
+    /* VPERMILPDYri */
+  },
+  { /* 4877 */
+    303,
+    /* VPERMILPDYrm */
+  },
+  { /* 4878 */
+    304,
+    /* VPERMILPDYrr */
+  },
+  { /* 4879 */
+    621,
+    /* VPERMILPDZmi */
+  },
+  { /* 4880 */
+    622,
+    /* VPERMILPDZri */
+  },
+  { /* 4881 */
+    44,
+    /* VPERMILPDmi */
+  },
+  { /* 4882 */
+    45,
+    /* VPERMILPDri */
+  },
+  { /* 4883 */
+    311,
+    /* VPERMILPDrm */
+  },
+  { /* 4884 */
+    312,
+    /* VPERMILPDrr */
+  },
+  { /* 4885 */
+    619,
+    /* VPERMILPSYmi */
+  },
+  { /* 4886 */
+    620,
+    /* VPERMILPSYri */
+  },
+  { /* 4887 */
+    303,
+    /* VPERMILPSYrm */
+  },
+  { /* 4888 */
+    304,
+    /* VPERMILPSYrr */
+  },
+  { /* 4889 */
+    621,
+    /* VPERMILPSZmi */
+  },
+  { /* 4890 */
+    622,
+    /* VPERMILPSZri */
+  },
+  { /* 4891 */
+    44,
+    /* VPERMILPSmi */
+  },
+  { /* 4892 */
+    45,
+    /* VPERMILPSri */
+  },
+  { /* 4893 */
+    311,
+    /* VPERMILPSrm */
+  },
+  { /* 4894 */
+    312,
+    /* VPERMILPSrr */
+  },
+  { /* 4895 */
+    619,
+    /* VPERMPDYmi */
+  },
+  { /* 4896 */
+    620,
+    /* VPERMPDYri */
+  },
+  { /* 4897 */
+    621,
+    /* VPERMPDZmi */
+  },
+  { /* 4898 */
+    622,
+    /* VPERMPDZri */
+  },
+  { /* 4899 */
+    305,
+    /* VPERMPDZrm */
+  },
+  { /* 4900 */
+    309,
+    /* VPERMPDZrr */
+  },
+  { /* 4901 */
+    303,
+    /* VPERMPSYrm */
+  },
+  { /* 4902 */
+    304,
+    /* VPERMPSYrr */
+  },
+  { /* 4903 */
+    305,
+    /* VPERMPSZrm */
+  },
+  { /* 4904 */
+    309,
+    /* VPERMPSZrr */
+  },
+  { /* 4905 */
+    619,
+    /* VPERMQYmi */
+  },
+  { /* 4906 */
+    620,
+    /* VPERMQYri */
+  },
+  { /* 4907 */
+    621,
+    /* VPERMQZmi */
+  },
+  { /* 4908 */
+    622,
+    /* VPERMQZri */
+  },
+  { /* 4909 */
+    305,
+    /* VPERMQZrm */
+  },
+  { /* 4910 */
+    309,
+    /* VPERMQZrr */
+  },
+  { /* 4911 */
+    433,
+    /* VPERMT2Drm */
+  },
+  { /* 4912 */
+    585,
+    /* VPERMT2Drmk */
+  },
+  { /* 4913 */
+    585,
+    /* VPERMT2Drmkz */
+  },
+  { /* 4914 */
+    436,
+    /* VPERMT2Drr */
+  },
+  { /* 4915 */
+    586,
+    /* VPERMT2Drrk */
+  },
+  { /* 4916 */
+    586,
+    /* VPERMT2Drrkz */
+  },
+  { /* 4917 */
+    433,
+    /* VPERMT2PDrm */
+  },
+  { /* 4918 */
+    590,
+    /* VPERMT2PDrmk */
+  },
+  { /* 4919 */
+    590,
+    /* VPERMT2PDrmkz */
+  },
+  { /* 4920 */
+    436,
+    /* VPERMT2PDrr */
+  },
+  { /* 4921 */
+    591,
+    /* VPERMT2PDrrk */
+  },
+  { /* 4922 */
+    591,
+    /* VPERMT2PDrrkz */
+  },
+  { /* 4923 */
+    433,
+    /* VPERMT2PSrm */
+  },
+  { /* 4924 */
+    585,
+    /* VPERMT2PSrmk */
+  },
+  { /* 4925 */
+    585,
+    /* VPERMT2PSrmkz */
+  },
+  { /* 4926 */
+    436,
+    /* VPERMT2PSrr */
+  },
+  { /* 4927 */
+    586,
+    /* VPERMT2PSrrk */
+  },
+  { /* 4928 */
+    586,
+    /* VPERMT2PSrrkz */
+  },
+  { /* 4929 */
+    433,
+    /* VPERMT2Qrm */
+  },
+  { /* 4930 */
+    590,
+    /* VPERMT2Qrmk */
+  },
+  { /* 4931 */
+    590,
+    /* VPERMT2Qrmkz */
+  },
+  { /* 4932 */
+    436,
+    /* VPERMT2Qrr */
+  },
+  { /* 4933 */
+    591,
+    /* VPERMT2Qrrk */
+  },
+  { /* 4934 */
+    591,
+    /* VPERMT2Qrrkz */
+  },
+  { /* 4935 */
+    268,
+    /* VPEXTRBmr */
+  },
+  { /* 4936 */
+    132,
+    /* VPEXTRBrr */
+  },
+  { /* 4937 */
+    269,
+    /* VPEXTRDmr */
+  },
+  { /* 4938 */
+    132,
+    /* VPEXTRDrr */
+  },
+  { /* 4939 */
+    269,
+    /* VPEXTRQmr */
+  },
+  { /* 4940 */
+    270,
+    /* VPEXTRQrr */
+  },
+  { /* 4941 */
+    269,
+    /* VPEXTRWmr */
+  },
+  { /* 4942 */
+    271,
+    /* VPEXTRWri */
+  },
+  { /* 4943 */
+    132,
+    /* VPEXTRWrr_REV */
+  },
+  { /* 4944 */
+    464,
+    /* VPGATHERDDYrm */
+  },
+  { /* 4945 */
+    465,
+    /* VPGATHERDDZrm */
+  },
+  { /* 4946 */
+    466,
+    /* VPGATHERDDrm */
+  },
+  { /* 4947 */
+    461,
+    /* VPGATHERDQYrm */
+  },
+  { /* 4948 */
+    462,
+    /* VPGATHERDQZrm */
+  },
+  { /* 4949 */
+    463,
+    /* VPGATHERDQrm */
+  },
+  { /* 4950 */
+    466,
+    /* VPGATHERQDYrm */
+  },
+  { /* 4951 */
+    470,
+    /* VPGATHERQDZrm */
+  },
+  { /* 4952 */
+    466,
+    /* VPGATHERQDrm */
+  },
+  { /* 4953 */
+    461,
+    /* VPGATHERQQYrm */
+  },
+  { /* 4954 */
+    462,
+    /* VPGATHERQQZrm */
+  },
+  { /* 4955 */
+    463,
+    /* VPGATHERQQrm */
+  },
+  { /* 4956 */
+    42,
+    /* VPHADDBDrm */
+  },
+  { /* 4957 */
+    43,
+    /* VPHADDBDrr */
+  },
+  { /* 4958 */
+    42,
+    /* VPHADDBQrm */
+  },
+  { /* 4959 */
+    43,
+    /* VPHADDBQrr */
+  },
+  { /* 4960 */
+    42,
+    /* VPHADDBWrm */
+  },
+  { /* 4961 */
+    43,
+    /* VPHADDBWrr */
+  },
+  { /* 4962 */
+    42,
+    /* VPHADDDQrm */
+  },
+  { /* 4963 */
+    43,
+    /* VPHADDDQrr */
+  },
+  { /* 4964 */
+    303,
+    /* VPHADDDYrm */
+  },
+  { /* 4965 */
+    304,
+    /* VPHADDDYrr */
+  },
+  { /* 4966 */
+    311,
+    /* VPHADDDrm */
+  },
+  { /* 4967 */
+    312,
+    /* VPHADDDrr */
+  },
+  { /* 4968 */
+    311,
+    /* VPHADDSWrm128 */
+  },
+  { /* 4969 */
+    303,
+    /* VPHADDSWrm256 */
+  },
+  { /* 4970 */
+    312,
+    /* VPHADDSWrr128 */
+  },
+  { /* 4971 */
+    304,
+    /* VPHADDSWrr256 */
+  },
+  { /* 4972 */
+    42,
+    /* VPHADDUBDrm */
+  },
+  { /* 4973 */
+    43,
+    /* VPHADDUBDrr */
+  },
+  { /* 4974 */
+    42,
+    /* VPHADDUBQrm */
+  },
+  { /* 4975 */
     43,
     /* VPHADDUBQrr */
   },
-  { /* 4513 */
+  { /* 4976 */
     42,
     /* VPHADDUBWrm */
   },
-  { /* 4514 */
+  { /* 4977 */
     43,
     /* VPHADDUBWrr */
   },
-  { /* 4515 */
+  { /* 4978 */
     42,
     /* VPHADDUDQrm */
   },
-  { /* 4516 */
+  { /* 4979 */
     43,
     /* VPHADDUDQrr */
   },
-  { /* 4517 */
+  { /* 4980 */
     42,
     /* VPHADDUWDrm */
   },
-  { /* 4518 */
+  { /* 4981 */
     43,
     /* VPHADDUWDrr */
   },
-  { /* 4519 */
+  { /* 4982 */
     42,
     /* VPHADDUWQrm */
   },
-  { /* 4520 */
+  { /* 4983 */
     43,
     /* VPHADDUWQrr */
   },
-  { /* 4521 */
+  { /* 4984 */
     42,
     /* VPHADDWDrm */
   },
-  { /* 4522 */
+  { /* 4985 */
     43,
     /* VPHADDWDrr */
   },
-  { /* 4523 */
+  { /* 4986 */
     42,
     /* VPHADDWQrm */
   },
-  { /* 4524 */
+  { /* 4987 */
     43,
     /* VPHADDWQrr */
   },
-  { /* 4525 */
-    284,
+  { /* 4988 */
+    303,
     /* VPHADDWYrm */
   },
-  { /* 4526 */
-    285,
+  { /* 4989 */
+    304,
     /* VPHADDWYrr */
   },
-  { /* 4527 */
-    289,
+  { /* 4990 */
+    311,
     /* VPHADDWrm */
   },
-  { /* 4528 */
-    290,
+  { /* 4991 */
+    312,
     /* VPHADDWrr */
   },
-  { /* 4529 */
+  { /* 4992 */
     42,
     /* VPHMINPOSUWrm128 */
   },
-  { /* 4530 */
+  { /* 4993 */
     43,
     /* VPHMINPOSUWrr128 */
   },
-  { /* 4531 */
+  { /* 4994 */
     42,
     /* VPHSUBBWrm */
   },
-  { /* 4532 */
+  { /* 4995 */
     43,
     /* VPHSUBBWrr */
   },
-  { /* 4533 */
+  { /* 4996 */
     42,
     /* VPHSUBDQrm */
   },
-  { /* 4534 */
+  { /* 4997 */
     43,
     /* VPHSUBDQrr */
   },
-  { /* 4535 */
-    284,
+  { /* 4998 */
+    303,
     /* VPHSUBDYrm */
   },
-  { /* 4536 */
-    285,
+  { /* 4999 */
+    304,
     /* VPHSUBDYrr */
   },
-  { /* 4537 */
-    289,
+  { /* 5000 */
+    311,
     /* VPHSUBDrm */
   },
-  { /* 4538 */
-    290,
+  { /* 5001 */
+    312,
     /* VPHSUBDrr */
   },
-  { /* 4539 */
-    289,
+  { /* 5002 */
+    311,
     /* VPHSUBSWrm128 */
   },
-  { /* 4540 */
-    284,
+  { /* 5003 */
+    303,
     /* VPHSUBSWrm256 */
   },
-  { /* 4541 */
-    290,
+  { /* 5004 */
+    312,
     /* VPHSUBSWrr128 */
   },
-  { /* 4542 */
-    285,
+  { /* 5005 */
+    304,
     /* VPHSUBSWrr256 */
   },
-  { /* 4543 */
+  { /* 5006 */
     42,
     /* VPHSUBWDrm */
   },
-  { /* 4544 */
+  { /* 5007 */
     43,
     /* VPHSUBWDrr */
   },
-  { /* 4545 */
-    284,
+  { /* 5008 */
+    303,
     /* VPHSUBWYrm */
   },
-  { /* 4546 */
-    285,
+  { /* 5009 */
+    304,
     /* VPHSUBWYrr */
   },
-  { /* 4547 */
-    289,
+  { /* 5010 */
+    311,
     /* VPHSUBWrm */
   },
-  { /* 4548 */
-    290,
+  { /* 5011 */
+    312,
     /* VPHSUBWrr */
   },
-  { /* 4549 */
-    466,
+  { /* 5012 */
+    623,
     /* VPINSRBrm */
   },
-  { /* 4550 */
-    467,
+  { /* 5013 */
+    624,
     /* VPINSRBrr */
   },
-  { /* 4551 */
-    468,
+  { /* 5014 */
+    625,
     /* VPINSRDrm */
   },
-  { /* 4552 */
-    467,
+  { /* 5015 */
+    624,
     /* VPINSRDrr */
   },
-  { /* 4553 */
-    468,
+  { /* 5016 */
+    625,
     /* VPINSRQrm */
   },
-  { /* 4554 */
-    469,
+  { /* 5017 */
+    626,
     /* VPINSRQrr */
   },
-  { /* 4555 */
-    468,
+  { /* 5018 */
+    625,
     /* VPINSRWrmi */
   },
-  { /* 4556 */
-    467,
+  { /* 5019 */
+    624,
     /* VPINSRWrri */
   },
-  { /* 4557 */
-    308,
+  { /* 5020 */
+    377,
+    /* VPLZCNTDrm */
+  },
+  { /* 5021 */
+    578,
+    /* VPLZCNTDrmb */
+  },
+  { /* 5022 */
+    611,
+    /* VPLZCNTDrmbk */
+  },
+  { /* 5023 */
+    579,
+    /* VPLZCNTDrmbkz */
+  },
+  { /* 5024 */
+    518,
+    /* VPLZCNTDrmk */
+  },
+  { /* 5025 */
+    519,
+    /* VPLZCNTDrmkz */
+  },
+  { /* 5026 */
+    378,
+    /* VPLZCNTDrr */
+  },
+  { /* 5027 */
+    520,
+    /* VPLZCNTDrrk */
+  },
+  { /* 5028 */
+    521,
+    /* VPLZCNTDrrkz */
+  },
+  { /* 5029 */
+    377,
+    /* VPLZCNTQrm */
+  },
+  { /* 5030 */
+    580,
+    /* VPLZCNTQrmb */
+  },
+  { /* 5031 */
+    612,
+    /* VPLZCNTQrmbk */
+  },
+  { /* 5032 */
+    581,
+    /* VPLZCNTQrmbkz */
+  },
+  { /* 5033 */
+    503,
+    /* VPLZCNTQrmk */
+  },
+  { /* 5034 */
+    504,
+    /* VPLZCNTQrmkz */
+  },
+  { /* 5035 */
+    378,
+    /* VPLZCNTQrr */
+  },
+  { /* 5036 */
+    505,
+    /* VPLZCNTQrrk */
+  },
+  { /* 5037 */
+    506,
+    /* VPLZCNTQrrkz */
+  },
+  { /* 5038 */
+    337,
     /* VPMACSDDrm */
   },
-  { /* 4558 */
-    309,
+  { /* 5039 */
+    338,
     /* VPMACSDDrr */
   },
-  { /* 4559 */
-    308,
+  { /* 5040 */
+    337,
     /* VPMACSDQHrm */
   },
-  { /* 4560 */
-    309,
+  { /* 5041 */
+    338,
     /* VPMACSDQHrr */
   },
-  { /* 4561 */
-    308,
+  { /* 5042 */
+    337,
     /* VPMACSDQLrm */
   },
-  { /* 4562 */
-    309,
+  { /* 5043 */
+    338,
     /* VPMACSDQLrr */
   },
-  { /* 4563 */
-    308,
+  { /* 5044 */
+    337,
     /* VPMACSSDDrm */
   },
-  { /* 4564 */
-    309,
+  { /* 5045 */
+    338,
     /* VPMACSSDDrr */
   },
-  { /* 4565 */
-    308,
+  { /* 5046 */
+    337,
     /* VPMACSSDQHrm */
   },
-  { /* 4566 */
-    309,
+  { /* 5047 */
+    338,
     /* VPMACSSDQHrr */
   },
-  { /* 4567 */
-    308,
+  { /* 5048 */
+    337,
     /* VPMACSSDQLrm */
   },
-  { /* 4568 */
-    309,
+  { /* 5049 */
+    338,
     /* VPMACSSDQLrr */
   },
-  { /* 4569 */
-    308,
+  { /* 5050 */
+    337,
     /* VPMACSSWDrm */
   },
-  { /* 4570 */
-    309,
+  { /* 5051 */
+    338,
     /* VPMACSSWDrr */
   },
-  { /* 4571 */
-    308,
+  { /* 5052 */
+    337,
     /* VPMACSSWWrm */
   },
-  { /* 4572 */
-    309,
+  { /* 5053 */
+    338,
     /* VPMACSSWWrr */
   },
-  { /* 4573 */
-    308,
+  { /* 5054 */
+    337,
     /* VPMACSWDrm */
   },
-  { /* 4574 */
-    309,
+  { /* 5055 */
+    338,
     /* VPMACSWDrr */
   },
-  { /* 4575 */
-    308,
+  { /* 5056 */
+    337,
     /* VPMACSWWrm */
   },
-  { /* 4576 */
-    309,
+  { /* 5057 */
+    338,
     /* VPMACSWWrr */
   },
-  { /* 4577 */
-    308,
+  { /* 5058 */
+    337,
     /* VPMADCSSWDrm */
   },
-  { /* 4578 */
-    309,
+  { /* 5059 */
+    338,
     /* VPMADCSSWDrr */
   },
-  { /* 4579 */
-    308,
+  { /* 5060 */
+    337,
     /* VPMADCSWDrm */
   },
-  { /* 4580 */
-    309,
+  { /* 5061 */
+    338,
     /* VPMADCSWDrr */
   },
-  { /* 4581 */
-    289,
+  { /* 5062 */
+    311,
     /* VPMADDUBSWrm128 */
   },
-  { /* 4582 */
-    284,
+  { /* 5063 */
+    303,
     /* VPMADDUBSWrm256 */
   },
-  { /* 4583 */
-    290,
+  { /* 5064 */
+    312,
     /* VPMADDUBSWrr128 */
   },
-  { /* 4584 */
-    285,
+  { /* 5065 */
+    304,
     /* VPMADDUBSWrr256 */
   },
-  { /* 4585 */
-    284,
+  { /* 5066 */
+    303,
     /* VPMADDWDYrm */
   },
-  { /* 4586 */
-    285,
+  { /* 5067 */
+    304,
     /* VPMADDWDYrr */
   },
-  { /* 4587 */
-    289,
+  { /* 5068 */
+    311,
     /* VPMADDWDrm */
   },
-  { /* 4588 */
-    290,
+  { /* 5069 */
+    312,
     /* VPMADDWDrr */
   },
-  { /* 4589 */
-    408,
+  { /* 5070 */
+    480,
     /* VPMASKMOVDYmr */
   },
-  { /* 4590 */
-    284,
+  { /* 5071 */
+    303,
     /* VPMASKMOVDYrm */
   },
-  { /* 4591 */
-    409,
+  { /* 5072 */
+    481,
     /* VPMASKMOVDmr */
   },
-  { /* 4592 */
-    289,
+  { /* 5073 */
+    311,
     /* VPMASKMOVDrm */
   },
-  { /* 4593 */
-    408,
+  { /* 5074 */
+    480,
     /* VPMASKMOVQYmr */
   },
-  { /* 4594 */
-    284,
+  { /* 5075 */
+    303,
     /* VPMASKMOVQYrm */
   },
-  { /* 4595 */
-    409,
+  { /* 5076 */
+    481,
     /* VPMASKMOVQmr */
   },
-  { /* 4596 */
-    289,
+  { /* 5077 */
+    311,
     /* VPMASKMOVQrm */
   },
-  { /* 4597 */
-    284,
+  { /* 5078 */
+    303,
     /* VPMAXSBYrm */
   },
-  { /* 4598 */
-    285,
+  { /* 5079 */
+    304,
     /* VPMAXSBYrr */
   },
-  { /* 4599 */
-    289,
+  { /* 5080 */
+    311,
     /* VPMAXSBrm */
   },
-  { /* 4600 */
-    290,
+  { /* 5081 */
+    312,
     /* VPMAXSBrr */
   },
-  { /* 4601 */
-    284,
+  { /* 5082 */
+    303,
     /* VPMAXSDYrm */
   },
-  { /* 4602 */
-    285,
+  { /* 5083 */
+    304,
     /* VPMAXSDYrr */
   },
-  { /* 4603 */
-    286,
+  { /* 5084 */
+    305,
     /* VPMAXSDZrm */
   },
-  { /* 4604 */
-    429,
+  { /* 5085 */
+    582,
     /* VPMAXSDZrmb */
   },
-  { /* 4605 */
-    288,
+  { /* 5086 */
+    583,
+    /* VPMAXSDZrmbk */
+  },
+  { /* 5087 */
+    584,
+    /* VPMAXSDZrmbkz */
+  },
+  { /* 5088 */
+    585,
+    /* VPMAXSDZrmk */
+  },
+  { /* 5089 */
+    315,
+    /* VPMAXSDZrmkz */
+  },
+  { /* 5090 */
+    309,
     /* VPMAXSDZrr */
   },
-  { /* 4606 */
-    289,
+  { /* 5091 */
+    586,
+    /* VPMAXSDZrrk */
+  },
+  { /* 5092 */
+    316,
+    /* VPMAXSDZrrkz */
+  },
+  { /* 5093 */
+    311,
     /* VPMAXSDrm */
   },
-  { /* 4607 */
-    290,
+  { /* 5094 */
+    312,
     /* VPMAXSDrr */
   },
-  { /* 4608 */
-    286,
+  { /* 5095 */
+    305,
     /* VPMAXSQZrm */
   },
-  { /* 4609 */
-    429,
+  { /* 5096 */
+    587,
     /* VPMAXSQZrmb */
   },
-  { /* 4610 */
-    288,
+  { /* 5097 */
+    588,
+    /* VPMAXSQZrmbk */
+  },
+  { /* 5098 */
+    589,
+    /* VPMAXSQZrmbkz */
+  },
+  { /* 5099 */
+    590,
+    /* VPMAXSQZrmk */
+  },
+  { /* 5100 */
+    308,
+    /* VPMAXSQZrmkz */
+  },
+  { /* 5101 */
+    309,
     /* VPMAXSQZrr */
   },
-  { /* 4611 */
-    284,
+  { /* 5102 */
+    591,
+    /* VPMAXSQZrrk */
+  },
+  { /* 5103 */
+    310,
+    /* VPMAXSQZrrkz */
+  },
+  { /* 5104 */
+    303,
     /* VPMAXSWYrm */
   },
-  { /* 4612 */
-    285,
+  { /* 5105 */
+    304,
     /* VPMAXSWYrr */
   },
-  { /* 4613 */
-    289,
+  { /* 5106 */
+    311,
     /* VPMAXSWrm */
   },
-  { /* 4614 */
-    290,
+  { /* 5107 */
+    312,
     /* VPMAXSWrr */
   },
-  { /* 4615 */
-    284,
+  { /* 5108 */
+    303,
     /* VPMAXUBYrm */
   },
-  { /* 4616 */
-    285,
+  { /* 5109 */
+    304,
     /* VPMAXUBYrr */
   },
-  { /* 4617 */
-    289,
+  { /* 5110 */
+    311,
     /* VPMAXUBrm */
   },
-  { /* 4618 */
-    290,
+  { /* 5111 */
+    312,
     /* VPMAXUBrr */
   },
-  { /* 4619 */
-    284,
+  { /* 5112 */
+    303,
     /* VPMAXUDYrm */
   },
-  { /* 4620 */
-    285,
+  { /* 5113 */
+    304,
     /* VPMAXUDYrr */
   },
-  { /* 4621 */
-    286,
+  { /* 5114 */
+    305,
     /* VPMAXUDZrm */
   },
-  { /* 4622 */
-    429,
+  { /* 5115 */
+    582,
     /* VPMAXUDZrmb */
   },
-  { /* 4623 */
-    288,
+  { /* 5116 */
+    583,
+    /* VPMAXUDZrmbk */
+  },
+  { /* 5117 */
+    584,
+    /* VPMAXUDZrmbkz */
+  },
+  { /* 5118 */
+    585,
+    /* VPMAXUDZrmk */
+  },
+  { /* 5119 */
+    315,
+    /* VPMAXUDZrmkz */
+  },
+  { /* 5120 */
+    309,
     /* VPMAXUDZrr */
   },
-  { /* 4624 */
-    289,
+  { /* 5121 */
+    586,
+    /* VPMAXUDZrrk */
+  },
+  { /* 5122 */
+    316,
+    /* VPMAXUDZrrkz */
+  },
+  { /* 5123 */
+    311,
     /* VPMAXUDrm */
   },
-  { /* 4625 */
-    290,
+  { /* 5124 */
+    312,
     /* VPMAXUDrr */
   },
-  { /* 4626 */
-    286,
+  { /* 5125 */
+    305,
     /* VPMAXUQZrm */
   },
-  { /* 4627 */
-    429,
+  { /* 5126 */
+    587,
     /* VPMAXUQZrmb */
   },
-  { /* 4628 */
-    288,
+  { /* 5127 */
+    588,
+    /* VPMAXUQZrmbk */
+  },
+  { /* 5128 */
+    589,
+    /* VPMAXUQZrmbkz */
+  },
+  { /* 5129 */
+    590,
+    /* VPMAXUQZrmk */
+  },
+  { /* 5130 */
+    308,
+    /* VPMAXUQZrmkz */
+  },
+  { /* 5131 */
+    309,
     /* VPMAXUQZrr */
   },
-  { /* 4629 */
-    284,
+  { /* 5132 */
+    591,
+    /* VPMAXUQZrrk */
+  },
+  { /* 5133 */
+    310,
+    /* VPMAXUQZrrkz */
+  },
+  { /* 5134 */
+    303,
     /* VPMAXUWYrm */
   },
-  { /* 4630 */
-    285,
+  { /* 5135 */
+    304,
     /* VPMAXUWYrr */
   },
-  { /* 4631 */
-    289,
+  { /* 5136 */
+    311,
     /* VPMAXUWrm */
   },
-  { /* 4632 */
-    290,
+  { /* 5137 */
+    312,
     /* VPMAXUWrr */
   },
-  { /* 4633 */
-    284,
+  { /* 5138 */
+    303,
     /* VPMINSBYrm */
   },
-  { /* 4634 */
-    285,
+  { /* 5139 */
+    304,
     /* VPMINSBYrr */
   },
-  { /* 4635 */
-    289,
+  { /* 5140 */
+    311,
     /* VPMINSBrm */
   },
-  { /* 4636 */
-    290,
+  { /* 5141 */
+    312,
     /* VPMINSBrr */
   },
-  { /* 4637 */
-    284,
+  { /* 5142 */
+    303,
     /* VPMINSDYrm */
   },
-  { /* 4638 */
-    285,
+  { /* 5143 */
+    304,
     /* VPMINSDYrr */
   },
-  { /* 4639 */
-    286,
+  { /* 5144 */
+    305,
     /* VPMINSDZrm */
   },
-  { /* 4640 */
-    429,
+  { /* 5145 */
+    582,
     /* VPMINSDZrmb */
   },
-  { /* 4641 */
-    288,
+  { /* 5146 */
+    583,
+    /* VPMINSDZrmbk */
+  },
+  { /* 5147 */
+    584,
+    /* VPMINSDZrmbkz */
+  },
+  { /* 5148 */
+    585,
+    /* VPMINSDZrmk */
+  },
+  { /* 5149 */
+    315,
+    /* VPMINSDZrmkz */
+  },
+  { /* 5150 */
+    309,
     /* VPMINSDZrr */
   },
-  { /* 4642 */
-    289,
+  { /* 5151 */
+    586,
+    /* VPMINSDZrrk */
+  },
+  { /* 5152 */
+    316,
+    /* VPMINSDZrrkz */
+  },
+  { /* 5153 */
+    311,
     /* VPMINSDrm */
   },
-  { /* 4643 */
-    290,
+  { /* 5154 */
+    312,
     /* VPMINSDrr */
   },
-  { /* 4644 */
-    286,
+  { /* 5155 */
+    305,
     /* VPMINSQZrm */
   },
-  { /* 4645 */
-    429,
+  { /* 5156 */
+    587,
     /* VPMINSQZrmb */
   },
-  { /* 4646 */
-    288,
+  { /* 5157 */
+    588,
+    /* VPMINSQZrmbk */
+  },
+  { /* 5158 */
+    589,
+    /* VPMINSQZrmbkz */
+  },
+  { /* 5159 */
+    590,
+    /* VPMINSQZrmk */
+  },
+  { /* 5160 */
+    308,
+    /* VPMINSQZrmkz */
+  },
+  { /* 5161 */
+    309,
     /* VPMINSQZrr */
   },
-  { /* 4647 */
-    284,
+  { /* 5162 */
+    591,
+    /* VPMINSQZrrk */
+  },
+  { /* 5163 */
+    310,
+    /* VPMINSQZrrkz */
+  },
+  { /* 5164 */
+    303,
     /* VPMINSWYrm */
   },
-  { /* 4648 */
-    285,
+  { /* 5165 */
+    304,
     /* VPMINSWYrr */
   },
-  { /* 4649 */
-    289,
+  { /* 5166 */
+    311,
     /* VPMINSWrm */
   },
-  { /* 4650 */
-    290,
+  { /* 5167 */
+    312,
     /* VPMINSWrr */
   },
-  { /* 4651 */
-    284,
+  { /* 5168 */
+    303,
     /* VPMINUBYrm */
   },
-  { /* 4652 */
-    285,
+  { /* 5169 */
+    304,
     /* VPMINUBYrr */
   },
-  { /* 4653 */
-    289,
+  { /* 5170 */
+    311,
     /* VPMINUBrm */
   },
-  { /* 4654 */
-    290,
+  { /* 5171 */
+    312,
     /* VPMINUBrr */
   },
-  { /* 4655 */
-    284,
+  { /* 5172 */
+    303,
     /* VPMINUDYrm */
   },
-  { /* 4656 */
-    285,
+  { /* 5173 */
+    304,
     /* VPMINUDYrr */
   },
-  { /* 4657 */
-    286,
+  { /* 5174 */
+    305,
     /* VPMINUDZrm */
   },
-  { /* 4658 */
-    429,
+  { /* 5175 */
+    582,
     /* VPMINUDZrmb */
   },
-  { /* 4659 */
-    288,
+  { /* 5176 */
+    583,
+    /* VPMINUDZrmbk */
+  },
+  { /* 5177 */
+    584,
+    /* VPMINUDZrmbkz */
+  },
+  { /* 5178 */
+    585,
+    /* VPMINUDZrmk */
+  },
+  { /* 5179 */
+    315,
+    /* VPMINUDZrmkz */
+  },
+  { /* 5180 */
+    309,
     /* VPMINUDZrr */
   },
-  { /* 4660 */
-    289,
+  { /* 5181 */
+    586,
+    /* VPMINUDZrrk */
+  },
+  { /* 5182 */
+    316,
+    /* VPMINUDZrrkz */
+  },
+  { /* 5183 */
+    311,
     /* VPMINUDrm */
   },
-  { /* 4661 */
-    290,
+  { /* 5184 */
+    312,
     /* VPMINUDrr */
   },
-  { /* 4662 */
-    286,
+  { /* 5185 */
+    305,
     /* VPMINUQZrm */
   },
-  { /* 4663 */
-    429,
+  { /* 5186 */
+    587,
     /* VPMINUQZrmb */
   },
-  { /* 4664 */
-    288,
+  { /* 5187 */
+    588,
+    /* VPMINUQZrmbk */
+  },
+  { /* 5188 */
+    589,
+    /* VPMINUQZrmbkz */
+  },
+  { /* 5189 */
+    590,
+    /* VPMINUQZrmk */
+  },
+  { /* 5190 */
+    308,
+    /* VPMINUQZrmkz */
+  },
+  { /* 5191 */
+    309,
     /* VPMINUQZrr */
   },
-  { /* 4665 */
-    284,
+  { /* 5192 */
+    591,
+    /* VPMINUQZrrk */
+  },
+  { /* 5193 */
+    310,
+    /* VPMINUQZrrkz */
+  },
+  { /* 5194 */
+    303,
     /* VPMINUWYrm */
   },
-  { /* 4666 */
-    285,
+  { /* 5195 */
+    304,
     /* VPMINUWYrr */
   },
-  { /* 4667 */
-    289,
+  { /* 5196 */
+    311,
     /* VPMINUWrm */
   },
-  { /* 4668 */
-    290,
+  { /* 5197 */
+    312,
     /* VPMINUWrr */
   },
-  { /* 4669 */
-    470,
-    /* VPMOVDBkrr */
-  },
-  { /* 4670 */
-    471,
+  { /* 5198 */
+    627,
     /* VPMOVDBmr */
   },
-  { /* 4671 */
-    472,
+  { /* 5199 */
+    628,
+    /* VPMOVDBmrk */
+  },
+  { /* 5200 */
+    629,
     /* VPMOVDBrr */
   },
-  { /* 4672 */
-    473,
-    /* VPMOVDWkrr */
+  { /* 5201 */
+    630,
+    /* VPMOVDBrrk */
   },
-  { /* 4673 */
-    474,
+  { /* 5202 */
+    630,
+    /* VPMOVDBrrkz */
+  },
+  { /* 5203 */
+    631,
     /* VPMOVDWmr */
   },
-  { /* 4674 */
-    475,
+  { /* 5204 */
+    632,
+    /* VPMOVDWmrk */
+  },
+  { /* 5205 */
+    633,
     /* VPMOVDWrr */
   },
-  { /* 4675 */
-    420,
+  { /* 5206 */
+    634,
+    /* VPMOVDWrrk */
+  },
+  { /* 5207 */
+    634,
+    /* VPMOVDWrrkz */
+  },
+  { /* 5208 */
+    556,
     /* VPMOVMSKBYrr */
   },
-  { /* 4676 */
+  { /* 5209 */
     109,
     /* VPMOVMSKBrr */
   },
-  { /* 4677 */
-    476,
-    /* VPMOVQBkrr */
-  },
-  { /* 4678 */
-    471,
+  { /* 5210 */
+    635,
     /* VPMOVQBmr */
   },
-  { /* 4679 */
-    472,
+  { /* 5211 */
+    636,
+    /* VPMOVQBmrk */
+  },
+  { /* 5212 */
+    637,
     /* VPMOVQBrr */
   },
-  { /* 4680 */
-    477,
-    /* VPMOVQDkrr */
+  { /* 5213 */
+    638,
+    /* VPMOVQBrrk */
   },
-  { /* 4681 */
-    474,
+  { /* 5214 */
+    638,
+    /* VPMOVQBrrkz */
+  },
+  { /* 5215 */
+    631,
     /* VPMOVQDmr */
   },
-  { /* 4682 */
-    475,
+  { /* 5216 */
+    639,
+    /* VPMOVQDmrk */
+  },
+  { /* 5217 */
+    633,
     /* VPMOVQDrr */
   },
-  { /* 4683 */
-    476,
-    /* VPMOVQWkrr */
+  { /* 5218 */
+    640,
+    /* VPMOVQDrrk */
   },
-  { /* 4684 */
-    471,
+  { /* 5219 */
+    640,
+    /* VPMOVQDrrkz */
+  },
+  { /* 5220 */
+    627,
     /* VPMOVQWmr */
   },
-  { /* 4685 */
-    472,
+  { /* 5221 */
+    641,
+    /* VPMOVQWmrk */
+  },
+  { /* 5222 */
+    629,
     /* VPMOVQWrr */
   },
-  { /* 4686 */
-    470,
-    /* VPMOVSDBkrr */
+  { /* 5223 */
+    642,
+    /* VPMOVQWrrk */
   },
-  { /* 4687 */
-    471,
+  { /* 5224 */
+    642,
+    /* VPMOVQWrrkz */
+  },
+  { /* 5225 */
+    627,
     /* VPMOVSDBmr */
   },
-  { /* 4688 */
-    472,
+  { /* 5226 */
+    628,
+    /* VPMOVSDBmrk */
+  },
+  { /* 5227 */
+    629,
     /* VPMOVSDBrr */
   },
-  { /* 4689 */
-    473,
-    /* VPMOVSDWkrr */
+  { /* 5228 */
+    630,
+    /* VPMOVSDBrrk */
   },
-  { /* 4690 */
-    474,
+  { /* 5229 */
+    630,
+    /* VPMOVSDBrrkz */
+  },
+  { /* 5230 */
+    631,
     /* VPMOVSDWmr */
   },
-  { /* 4691 */
-    475,
+  { /* 5231 */
+    632,
+    /* VPMOVSDWmrk */
+  },
+  { /* 5232 */
+    633,
     /* VPMOVSDWrr */
   },
-  { /* 4692 */
-    476,
-    /* VPMOVSQBkrr */
+  { /* 5233 */
+    634,
+    /* VPMOVSDWrrk */
   },
-  { /* 4693 */
-    471,
+  { /* 5234 */
+    634,
+    /* VPMOVSDWrrkz */
+  },
+  { /* 5235 */
+    635,
     /* VPMOVSQBmr */
   },
-  { /* 4694 */
-    472,
+  { /* 5236 */
+    636,
+    /* VPMOVSQBmrk */
+  },
+  { /* 5237 */
+    637,
     /* VPMOVSQBrr */
   },
-  { /* 4695 */
-    477,
-    /* VPMOVSQDkrr */
+  { /* 5238 */
+    638,
+    /* VPMOVSQBrrk */
   },
-  { /* 4696 */
-    474,
+  { /* 5239 */
+    638,
+    /* VPMOVSQBrrkz */
+  },
+  { /* 5240 */
+    631,
     /* VPMOVSQDmr */
   },
-  { /* 4697 */
-    475,
+  { /* 5241 */
+    639,
+    /* VPMOVSQDmrk */
+  },
+  { /* 5242 */
+    633,
     /* VPMOVSQDrr */
   },
-  { /* 4698 */
-    476,
-    /* VPMOVSQWkrr */
+  { /* 5243 */
+    640,
+    /* VPMOVSQDrrk */
   },
-  { /* 4699 */
-    471,
+  { /* 5244 */
+    640,
+    /* VPMOVSQDrrkz */
+  },
+  { /* 5245 */
+    627,
     /* VPMOVSQWmr */
   },
-  { /* 4700 */
-    472,
+  { /* 5246 */
+    641,
+    /* VPMOVSQWmrk */
+  },
+  { /* 5247 */
+    629,
     /* VPMOVSQWrr */
   },
-  { /* 4701 */
-    436,
+  { /* 5248 */
+    642,
+    /* VPMOVSQWrrk */
+  },
+  { /* 5249 */
+    642,
+    /* VPMOVSQWrrkz */
+  },
+  { /* 5250 */
+    598,
     /* VPMOVSXBDYrm */
   },
-  { /* 4702 */
-    312,
+  { /* 5251 */
+    345,
     /* VPMOVSXBDYrr */
   },
-  { /* 4703 */
-    478,
+  { /* 5252 */
+    341,
     /* VPMOVSXBDZrm */
   },
-  { /* 4704 */
-    314,
+  { /* 5253 */
+    340,
+    /* VPMOVSXBDZrmk */
+  },
+  { /* 5254 */
+    340,
+    /* VPMOVSXBDZrmkz */
+  },
+  { /* 5255 */
+    643,
     /* VPMOVSXBDZrr */
   },
-  { /* 4705 */
+  { /* 5256 */
+    644,
+    /* VPMOVSXBDZrrk */
+  },
+  { /* 5257 */
+    644,
+    /* VPMOVSXBDZrrkz */
+  },
+  { /* 5258 */
     104,
     /* VPMOVSXBDrm */
   },
-  { /* 4706 */
+  { /* 5259 */
     43,
     /* VPMOVSXBDrr */
   },
-  { /* 4707 */
-    436,
+  { /* 5260 */
+    598,
     /* VPMOVSXBQYrm */
   },
-  { /* 4708 */
-    312,
+  { /* 5261 */
+    345,
     /* VPMOVSXBQYrr */
   },
-  { /* 4709 */
-    478,
+  { /* 5262 */
+    645,
     /* VPMOVSXBQZrm */
   },
-  { /* 4710 */
-    314,
+  { /* 5263 */
+    646,
+    /* VPMOVSXBQZrmk */
+  },
+  { /* 5264 */
+    646,
+    /* VPMOVSXBQZrmkz */
+  },
+  { /* 5265 */
+    347,
     /* VPMOVSXBQZrr */
   },
-  { /* 4711 */
+  { /* 5266 */
+    604,
+    /* VPMOVSXBQZrrk */
+  },
+  { /* 5267 */
+    604,
+    /* VPMOVSXBQZrrkz */
+  },
+  { /* 5268 */
     104,
     /* VPMOVSXBQrm */
   },
-  { /* 4712 */
+  { /* 5269 */
     43,
     /* VPMOVSXBQrr */
   },
-  { /* 4713 */
-    310,
+  { /* 5270 */
+    339,
     /* VPMOVSXBWYrm */
   },
-  { /* 4714 */
-    312,
+  { /* 5271 */
+    345,
     /* VPMOVSXBWYrr */
   },
-  { /* 4715 */
+  { /* 5272 */
     104,
     /* VPMOVSXBWrm */
   },
-  { /* 4716 */
+  { /* 5273 */
     43,
     /* VPMOVSXBWrr */
   },
-  { /* 4717 */
-    310,
+  { /* 5274 */
+    339,
     /* VPMOVSXDQYrm */
   },
-  { /* 4718 */
-    312,
+  { /* 5275 */
+    345,
     /* VPMOVSXDQYrr */
   },
-  { /* 4719 */
-    334,
+  { /* 5276 */
+    343,
     /* VPMOVSXDQZrm */
   },
-  { /* 4720 */
-    335,
+  { /* 5277 */
+    647,
+    /* VPMOVSXDQZrmk */
+  },
+  { /* 5278 */
+    647,
+    /* VPMOVSXDQZrmkz */
+  },
+  { /* 5279 */
+    374,
     /* VPMOVSXDQZrr */
   },
-  { /* 4721 */
+  { /* 5280 */
+    648,
+    /* VPMOVSXDQZrrk */
+  },
+  { /* 5281 */
+    648,
+    /* VPMOVSXDQZrrkz */
+  },
+  { /* 5282 */
     104,
     /* VPMOVSXDQrm */
   },
-  { /* 4722 */
+  { /* 5283 */
     43,
     /* VPMOVSXDQrr */
   },
-  { /* 4723 */
-    310,
+  { /* 5284 */
+    339,
     /* VPMOVSXWDYrm */
   },
-  { /* 4724 */
-    312,
+  { /* 5285 */
+    345,
     /* VPMOVSXWDYrr */
   },
-  { /* 4725 */
-    334,
+  { /* 5286 */
+    343,
     /* VPMOVSXWDZrm */
   },
-  { /* 4726 */
-    335,
+  { /* 5287 */
+    342,
+    /* VPMOVSXWDZrmk */
+  },
+  { /* 5288 */
+    342,
+    /* VPMOVSXWDZrmkz */
+  },
+  { /* 5289 */
+    374,
     /* VPMOVSXWDZrr */
   },
-  { /* 4727 */
+  { /* 5290 */
+    649,
+    /* VPMOVSXWDZrrk */
+  },
+  { /* 5291 */
+    649,
+    /* VPMOVSXWDZrrkz */
+  },
+  { /* 5292 */
     104,
     /* VPMOVSXWDrm */
   },
-  { /* 4728 */
+  { /* 5293 */
     43,
     /* VPMOVSXWDrr */
   },
-  { /* 4729 */
-    436,
+  { /* 5294 */
+    598,
     /* VPMOVSXWQYrm */
   },
-  { /* 4730 */
-    312,
+  { /* 5295 */
+    345,
     /* VPMOVSXWQYrr */
   },
-  { /* 4731 */
-    478,
+  { /* 5296 */
+    341,
     /* VPMOVSXWQZrm */
   },
-  { /* 4732 */
-    314,
+  { /* 5297 */
+    650,
+    /* VPMOVSXWQZrmk */
+  },
+  { /* 5298 */
+    650,
+    /* VPMOVSXWQZrmkz */
+  },
+  { /* 5299 */
+    643,
     /* VPMOVSXWQZrr */
   },
-  { /* 4733 */
+  { /* 5300 */
+    651,
+    /* VPMOVSXWQZrrk */
+  },
+  { /* 5301 */
+    651,
+    /* VPMOVSXWQZrrkz */
+  },
+  { /* 5302 */
     104,
     /* VPMOVSXWQrm */
   },
-  { /* 4734 */
+  { /* 5303 */
     43,
     /* VPMOVSXWQrr */
   },
-  { /* 4735 */
-    470,
-    /* VPMOVUSDBkrr */
-  },
-  { /* 4736 */
-    471,
+  { /* 5304 */
+    627,
     /* VPMOVUSDBmr */
   },
-  { /* 4737 */
-    472,
+  { /* 5305 */
+    628,
+    /* VPMOVUSDBmrk */
+  },
+  { /* 5306 */
+    629,
     /* VPMOVUSDBrr */
   },
-  { /* 4738 */
-    473,
-    /* VPMOVUSDWkrr */
+  { /* 5307 */
+    630,
+    /* VPMOVUSDBrrk */
   },
-  { /* 4739 */
-    474,
+  { /* 5308 */
+    630,
+    /* VPMOVUSDBrrkz */
+  },
+  { /* 5309 */
+    631,
     /* VPMOVUSDWmr */
   },
-  { /* 4740 */
-    475,
+  { /* 5310 */
+    632,
+    /* VPMOVUSDWmrk */
+  },
+  { /* 5311 */
+    633,
     /* VPMOVUSDWrr */
   },
-  { /* 4741 */
-    476,
-    /* VPMOVUSQBkrr */
+  { /* 5312 */
+    634,
+    /* VPMOVUSDWrrk */
   },
-  { /* 4742 */
-    471,
+  { /* 5313 */
+    634,
+    /* VPMOVUSDWrrkz */
+  },
+  { /* 5314 */
+    635,
     /* VPMOVUSQBmr */
   },
-  { /* 4743 */
-    472,
+  { /* 5315 */
+    636,
+    /* VPMOVUSQBmrk */
+  },
+  { /* 5316 */
+    637,
     /* VPMOVUSQBrr */
   },
-  { /* 4744 */
-    477,
-    /* VPMOVUSQDkrr */
+  { /* 5317 */
+    638,
+    /* VPMOVUSQBrrk */
   },
-  { /* 4745 */
-    474,
+  { /* 5318 */
+    638,
+    /* VPMOVUSQBrrkz */
+  },
+  { /* 5319 */
+    631,
     /* VPMOVUSQDmr */
   },
-  { /* 4746 */
-    475,
+  { /* 5320 */
+    639,
+    /* VPMOVUSQDmrk */
+  },
+  { /* 5321 */
+    633,
     /* VPMOVUSQDrr */
   },
-  { /* 4747 */
-    476,
-    /* VPMOVUSQWkrr */
+  { /* 5322 */
+    640,
+    /* VPMOVUSQDrrk */
   },
-  { /* 4748 */
-    471,
+  { /* 5323 */
+    640,
+    /* VPMOVUSQDrrkz */
+  },
+  { /* 5324 */
+    627,
     /* VPMOVUSQWmr */
   },
-  { /* 4749 */
-    472,
+  { /* 5325 */
+    641,
+    /* VPMOVUSQWmrk */
+  },
+  { /* 5326 */
+    629,
     /* VPMOVUSQWrr */
   },
-  { /* 4750 */
-    436,
+  { /* 5327 */
+    642,
+    /* VPMOVUSQWrrk */
+  },
+  { /* 5328 */
+    642,
+    /* VPMOVUSQWrrkz */
+  },
+  { /* 5329 */
+    598,
     /* VPMOVZXBDYrm */
   },
-  { /* 4751 */
-    312,
+  { /* 5330 */
+    345,
     /* VPMOVZXBDYrr */
   },
-  { /* 4752 */
-    478,
+  { /* 5331 */
+    341,
     /* VPMOVZXBDZrm */
   },
-  { /* 4753 */
-    314,
+  { /* 5332 */
+    340,
+    /* VPMOVZXBDZrmk */
+  },
+  { /* 5333 */
+    340,
+    /* VPMOVZXBDZrmkz */
+  },
+  { /* 5334 */
+    643,
     /* VPMOVZXBDZrr */
   },
-  { /* 4754 */
+  { /* 5335 */
+    644,
+    /* VPMOVZXBDZrrk */
+  },
+  { /* 5336 */
+    644,
+    /* VPMOVZXBDZrrkz */
+  },
+  { /* 5337 */
     104,
     /* VPMOVZXBDrm */
   },
-  { /* 4755 */
+  { /* 5338 */
     43,
     /* VPMOVZXBDrr */
   },
-  { /* 4756 */
-    436,
+  { /* 5339 */
+    598,
     /* VPMOVZXBQYrm */
   },
-  { /* 4757 */
-    312,
+  { /* 5340 */
+    345,
     /* VPMOVZXBQYrr */
   },
-  { /* 4758 */
-    478,
+  { /* 5341 */
+    645,
     /* VPMOVZXBQZrm */
   },
-  { /* 4759 */
-    314,
+  { /* 5342 */
+    646,
+    /* VPMOVZXBQZrmk */
+  },
+  { /* 5343 */
+    646,
+    /* VPMOVZXBQZrmkz */
+  },
+  { /* 5344 */
+    347,
     /* VPMOVZXBQZrr */
   },
-  { /* 4760 */
+  { /* 5345 */
+    604,
+    /* VPMOVZXBQZrrk */
+  },
+  { /* 5346 */
+    604,
+    /* VPMOVZXBQZrrkz */
+  },
+  { /* 5347 */
     104,
     /* VPMOVZXBQrm */
   },
-  { /* 4761 */
+  { /* 5348 */
     43,
     /* VPMOVZXBQrr */
   },
-  { /* 4762 */
-    310,
+  { /* 5349 */
+    339,
     /* VPMOVZXBWYrm */
   },
-  { /* 4763 */
-    312,
+  { /* 5350 */
+    345,
     /* VPMOVZXBWYrr */
   },
-  { /* 4764 */
+  { /* 5351 */
     104,
     /* VPMOVZXBWrm */
   },
-  { /* 4765 */
+  { /* 5352 */
     43,
     /* VPMOVZXBWrr */
   },
-  { /* 4766 */
-    310,
+  { /* 5353 */
+    339,
     /* VPMOVZXDQYrm */
   },
-  { /* 4767 */
-    312,
+  { /* 5354 */
+    345,
     /* VPMOVZXDQYrr */
   },
-  { /* 4768 */
-    334,
+  { /* 5355 */
+    343,
     /* VPMOVZXDQZrm */
   },
-  { /* 4769 */
-    335,
+  { /* 5356 */
+    647,
+    /* VPMOVZXDQZrmk */
+  },
+  { /* 5357 */
+    647,
+    /* VPMOVZXDQZrmkz */
+  },
+  { /* 5358 */
+    374,
     /* VPMOVZXDQZrr */
   },
-  { /* 4770 */
+  { /* 5359 */
+    648,
+    /* VPMOVZXDQZrrk */
+  },
+  { /* 5360 */
+    648,
+    /* VPMOVZXDQZrrkz */
+  },
+  { /* 5361 */
     104,
     /* VPMOVZXDQrm */
   },
-  { /* 4771 */
+  { /* 5362 */
     43,
     /* VPMOVZXDQrr */
   },
-  { /* 4772 */
-    310,
+  { /* 5363 */
+    339,
     /* VPMOVZXWDYrm */
   },
-  { /* 4773 */
-    312,
+  { /* 5364 */
+    345,
     /* VPMOVZXWDYrr */
   },
-  { /* 4774 */
-    334,
+  { /* 5365 */
+    343,
     /* VPMOVZXWDZrm */
   },
-  { /* 4775 */
-    335,
+  { /* 5366 */
+    342,
+    /* VPMOVZXWDZrmk */
+  },
+  { /* 5367 */
+    342,
+    /* VPMOVZXWDZrmkz */
+  },
+  { /* 5368 */
+    374,
     /* VPMOVZXWDZrr */
   },
-  { /* 4776 */
+  { /* 5369 */
+    649,
+    /* VPMOVZXWDZrrk */
+  },
+  { /* 5370 */
+    649,
+    /* VPMOVZXWDZrrkz */
+  },
+  { /* 5371 */
     104,
     /* VPMOVZXWDrm */
   },
-  { /* 4777 */
+  { /* 5372 */
     43,
     /* VPMOVZXWDrr */
   },
-  { /* 4778 */
-    436,
+  { /* 5373 */
+    598,
     /* VPMOVZXWQYrm */
   },
-  { /* 4779 */
-    312,
+  { /* 5374 */
+    345,
     /* VPMOVZXWQYrr */
   },
-  { /* 4780 */
-    478,
+  { /* 5375 */
+    341,
     /* VPMOVZXWQZrm */
   },
-  { /* 4781 */
-    314,
+  { /* 5376 */
+    650,
+    /* VPMOVZXWQZrmk */
+  },
+  { /* 5377 */
+    650,
+    /* VPMOVZXWQZrmkz */
+  },
+  { /* 5378 */
+    643,
     /* VPMOVZXWQZrr */
   },
-  { /* 4782 */
+  { /* 5379 */
+    651,
+    /* VPMOVZXWQZrrk */
+  },
+  { /* 5380 */
+    651,
+    /* VPMOVZXWQZrrkz */
+  },
+  { /* 5381 */
     104,
     /* VPMOVZXWQrm */
   },
-  { /* 4783 */
+  { /* 5382 */
     43,
     /* VPMOVZXWQrr */
   },
-  { /* 4784 */
-    284,
+  { /* 5383 */
+    303,
     /* VPMULDQYrm */
   },
-  { /* 4785 */
-    285,
+  { /* 5384 */
+    304,
     /* VPMULDQYrr */
   },
-  { /* 4786 */
-    286,
+  { /* 5385 */
+    305,
     /* VPMULDQZrm */
   },
-  { /* 4787 */
-    288,
+  { /* 5386 */
+    587,
+    /* VPMULDQZrmb */
+  },
+  { /* 5387 */
+    589,
+    /* VPMULDQZrmbk */
+  },
+  { /* 5388 */
+    589,
+    /* VPMULDQZrmbkz */
+  },
+  { /* 5389 */
+    308,
+    /* VPMULDQZrmk */
+  },
+  { /* 5390 */
+    308,
+    /* VPMULDQZrmkz */
+  },
+  { /* 5391 */
+    309,
     /* VPMULDQZrr */
   },
-  { /* 4788 */
-    289,
+  { /* 5392 */
+    310,
+    /* VPMULDQZrrk */
+  },
+  { /* 5393 */
+    310,
+    /* VPMULDQZrrkz */
+  },
+  { /* 5394 */
+    311,
     /* VPMULDQrm */
   },
-  { /* 4789 */
-    290,
+  { /* 5395 */
+    312,
     /* VPMULDQrr */
   },
-  { /* 4790 */
-    289,
+  { /* 5396 */
+    311,
     /* VPMULHRSWrm128 */
   },
-  { /* 4791 */
-    284,
+  { /* 5397 */
+    303,
     /* VPMULHRSWrm256 */
   },
-  { /* 4792 */
-    290,
+  { /* 5398 */
+    312,
     /* VPMULHRSWrr128 */
   },
-  { /* 4793 */
-    285,
+  { /* 5399 */
+    304,
     /* VPMULHRSWrr256 */
   },
-  { /* 4794 */
-    284,
+  { /* 5400 */
+    303,
     /* VPMULHUWYrm */
   },
-  { /* 4795 */
-    285,
+  { /* 5401 */
+    304,
     /* VPMULHUWYrr */
   },
-  { /* 4796 */
-    289,
+  { /* 5402 */
+    311,
     /* VPMULHUWrm */
   },
-  { /* 4797 */
-    290,
+  { /* 5403 */
+    312,
     /* VPMULHUWrr */
   },
-  { /* 4798 */
-    284,
+  { /* 5404 */
+    303,
     /* VPMULHWYrm */
   },
-  { /* 4799 */
-    285,
+  { /* 5405 */
+    304,
     /* VPMULHWYrr */
   },
-  { /* 4800 */
-    289,
+  { /* 5406 */
+    311,
     /* VPMULHWrm */
   },
-  { /* 4801 */
-    290,
+  { /* 5407 */
+    312,
     /* VPMULHWrr */
   },
-  { /* 4802 */
-    284,
+  { /* 5408 */
+    303,
     /* VPMULLDYrm */
   },
-  { /* 4803 */
-    285,
+  { /* 5409 */
+    304,
     /* VPMULLDYrr */
   },
-  { /* 4804 */
-    286,
+  { /* 5410 */
+    305,
     /* VPMULLDZrm */
   },
-  { /* 4805 */
-    429,
+  { /* 5411 */
+    582,
     /* VPMULLDZrmb */
   },
-  { /* 4806 */
-    288,
+  { /* 5412 */
+    583,
+    /* VPMULLDZrmbk */
+  },
+  { /* 5413 */
+    584,
+    /* VPMULLDZrmbkz */
+  },
+  { /* 5414 */
+    585,
+    /* VPMULLDZrmk */
+  },
+  { /* 5415 */
+    315,
+    /* VPMULLDZrmkz */
+  },
+  { /* 5416 */
+    309,
     /* VPMULLDZrr */
   },
-  { /* 4807 */
-    289,
+  { /* 5417 */
+    586,
+    /* VPMULLDZrrk */
+  },
+  { /* 5418 */
+    316,
+    /* VPMULLDZrrkz */
+  },
+  { /* 5419 */
+    311,
     /* VPMULLDrm */
   },
-  { /* 4808 */
-    290,
+  { /* 5420 */
+    312,
     /* VPMULLDrr */
   },
-  { /* 4809 */
-    284,
+  { /* 5421 */
+    303,
     /* VPMULLWYrm */
   },
-  { /* 4810 */
-    285,
+  { /* 5422 */
+    304,
     /* VPMULLWYrr */
   },
-  { /* 4811 */
-    289,
+  { /* 5423 */
+    311,
     /* VPMULLWrm */
   },
-  { /* 4812 */
-    290,
+  { /* 5424 */
+    312,
     /* VPMULLWrr */
   },
-  { /* 4813 */
-    284,
+  { /* 5425 */
+    303,
     /* VPMULUDQYrm */
   },
-  { /* 4814 */
-    285,
+  { /* 5426 */
+    304,
     /* VPMULUDQYrr */
   },
-  { /* 4815 */
-    286,
+  { /* 5427 */
+    305,
     /* VPMULUDQZrm */
   },
-  { /* 4816 */
-    288,
+  { /* 5428 */
+    587,
+    /* VPMULUDQZrmb */
+  },
+  { /* 5429 */
+    589,
+    /* VPMULUDQZrmbk */
+  },
+  { /* 5430 */
+    589,
+    /* VPMULUDQZrmbkz */
+  },
+  { /* 5431 */
+    308,
+    /* VPMULUDQZrmk */
+  },
+  { /* 5432 */
+    308,
+    /* VPMULUDQZrmkz */
+  },
+  { /* 5433 */
+    309,
     /* VPMULUDQZrr */
   },
-  { /* 4817 */
-    289,
+  { /* 5434 */
+    310,
+    /* VPMULUDQZrrk */
+  },
+  { /* 5435 */
+    310,
+    /* VPMULUDQZrrkz */
+  },
+  { /* 5436 */
+    311,
     /* VPMULUDQrm */
   },
-  { /* 4818 */
-    290,
+  { /* 5437 */
+    312,
     /* VPMULUDQrr */
   },
-  { /* 4819 */
-    286,
+  { /* 5438 */
+    305,
     /* VPORDZrm */
   },
-  { /* 4820 */
-    429,
+  { /* 5439 */
+    582,
     /* VPORDZrmb */
   },
-  { /* 4821 */
-    288,
+  { /* 5440 */
+    583,
+    /* VPORDZrmbk */
+  },
+  { /* 5441 */
+    584,
+    /* VPORDZrmbkz */
+  },
+  { /* 5442 */
+    585,
+    /* VPORDZrmk */
+  },
+  { /* 5443 */
+    315,
+    /* VPORDZrmkz */
+  },
+  { /* 5444 */
+    309,
     /* VPORDZrr */
   },
-  { /* 4822 */
-    286,
+  { /* 5445 */
+    586,
+    /* VPORDZrrk */
+  },
+  { /* 5446 */
+    316,
+    /* VPORDZrrkz */
+  },
+  { /* 5447 */
+    305,
     /* VPORQZrm */
   },
-  { /* 4823 */
-    429,
+  { /* 5448 */
+    587,
     /* VPORQZrmb */
   },
-  { /* 4824 */
-    288,
+  { /* 5449 */
+    588,
+    /* VPORQZrmbk */
+  },
+  { /* 5450 */
+    589,
+    /* VPORQZrmbkz */
+  },
+  { /* 5451 */
+    590,
+    /* VPORQZrmk */
+  },
+  { /* 5452 */
+    308,
+    /* VPORQZrmkz */
+  },
+  { /* 5453 */
+    309,
     /* VPORQZrr */
   },
-  { /* 4825 */
-    284,
+  { /* 5454 */
+    591,
+    /* VPORQZrrk */
+  },
+  { /* 5455 */
+    310,
+    /* VPORQZrrkz */
+  },
+  { /* 5456 */
+    303,
     /* VPORYrm */
   },
-  { /* 4826 */
-    285,
+  { /* 5457 */
+    304,
     /* VPORYrr */
   },
-  { /* 4827 */
-    289,
+  { /* 5458 */
+    311,
     /* VPORrm */
   },
-  { /* 4828 */
-    290,
+  { /* 5459 */
+    312,
     /* VPORrr */
   },
-  { /* 4829 */
-    308,
+  { /* 5460 */
+    337,
     /* VPPERMmr */
   },
-  { /* 4830 */
-    372,
+  { /* 5461 */
+    437,
     /* VPPERMrm */
   },
-  { /* 4831 */
-    309,
+  { /* 5462 */
+    338,
     /* VPPERMrr */
   },
-  { /* 4832 */
+  { /* 5463 */
     44,
     /* VPROTBmi */
   },
-  { /* 4833 */
-    479,
+  { /* 5464 */
+    652,
     /* VPROTBmr */
   },
-  { /* 4834 */
+  { /* 5465 */
     45,
     /* VPROTBri */
   },
-  { /* 4835 */
-    289,
+  { /* 5466 */
+    311,
     /* VPROTBrm */
   },
-  { /* 4836 */
-    480,
+  { /* 5467 */
+    653,
     /* VPROTBrr */
   },
-  { /* 4837 */
+  { /* 5468 */
     44,
     /* VPROTDmi */
   },
-  { /* 4838 */
-    479,
+  { /* 5469 */
+    652,
     /* VPROTDmr */
   },
-  { /* 4839 */
+  { /* 5470 */
     45,
     /* VPROTDri */
   },
-  { /* 4840 */
-    289,
+  { /* 5471 */
+    311,
     /* VPROTDrm */
   },
-  { /* 4841 */
-    480,
+  { /* 5472 */
+    653,
     /* VPROTDrr */
   },
-  { /* 4842 */
+  { /* 5473 */
     44,
     /* VPROTQmi */
   },
-  { /* 4843 */
-    479,
+  { /* 5474 */
+    652,
     /* VPROTQmr */
   },
-  { /* 4844 */
+  { /* 5475 */
     45,
     /* VPROTQri */
   },
-  { /* 4845 */
-    289,
+  { /* 5476 */
+    311,
     /* VPROTQrm */
   },
-  { /* 4846 */
-    480,
+  { /* 5477 */
+    653,
     /* VPROTQrr */
   },
-  { /* 4847 */
+  { /* 5478 */
     44,
     /* VPROTWmi */
   },
-  { /* 4848 */
-    479,
+  { /* 5479 */
+    652,
     /* VPROTWmr */
   },
-  { /* 4849 */
+  { /* 5480 */
     45,
     /* VPROTWri */
   },
-  { /* 4850 */
-    289,
+  { /* 5481 */
+    311,
     /* VPROTWrm */
   },
-  { /* 4851 */
-    480,
+  { /* 5482 */
+    653,
     /* VPROTWrr */
   },
-  { /* 4852 */
-    284,
+  { /* 5483 */
+    303,
     /* VPSADBWYrm */
   },
-  { /* 4853 */
-    285,
+  { /* 5484 */
+    304,
     /* VPSADBWYrr */
   },
-  { /* 4854 */
-    289,
+  { /* 5485 */
+    311,
     /* VPSADBWrm */
   },
-  { /* 4855 */
-    290,
+  { /* 5486 */
+    312,
     /* VPSADBWrr */
   },
-  { /* 4856 */
-    481,
+  { /* 5487 */
+    654,
     /* VPSCATTERDDZmr */
   },
-  { /* 4857 */
-    482,
+  { /* 5488 */
+    655,
     /* VPSCATTERDQZmr */
   },
-  { /* 4858 */
-    483,
+  { /* 5489 */
+    656,
     /* VPSCATTERQDZmr */
   },
-  { /* 4859 */
-    482,
+  { /* 5490 */
+    655,
     /* VPSCATTERQQZmr */
   },
-  { /* 4860 */
-    479,
+  { /* 5491 */
+    652,
     /* VPSHABmr */
   },
-  { /* 4861 */
-    289,
+  { /* 5492 */
+    311,
     /* VPSHABrm */
   },
-  { /* 4862 */
-    480,
+  { /* 5493 */
+    653,
     /* VPSHABrr */
   },
-  { /* 4863 */
-    479,
+  { /* 5494 */
+    652,
     /* VPSHADmr */
   },
-  { /* 4864 */
-    289,
+  { /* 5495 */
+    311,
     /* VPSHADrm */
   },
-  { /* 4865 */
-    480,
+  { /* 5496 */
+    653,
     /* VPSHADrr */
   },
-  { /* 4866 */
-    479,
+  { /* 5497 */
+    652,
     /* VPSHAQmr */
   },
-  { /* 4867 */
-    289,
+  { /* 5498 */
+    311,
     /* VPSHAQrm */
   },
-  { /* 4868 */
-    480,
+  { /* 5499 */
+    653,
     /* VPSHAQrr */
   },
-  { /* 4869 */
-    479,
+  { /* 5500 */
+    652,
     /* VPSHAWmr */
   },
-  { /* 4870 */
-    289,
+  { /* 5501 */
+    311,
     /* VPSHAWrm */
   },
-  { /* 4871 */
-    480,
+  { /* 5502 */
+    653,
     /* VPSHAWrr */
   },
-  { /* 4872 */
-    479,
+  { /* 5503 */
+    652,
     /* VPSHLBmr */
   },
-  { /* 4873 */
-    289,
+  { /* 5504 */
+    311,
     /* VPSHLBrm */
   },
-  { /* 4874 */
-    480,
+  { /* 5505 */
+    653,
     /* VPSHLBrr */
   },
-  { /* 4875 */
-    479,
+  { /* 5506 */
+    652,
     /* VPSHLDmr */
   },
-  { /* 4876 */
-    289,
+  { /* 5507 */
+    311,
     /* VPSHLDrm */
   },
-  { /* 4877 */
-    480,
+  { /* 5508 */
+    653,
     /* VPSHLDrr */
   },
-  { /* 4878 */
-    479,
+  { /* 5509 */
+    652,
     /* VPSHLQmr */
   },
-  { /* 4879 */
-    289,
+  { /* 5510 */
+    311,
     /* VPSHLQrm */
   },
-  { /* 4880 */
-    480,
+  { /* 5511 */
+    653,
     /* VPSHLQrr */
   },
-  { /* 4881 */
-    479,
+  { /* 5512 */
+    652,
     /* VPSHLWmr */
   },
-  { /* 4882 */
-    289,
+  { /* 5513 */
+    311,
     /* VPSHLWrm */
   },
-  { /* 4883 */
-    480,
+  { /* 5514 */
+    653,
     /* VPSHLWrr */
   },
-  { /* 4884 */
-    284,
+  { /* 5515 */
+    303,
     /* VPSHUFBYrm */
   },
-  { /* 4885 */
-    285,
+  { /* 5516 */
+    304,
     /* VPSHUFBYrr */
   },
-  { /* 4886 */
-    289,
+  { /* 5517 */
+    311,
     /* VPSHUFBrm */
   },
-  { /* 4887 */
-    290,
+  { /* 5518 */
+    312,
     /* VPSHUFBrr */
   },
-  { /* 4888 */
-    462,
+  { /* 5519 */
+    619,
     /* VPSHUFDYmi */
   },
-  { /* 4889 */
-    463,
+  { /* 5520 */
+    620,
     /* VPSHUFDYri */
   },
-  { /* 4890 */
-    464,
+  { /* 5521 */
+    621,
     /* VPSHUFDZmi */
   },
-  { /* 4891 */
-    465,
+  { /* 5522 */
+    622,
     /* VPSHUFDZri */
   },
-  { /* 4892 */
+  { /* 5523 */
     44,
     /* VPSHUFDmi */
   },
-  { /* 4893 */
+  { /* 5524 */
     45,
     /* VPSHUFDri */
   },
-  { /* 4894 */
-    462,
+  { /* 5525 */
+    619,
     /* VPSHUFHWYmi */
   },
-  { /* 4895 */
-    463,
+  { /* 5526 */
+    620,
     /* VPSHUFHWYri */
   },
-  { /* 4896 */
+  { /* 5527 */
     44,
     /* VPSHUFHWmi */
   },
-  { /* 4897 */
+  { /* 5528 */
     45,
     /* VPSHUFHWri */
   },
-  { /* 4898 */
-    462,
+  { /* 5529 */
+    619,
     /* VPSHUFLWYmi */
   },
-  { /* 4899 */
-    463,
+  { /* 5530 */
+    620,
     /* VPSHUFLWYri */
   },
-  { /* 4900 */
+  { /* 5531 */
     44,
     /* VPSHUFLWmi */
   },
-  { /* 4901 */
+  { /* 5532 */
     45,
     /* VPSHUFLWri */
   },
-  { /* 4902 */
-    284,
+  { /* 5533 */
+    303,
     /* VPSIGNBYrm */
   },
-  { /* 4903 */
-    285,
+  { /* 5534 */
+    304,
     /* VPSIGNBYrr */
   },
-  { /* 4904 */
-    289,
+  { /* 5535 */
+    311,
     /* VPSIGNBrm */
   },
-  { /* 4905 */
-    290,
+  { /* 5536 */
+    312,
     /* VPSIGNBrr */
   },
-  { /* 4906 */
-    284,
+  { /* 5537 */
+    303,
     /* VPSIGNDYrm */
   },
-  { /* 4907 */
-    285,
+  { /* 5538 */
+    304,
     /* VPSIGNDYrr */
   },
-  { /* 4908 */
-    289,
+  { /* 5539 */
+    311,
     /* VPSIGNDrm */
   },
-  { /* 4909 */
-    290,
+  { /* 5540 */
+    312,
     /* VPSIGNDrr */
   },
-  { /* 4910 */
-    284,
+  { /* 5541 */
+    303,
     /* VPSIGNWYrm */
   },
-  { /* 4911 */
-    285,
+  { /* 5542 */
+    304,
     /* VPSIGNWYrr */
   },
-  { /* 4912 */
-    289,
+  { /* 5543 */
+    311,
     /* VPSIGNWrm */
   },
-  { /* 4913 */
-    290,
+  { /* 5544 */
+    312,
     /* VPSIGNWrr */
   },
-  { /* 4914 */
-    484,
+  { /* 5545 */
+    657,
     /* VPSLLDQYri */
   },
-  { /* 4915 */
-    485,
+  { /* 5546 */
+    658,
     /* VPSLLDQri */
   },
-  { /* 4916 */
-    486,
+  { /* 5547 */
+    659,
     /* VPSLLDYri */
   },
-  { /* 4917 */
-    487,
+  { /* 5548 */
+    660,
     /* VPSLLDYrm */
   },
-  { /* 4918 */
-    488,
+  { /* 5549 */
+    661,
     /* VPSLLDYrr */
   },
-  { /* 4919 */
-    489,
+  { /* 5550 */
+    662,
     /* VPSLLDZmi */
   },
-  { /* 4920 */
-    490,
+  { /* 5551 */
+    663,
     /* VPSLLDZmik */
   },
-  { /* 4921 */
-    491,
+  { /* 5552 */
+    664,
     /* VPSLLDZri */
   },
-  { /* 4922 */
-    492,
+  { /* 5553 */
+    665,
     /* VPSLLDZrik */
   },
-  { /* 4923 */
-    493,
+  { /* 5554 */
+    666,
     /* VPSLLDZrm */
   },
-  { /* 4924 */
-    494,
+  { /* 5555 */
+    667,
     /* VPSLLDZrmk */
   },
-  { /* 4925 */
-    495,
+  { /* 5556 */
+    668,
     /* VPSLLDZrr */
   },
-  { /* 4926 */
-    496,
+  { /* 5557 */
+    669,
     /* VPSLLDZrrk */
   },
-  { /* 4927 */
-    497,
+  { /* 5558 */
+    670,
     /* VPSLLDri */
   },
-  { /* 4928 */
-    289,
+  { /* 5559 */
+    311,
     /* VPSLLDrm */
   },
-  { /* 4929 */
-    290,
+  { /* 5560 */
+    312,
     /* VPSLLDrr */
   },
-  { /* 4930 */
-    486,
+  { /* 5561 */
+    659,
     /* VPSLLQYri */
   },
-  { /* 4931 */
-    487,
+  { /* 5562 */
+    660,
     /* VPSLLQYrm */
   },
-  { /* 4932 */
-    488,
+  { /* 5563 */
+    661,
     /* VPSLLQYrr */
   },
-  { /* 4933 */
-    489,
+  { /* 5564 */
+    662,
     /* VPSLLQZmi */
   },
-  { /* 4934 */
-    498,
+  { /* 5565 */
+    671,
     /* VPSLLQZmik */
   },
-  { /* 4935 */
-    491,
+  { /* 5566 */
+    664,
     /* VPSLLQZri */
   },
-  { /* 4936 */
-    499,
+  { /* 5567 */
+    672,
     /* VPSLLQZrik */
   },
-  { /* 4937 */
-    493,
+  { /* 5568 */
+    666,
     /* VPSLLQZrm */
   },
-  { /* 4938 */
-    500,
+  { /* 5569 */
+    673,
     /* VPSLLQZrmk */
   },
-  { /* 4939 */
-    495,
+  { /* 5570 */
+    668,
     /* VPSLLQZrr */
   },
-  { /* 4940 */
-    501,
+  { /* 5571 */
+    674,
     /* VPSLLQZrrk */
   },
-  { /* 4941 */
-    497,
+  { /* 5572 */
+    670,
     /* VPSLLQri */
   },
-  { /* 4942 */
-    289,
+  { /* 5573 */
+    311,
     /* VPSLLQrm */
   },
-  { /* 4943 */
-    290,
+  { /* 5574 */
+    312,
     /* VPSLLQrr */
   },
-  { /* 4944 */
-    284,
+  { /* 5575 */
+    303,
     /* VPSLLVDYrm */
   },
-  { /* 4945 */
-    285,
+  { /* 5576 */
+    304,
     /* VPSLLVDYrr */
   },
-  { /* 4946 */
-    286,
+  { /* 5577 */
+    305,
     /* VPSLLVDZrm */
   },
-  { /* 4947 */
-    288,
+  { /* 5578 */
+    309,
     /* VPSLLVDZrr */
   },
-  { /* 4948 */
-    289,
+  { /* 5579 */
+    311,
     /* VPSLLVDrm */
   },
-  { /* 4949 */
-    290,
+  { /* 5580 */
+    312,
     /* VPSLLVDrr */
   },
-  { /* 4950 */
-    284,
+  { /* 5581 */
+    303,
     /* VPSLLVQYrm */
   },
-  { /* 4951 */
-    285,
+  { /* 5582 */
+    304,
     /* VPSLLVQYrr */
   },
-  { /* 4952 */
-    286,
+  { /* 5583 */
+    305,
     /* VPSLLVQZrm */
   },
-  { /* 4953 */
-    288,
+  { /* 5584 */
+    309,
     /* VPSLLVQZrr */
   },
-  { /* 4954 */
-    289,
+  { /* 5585 */
+    311,
     /* VPSLLVQrm */
   },
-  { /* 4955 */
-    290,
+  { /* 5586 */
+    312,
     /* VPSLLVQrr */
   },
-  { /* 4956 */
-    486,
+  { /* 5587 */
+    659,
     /* VPSLLWYri */
   },
-  { /* 4957 */
-    487,
+  { /* 5588 */
+    660,
     /* VPSLLWYrm */
   },
-  { /* 4958 */
-    488,
+  { /* 5589 */
+    661,
     /* VPSLLWYrr */
   },
-  { /* 4959 */
-    497,
+  { /* 5590 */
+    670,
     /* VPSLLWri */
   },
-  { /* 4960 */
-    289,
+  { /* 5591 */
+    311,
     /* VPSLLWrm */
   },
-  { /* 4961 */
-    290,
+  { /* 5592 */
+    312,
     /* VPSLLWrr */
   },
-  { /* 4962 */
-    486,
+  { /* 5593 */
+    659,
     /* VPSRADYri */
   },
-  { /* 4963 */
-    487,
+  { /* 5594 */
+    660,
     /* VPSRADYrm */
   },
-  { /* 4964 */
-    488,
+  { /* 5595 */
+    661,
     /* VPSRADYrr */
   },
-  { /* 4965 */
-    489,
+  { /* 5596 */
+    662,
     /* VPSRADZmi */
   },
-  { /* 4966 */
-    490,
+  { /* 5597 */
+    663,
     /* VPSRADZmik */
   },
-  { /* 4967 */
-    491,
+  { /* 5598 */
+    664,
     /* VPSRADZri */
   },
-  { /* 4968 */
-    492,
+  { /* 5599 */
+    665,
     /* VPSRADZrik */
   },
-  { /* 4969 */
-    493,
+  { /* 5600 */
+    666,
     /* VPSRADZrm */
   },
-  { /* 4970 */
-    494,
+  { /* 5601 */
+    667,
     /* VPSRADZrmk */
   },
-  { /* 4971 */
-    495,
+  { /* 5602 */
+    668,
     /* VPSRADZrr */
   },
-  { /* 4972 */
-    496,
+  { /* 5603 */
+    669,
     /* VPSRADZrrk */
   },
-  { /* 4973 */
-    497,
+  { /* 5604 */
+    670,
     /* VPSRADri */
   },
-  { /* 4974 */
-    289,
+  { /* 5605 */
+    311,
     /* VPSRADrm */
   },
-  { /* 4975 */
-    290,
+  { /* 5606 */
+    312,
     /* VPSRADrr */
   },
-  { /* 4976 */
-    489,
+  { /* 5607 */
+    662,
     /* VPSRAQZmi */
   },
-  { /* 4977 */
-    498,
+  { /* 5608 */
+    671,
     /* VPSRAQZmik */
   },
-  { /* 4978 */
-    491,
+  { /* 5609 */
+    664,
     /* VPSRAQZri */
   },
-  { /* 4979 */
-    499,
+  { /* 5610 */
+    672,
     /* VPSRAQZrik */
   },
-  { /* 4980 */
-    493,
+  { /* 5611 */
+    666,
     /* VPSRAQZrm */
   },
-  { /* 4981 */
-    500,
+  { /* 5612 */
+    673,
     /* VPSRAQZrmk */
   },
-  { /* 4982 */
-    495,
+  { /* 5613 */
+    668,
     /* VPSRAQZrr */
   },
-  { /* 4983 */
-    501,
+  { /* 5614 */
+    674,
     /* VPSRAQZrrk */
   },
-  { /* 4984 */
-    284,
+  { /* 5615 */
+    303,
     /* VPSRAVDYrm */
   },
-  { /* 4985 */
-    285,
+  { /* 5616 */
+    304,
     /* VPSRAVDYrr */
   },
-  { /* 4986 */
-    286,
+  { /* 5617 */
+    305,
     /* VPSRAVDZrm */
   },
-  { /* 4987 */
-    288,
+  { /* 5618 */
+    309,
     /* VPSRAVDZrr */
   },
-  { /* 4988 */
-    289,
+  { /* 5619 */
+    311,
     /* VPSRAVDrm */
   },
-  { /* 4989 */
-    290,
+  { /* 5620 */
+    312,
     /* VPSRAVDrr */
   },
-  { /* 4990 */
-    286,
+  { /* 5621 */
+    305,
     /* VPSRAVQZrm */
   },
-  { /* 4991 */
-    288,
+  { /* 5622 */
+    309,
     /* VPSRAVQZrr */
   },
-  { /* 4992 */
-    486,
+  { /* 5623 */
+    659,
     /* VPSRAWYri */
   },
-  { /* 4993 */
-    487,
+  { /* 5624 */
+    660,
     /* VPSRAWYrm */
   },
-  { /* 4994 */
-    488,
+  { /* 5625 */
+    661,
     /* VPSRAWYrr */
   },
-  { /* 4995 */
-    497,
+  { /* 5626 */
+    670,
     /* VPSRAWri */
   },
-  { /* 4996 */
-    289,
+  { /* 5627 */
+    311,
     /* VPSRAWrm */
   },
-  { /* 4997 */
-    290,
+  { /* 5628 */
+    312,
     /* VPSRAWrr */
   },
-  { /* 4998 */
-    484,
+  { /* 5629 */
+    657,
     /* VPSRLDQYri */
   },
-  { /* 4999 */
-    485,
+  { /* 5630 */
+    658,
     /* VPSRLDQri */
   },
-  { /* 5000 */
-    486,
+  { /* 5631 */
+    659,
     /* VPSRLDYri */
   },
-  { /* 5001 */
-    487,
+  { /* 5632 */
+    660,
     /* VPSRLDYrm */
   },
-  { /* 5002 */
-    488,
+  { /* 5633 */
+    661,
     /* VPSRLDYrr */
   },
-  { /* 5003 */
-    489,
+  { /* 5634 */
+    662,
     /* VPSRLDZmi */
   },
-  { /* 5004 */
-    490,
+  { /* 5635 */
+    663,
     /* VPSRLDZmik */
   },
-  { /* 5005 */
-    491,
+  { /* 5636 */
+    664,
     /* VPSRLDZri */
   },
-  { /* 5006 */
-    492,
+  { /* 5637 */
+    665,
     /* VPSRLDZrik */
   },
-  { /* 5007 */
-    493,
+  { /* 5638 */
+    666,
     /* VPSRLDZrm */
   },
-  { /* 5008 */
-    494,
+  { /* 5639 */
+    667,
     /* VPSRLDZrmk */
   },
-  { /* 5009 */
-    495,
+  { /* 5640 */
+    668,
     /* VPSRLDZrr */
   },
-  { /* 5010 */
-    496,
+  { /* 5641 */
+    669,
     /* VPSRLDZrrk */
   },
-  { /* 5011 */
-    497,
+  { /* 5642 */
+    670,
     /* VPSRLDri */
   },
-  { /* 5012 */
-    289,
+  { /* 5643 */
+    311,
     /* VPSRLDrm */
   },
-  { /* 5013 */
-    290,
+  { /* 5644 */
+    312,
     /* VPSRLDrr */
   },
-  { /* 5014 */
-    486,
+  { /* 5645 */
+    659,
     /* VPSRLQYri */
   },
-  { /* 5015 */
-    487,
+  { /* 5646 */
+    660,
     /* VPSRLQYrm */
   },
-  { /* 5016 */
-    488,
+  { /* 5647 */
+    661,
     /* VPSRLQYrr */
   },
-  { /* 5017 */
-    489,
+  { /* 5648 */
+    662,
     /* VPSRLQZmi */
   },
-  { /* 5018 */
-    498,
+  { /* 5649 */
+    671,
     /* VPSRLQZmik */
   },
-  { /* 5019 */
-    491,
+  { /* 5650 */
+    664,
     /* VPSRLQZri */
   },
-  { /* 5020 */
-    499,
+  { /* 5651 */
+    672,
     /* VPSRLQZrik */
   },
-  { /* 5021 */
-    493,
+  { /* 5652 */
+    666,
     /* VPSRLQZrm */
   },
-  { /* 5022 */
-    500,
+  { /* 5653 */
+    673,
     /* VPSRLQZrmk */
   },
-  { /* 5023 */
-    495,
+  { /* 5654 */
+    668,
     /* VPSRLQZrr */
   },
-  { /* 5024 */
-    501,
+  { /* 5655 */
+    674,
     /* VPSRLQZrrk */
   },
-  { /* 5025 */
-    497,
+  { /* 5656 */
+    670,
     /* VPSRLQri */
   },
-  { /* 5026 */
-    289,
+  { /* 5657 */
+    311,
     /* VPSRLQrm */
   },
-  { /* 5027 */
-    290,
+  { /* 5658 */
+    312,
     /* VPSRLQrr */
   },
-  { /* 5028 */
-    284,
+  { /* 5659 */
+    303,
     /* VPSRLVDYrm */
   },
-  { /* 5029 */
-    285,
+  { /* 5660 */
+    304,
     /* VPSRLVDYrr */
   },
-  { /* 5030 */
-    286,
+  { /* 5661 */
+    305,
     /* VPSRLVDZrm */
   },
-  { /* 5031 */
-    288,
+  { /* 5662 */
+    309,
     /* VPSRLVDZrr */
   },
-  { /* 5032 */
-    289,
+  { /* 5663 */
+    311,
     /* VPSRLVDrm */
   },
-  { /* 5033 */
-    290,
+  { /* 5664 */
+    312,
     /* VPSRLVDrr */
   },
-  { /* 5034 */
-    284,
+  { /* 5665 */
+    303,
     /* VPSRLVQYrm */
   },
-  { /* 5035 */
-    285,
+  { /* 5666 */
+    304,
     /* VPSRLVQYrr */
   },
-  { /* 5036 */
-    286,
+  { /* 5667 */
+    305,
     /* VPSRLVQZrm */
   },
-  { /* 5037 */
-    288,
+  { /* 5668 */
+    309,
     /* VPSRLVQZrr */
   },
-  { /* 5038 */
-    289,
+  { /* 5669 */
+    311,
     /* VPSRLVQrm */
   },
-  { /* 5039 */
-    290,
+  { /* 5670 */
+    312,
     /* VPSRLVQrr */
   },
-  { /* 5040 */
-    486,
+  { /* 5671 */
+    659,
     /* VPSRLWYri */
   },
-  { /* 5041 */
-    487,
+  { /* 5672 */
+    660,
     /* VPSRLWYrm */
   },
-  { /* 5042 */
-    488,
+  { /* 5673 */
+    661,
     /* VPSRLWYrr */
   },
-  { /* 5043 */
-    497,
+  { /* 5674 */
+    670,
     /* VPSRLWri */
   },
-  { /* 5044 */
-    289,
+  { /* 5675 */
+    311,
     /* VPSRLWrm */
   },
-  { /* 5045 */
-    290,
+  { /* 5676 */
+    312,
     /* VPSRLWrr */
   },
-  { /* 5046 */
-    284,
+  { /* 5677 */
+    303,
     /* VPSUBBYrm */
   },
-  { /* 5047 */
-    285,
+  { /* 5678 */
+    304,
     /* VPSUBBYrr */
   },
-  { /* 5048 */
-    289,
+  { /* 5679 */
+    311,
     /* VPSUBBrm */
   },
-  { /* 5049 */
-    290,
+  { /* 5680 */
+    312,
     /* VPSUBBrr */
   },
-  { /* 5050 */
-    284,
+  { /* 5681 */
+    303,
     /* VPSUBDYrm */
   },
-  { /* 5051 */
-    285,
+  { /* 5682 */
+    304,
     /* VPSUBDYrr */
   },
-  { /* 5052 */
-    286,
+  { /* 5683 */
+    305,
     /* VPSUBDZrm */
   },
-  { /* 5053 */
-    429,
+  { /* 5684 */
+    582,
     /* VPSUBDZrmb */
   },
-  { /* 5054 */
-    288,
+  { /* 5685 */
+    583,
+    /* VPSUBDZrmbk */
+  },
+  { /* 5686 */
+    584,
+    /* VPSUBDZrmbkz */
+  },
+  { /* 5687 */
+    585,
+    /* VPSUBDZrmk */
+  },
+  { /* 5688 */
+    315,
+    /* VPSUBDZrmkz */
+  },
+  { /* 5689 */
+    309,
     /* VPSUBDZrr */
   },
-  { /* 5055 */
-    289,
+  { /* 5690 */
+    586,
+    /* VPSUBDZrrk */
+  },
+  { /* 5691 */
+    316,
+    /* VPSUBDZrrkz */
+  },
+  { /* 5692 */
+    311,
     /* VPSUBDrm */
   },
-  { /* 5056 */
-    290,
+  { /* 5693 */
+    312,
     /* VPSUBDrr */
   },
-  { /* 5057 */
-    284,
+  { /* 5694 */
+    303,
     /* VPSUBQYrm */
   },
-  { /* 5058 */
-    285,
+  { /* 5695 */
+    304,
     /* VPSUBQYrr */
   },
-  { /* 5059 */
-    286,
+  { /* 5696 */
+    305,
     /* VPSUBQZrm */
   },
-  { /* 5060 */
-    429,
+  { /* 5697 */
+    587,
     /* VPSUBQZrmb */
   },
-  { /* 5061 */
-    288,
+  { /* 5698 */
+    588,
+    /* VPSUBQZrmbk */
+  },
+  { /* 5699 */
+    589,
+    /* VPSUBQZrmbkz */
+  },
+  { /* 5700 */
+    590,
+    /* VPSUBQZrmk */
+  },
+  { /* 5701 */
+    308,
+    /* VPSUBQZrmkz */
+  },
+  { /* 5702 */
+    309,
     /* VPSUBQZrr */
   },
-  { /* 5062 */
-    289,
+  { /* 5703 */
+    591,
+    /* VPSUBQZrrk */
+  },
+  { /* 5704 */
+    310,
+    /* VPSUBQZrrkz */
+  },
+  { /* 5705 */
+    311,
     /* VPSUBQrm */
   },
-  { /* 5063 */
-    290,
+  { /* 5706 */
+    312,
     /* VPSUBQrr */
   },
-  { /* 5064 */
-    284,
+  { /* 5707 */
+    303,
     /* VPSUBSBYrm */
   },
-  { /* 5065 */
-    285,
+  { /* 5708 */
+    304,
     /* VPSUBSBYrr */
   },
-  { /* 5066 */
-    289,
+  { /* 5709 */
+    311,
     /* VPSUBSBrm */
   },
-  { /* 5067 */
-    290,
+  { /* 5710 */
+    312,
     /* VPSUBSBrr */
   },
-  { /* 5068 */
-    284,
+  { /* 5711 */
+    303,
     /* VPSUBSWYrm */
   },
-  { /* 5069 */
-    285,
+  { /* 5712 */
+    304,
     /* VPSUBSWYrr */
   },
-  { /* 5070 */
-    289,
+  { /* 5713 */
+    311,
     /* VPSUBSWrm */
   },
-  { /* 5071 */
-    290,
+  { /* 5714 */
+    312,
     /* VPSUBSWrr */
   },
-  { /* 5072 */
-    284,
+  { /* 5715 */
+    303,
     /* VPSUBUSBYrm */
   },
-  { /* 5073 */
-    285,
+  { /* 5716 */
+    304,
     /* VPSUBUSBYrr */
   },
-  { /* 5074 */
-    289,
+  { /* 5717 */
+    311,
     /* VPSUBUSBrm */
   },
-  { /* 5075 */
-    290,
+  { /* 5718 */
+    312,
     /* VPSUBUSBrr */
   },
-  { /* 5076 */
-    284,
+  { /* 5719 */
+    303,
     /* VPSUBUSWYrm */
   },
-  { /* 5077 */
-    285,
+  { /* 5720 */
+    304,
     /* VPSUBUSWYrr */
   },
-  { /* 5078 */
-    289,
+  { /* 5721 */
+    311,
     /* VPSUBUSWrm */
   },
-  { /* 5079 */
-    290,
+  { /* 5722 */
+    312,
     /* VPSUBUSWrr */
   },
-  { /* 5080 */
-    284,
+  { /* 5723 */
+    303,
     /* VPSUBWYrm */
   },
-  { /* 5081 */
-    285,
+  { /* 5724 */
+    304,
     /* VPSUBWYrr */
   },
-  { /* 5082 */
-    289,
+  { /* 5725 */
+    311,
     /* VPSUBWrm */
   },
-  { /* 5083 */
-    290,
+  { /* 5726 */
+    312,
     /* VPSUBWrr */
   },
-  { /* 5084 */
-    448,
+  { /* 5727 */
+    607,
     /* VPTESTMDZrm */
   },
-  { /* 5085 */
-    449,
+  { /* 5728 */
+    608,
     /* VPTESTMDZrr */
   },
-  { /* 5086 */
-    450,
+  { /* 5729 */
+    609,
     /* VPTESTMQZrm */
   },
-  { /* 5087 */
-    451,
+  { /* 5730 */
+    610,
     /* VPTESTMQZrr */
   },
-  { /* 5088 */
-    448,
+  { /* 5731 */
+    607,
     /* VPTESTNMDZrm */
   },
-  { /* 5089 */
-    449,
+  { /* 5732 */
+    608,
     /* VPTESTNMDZrr */
   },
-  { /* 5090 */
-    450,
+  { /* 5733 */
+    609,
     /* VPTESTNMQZrm */
   },
-  { /* 5091 */
-    451,
+  { /* 5734 */
+    610,
     /* VPTESTNMQZrr */
   },
-  { /* 5092 */
-    336,
+  { /* 5735 */
+    375,
     /* VPTESTYrm */
   },
-  { /* 5093 */
-    337,
+  { /* 5736 */
+    376,
     /* VPTESTYrr */
   },
-  { /* 5094 */
+  { /* 5737 */
     42,
     /* VPTESTrm */
   },
-  { /* 5095 */
+  { /* 5738 */
     43,
     /* VPTESTrr */
   },
-  { /* 5096 */
-    284,
+  { /* 5739 */
+    303,
     /* VPUNPCKHBWYrm */
   },
-  { /* 5097 */
-    285,
+  { /* 5740 */
+    304,
     /* VPUNPCKHBWYrr */
   },
-  { /* 5098 */
-    289,
+  { /* 5741 */
+    311,
     /* VPUNPCKHBWrm */
   },
-  { /* 5099 */
-    290,
+  { /* 5742 */
+    312,
     /* VPUNPCKHBWrr */
   },
-  { /* 5100 */
-    284,
+  { /* 5743 */
+    303,
     /* VPUNPCKHDQYrm */
   },
-  { /* 5101 */
-    285,
+  { /* 5744 */
+    304,
     /* VPUNPCKHDQYrr */
   },
-  { /* 5102 */
-    286,
+  { /* 5745 */
+    305,
     /* VPUNPCKHDQZrm */
   },
-  { /* 5103 */
-    288,
+  { /* 5746 */
+    309,
     /* VPUNPCKHDQZrr */
   },
-  { /* 5104 */
-    289,
+  { /* 5747 */
+    311,
     /* VPUNPCKHDQrm */
   },
-  { /* 5105 */
-    290,
+  { /* 5748 */
+    312,
     /* VPUNPCKHDQrr */
   },
-  { /* 5106 */
-    284,
+  { /* 5749 */
+    303,
     /* VPUNPCKHQDQYrm */
   },
-  { /* 5107 */
-    285,
+  { /* 5750 */
+    304,
     /* VPUNPCKHQDQYrr */
   },
-  { /* 5108 */
-    286,
+  { /* 5751 */
+    305,
     /* VPUNPCKHQDQZrm */
   },
-  { /* 5109 */
-    288,
+  { /* 5752 */
+    309,
     /* VPUNPCKHQDQZrr */
   },
-  { /* 5110 */
-    289,
+  { /* 5753 */
+    311,
     /* VPUNPCKHQDQrm */
   },
-  { /* 5111 */
-    290,
+  { /* 5754 */
+    312,
     /* VPUNPCKHQDQrr */
   },
-  { /* 5112 */
-    284,
+  { /* 5755 */
+    303,
     /* VPUNPCKHWDYrm */
   },
-  { /* 5113 */
-    285,
+  { /* 5756 */
+    304,
     /* VPUNPCKHWDYrr */
   },
-  { /* 5114 */
-    289,
+  { /* 5757 */
+    311,
     /* VPUNPCKHWDrm */
   },
-  { /* 5115 */
-    290,
+  { /* 5758 */
+    312,
     /* VPUNPCKHWDrr */
   },
-  { /* 5116 */
-    284,
+  { /* 5759 */
+    303,
     /* VPUNPCKLBWYrm */
   },
-  { /* 5117 */
-    285,
+  { /* 5760 */
+    304,
     /* VPUNPCKLBWYrr */
   },
-  { /* 5118 */
-    289,
+  { /* 5761 */
+    311,
     /* VPUNPCKLBWrm */
   },
-  { /* 5119 */
-    290,
+  { /* 5762 */
+    312,
     /* VPUNPCKLBWrr */
   },
-  { /* 5120 */
-    284,
+  { /* 5763 */
+    303,
     /* VPUNPCKLDQYrm */
   },
-  { /* 5121 */
-    285,
+  { /* 5764 */
+    304,
     /* VPUNPCKLDQYrr */
   },
-  { /* 5122 */
-    286,
+  { /* 5765 */
+    305,
     /* VPUNPCKLDQZrm */
   },
-  { /* 5123 */
-    288,
+  { /* 5766 */
+    309,
     /* VPUNPCKLDQZrr */
   },
-  { /* 5124 */
-    289,
+  { /* 5767 */
+    311,
     /* VPUNPCKLDQrm */
   },
-  { /* 5125 */
-    290,
+  { /* 5768 */
+    312,
     /* VPUNPCKLDQrr */
   },
-  { /* 5126 */
-    284,
+  { /* 5769 */
+    303,
     /* VPUNPCKLQDQYrm */
   },
-  { /* 5127 */
-    285,
+  { /* 5770 */
+    304,
     /* VPUNPCKLQDQYrr */
   },
-  { /* 5128 */
-    286,
+  { /* 5771 */
+    305,
     /* VPUNPCKLQDQZrm */
   },
-  { /* 5129 */
-    288,
+  { /* 5772 */
+    309,
     /* VPUNPCKLQDQZrr */
   },
-  { /* 5130 */
-    289,
+  { /* 5773 */
+    311,
     /* VPUNPCKLQDQrm */
   },
-  { /* 5131 */
-    290,
+  { /* 5774 */
+    312,
     /* VPUNPCKLQDQrr */
   },
-  { /* 5132 */
-    284,
+  { /* 5775 */
+    303,
     /* VPUNPCKLWDYrm */
   },
-  { /* 5133 */
-    285,
+  { /* 5776 */
+    304,
     /* VPUNPCKLWDYrr */
   },
-  { /* 5134 */
-    289,
+  { /* 5777 */
+    311,
     /* VPUNPCKLWDrm */
   },
-  { /* 5135 */
-    290,
+  { /* 5778 */
+    312,
     /* VPUNPCKLWDrr */
   },
-  { /* 5136 */
-    286,
+  { /* 5779 */
+    305,
     /* VPXORDZrm */
   },
-  { /* 5137 */
-    429,
+  { /* 5780 */
+    582,
     /* VPXORDZrmb */
   },
-  { /* 5138 */
-    288,
+  { /* 5781 */
+    583,
+    /* VPXORDZrmbk */
+  },
+  { /* 5782 */
+    584,
+    /* VPXORDZrmbkz */
+  },
+  { /* 5783 */
+    585,
+    /* VPXORDZrmk */
+  },
+  { /* 5784 */
+    315,
+    /* VPXORDZrmkz */
+  },
+  { /* 5785 */
+    309,
     /* VPXORDZrr */
   },
-  { /* 5139 */
-    286,
+  { /* 5786 */
+    586,
+    /* VPXORDZrrk */
+  },
+  { /* 5787 */
+    316,
+    /* VPXORDZrrkz */
+  },
+  { /* 5788 */
+    305,
     /* VPXORQZrm */
   },
-  { /* 5140 */
-    429,
+  { /* 5789 */
+    587,
     /* VPXORQZrmb */
   },
-  { /* 5141 */
-    288,
+  { /* 5790 */
+    588,
+    /* VPXORQZrmbk */
+  },
+  { /* 5791 */
+    589,
+    /* VPXORQZrmbkz */
+  },
+  { /* 5792 */
+    590,
+    /* VPXORQZrmk */
+  },
+  { /* 5793 */
+    308,
+    /* VPXORQZrmkz */
+  },
+  { /* 5794 */
+    309,
     /* VPXORQZrr */
   },
-  { /* 5142 */
-    284,
+  { /* 5795 */
+    591,
+    /* VPXORQZrrk */
+  },
+  { /* 5796 */
+    310,
+    /* VPXORQZrrkz */
+  },
+  { /* 5797 */
+    303,
     /* VPXORYrm */
   },
-  { /* 5143 */
-    285,
+  { /* 5798 */
+    304,
     /* VPXORYrr */
   },
-  { /* 5144 */
-    289,
+  { /* 5799 */
+    311,
     /* VPXORrm */
   },
-  { /* 5145 */
-    290,
+  { /* 5800 */
+    312,
     /* VPXORrr */
   },
-  { /* 5146 */
-    338,
+  { /* 5801 */
+    377,
     /* VRCP14PDZm */
   },
-  { /* 5147 */
-    339,
+  { /* 5802 */
+    378,
     /* VRCP14PDZr */
   },
-  { /* 5148 */
-    338,
+  { /* 5803 */
+    377,
     /* VRCP14PSZm */
   },
-  { /* 5149 */
-    339,
+  { /* 5804 */
+    378,
     /* VRCP14PSZr */
   },
-  { /* 5150 */
-    292,
+  { /* 5805 */
+    317,
     /* VRCP14SDrm */
   },
-  { /* 5151 */
-    293,
+  { /* 5806 */
+    318,
     /* VRCP14SDrr */
   },
-  { /* 5152 */
-    294,
+  { /* 5807 */
+    321,
     /* VRCP14SSrm */
   },
-  { /* 5153 */
-    295,
+  { /* 5808 */
+    322,
     /* VRCP14SSrr */
   },
-  { /* 5154 */
-    338,
+  { /* 5809 */
+    377,
     /* VRCP28PDZm */
   },
-  { /* 5155 */
-    339,
+  { /* 5810 */
+    378,
     /* VRCP28PDZr */
   },
-  { /* 5156 */
-    339,
+  { /* 5811 */
+    675,
     /* VRCP28PDZrb */
   },
-  { /* 5157 */
-    338,
+  { /* 5812 */
+    377,
     /* VRCP28PSZm */
   },
-  { /* 5158 */
-    339,
+  { /* 5813 */
+    378,
     /* VRCP28PSZr */
   },
-  { /* 5159 */
-    339,
+  { /* 5814 */
+    676,
     /* VRCP28PSZrb */
   },
-  { /* 5160 */
-    292,
+  { /* 5815 */
+    317,
     /* VRCP28SDrm */
   },
-  { /* 5161 */
-    293,
+  { /* 5816 */
+    318,
     /* VRCP28SDrr */
   },
-  { /* 5162 */
-    293,
+  { /* 5817 */
+    318,
     /* VRCP28SDrrb */
   },
-  { /* 5163 */
-    294,
+  { /* 5818 */
+    321,
     /* VRCP28SSrm */
   },
-  { /* 5164 */
-    295,
+  { /* 5819 */
+    322,
     /* VRCP28SSrr */
   },
-  { /* 5165 */
-    295,
+  { /* 5820 */
+    322,
     /* VRCP28SSrrb */
   },
-  { /* 5166 */
-    336,
+  { /* 5821 */
+    375,
     /* VRCPPSYm */
   },
-  { /* 5167 */
+  { /* 5822 */
     0,
     /*  */
   },
-  { /* 5168 */
-    337,
+  { /* 5823 */
+    376,
     /* VRCPPSYr */
   },
-  { /* 5169 */
+  { /* 5824 */
     0,
     /*  */
   },
-  { /* 5170 */
+  { /* 5825 */
     42,
     /* VRCPPSm */
   },
-  { /* 5171 */
+  { /* 5826 */
     0,
     /*  */
   },
-  { /* 5172 */
+  { /* 5827 */
     43,
     /* VRCPPSr */
   },
-  { /* 5173 */
+  { /* 5828 */
     0,
     /*  */
   },
-  { /* 5174 */
-    294,
+  { /* 5829 */
+    323,
     /* VRCPSSm */
   },
-  { /* 5175 */
+  { /* 5830 */
     0,
     /*  */
   },
-  { /* 5176 */
-    295,
+  { /* 5831 */
+    324,
     /* VRCPSSr */
   },
-  { /* 5177 */
-    502,
+  { /* 5832 */
+    677,
     /* VRNDSCALEPDZm */
   },
-  { /* 5178 */
-    340,
+  { /* 5833 */
+    678,
     /* VRNDSCALEPDZr */
   },
-  { /* 5179 */
-    502,
+  { /* 5834 */
+    677,
     /* VRNDSCALEPSZm */
   },
-  { /* 5180 */
-    340,
+  { /* 5835 */
+    678,
     /* VRNDSCALEPSZr */
   },
-  { /* 5181 */
-    503,
+  { /* 5836 */
+    679,
     /* VRNDSCALESDm */
   },
-  { /* 5182 */
-    504,
+  { /* 5837 */
+    680,
     /* VRNDSCALESDr */
   },
-  { /* 5183 */
-    505,
+  { /* 5838 */
+    681,
     /* VRNDSCALESSm */
   },
-  { /* 5184 */
-    506,
+  { /* 5839 */
+    682,
     /* VRNDSCALESSr */
   },
-  { /* 5185 */
-    273,
+  { /* 5840 */
+    292,
     /* VROUNDPDm */
   },
-  { /* 5186 */
-    274,
+  { /* 5841 */
+    293,
     /* VROUNDPDr */
   },
-  { /* 5187 */
-    273,
+  { /* 5842 */
+    292,
     /* VROUNDPSm */
   },
-  { /* 5188 */
-    274,
+  { /* 5843 */
+    293,
     /* VROUNDPSr */
   },
-  { /* 5189 */
-    507,
+  { /* 5844 */
+    683,
     /* VROUNDSDm */
   },
-  { /* 5190 */
-    504,
+  { /* 5845 */
+    684,
     /* VROUNDSDr */
   },
-  { /* 5191 */
+  { /* 5846 */
     0,
     /*  */
   },
-  { /* 5192 */
-    407,
+  { /* 5847 */
+    477,
     /* VROUNDSSm */
   },
-  { /* 5193 */
-    506,
+  { /* 5848 */
+    685,
     /* VROUNDSSr */
   },
-  { /* 5194 */
+  { /* 5849 */
     0,
     /*  */
   },
-  { /* 5195 */
-    508,
+  { /* 5850 */
+    686,
     /* VROUNDYPDm */
   },
-  { /* 5196 */
-    509,
+  { /* 5851 */
+    687,
     /* VROUNDYPDr */
   },
-  { /* 5197 */
-    508,
+  { /* 5852 */
+    686,
     /* VROUNDYPSm */
   },
-  { /* 5198 */
-    509,
+  { /* 5853 */
+    687,
     /* VROUNDYPSr */
   },
-  { /* 5199 */
-    338,
+  { /* 5854 */
+    377,
     /* VRSQRT14PDZm */
   },
-  { /* 5200 */
-    339,
+  { /* 5855 */
+    378,
     /* VRSQRT14PDZr */
   },
-  { /* 5201 */
-    338,
+  { /* 5856 */
+    377,
     /* VRSQRT14PSZm */
   },
-  { /* 5202 */
-    339,
+  { /* 5857 */
+    378,
     /* VRSQRT14PSZr */
   },
-  { /* 5203 */
-    292,
+  { /* 5858 */
+    317,
     /* VRSQRT14SDrm */
   },
-  { /* 5204 */
-    293,
+  { /* 5859 */
+    318,
     /* VRSQRT14SDrr */
   },
-  { /* 5205 */
-    294,
+  { /* 5860 */
+    321,
     /* VRSQRT14SSrm */
   },
-  { /* 5206 */
-    295,
+  { /* 5861 */
+    322,
     /* VRSQRT14SSrr */
   },
-  { /* 5207 */
-    338,
+  { /* 5862 */
+    377,
     /* VRSQRT28PDZm */
   },
-  { /* 5208 */
-    339,
+  { /* 5863 */
+    378,
     /* VRSQRT28PDZr */
   },
-  { /* 5209 */
-    339,
+  { /* 5864 */
+    675,
     /* VRSQRT28PDZrb */
   },
-  { /* 5210 */
-    338,
+  { /* 5865 */
+    377,
     /* VRSQRT28PSZm */
   },
-  { /* 5211 */
-    339,
+  { /* 5866 */
+    378,
     /* VRSQRT28PSZr */
   },
-  { /* 5212 */
-    339,
+  { /* 5867 */
+    676,
     /* VRSQRT28PSZrb */
   },
-  { /* 5213 */
-    292,
+  { /* 5868 */
+    317,
     /* VRSQRT28SDrm */
   },
-  { /* 5214 */
-    293,
+  { /* 5869 */
+    318,
     /* VRSQRT28SDrr */
   },
-  { /* 5215 */
-    293,
+  { /* 5870 */
+    318,
     /* VRSQRT28SDrrb */
   },
-  { /* 5216 */
-    294,
+  { /* 5871 */
+    321,
     /* VRSQRT28SSrm */
   },
-  { /* 5217 */
-    295,
+  { /* 5872 */
+    322,
     /* VRSQRT28SSrr */
   },
-  { /* 5218 */
-    295,
+  { /* 5873 */
+    322,
     /* VRSQRT28SSrrb */
   },
-  { /* 5219 */
-    336,
+  { /* 5874 */
+    375,
     /* VRSQRTPSYm */
   },
-  { /* 5220 */
+  { /* 5875 */
     0,
     /*  */
   },
-  { /* 5221 */
-    337,
+  { /* 5876 */
+    376,
     /* VRSQRTPSYr */
   },
-  { /* 5222 */
+  { /* 5877 */
     0,
     /*  */
   },
-  { /* 5223 */
+  { /* 5878 */
     42,
     /* VRSQRTPSm */
   },
-  { /* 5224 */
+  { /* 5879 */
     0,
     /*  */
   },
-  { /* 5225 */
+  { /* 5880 */
     43,
     /* VRSQRTPSr */
   },
-  { /* 5226 */
+  { /* 5881 */
     0,
     /*  */
   },
-  { /* 5227 */
-    294,
+  { /* 5882 */
+    323,
     /* VRSQRTSSm */
   },
-  { /* 5228 */
+  { /* 5883 */
     0,
     /*  */
   },
-  { /* 5229 */
-    295,
+  { /* 5884 */
+    324,
     /* VRSQRTSSr */
   },
-  { /* 5230 */
-    482,
+  { /* 5885 */
+    655,
     /* VSCATTERDPDZmr */
   },
-  { /* 5231 */
-    481,
+  { /* 5886 */
+    654,
     /* VSCATTERDPSZmr */
   },
-  { /* 5232 */
-    482,
+  { /* 5887 */
+    467,
+    /* VSCATTERPF0DPDm */
+  },
+  { /* 5888 */
+    468,
+    /* VSCATTERPF0DPSm */
+  },
+  { /* 5889 */
+    469,
+    /* VSCATTERPF0QPDm */
+  },
+  { /* 5890 */
+    469,
+    /* VSCATTERPF0QPSm */
+  },
+  { /* 5891 */
+    467,
+    /* VSCATTERPF1DPDm */
+  },
+  { /* 5892 */
+    468,
+    /* VSCATTERPF1DPSm */
+  },
+  { /* 5893 */
+    469,
+    /* VSCATTERPF1QPDm */
+  },
+  { /* 5894 */
+    469,
+    /* VSCATTERPF1QPSm */
+  },
+  { /* 5895 */
+    655,
     /* VSCATTERQPDZmr */
   },
-  { /* 5233 */
-    483,
+  { /* 5896 */
+    656,
     /* VSCATTERQPSZmr */
   },
-  { /* 5234 */
-    432,
+  { /* 5897 */
+    594,
     /* VSHUFPDYrmi */
   },
-  { /* 5235 */
-    433,
+  { /* 5898 */
+    595,
     /* VSHUFPDYrri */
   },
-  { /* 5236 */
-    296,
+  { /* 5899 */
+    325,
     /* VSHUFPDZrmi */
   },
-  { /* 5237 */
-    297,
+  { /* 5900 */
+    326,
     /* VSHUFPDZrri */
   },
-  { /* 5238 */
-    430,
+  { /* 5901 */
+    592,
     /* VSHUFPDrmi */
   },
-  { /* 5239 */
-    431,
+  { /* 5902 */
+    593,
     /* VSHUFPDrri */
   },
-  { /* 5240 */
-    432,
+  { /* 5903 */
+    594,
     /* VSHUFPSYrmi */
   },
-  { /* 5241 */
-    433,
+  { /* 5904 */
+    595,
     /* VSHUFPSYrri */
   },
-  { /* 5242 */
-    296,
+  { /* 5905 */
+    325,
     /* VSHUFPSZrmi */
   },
-  { /* 5243 */
-    297,
+  { /* 5906 */
+    326,
     /* VSHUFPSZrri */
   },
-  { /* 5244 */
-    430,
+  { /* 5907 */
+    592,
     /* VSHUFPSrmi */
   },
-  { /* 5245 */
-    431,
+  { /* 5908 */
+    593,
     /* VSHUFPSrri */
   },
-  { /* 5246 */
-    336,
+  { /* 5909 */
+    375,
     /* VSQRTPDYm */
   },
-  { /* 5247 */
-    337,
+  { /* 5910 */
+    376,
     /* VSQRTPDYr */
   },
-  { /* 5248 */
-    0,
-    /*  */
-  },
-  { /* 5249 */
-    0,
-    /*  */
-  },
-  { /* 5250 */
-    338,
+  { /* 5911 */
+    377,
     /* VSQRTPDZrm */
   },
-  { /* 5251 */
-    339,
+  { /* 5912 */
+    378,
     /* VSQRTPDZrr */
   },
-  { /* 5252 */
+  { /* 5913 */
     42,
     /* VSQRTPDm */
   },
-  { /* 5253 */
+  { /* 5914 */
     43,
     /* VSQRTPDr */
   },
-  { /* 5254 */
-    336,
+  { /* 5915 */
+    375,
     /* VSQRTPSYm */
   },
-  { /* 5255 */
-    337,
+  { /* 5916 */
+    376,
     /* VSQRTPSYr */
   },
-  { /* 5256 */
-    0,
-    /*  */
-  },
-  { /* 5257 */
-    0,
-    /*  */
-  },
-  { /* 5258 */
-    338,
+  { /* 5917 */
+    377,
     /* VSQRTPSZrm */
   },
-  { /* 5259 */
-    339,
+  { /* 5918 */
+    378,
     /* VSQRTPSZrr */
   },
-  { /* 5260 */
+  { /* 5919 */
     42,
     /* VSQRTPSm */
   },
-  { /* 5261 */
+  { /* 5920 */
     43,
     /* VSQRTPSr */
   },
-  { /* 5262 */
-    292,
+  { /* 5921 */
+    317,
     /* VSQRTSDZm */
   },
-  { /* 5263 */
+  { /* 5922 */
     0,
     /*  */
   },
-  { /* 5264 */
-    293,
+  { /* 5923 */
+    688,
     /* VSQRTSDZr */
   },
-  { /* 5265 */
+  { /* 5924 */
     0,
     /*  */
   },
-  { /* 5266 */
-    292,
+  { /* 5925 */
+    319,
     /* VSQRTSDm */
   },
-  { /* 5267 */
+  { /* 5926 */
     0,
     /*  */
   },
-  { /* 5268 */
-    293,
+  { /* 5927 */
+    320,
     /* VSQRTSDr */
   },
-  { /* 5269 */
-    294,
+  { /* 5928 */
+    321,
     /* VSQRTSSZm */
   },
-  { /* 5270 */
+  { /* 5929 */
     0,
     /*  */
   },
-  { /* 5271 */
-    295,
+  { /* 5930 */
+    689,
     /* VSQRTSSZr */
   },
-  { /* 5272 */
+  { /* 5931 */
     0,
     /*  */
   },
-  { /* 5273 */
-    294,
+  { /* 5932 */
+    323,
     /* VSQRTSSm */
   },
-  { /* 5274 */
+  { /* 5933 */
     0,
     /*  */
   },
-  { /* 5275 */
-    295,
+  { /* 5934 */
+    324,
     /* VSQRTSSr */
   },
-  { /* 5276 */
+  { /* 5935 */
     40,
     /* VSTMXCSR */
   },
-  { /* 5277 */
-    284,
+  { /* 5936 */
+    303,
     /* VSUBPDYrm */
   },
-  { /* 5278 */
-    285,
+  { /* 5937 */
+    304,
     /* VSUBPDYrr */
   },
-  { /* 5279 */
-    286,
+  { /* 5938 */
+    305,
     /* VSUBPDZrm */
   },
-  { /* 5280 */
-    287,
+  { /* 5939 */
+    306,
     /* VSUBPDZrmb */
   },
-  { /* 5281 */
-    288,
+  { /* 5940 */
+    307,
+    /* VSUBPDZrmbk */
+  },
+  { /* 5941 */
+    307,
+    /* VSUBPDZrmbkz */
+  },
+  { /* 5942 */
+    308,
+    /* VSUBPDZrmk */
+  },
+  { /* 5943 */
+    308,
+    /* VSUBPDZrmkz */
+  },
+  { /* 5944 */
+    309,
     /* VSUBPDZrr */
   },
-  { /* 5282 */
-    289,
+  { /* 5945 */
+    310,
+    /* VSUBPDZrrk */
+  },
+  { /* 5946 */
+    310,
+    /* VSUBPDZrrkz */
+  },
+  { /* 5947 */
+    311,
     /* VSUBPDrm */
   },
-  { /* 5283 */
-    290,
+  { /* 5948 */
+    312,
     /* VSUBPDrr */
   },
-  { /* 5284 */
-    284,
+  { /* 5949 */
+    303,
     /* VSUBPSYrm */
   },
-  { /* 5285 */
-    285,
+  { /* 5950 */
+    304,
     /* VSUBPSYrr */
   },
-  { /* 5286 */
-    286,
+  { /* 5951 */
+    305,
     /* VSUBPSZrm */
   },
-  { /* 5287 */
-    291,
+  { /* 5952 */
+    313,
     /* VSUBPSZrmb */
   },
-  { /* 5288 */
-    288,
+  { /* 5953 */
+    314,
+    /* VSUBPSZrmbk */
+  },
+  { /* 5954 */
+    314,
+    /* VSUBPSZrmbkz */
+  },
+  { /* 5955 */
+    315,
+    /* VSUBPSZrmk */
+  },
+  { /* 5956 */
+    315,
+    /* VSUBPSZrmkz */
+  },
+  { /* 5957 */
+    309,
     /* VSUBPSZrr */
   },
-  { /* 5289 */
-    289,
+  { /* 5958 */
+    316,
+    /* VSUBPSZrrk */
+  },
+  { /* 5959 */
+    316,
+    /* VSUBPSZrrkz */
+  },
+  { /* 5960 */
+    311,
     /* VSUBPSrm */
   },
-  { /* 5290 */
-    290,
+  { /* 5961 */
+    312,
     /* VSUBPSrr */
   },
-  { /* 5291 */
-    292,
+  { /* 5962 */
+    317,
     /* VSUBSDZrm */
   },
-  { /* 5292 */
-    293,
+  { /* 5963 */
+    318,
     /* VSUBSDZrr */
   },
-  { /* 5293 */
-    292,
+  { /* 5964 */
+    319,
     /* VSUBSDrm */
   },
-  { /* 5294 */
+  { /* 5965 */
     0,
     /*  */
   },
-  { /* 5295 */
-    293,
+  { /* 5966 */
+    320,
     /* VSUBSDrr */
   },
-  { /* 5296 */
+  { /* 5967 */
     0,
     /*  */
   },
-  { /* 5297 */
-    294,
+  { /* 5968 */
+    321,
     /* VSUBSSZrm */
   },
-  { /* 5298 */
-    295,
+  { /* 5969 */
+    322,
     /* VSUBSSZrr */
   },
-  { /* 5299 */
-    294,
+  { /* 5970 */
+    323,
     /* VSUBSSrm */
   },
-  { /* 5300 */
+  { /* 5971 */
     0,
     /*  */
   },
-  { /* 5301 */
-    295,
+  { /* 5972 */
+    324,
     /* VSUBSSrr */
   },
-  { /* 5302 */
+  { /* 5973 */
     0,
     /*  */
   },
-  { /* 5303 */
-    336,
+  { /* 5974 */
+    375,
     /* VTESTPDYrm */
   },
-  { /* 5304 */
-    337,
+  { /* 5975 */
+    376,
     /* VTESTPDYrr */
   },
-  { /* 5305 */
+  { /* 5976 */
     42,
     /* VTESTPDrm */
   },
-  { /* 5306 */
+  { /* 5977 */
     43,
     /* VTESTPDrr */
   },
-  { /* 5307 */
-    336,
+  { /* 5978 */
+    375,
     /* VTESTPSYrm */
   },
-  { /* 5308 */
-    337,
+  { /* 5979 */
+    376,
     /* VTESTPSYrr */
   },
-  { /* 5309 */
+  { /* 5980 */
     42,
     /* VTESTPSrm */
   },
-  { /* 5310 */
+  { /* 5981 */
     43,
     /* VTESTPSrr */
   },
-  { /* 5311 */
-    234,
+  { /* 5982 */
+    563,
     /* VUCOMISDZrm */
   },
-  { /* 5312 */
-    283,
+  { /* 5983 */
+    690,
     /* VUCOMISDZrr */
   },
-  { /* 5313 */
-    234,
+  { /* 5984 */
+    253,
     /* VUCOMISDrm */
   },
-  { /* 5314 */
-    283,
+  { /* 5985 */
+    302,
     /* VUCOMISDrr */
   },
-  { /* 5315 */
-    238,
+  { /* 5986 */
+    571,
     /* VUCOMISSZrm */
   },
-  { /* 5316 */
-    267,
+  { /* 5987 */
+    691,
     /* VUCOMISSZrr */
   },
-  { /* 5317 */
-    238,
+  { /* 5988 */
+    257,
     /* VUCOMISSrm */
   },
-  { /* 5318 */
-    267,
+  { /* 5989 */
+    286,
     /* VUCOMISSrr */
   },
-  { /* 5319 */
-    284,
+  { /* 5990 */
+    303,
     /* VUNPCKHPDYrm */
   },
-  { /* 5320 */
-    285,
+  { /* 5991 */
+    304,
     /* VUNPCKHPDYrr */
   },
-  { /* 5321 */
-    286,
+  { /* 5992 */
+    305,
     /* VUNPCKHPDZrm */
   },
-  { /* 5322 */
-    288,
+  { /* 5993 */
+    309,
     /* VUNPCKHPDZrr */
   },
-  { /* 5323 */
-    289,
+  { /* 5994 */
+    311,
     /* VUNPCKHPDrm */
   },
-  { /* 5324 */
-    290,
+  { /* 5995 */
+    312,
     /* VUNPCKHPDrr */
   },
-  { /* 5325 */
-    284,
+  { /* 5996 */
+    303,
     /* VUNPCKHPSYrm */
   },
-  { /* 5326 */
-    285,
+  { /* 5997 */
+    304,
     /* VUNPCKHPSYrr */
   },
-  { /* 5327 */
-    286,
+  { /* 5998 */
+    305,
     /* VUNPCKHPSZrm */
   },
-  { /* 5328 */
-    288,
+  { /* 5999 */
+    309,
     /* VUNPCKHPSZrr */
   },
-  { /* 5329 */
-    289,
+  { /* 6000 */
+    311,
     /* VUNPCKHPSrm */
   },
-  { /* 5330 */
-    290,
+  { /* 6001 */
+    312,
     /* VUNPCKHPSrr */
   },
-  { /* 5331 */
-    284,
+  { /* 6002 */
+    303,
     /* VUNPCKLPDYrm */
   },
-  { /* 5332 */
-    285,
+  { /* 6003 */
+    304,
     /* VUNPCKLPDYrr */
   },
-  { /* 5333 */
-    286,
+  { /* 6004 */
+    305,
     /* VUNPCKLPDZrm */
   },
-  { /* 5334 */
-    288,
+  { /* 6005 */
+    309,
     /* VUNPCKLPDZrr */
   },
-  { /* 5335 */
-    289,
+  { /* 6006 */
+    311,
     /* VUNPCKLPDrm */
   },
-  { /* 5336 */
-    290,
+  { /* 6007 */
+    312,
     /* VUNPCKLPDrr */
   },
-  { /* 5337 */
-    284,
+  { /* 6008 */
+    303,
     /* VUNPCKLPSYrm */
   },
-  { /* 5338 */
-    285,
+  { /* 6009 */
+    304,
     /* VUNPCKLPSYrr */
   },
-  { /* 5339 */
-    286,
+  { /* 6010 */
+    305,
     /* VUNPCKLPSZrm */
   },
-  { /* 5340 */
-    288,
+  { /* 6011 */
+    309,
     /* VUNPCKLPSZrr */
   },
-  { /* 5341 */
-    289,
+  { /* 6012 */
+    311,
     /* VUNPCKLPSrm */
   },
-  { /* 5342 */
-    290,
+  { /* 6013 */
+    312,
     /* VUNPCKLPSrr */
   },
-  { /* 5343 */
-    284,
+  { /* 6014 */
+    303,
     /* VXORPDYrm */
   },
-  { /* 5344 */
-    285,
+  { /* 6015 */
+    304,
     /* VXORPDYrr */
   },
-  { /* 5345 */
-    289,
+  { /* 6016 */
+    311,
     /* VXORPDrm */
   },
-  { /* 5346 */
-    290,
+  { /* 6017 */
+    312,
     /* VXORPDrr */
   },
-  { /* 5347 */
-    284,
+  { /* 6018 */
+    303,
     /* VXORPSYrm */
   },
-  { /* 5348 */
-    285,
+  { /* 6019 */
+    304,
     /* VXORPSYrr */
   },
-  { /* 5349 */
-    289,
+  { /* 6020 */
+    311,
     /* VXORPSrm */
   },
-  { /* 5350 */
-    290,
+  { /* 6021 */
+    312,
     /* VXORPSrr */
   },
-  { /* 5351 */
+  { /* 6022 */
     0,
     /* VZEROALL */
   },
-  { /* 5352 */
+  { /* 6023 */
     0,
     /* VZEROUPPER */
   },
-  { /* 5353 */
+  { /* 6024 */
     0,
     /*  */
   },
-  { /* 5354 */
+  { /* 6025 */
     0,
     /*  */
   },
-  { /* 5355 */
+  { /* 6026 */
     0,
     /*  */
   },
-  { /* 5356 */
+  { /* 6027 */
     0,
     /* WAIT */
   },
-  { /* 5357 */
+  { /* 6028 */
     0,
     /* WBINVD */
   },
-  { /* 5358 */
+  { /* 6029 */
     0,
     /*  */
   },
-  { /* 5359 */
+  { /* 6030 */
     0,
     /*  */
   },
-  { /* 5360 */
+  { /* 6031 */
     0,
     /*  */
   },
-  { /* 5361 */
-    268,
+  { /* 6032 */
+    287,
     /* WRFSBASE */
   },
-  { /* 5362 */
+  { /* 6033 */
     77,
     /* WRFSBASE64 */
   },
-  { /* 5363 */
-    268,
+  { /* 6034 */
+    287,
     /* WRGSBASE */
   },
-  { /* 5364 */
+  { /* 6035 */
     77,
     /* WRGSBASE64 */
   },
-  { /* 5365 */
+  { /* 6036 */
     0,
     /* WRMSR */
   },
-  { /* 5366 */
+  { /* 6037 */
     1,
     /* XABORT */
   },
-  { /* 5367 */
+  { /* 6038 */
     0,
     /*  */
   },
-  { /* 5368 */
+  { /* 6039 */
     5,
     /* XADD16rm */
   },
-  { /* 5369 */
+  { /* 6040 */
     71,
     /* XADD16rr */
   },
-  { /* 5370 */
+  { /* 6041 */
     5,
     /* XADD32rm */
   },
-  { /* 5371 */
+  { /* 6042 */
     71,
     /* XADD32rr */
   },
-  { /* 5372 */
+  { /* 6043 */
     16,
     /* XADD64rm */
   },
-  { /* 5373 */
+  { /* 6044 */
     74,
     /* XADD64rr */
   },
-  { /* 5374 */
+  { /* 6045 */
     23,
     /* XADD8rm */
   },
-  { /* 5375 */
+  { /* 6046 */
     85,
     /* XADD8rr */
   },
-  { /* 5376 */
+  { /* 6047 */
     0,
     /*  */
   },
-  { /* 5377 */
+  { /* 6048 */
     156,
     /* XBEGIN_4 */
   },
-  { /* 5378 */
-    257,
+  { /* 6049 */
+    276,
     /* XCHG16ar */
   },
-  { /* 5379 */
+  { /* 6050 */
     8,
     /* XCHG16rm */
   },
-  { /* 5380 */
+  { /* 6051 */
     10,
     /* XCHG16rr */
   },
-  { /* 5381 */
-    257,
+  { /* 6052 */
+    276,
     /* XCHG32ar */
   },
-  { /* 5382 */
-    257,
+  { /* 6053 */
+    276,
     /* XCHG32ar64 */
   },
-  { /* 5383 */
+  { /* 6054 */
     8,
     /* XCHG32rm */
   },
-  { /* 5384 */
+  { /* 6055 */
     10,
     /* XCHG32rr */
   },
-  { /* 5385 */
-    258,
+  { /* 6056 */
+    277,
     /* XCHG64ar */
   },
-  { /* 5386 */
+  { /* 6057 */
     19,
     /* XCHG64rm */
   },
-  { /* 5387 */
+  { /* 6058 */
     21,
     /* XCHG64rr */
   },
-  { /* 5388 */
+  { /* 6059 */
     25,
     /* XCHG8rm */
   },
-  { /* 5389 */
+  { /* 6060 */
     27,
     /* XCHG8rr */
   },
-  { /* 5390 */
+  { /* 6061 */
     41,
     /* XCH_F */
   },
-  { /* 5391 */
+  { /* 6062 */
     0,
     /* XCRYPTCBC */
   },
-  { /* 5392 */
+  { /* 6063 */
     0,
     /* XCRYPTCFB */
   },
-  { /* 5393 */
+  { /* 6064 */
     0,
     /* XCRYPTCTR */
   },
-  { /* 5394 */
+  { /* 6065 */
     0,
     /* XCRYPTECB */
   },
-  { /* 5395 */
+  { /* 6066 */
     0,
     /* XCRYPTOFB */
   },
-  { /* 5396 */
+  { /* 6067 */
     0,
     /* XEND */
   },
-  { /* 5397 */
+  { /* 6068 */
     0,
     /* XGETBV */
   },
-  { /* 5398 */
+  { /* 6069 */
     0,
     /* XLAT */
   },
-  { /* 5399 */
+  { /* 6070 */
     2,
     /* XOR16i16 */
   },
-  { /* 5400 */
+  { /* 6071 */
     3,
     /* XOR16mi */
   },
-  { /* 5401 */
+  { /* 6072 */
     4,
     /* XOR16mi8 */
   },
-  { /* 5402 */
+  { /* 6073 */
     5,
     /* XOR16mr */
   },
-  { /* 5403 */
+  { /* 6074 */
     6,
     /* XOR16ri */
   },
-  { /* 5404 */
+  { /* 6075 */
     7,
     /* XOR16ri8 */
   },
-  { /* 5405 */
+  { /* 6076 */
     8,
     /* XOR16rm */
   },
-  { /* 5406 */
+  { /* 6077 */
     9,
     /* XOR16rr */
   },
-  { /* 5407 */
+  { /* 6078 */
     10,
     /* XOR16rr_REV */
   },
-  { /* 5408 */
+  { /* 6079 */
     2,
     /* XOR32i32 */
   },
-  { /* 5409 */
+  { /* 6080 */
     3,
     /* XOR32mi */
   },
-  { /* 5410 */
+  { /* 6081 */
     11,
     /* XOR32mi8 */
   },
-  { /* 5411 */
+  { /* 6082 */
     5,
     /* XOR32mr */
   },
-  { /* 5412 */
+  { /* 6083 */
     6,
     /* XOR32ri */
   },
-  { /* 5413 */
+  { /* 6084 */
     12,
     /* XOR32ri8 */
   },
-  { /* 5414 */
+  { /* 6085 */
     8,
     /* XOR32rm */
   },
-  { /* 5415 */
+  { /* 6086 */
     9,
     /* XOR32rr */
   },
-  { /* 5416 */
+  { /* 6087 */
     10,
     /* XOR32rr_REV */
   },
-  { /* 5417 */
+  { /* 6088 */
     13,
     /* XOR64i32 */
   },
-  { /* 5418 */
+  { /* 6089 */
     14,
     /* XOR64mi32 */
   },
-  { /* 5419 */
+  { /* 6090 */
     15,
     /* XOR64mi8 */
   },
-  { /* 5420 */
+  { /* 6091 */
     16,
     /* XOR64mr */
   },
-  { /* 5421 */
+  { /* 6092 */
     17,
     /* XOR64ri32 */
   },
-  { /* 5422 */
+  { /* 6093 */
     18,
     /* XOR64ri8 */
   },
-  { /* 5423 */
+  { /* 6094 */
     19,
     /* XOR64rm */
   },
-  { /* 5424 */
+  { /* 6095 */
     20,
     /* XOR64rr */
   },
-  { /* 5425 */
+  { /* 6096 */
     21,
     /* XOR64rr_REV */
   },
-  { /* 5426 */
+  { /* 6097 */
     1,
     /* XOR8i8 */
   },
-  { /* 5427 */
+  { /* 6098 */
     22,
     /* XOR8mi */
   },
-  { /* 5428 */
+  { /* 6099 */
     23,
     /* XOR8mr */
   },
-  { /* 5429 */
+  { /* 6100 */
     24,
     /* XOR8ri */
   },
-  { /* 5430 */
+  { /* 6101 */
     24,
     /* XOR8ri8 */
   },
-  { /* 5431 */
+  { /* 6102 */
     25,
     /* XOR8rm */
   },
-  { /* 5432 */
+  { /* 6103 */
     26,
     /* XOR8rr */
   },
-  { /* 5433 */
+  { /* 6104 */
     27,
     /* XOR8rr_REV */
   },
-  { /* 5434 */
+  { /* 6105 */
     32,
     /* XORPDrm */
   },
-  { /* 5435 */
+  { /* 6106 */
     33,
     /* XORPDrr */
   },
-  { /* 5436 */
+  { /* 6107 */
     32,
     /* XORPSrm */
   },
-  { /* 5437 */
+  { /* 6108 */
     33,
     /* XORPSrr */
   },
-  { /* 5438 */
+  { /* 6109 */
     0,
     /*  */
   },
-  { /* 5439 */
+  { /* 6110 */
     139,
     /* XRSTOR */
   },
-  { /* 5440 */
+  { /* 6111 */
     139,
     /* XRSTOR64 */
   },
-  { /* 5441 */
+  { /* 6112 */
     139,
     /* XSAVE */
   },
-  { /* 5442 */
+  { /* 6113 */
     139,
     /* XSAVE64 */
   },
-  { /* 5443 */
+  { /* 6114 */
     139,
     /* XSAVEOPT */
   },
-  { /* 5444 */
+  { /* 6115 */
     139,
     /* XSAVEOPT64 */
   },
-  { /* 5445 */
+  { /* 6116 */
     0,
     /* XSETBV */
   },
-  { /* 5446 */
+  { /* 6117 */
     0,
     /* XSHA1 */
   },
-  { /* 5447 */
+  { /* 6118 */
     0,
     /* XSHA256 */
   },
-  { /* 5448 */
+  { /* 6119 */
     0,
     /* XSTORE */
   },
-  { /* 5449 */
+  { /* 6120 */
     0,
     /* XTEST */
   }
@@ -43061,271 +47712,271 @@
 /* EmptyTable */
   0x0,
 /* Table1 */
-  0x6b, /* ADD8mr */
-  0x6f, /* ADD8rr */
+  0x6c, /* ADD8mr */
+  0x70, /* ADD8rr */
 /* Table3 */
-  0x54, /* ADD32mr */
-  0x5a, /* ADD32rr */
+  0x55, /* ADD32mr */
+  0x5b, /* ADD32rr */
 /* Table5 */
-  0x6e, /* ADD8rm */
-  0x70, /* ADD8rr_REV */
+  0x6f, /* ADD8rm */
+  0x71, /* ADD8rr_REV */
 /* Table7 */
-  0x59, /* ADD32rm */
-  0x5c, /* ADD32rr_REV */
+  0x5a, /* ADD32rm */
+  0x5d, /* ADD32rr_REV */
 /* Table9 */
-  0x69, /* ADD8i8 */
+  0x6a, /* ADD8i8 */
 /* Table10 */
-  0x51, /* ADD32i32 */
+  0x52, /* ADD32i32 */
 /* Table11 */
-  0x8eb, /* PUSHES32 */
+  0x8e4, /* PUSHES32 */
 /* Table12 */
-  0x874, /* POPES32 */
+  0x86d, /* POPES32 */
 /* Table13 */
-  0x766, /* OR8mr */
-  0x76a, /* OR8rr */
+  0x75f, /* OR8mr */
+  0x763, /* OR8rr */
 /* Table15 */
-  0x754, /* OR32mr */
-  0x759, /* OR32rr */
+  0x74d, /* OR32mr */
+  0x752, /* OR32rr */
 /* Table17 */
-  0x769, /* OR8rm */
-  0x76b, /* OR8rr_REV */
+  0x762, /* OR8rm */
+  0x764, /* OR8rr_REV */
 /* Table19 */
-  0x758, /* OR32rm */
-  0x75a, /* OR32rr_REV */
+  0x751, /* OR32rm */
+  0x753, /* OR32rr_REV */
 /* Table21 */
-  0x764, /* OR8i8 */
+  0x75d, /* OR8i8 */
 /* Table22 */
-  0x751, /* OR32i32 */
+  0x74a, /* OR32i32 */
 /* Table23 */
-  0x8e7, /* PUSHCS32 */
+  0x8e0, /* PUSHCS32 */
 /* Table24 */
-  0x3c, /* ADC8mr */
-  0x3f, /* ADC8rr */
+  0x3d, /* ADC8mr */
+  0x40, /* ADC8rr */
 /* Table26 */
-  0x2b, /* ADC32mr */
-  0x2f, /* ADC32rr */
+  0x2c, /* ADC32mr */
+  0x30, /* ADC32rr */
 /* Table28 */
-  0x3e, /* ADC8rm */
-  0x40, /* ADC8rr_REV */
+  0x3f, /* ADC8rm */
+  0x41, /* ADC8rr_REV */
 /* Table30 */
-  0x2e, /* ADC32rm */
-  0x30, /* ADC32rr_REV */
+  0x2f, /* ADC32rm */
+  0x31, /* ADC32rr_REV */
 /* Table32 */
-  0x3a, /* ADC8i8 */
+  0x3b, /* ADC8i8 */
 /* Table33 */
-  0x28, /* ADC32i32 */
+  0x29, /* ADC32i32 */
 /* Table34 */
-  0x8f6, /* PUSHSS32 */
+  0x8ef, /* PUSHSS32 */
 /* Table35 */
-  0x87f, /* POPSS32 */
+  0x878, /* POPSS32 */
 /* Table36 */
-  0x9f6, /* SBB8mr */
-  0x9f9, /* SBB8rr */
+  0x9ef, /* SBB8mr */
+  0x9f2, /* SBB8rr */
 /* Table38 */
-  0x9e5, /* SBB32mr */
-  0x9e9, /* SBB32rr */
+  0x9de, /* SBB32mr */
+  0x9e2, /* SBB32rr */
 /* Table40 */
-  0x9f8, /* SBB8rm */
-  0x9fa, /* SBB8rr_REV */
+  0x9f1, /* SBB8rm */
+  0x9f3, /* SBB8rr_REV */
 /* Table42 */
-  0x9e8, /* SBB32rm */
-  0x9ea, /* SBB32rr_REV */
+  0x9e1, /* SBB32rm */
+  0x9e3, /* SBB32rr_REV */
 /* Table44 */
-  0x9f4, /* SBB8i8 */
+  0x9ed, /* SBB8i8 */
 /* Table45 */
-  0x9e2, /* SBB32i32 */
+  0x9db, /* SBB32i32 */
 /* Table46 */
-  0x8e9, /* PUSHDS32 */
+  0x8e2, /* PUSHDS32 */
 /* Table47 */
-  0x872, /* POPDS32 */
+  0x86b, /* POPDS32 */
 /* Table48 */
-  0xc7, /* AND8mr */
-  0xcb, /* AND8rr */
+  0xc8, /* AND8mr */
+  0xcc, /* AND8rr */
 /* Table50 */
-  0xb6, /* AND32mr */
-  0xba, /* AND32rr */
+  0xb7, /* AND32mr */
+  0xbb, /* AND32rr */
 /* Table52 */
-  0xca, /* AND8rm */
-  0xcc, /* AND8rr_REV */
+  0xcb, /* AND8rm */
+  0xcd, /* AND8rr_REV */
 /* Table54 */
-  0xb9, /* AND32rm */
-  0xbb, /* AND32rr_REV */
+  0xba, /* AND32rm */
+  0xbc, /* AND32rr_REV */
 /* Table56 */
-  0xc5, /* AND8i8 */
+  0xc6, /* AND8i8 */
 /* Table57 */
-  0xb3, /* AND32i32 */
+  0xb4, /* AND32i32 */
 /* Table58 */
-  0x30f, /* ES_PREFIX */
+  0x2e7, /* ES_PREFIX */
 /* Table59 */
-  0x2b6, /* DAA */
+  0x28c, /* DAA */
 /* Table60 */
-  0xaec, /* SUB8mr */
-  0xaf0, /* SUB8rr */
+  0xaed, /* SUB8mr */
+  0xaf1, /* SUB8rr */
 /* Table62 */
-  0xadb, /* SUB32mr */
-  0xadf, /* SUB32rr */
+  0xadc, /* SUB32mr */
+  0xae0, /* SUB32rr */
 /* Table64 */
-  0xaef, /* SUB8rm */
-  0xaf1, /* SUB8rr_REV */
+  0xaf0, /* SUB8rm */
+  0xaf2, /* SUB8rr_REV */
 /* Table66 */
-  0xade, /* SUB32rm */
-  0xae0, /* SUB32rr_REV */
+  0xadf, /* SUB32rm */
+  0xae1, /* SUB32rr_REV */
 /* Table68 */
-  0xaea, /* SUB8i8 */
+  0xaeb, /* SUB8i8 */
 /* Table69 */
-  0xad8, /* SUB32i32 */
+  0xad9, /* SUB32i32 */
 /* Table70 */
-  0x287, /* CS_PREFIX */
+  0x25d, /* CS_PREFIX */
 /* Table71 */
-  0x2b7, /* DAS */
+  0x28d, /* DAS */
 /* Table72 */
-  0x1534, /* XOR8mr */
-  0x1538, /* XOR8rr */
+  0x17d3, /* XOR8mr */
+  0x17d7, /* XOR8rr */
 /* Table74 */
-  0x1523, /* XOR32mr */
-  0x1527, /* XOR32rr */
+  0x17c2, /* XOR32mr */
+  0x17c6, /* XOR32rr */
 /* Table76 */
-  0x1537, /* XOR8rm */
-  0x1539, /* XOR8rr_REV */
+  0x17d6, /* XOR8rm */
+  0x17d8, /* XOR8rr_REV */
 /* Table78 */
-  0x1526, /* XOR32rm */
-  0x1528, /* XOR32rr_REV */
+  0x17c5, /* XOR32rm */
+  0x17c7, /* XOR32rr_REV */
 /* Table80 */
-  0x1532, /* XOR8i8 */
+  0x17d1, /* XOR8i8 */
 /* Table81 */
-  0x1520, /* XOR32i32 */
+  0x17bf, /* XOR32i32 */
 /* Table82 */
-  0xaac, /* SS_PREFIX */
+  0xaad, /* SS_PREFIX */
 /* Table83 */
-  0x13, /* AAA */
+  0x14, /* AAA */
 /* Table84 */
-  0x24b, /* CMP8mr */
-  0x24e, /* CMP8rr */
+  0x221, /* CMP8mr */
+  0x224, /* CMP8rr */
 /* Table86 */
-  0x23a, /* CMP32mr */
-  0x23e, /* CMP32rr */
+  0x210, /* CMP32mr */
+  0x214, /* CMP32rr */
 /* Table88 */
-  0x24d, /* CMP8rm */
-  0x24f, /* CMP8rr_REV */
+  0x223, /* CMP8rm */
+  0x225, /* CMP8rr_REV */
 /* Table90 */
-  0x23d, /* CMP32rm */
-  0x23f, /* CMP32rr_REV */
+  0x213, /* CMP32rm */
+  0x215, /* CMP32rr_REV */
 /* Table92 */
-  0x249, /* CMP8i8 */
+  0x21f, /* CMP8i8 */
 /* Table93 */
-  0x237, /* CMP32i32 */
+  0x20d, /* CMP32i32 */
 /* Table94 */
-  0x306, /* DS_PREFIX */
+  0x2dc, /* DS_PREFIX */
 /* Table95 */
-  0x16, /* AAS */
+  0x17, /* AAS */
 /* Table96 */
-  0x3b6, /* INC32r */
+  0x38a, /* INC32r */
 /* Table97 */
-  0x2be, /* DEC32r */
+  0x294, /* DEC32r */
 /* Table98 */
-  0x8db, /* PUSH32r */
+  0x8d4, /* PUSH32r */
 /* Table99 */
-  0x863, /* POP32r */
+  0x85c, /* POP32r */
 /* Table100 */
-  0x8e5, /* PUSHA32 */
+  0x8de, /* PUSHA32 */
 /* Table101 */
-  0x86a, /* POPA32 */
+  0x863, /* POPA32 */
 /* Table102 */
-  0x142, /* BOUNDS32rm */
+  0x118, /* BOUNDS32rm */
   0x0, /*  */
 /* Table104 */
-  0xd9, /* ARPL16mr */
-  0xda, /* ARPL16rr */
+  0xda, /* ARPL16mr */
+  0xdb, /* ARPL16rr */
 /* Table106 */
-  0x34f, /* FS_PREFIX */
+  0x327, /* FS_PREFIX */
 /* Table107 */
-  0x370, /* GS_PREFIX */
+  0x347, /* GS_PREFIX */
 /* Table108 */
-  0x2b8, /* DATA16_PREFIX */
+  0x28e, /* DATA16_PREFIX */
 /* Table109 */
-  0x8f8, /* PUSHi32 */
+  0x8f1, /* PUSHi32 */
 /* Table110 */
-  0x399, /* IMUL32rmi */
-  0x39c, /* IMUL32rri */
+  0x370, /* IMUL32rmi */
+  0x373, /* IMUL32rri */
 /* Table112 */
-  0x8da, /* PUSH32i8 */
+  0x8d3, /* PUSH32i8 */
 /* Table113 */
-  0x39a, /* IMUL32rmi8 */
-  0x39d, /* IMUL32rri8 */
+  0x371, /* IMUL32rmi8 */
+  0x374, /* IMUL32rri8 */
 /* Table115 */
-  0x3ae, /* IN8 */
+  0x393, /* INSB */
 /* Table116 */
-  0x3ab, /* IN32 */
+  0x398, /* INSL */
 /* Table117 */
-  0x776, /* OUTSB */
+  0x76f, /* OUTSB */
 /* Table118 */
-  0x777, /* OUTSL */
+  0x770, /* OUTSL */
 /* Table119 */
-  0x48a, /* JO_1 */
+  0x461, /* JO_1 */
 /* Table120 */
-  0x481, /* JNO_1 */
+  0x458, /* JNO_1 */
 /* Table121 */
-  0x460, /* JB_1 */
+  0x437, /* JB_1 */
 /* Table122 */
-  0x457, /* JAE_1 */
+  0x42e, /* JAE_1 */
 /* Table123 */
-  0x466, /* JE_1 */
+  0x43d, /* JE_1 */
 /* Table124 */
-  0x47e, /* JNE_1 */
+  0x455, /* JNE_1 */
 /* Table125 */
-  0x45d, /* JBE_1 */
+  0x434, /* JBE_1 */
 /* Table126 */
-  0x45a, /* JA_1 */
+  0x431, /* JA_1 */
 /* Table127 */
-  0x491, /* JS_1 */
+  0x468, /* JS_1 */
 /* Table128 */
-  0x487, /* JNS_1 */
+  0x45e, /* JNS_1 */
 /* Table129 */
-  0x48d, /* JP_1 */
+  0x464, /* JP_1 */
 /* Table130 */
-  0x484, /* JNP_1 */
+  0x45b, /* JNP_1 */
 /* Table131 */
-  0x472, /* JL_1 */
+  0x449, /* JL_1 */
 /* Table132 */
-  0x469, /* JGE_1 */
+  0x440, /* JGE_1 */
 /* Table133 */
-  0x46f, /* JLE_1 */
+  0x446, /* JLE_1 */
 /* Table134 */
-  0x46c, /* JG_1 */
+  0x443, /* JG_1 */
 /* Table135 */
-  0x6a, /* ADD8mi */
-  0x765, /* OR8mi */
-  0x3b, /* ADC8mi */
-  0x9f5, /* SBB8mi */
-  0xc6, /* AND8mi */
-  0xaeb, /* SUB8mi */
-  0x1533, /* XOR8mi */
-  0x24a, /* CMP8mi */
-  0x6c, /* ADD8ri */
-  0x767, /* OR8ri */
-  0x3d, /* ADC8ri */
-  0x9f7, /* SBB8ri */
-  0xc8, /* AND8ri */
-  0xaed, /* SUB8ri */
-  0x1535, /* XOR8ri */
-  0x24c, /* CMP8ri */
+  0x6b, /* ADD8mi */
+  0x75e, /* OR8mi */
+  0x3c, /* ADC8mi */
+  0x9ee, /* SBB8mi */
+  0xc7, /* AND8mi */
+  0xaec, /* SUB8mi */
+  0x17d2, /* XOR8mi */
+  0x220, /* CMP8mi */
+  0x6d, /* ADD8ri */
+  0x760, /* OR8ri */
+  0x3e, /* ADC8ri */
+  0x9f0, /* SBB8ri */
+  0xc9, /* AND8ri */
+  0xaee, /* SUB8ri */
+  0x17d4, /* XOR8ri */
+  0x222, /* CMP8ri */
 /* Table151 */
-  0x52, /* ADD32mi */
-  0x752, /* OR32mi */
-  0x29, /* ADC32mi */
-  0x9e3, /* SBB32mi */
-  0xb4, /* AND32mi */
-  0xad9, /* SUB32mi */
-  0x1521, /* XOR32mi */
-  0x238, /* CMP32mi */
-  0x55, /* ADD32ri */
-  0x756, /* OR32ri */
-  0x2c, /* ADC32ri */
-  0x9e6, /* SBB32ri */
-  0xb7, /* AND32ri */
-  0xadc, /* SUB32ri */
-  0x1524, /* XOR32ri */
-  0x23b, /* CMP32ri */
+  0x53, /* ADD32mi */
+  0x74b, /* OR32mi */
+  0x2a, /* ADC32mi */
+  0x9dc, /* SBB32mi */
+  0xb5, /* AND32mi */
+  0xada, /* SUB32mi */
+  0x17c0, /* XOR32mi */
+  0x20e, /* CMP32mi */
+  0x56, /* ADD32ri */
+  0x74f, /* OR32ri */
+  0x2d, /* ADC32ri */
+  0x9df, /* SBB32ri */
+  0xb8, /* AND32ri */
+  0xadd, /* SUB32ri */
+  0x17c3, /* XOR32ri */
+  0x211, /* CMP32ri */
 /* Table167 */
   0x0, /*  */
   0x0, /*  */
@@ -43335,66 +47986,66 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6d, /* ADD8ri8 */
-  0x768, /* OR8ri8 */
+  0x6e, /* ADD8ri8 */
+  0x761, /* OR8ri8 */
   0x0, /*  */
   0x0, /*  */
-  0xc9, /* AND8ri8 */
-  0xaee, /* SUB8ri8 */
-  0x1536, /* XOR8ri8 */
+  0xca, /* AND8ri8 */
+  0xaef, /* SUB8ri8 */
+  0x17d5, /* XOR8ri8 */
   0x0, /*  */
 /* Table183 */
-  0x53, /* ADD32mi8 */
-  0x753, /* OR32mi8 */
-  0x2a, /* ADC32mi8 */
-  0x9e4, /* SBB32mi8 */
-  0xb5, /* AND32mi8 */
-  0xada, /* SUB32mi8 */
-  0x1522, /* XOR32mi8 */
-  0x239, /* CMP32mi8 */
-  0x56, /* ADD32ri8 */
-  0x757, /* OR32ri8 */
-  0x2d, /* ADC32ri8 */
-  0x9e7, /* SBB32ri8 */
-  0xb8, /* AND32ri8 */
-  0xadd, /* SUB32ri8 */
-  0x1525, /* XOR32ri8 */
-  0x23c, /* CMP32ri8 */
+  0x54, /* ADD32mi8 */
+  0x74c, /* OR32mi8 */
+  0x2b, /* ADC32mi8 */
+  0x9dd, /* SBB32mi8 */
+  0xb6, /* AND32mi8 */
+  0xadb, /* SUB32mi8 */
+  0x17c1, /* XOR32mi8 */
+  0x20f, /* CMP32mi8 */
+  0x57, /* ADD32ri8 */
+  0x750, /* OR32ri8 */
+  0x2e, /* ADC32ri8 */
+  0x9e0, /* SBB32ri8 */
+  0xb9, /* AND32ri8 */
+  0xade, /* SUB32ri8 */
+  0x17c4, /* XOR32ri8 */
+  0x212, /* CMP32ri8 */
 /* Table199 */
-  0xb57, /* TEST8rm */
-  0xb58, /* TEST8rr */
+  0xb58, /* TEST8rm */
+  0xb59, /* TEST8rr */
 /* Table201 */
-  0xb48, /* TEST32rm */
-  0xb49, /* TEST32rr */
+  0xb49, /* TEST32rm */
+  0xb4a, /* TEST32rr */
 /* Table203 */
-  0x150c, /* XCHG8rm */
-  0x150d, /* XCHG8rr */
+  0x17ab, /* XCHG8rm */
+  0x17ac, /* XCHG8rr */
 /* Table205 */
-  0x1507, /* XCHG32rm */
-  0x1508, /* XCHG32rr */
+  0x17a6, /* XCHG32rm */
+  0x17a7, /* XCHG32rr */
 /* Table207 */
-  0x66f, /* MOV8mr */
-  0x677, /* MOV8rr */
+  0x668, /* MOV8mr */
+  0x670, /* MOV8rr */
 /* Table209 */
-  0x642, /* MOV32mr */
-  0x64d, /* MOV32rr */
+  0x63b, /* MOV32mr */
+  0x646, /* MOV32rr */
 /* Table211 */
-  0x675, /* MOV8rm */
-  0x679, /* MOV8rr_REV */
+  0x66e, /* MOV8rm */
+  0x672, /* MOV8rr_REV */
 /* Table213 */
-  0x64c, /* MOV32rm */
-  0x64e, /* MOV32rr_REV */
+  0x645, /* MOV32rm */
+  0x647, /* MOV32rr_REV */
 /* Table215 */
-  0x643, /* MOV32ms */
-  0x64f, /* MOV32rs */
+  0x63c, /* MOV32ms */
+  0x648, /* MOV32rs */
 /* Table217 */
-  0x4cb, /* LEA32r */
+  0x4c3, /* LEA32r */
   0x0, /*  */
 /* Table219 */
-  0x650, /* MOV32sm */
-  0x651, /* MOV32sr */
+  0x649, /* MOV32sm */
+  0x64a, /* MOV32sr */
 /* Table221 */
-  0x864, /* POP32rmm */
+  0x85d, /* POP32rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43402,7 +48053,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x865, /* POP32rmr */
+  0x85e, /* POP32rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43411,107 +48062,107 @@
   0x0, /*  */
   0x0, /*  */
 /* Table237 */
-  0x721, /* NOOP */
+  0x71a, /* NOOP */
 /* Table238 */
-  0x1505, /* XCHG32ar */
+  0x17a4, /* XCHG32ar */
 /* Table239 */
-  0x2b5, /* CWDE */
+  0x28b, /* CWDE */
 /* Table240 */
-  0x18f, /* CDQ */
+  0x165, /* CDQ */
 /* Table241 */
-  0x317, /* FARCALL32i */
+  0x2ef, /* FARCALL32i */
 /* Table242 */
-  0x14ec, /* WAIT */
+  0x178b, /* WAIT */
 /* Table243 */
-  0x8ed, /* PUSHF32 */
+  0x8e6, /* PUSHF32 */
 /* Table244 */
-  0x876, /* POPF32 */
+  0x86f, /* POPF32 */
 /* Table245 */
-  0x9a3, /* SAHF */
+  0x99c, /* SAHF */
 /* Table246 */
-  0x4a7, /* LAHF */
+  0x49f, /* LAHF */
 /* Table247 */
-  0x671, /* MOV8o8a */
+  0x66a, /* MOV8o8a */
 /* Table248 */
-  0x644, /* MOV32o32a */
+  0x63d, /* MOV32o32a */
 /* Table249 */
-  0x66c, /* MOV8ao8 */
+  0x665, /* MOV8ao8 */
 /* Table250 */
-  0x63d, /* MOV32ao32 */
+  0x636, /* MOV32ao32 */
 /* Table251 */
-  0x6b1, /* MOVSB */
+  0x6aa, /* MOVSB */
 /* Table252 */
-  0x6ba, /* MOVSL */
+  0x6b3, /* MOVSL */
 /* Table253 */
-  0x25b, /* CMPS8 */
+  0x22e, /* CMPSB */
 /* Table254 */
-  0x259, /* CMPS32 */
+  0x233, /* CMPSL */
 /* Table255 */
-  0xb51, /* TEST8i8 */
+  0xb52, /* TEST8i8 */
 /* Table256 */
-  0xb43, /* TEST32i32 */
+  0xb44, /* TEST32i32 */
 /* Table257 */
-  0xab3, /* STOSB */
+  0xab4, /* STOSB */
 /* Table258 */
-  0xab4, /* STOSL */
+  0xab5, /* STOSL */
 /* Table259 */
-  0x523, /* LODSB */
+  0x51b, /* LODSB */
 /* Table260 */
-  0x524, /* LODSL */
+  0x51c, /* LODSL */
 /* Table261 */
-  0x9fe, /* SCAS8 */
+  0x9f4, /* SCASB */
 /* Table262 */
-  0x9fc, /* SCAS32 */
+  0x9f5, /* SCASL */
 /* Table263 */
-  0x673, /* MOV8ri */
+  0x66c, /* MOV8ri */
 /* Table264 */
-  0x649, /* MOV32ri */
+  0x642, /* MOV32ri */
 /* Table265 */
-  0x970, /* ROL8mi */
-  0x988, /* ROR8mi */
-  0x90f, /* RCL8mi */
-  0x92f, /* RCR8mi */
-  0xa4b, /* SHL8mi */
-  0xa73, /* SHR8mi */
-  0x9b8, /* SAL8mi */
-  0x9d1, /* SAR8mi */
-  0x973, /* ROL8ri */
-  0x98b, /* ROR8ri */
-  0x912, /* RCL8ri */
-  0x932, /* RCR8ri */
-  0xa4e, /* SHL8ri */
-  0xa76, /* SHR8ri */
-  0x9bb, /* SAL8ri */
-  0x9d4, /* SAR8ri */
+  0x969, /* ROL8mi */
+  0x981, /* ROR8mi */
+  0x908, /* RCL8mi */
+  0x928, /* RCR8mi */
+  0xa4c, /* SHL8mi */
+  0xa74, /* SHR8mi */
+  0x9b1, /* SAL8mi */
+  0x9ca, /* SAR8mi */
+  0x96c, /* ROL8ri */
+  0x984, /* ROR8ri */
+  0x90b, /* RCL8ri */
+  0x92b, /* RCR8ri */
+  0xa4f, /* SHL8ri */
+  0xa77, /* SHR8ri */
+  0x9b4, /* SAL8ri */
+  0x9cd, /* SAR8ri */
 /* Table281 */
-  0x964, /* ROL32mi */
-  0x97c, /* ROR32mi */
-  0x903, /* RCL32mi */
-  0x923, /* RCR32mi */
-  0xa3f, /* SHL32mi */
-  0xa67, /* SHR32mi */
-  0x9ac, /* SAL32mi */
-  0x9c5, /* SAR32mi */
-  0x967, /* ROL32ri */
-  0x97f, /* ROR32ri */
-  0x906, /* RCL32ri */
-  0x926, /* RCR32ri */
-  0xa42, /* SHL32ri */
-  0xa6a, /* SHR32ri */
-  0x9af, /* SAL32ri */
-  0x9c8, /* SAR32ri */
+  0x95d, /* ROL32mi */
+  0x975, /* ROR32mi */
+  0x8fc, /* RCL32mi */
+  0x91c, /* RCR32mi */
+  0xa40, /* SHL32mi */
+  0xa68, /* SHR32mi */
+  0x9a5, /* SAL32mi */
+  0x9be, /* SAR32mi */
+  0x960, /* ROL32ri */
+  0x978, /* ROR32ri */
+  0x8ff, /* RCL32ri */
+  0x91f, /* RCR32ri */
+  0xa43, /* SHL32ri */
+  0xa6b, /* SHR32ri */
+  0x9a8, /* SAL32ri */
+  0x9c1, /* SAR32ri */
 /* Table297 */
-  0x955, /* RETIL */
+  0x94e, /* RETIL */
 /* Table298 */
-  0x958, /* RETL */
+  0x951, /* RETL */
 /* Table299 */
-  0x4d1, /* LES32rm */
+  0x4c9, /* LES32rm */
   0x0, /*  */
 /* Table301 */
-  0x4b7, /* LDS32rm */
+  0x4af, /* LDS32rm */
   0x0, /*  */
 /* Table303 */
-  0x66e, /* MOV8mi */
+  0x667, /* MOV8mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43519,14 +48170,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
+  0x66d, /* MOV8ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43575,7 +48226,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x14f6, /* XABORT */
+  0x1795, /* XABORT */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43584,7 +48235,7 @@
   0x0, /*  */
   0x0, /*  */
 /* Table375 */
-  0x641, /* MOV32mi */
+  0x63a, /* MOV32mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43592,14 +48243,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
+  0x644, /* MOV32ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43648,7 +48299,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1501, /* XBEGIN_4 */
+  0x17a0, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43657,140 +48308,140 @@
   0x0, /*  */
   0x0, /*  */
 /* Table447 */
-  0x30e, /* ENTER */
+  0x2e6, /* ENTER */
 /* Table448 */
-  0x4ce, /* LEAVE */
+  0x4c6, /* LEAVE */
 /* Table449 */
-  0x52a, /* LRETIL */
+  0x522, /* LRETIL */
 /* Table450 */
-  0x52d, /* LRETL */
+  0x525, /* LRETL */
 /* Table451 */
-  0x3c5, /* INT3 */
+  0x39c, /* INT3 */
 /* Table452 */
-  0x3c3, /* INT */
+  0x39a, /* INT */
 /* Table453 */
-  0x3c6, /* INTO */
+  0x39d, /* INTO */
 /* Table454 */
-  0x3d2, /* IRET32 */
+  0x3a9, /* IRET32 */
 /* Table455 */
-  0x96e, /* ROL8m1 */
-  0x986, /* ROR8m1 */
-  0x90d, /* RCL8m1 */
-  0x92d, /* RCR8m1 */
-  0xa49, /* SHL8m1 */
-  0xa71, /* SHR8m1 */
-  0x9b6, /* SAL8m1 */
-  0x9cf, /* SAR8m1 */
-  0x971, /* ROL8r1 */
-  0x989, /* ROR8r1 */
-  0x910, /* RCL8r1 */
-  0x930, /* RCR8r1 */
-  0xa4c, /* SHL8r1 */
-  0xa74, /* SHR8r1 */
-  0x9b9, /* SAL8r1 */
-  0x9d2, /* SAR8r1 */
+  0x967, /* ROL8m1 */
+  0x97f, /* ROR8m1 */
+  0x906, /* RCL8m1 */
+  0x926, /* RCR8m1 */
+  0xa4a, /* SHL8m1 */
+  0xa72, /* SHR8m1 */
+  0x9af, /* SAL8m1 */
+  0x9c8, /* SAR8m1 */
+  0x96a, /* ROL8r1 */
+  0x982, /* ROR8r1 */
+  0x909, /* RCL8r1 */
+  0x929, /* RCR8r1 */
+  0xa4d, /* SHL8r1 */
+  0xa75, /* SHR8r1 */
+  0x9b2, /* SAL8r1 */
+  0x9cb, /* SAR8r1 */
 /* Table471 */
-  0x962, /* ROL32m1 */
-  0x97a, /* ROR32m1 */
-  0x901, /* RCL32m1 */
-  0x921, /* RCR32m1 */
-  0xa3d, /* SHL32m1 */
-  0xa65, /* SHR32m1 */
-  0x9aa, /* SAL32m1 */
-  0x9c3, /* SAR32m1 */
-  0x965, /* ROL32r1 */
-  0x97d, /* ROR32r1 */
-  0x904, /* RCL32r1 */
-  0x924, /* RCR32r1 */
-  0xa40, /* SHL32r1 */
-  0xa68, /* SHR32r1 */
-  0x9ad, /* SAL32r1 */
-  0x9c6, /* SAR32r1 */
+  0x95b, /* ROL32m1 */
+  0x973, /* ROR32m1 */
+  0x8fa, /* RCL32m1 */
+  0x91a, /* RCR32m1 */
+  0xa3e, /* SHL32m1 */
+  0xa66, /* SHR32m1 */
+  0x9a3, /* SAL32m1 */
+  0x9bc, /* SAR32m1 */
+  0x95e, /* ROL32r1 */
+  0x976, /* ROR32r1 */
+  0x8fd, /* RCL32r1 */
+  0x91d, /* RCR32r1 */
+  0xa41, /* SHL32r1 */
+  0xa69, /* SHR32r1 */
+  0x9a6, /* SAL32r1 */
+  0x9bf, /* SAR32r1 */
 /* Table487 */
-  0x96f, /* ROL8mCL */
-  0x987, /* ROR8mCL */
-  0x90e, /* RCL8mCL */
-  0x92e, /* RCR8mCL */
-  0xa4a, /* SHL8mCL */
-  0xa72, /* SHR8mCL */
-  0x9b7, /* SAL8mCL */
-  0x9d0, /* SAR8mCL */
-  0x972, /* ROL8rCL */
-  0x98a, /* ROR8rCL */
-  0x911, /* RCL8rCL */
-  0x931, /* RCR8rCL */
-  0xa4d, /* SHL8rCL */
-  0xa75, /* SHR8rCL */
-  0x9ba, /* SAL8rCL */
-  0x9d3, /* SAR8rCL */
+  0x968, /* ROL8mCL */
+  0x980, /* ROR8mCL */
+  0x907, /* RCL8mCL */
+  0x927, /* RCR8mCL */
+  0xa4b, /* SHL8mCL */
+  0xa73, /* SHR8mCL */
+  0x9b0, /* SAL8mCL */
+  0x9c9, /* SAR8mCL */
+  0x96b, /* ROL8rCL */
+  0x983, /* ROR8rCL */
+  0x90a, /* RCL8rCL */
+  0x92a, /* RCR8rCL */
+  0xa4e, /* SHL8rCL */
+  0xa76, /* SHR8rCL */
+  0x9b3, /* SAL8rCL */
+  0x9cc, /* SAR8rCL */
 /* Table503 */
-  0x963, /* ROL32mCL */
-  0x97b, /* ROR32mCL */
-  0x902, /* RCL32mCL */
-  0x922, /* RCR32mCL */
-  0xa3e, /* SHL32mCL */
-  0xa66, /* SHR32mCL */
-  0x9ab, /* SAL32mCL */
-  0x9c4, /* SAR32mCL */
-  0x966, /* ROL32rCL */
-  0x97e, /* ROR32rCL */
-  0x905, /* RCL32rCL */
-  0x925, /* RCR32rCL */
-  0xa41, /* SHL32rCL */
-  0xa69, /* SHR32rCL */
-  0x9ae, /* SAL32rCL */
-  0x9c7, /* SAR32rCL */
+  0x95c, /* ROL32mCL */
+  0x974, /* ROR32mCL */
+  0x8fb, /* RCL32mCL */
+  0x91b, /* RCR32mCL */
+  0xa3f, /* SHL32mCL */
+  0xa67, /* SHR32mCL */
+  0x9a4, /* SAL32mCL */
+  0x9bd, /* SAR32mCL */
+  0x95f, /* ROL32rCL */
+  0x977, /* ROR32rCL */
+  0x8fe, /* RCL32rCL */
+  0x91e, /* RCR32rCL */
+  0xa42, /* SHL32rCL */
+  0xa6a, /* SHR32rCL */
+  0x9a7, /* SAL32rCL */
+  0x9c0, /* SAR32rCL */
 /* Table519 */
-  0x15, /* AAM8i8 */
+  0x16, /* AAM8i8 */
 /* Table520 */
-  0x14, /* AAD8i8 */
+  0x15, /* AAD8i8 */
 /* Table521 */
-  0x9bc, /* SALC */
+  0x9b5, /* SALC */
 /* Table522 */
-  0x1516, /* XLAT */
+  0x17b5, /* XLAT */
 /* Table523 */
-  0x81, /* ADD_F32m */
-  0x703, /* MUL_F32m */
-  0x321, /* FCOM32m */
-  0x323, /* FCOMP32m */
-  0xb10, /* SUB_F32m */
-  0xaf6, /* SUBR_F32m */
-  0x2ed, /* DIV_F32m */
-  0x2d3, /* DIVR_F32m */
-  0x86, /* ADD_FST0r */
-  0x708, /* MUL_FST0r */
-  0x275, /* COM_FST0r */
-  0x272, /* COMP_FST0r */
-  0xb15, /* SUB_FST0r */
-  0xafb, /* SUBR_FST0r */
-  0x2f2, /* DIV_FST0r */
-  0x2d8, /* DIVR_FST0r */
+  0x82, /* ADD_F32m */
+  0x6fc, /* MUL_F32m */
+  0x2f9, /* FCOM32m */
+  0x2fb, /* FCOMP32m */
+  0xb11, /* SUB_F32m */
+  0xaf7, /* SUBR_F32m */
+  0x2c3, /* DIV_F32m */
+  0x2a9, /* DIVR_F32m */
+  0x87, /* ADD_FST0r */
+  0x701, /* MUL_FST0r */
+  0x24b, /* COM_FST0r */
+  0x248, /* COMP_FST0r */
+  0xb16, /* SUB_FST0r */
+  0xafc, /* SUBR_FST0r */
+  0x2c8, /* DIV_FST0r */
+  0x2ae, /* DIVR_FST0r */
 /* Table539 */
-  0x4ba, /* LD_F32m */
+  0x4b2, /* LD_F32m */
   0x0, /*  */
-  0xabb, /* ST_F32m */
-  0xabd, /* ST_FP32m */
-  0x32f, /* FLDENVm */
-  0x32e, /* FLDCW16m */
-  0x34e, /* FSTENVm */
-  0x338, /* FNSTCW16m */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x337, /* FNOP */
+  0xabc, /* ST_F32m */
+  0xabe, /* ST_FP32m */
+  0x307, /* FLDENVm */
+  0x306, /* FLDCW16m */
+  0x326, /* FSTENVm */
+  0x310, /* FNSTCW16m */
+  0x4c1, /* LD_Frr */
+  0x4c1, /* LD_Frr */
+  0x4c1, /* LD_Frr */
+  0x4c1, /* LD_Frr */
+  0x4c1, /* LD_Frr */
+  0x4c1, /* LD_Frr */
+  0x4c1, /* LD_Frr */
+  0x4c1, /* LD_Frr */
+  0x17ad, /* XCH_F */
+  0x17ad, /* XCH_F */
+  0x17ad, /* XCH_F */
+  0x17ad, /* XCH_F */
+  0x17ad, /* XCH_F */
+  0x17ad, /* XCH_F */
+  0x17ad, /* XCH_F */
+  0x17ad, /* XCH_F */
+  0x30f, /* FNOP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43798,7 +48449,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xac0, /* ST_FPNCE */
+  0xac1, /* ST_FPNCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43806,79 +48457,79 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x191, /* CHS_F */
-  0x17, /* ABS_F */
+  0x167, /* CHS_F */
+  0x18, /* ABS_F */
   0x0, /*  */
   0x0, /*  */
-  0xb60, /* TST_F */
-  0x350, /* FXAM */
+  0xb61, /* TST_F */
+  0x328, /* FXAM */
   0x0, /*  */
   0x0, /*  */
-  0x4b9, /* LD_F1 */
-  0x331, /* FLDL2T */
-  0x330, /* FLDL2E */
-  0x334, /* FLDPI */
-  0x332, /* FLDLG2 */
-  0x333, /* FLDLN2 */
-  0x4b8, /* LD_F0 */
+  0x4b1, /* LD_F1 */
+  0x309, /* FLDL2T */
+  0x308, /* FLDL2E */
+  0x30c, /* FLDPI */
+  0x30a, /* FLDLG2 */
+  0x30b, /* FLDLN2 */
+  0x4b0, /* LD_F0 */
   0x0, /*  */
-  0x314, /* F2XM1 */
-  0x356, /* FYL2X */
-  0x347, /* FPTAN */
-  0x344, /* FPATAN */
-  0x355, /* FXTRACT */
-  0x346, /* FPREM1 */
-  0x326, /* FDECSTP */
-  0x32d, /* FINCSTP */
-  0x345, /* FPREM */
-  0x357, /* FYL2XP1 */
-  0xaa8, /* SQRT_F */
-  0x34d, /* FSINCOS */
-  0x348, /* FRNDINT */
-  0x34b, /* FSCALE */
-  0xa8e, /* SIN_F */
-  0x276, /* COS_F */
+  0x2ec, /* F2XM1 */
+  0x32e, /* FYL2X */
+  0x31f, /* FPTAN */
+  0x31c, /* FPATAN */
+  0x32d, /* FXTRACT */
+  0x31e, /* FPREM1 */
+  0x2fe, /* FDECSTP */
+  0x305, /* FINCSTP */
+  0x31d, /* FPREM */
+  0x32f, /* FYL2XP1 */
+  0xaa9, /* SQRT_F */
+  0x325, /* FSINCOS */
+  0x320, /* FRNDINT */
+  0x323, /* FSCALE */
+  0xa8f, /* SIN_F */
+  0x24c, /* COS_F */
 /* Table611 */
-  0x84, /* ADD_FI32m */
-  0x706, /* MUL_FI32m */
-  0x32a, /* FICOM32m */
-  0x32c, /* FICOMP32m */
-  0xb13, /* SUB_FI32m */
-  0xaf9, /* SUBR_FI32m */
-  0x2f0, /* DIV_FI32m */
-  0x2d6, /* DIVR_FI32m */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
+  0x85, /* ADD_FI32m */
+  0x6ff, /* MUL_FI32m */
+  0x302, /* FICOM32m */
+  0x304, /* FICOMP32m */
+  0xb14, /* SUB_FI32m */
+  0xafa, /* SUBR_FI32m */
+  0x2c6, /* DIV_FI32m */
+  0x2ac, /* DIVR_FI32m */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43888,7 +48539,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb74, /* UCOM_FPPr */
+  0xb75, /* UCOM_FPPr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43912,70 +48563,70 @@
   0x0, /*  */
   0x0, /*  */
 /* Table683 */
-  0x383, /* ILD_F32m */
-  0x3d5, /* ISTT_FP32m */
-  0x3e1, /* IST_F32m */
-  0x3e3, /* IST_FP32m */
+  0x35a, /* ILD_F32m */
+  0x3ac, /* ISTT_FP32m */
+  0x3b8, /* IST_F32m */
+  0x3ba, /* IST_FP32m */
   0x0, /*  */
-  0x4bc, /* LD_F80m */
+  0x4b4, /* LD_F80m */
   0x0, /*  */
-  0xabf, /* ST_FP80m */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
+  0xac0, /* ST_FP80m */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
   0x0, /*  */
   0x0, /*  */
-  0x335, /* FNCLEX */
-  0x336, /* FNINIT */
-  0x34c, /* FSETPM */
+  0x30d, /* FNCLEX */
+  0x30e, /* FNINIT */
+  0x324, /* FSETPM */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
+  0xb74, /* UCOM_FIr */
+  0xb74, /* UCOM_FIr */
+  0xb74, /* UCOM_FIr */
+  0xb74, /* UCOM_FIr */
+  0xb74, /* UCOM_FIr */
+  0xb74, /* UCOM_FIr */
+  0xb74, /* UCOM_FIr */
+  0xb74, /* UCOM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43985,64 +48636,64 @@
   0x0, /*  */
   0x0, /*  */
 /* Table755 */
-  0x82, /* ADD_F64m */
-  0x704, /* MUL_F64m */
-  0x322, /* FCOM64m */
-  0x324, /* FCOMP64m */
-  0xb11, /* SUB_F64m */
-  0xaf7, /* SUBR_F64m */
-  0x2ee, /* DIV_F64m */
-  0x2d4, /* DIVR_F64m */
-  0x95, /* ADD_FrST0 */
-  0x717, /* MUL_FrST0 */
+  0x83, /* ADD_F64m */
+  0x6fd, /* MUL_F64m */
+  0x2fa, /* FCOM64m */
+  0x2fc, /* FCOMP64m */
+  0xb12, /* SUB_F64m */
+  0xaf8, /* SUBR_F64m */
+  0x2c4, /* DIV_F64m */
+  0x2aa, /* DIVR_F64m */
+  0x96, /* ADD_FrST0 */
+  0x710, /* MUL_FrST0 */
   0x0, /*  */
   0x0, /*  */
-  0xb07, /* SUBR_FrST0 */
-  0xb24, /* SUB_FrST0 */
-  0x2e4, /* DIVR_FrST0 */
-  0x301, /* DIV_FrST0 */
+  0xb08, /* SUBR_FrST0 */
+  0xb25, /* SUB_FrST0 */
+  0x2ba, /* DIVR_FrST0 */
+  0x2d7, /* DIV_FrST0 */
 /* Table771 */
-  0x4bb, /* LD_F64m */
-  0x3d6, /* ISTT_FP64m */
-  0xabc, /* ST_F64m */
-  0xabe, /* ST_FP64m */
-  0x349, /* FRSTORm */
+  0x4b3, /* LD_F64m */
+  0x3ad, /* ISTT_FP64m */
+  0xabd, /* ST_F64m */
+  0xabf, /* ST_FP64m */
+  0x321, /* FRSTORm */
   0x0, /*  */
-  0x34a, /* FSAVEm */
-  0x33a, /* FNSTSWm */
-  0x328, /* FFREE */
+  0x322, /* FSAVEm */
+  0x312, /* FNSTSWm */
+  0x300, /* FFREE */
   0x0, /*  */
-  0xace, /* ST_Frr */
-  0xac2, /* ST_FPrr */
-  0xb7c, /* UCOM_Fr */
-  0xb75, /* UCOM_FPr */
+  0xacf, /* ST_Frr */
+  0xac3, /* ST_FPrr */
+  0xb7d, /* UCOM_Fr */
+  0xb76, /* UCOM_FPr */
   0x0, /*  */
   0x0, /*  */
 /* Table787 */
-  0x83, /* ADD_FI16m */
-  0x705, /* MUL_FI16m */
-  0x329, /* FICOM16m */
-  0x32b, /* FICOMP16m */
-  0xb12, /* SUB_FI16m */
-  0xaf8, /* SUBR_FI16m */
-  0x2ef, /* DIV_FI16m */
-  0x2d5, /* DIVR_FI16m */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
+  0x84, /* ADD_FI16m */
+  0x6fe, /* MUL_FI16m */
+  0x301, /* FICOM16m */
+  0x303, /* FICOMP16m */
+  0xb13, /* SUB_FI16m */
+  0xaf9, /* SUBR_FI16m */
+  0x2c5, /* DIV_FI16m */
+  0x2ab, /* DIVR_FI16m */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x700, /* MUL_FPrST0 */
+  0x700, /* MUL_FPrST0 */
+  0x700, /* MUL_FPrST0 */
+  0x700, /* MUL_FPrST0 */
+  0x700, /* MUL_FPrST0 */
+  0x700, /* MUL_FPrST0 */
+  0x700, /* MUL_FPrST0 */
+  0x700, /* MUL_FPrST0 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44052,54 +48703,54 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x325, /* FCOMPP */
+  0x2fd, /* FCOMPP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xafb, /* SUBR_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0xb15, /* SUB_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2ad, /* DIVR_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
+  0x2c7, /* DIV_FPrST0 */
 /* Table859 */
-  0x382, /* ILD_F16m */
-  0x3d4, /* ISTT_FP16m */
-  0x3e0, /* IST_F16m */
-  0x3e2, /* IST_FP16m */
-  0x31f, /* FBLDm */
-  0x384, /* ILD_F64m */
-  0x320, /* FBSTPm */
-  0x3e4, /* IST_FP64m */
+  0x359, /* ILD_F16m */
+  0x3ab, /* ISTT_FP16m */
+  0x3b7, /* IST_F16m */
+  0x3b9, /* IST_FP16m */
+  0x2f7, /* FBLDm */
+  0x35b, /* ILD_F64m */
+  0x2f8, /* FBSTPm */
+  0x3bb, /* IST_FP64m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44131,8 +48782,8 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xac1, /* ST_FPr0r7 */
-  0x339, /* FNSTSW16r */
+  0xac2, /* ST_FPr0r7 */
+  0x311, /* FNSTSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44140,22 +48791,22 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0xb73, /* UCOM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44165,106 +48816,106 @@
   0x0, /*  */
   0x0, /*  */
 /* Table931 */
-  0x529, /* LOOPNE */
+  0x521, /* LOOPNE */
 /* Table932 */
-  0x528, /* LOOPE */
+  0x520, /* LOOPE */
 /* Table933 */
-  0x527, /* LOOP */
+  0x51f, /* LOOP */
 /* Table934 */
-  0x464, /* JECXZ_32 */
+  0x43b, /* JECXZ_32 */
 /* Table935 */
-  0x3af, /* IN8ri */
+  0x383, /* IN8ri */
 /* Table936 */
-  0x3ac, /* IN32ri */
+  0x381, /* IN32ri */
 /* Table937 */
-  0x774, /* OUT8ir */
+  0x76d, /* OUT8ir */
 /* Table938 */
-  0x772, /* OUT32ir */
+  0x76b, /* OUT32ir */
 /* Table939 */
-  0x18d, /* CALLpcrel32 */
+  0x163, /* CALLpcrel32 */
 /* Table940 */
-  0x47d, /* JMP_4 */
+  0x454, /* JMP_4 */
 /* Table941 */
-  0x31c, /* FARJMP32i */
+  0x2f4, /* FARJMP32i */
 /* Table942 */
-  0x47b, /* JMP_1 */
+  0x452, /* JMP_1 */
 /* Table943 */
-  0x3b0, /* IN8rr */
+  0x384, /* IN8rr */
 /* Table944 */
-  0x3ad, /* IN32rr */
+  0x382, /* IN32rr */
 /* Table945 */
-  0x775, /* OUT8rr */
+  0x76e, /* OUT8rr */
 /* Table946 */
-  0x773, /* OUT32rr */
+  0x76c, /* OUT32rr */
 /* Table947 */
-  0x50c, /* LOCK_PREFIX */
+  0x504, /* LOCK_PREFIX */
 /* Table948 */
-  0x3c4, /* INT1 */
+  0x39b, /* INT1 */
 /* Table949 */
-  0x945, /* REPNE_PREFIX */
+  0x93e, /* REPNE_PREFIX */
 /* Table950 */
-  0x94d, /* REP_PREFIX */
+  0x946, /* REP_PREFIX */
 /* Table951 */
-  0x375, /* HLT */
+  0x34c, /* HLT */
 /* Table952 */
-  0x19c, /* CMC */
+  0x172, /* CMC */
 /* Table953 */
-  0xb52, /* TEST8mi */
-  0xb53, /* TEST8mi_alt */
-  0x746, /* NOT8m */
-  0x71f, /* NEG8m */
-  0x6f1, /* MUL8m */
-  0x3a6, /* IMUL8m */
-  0x2cd, /* DIV8m */
-  0x380, /* IDIV8m */
-  0xb54, /* TEST8ri */
-  0xb56, /* TEST8ri_alt */
-  0x747, /* NOT8r */
-  0x720, /* NEG8r */
-  0x6f2, /* MUL8r */
-  0x3a7, /* IMUL8r */
-  0x2ce, /* DIV8r */
-  0x381, /* IDIV8r */
+  0xb53, /* TEST8mi */
+  0xb54, /* TEST8mi_alt */
+  0x73f, /* NOT8m */
+  0x718, /* NEG8m */
+  0x6ea, /* MUL8m */
+  0x37d, /* IMUL8m */
+  0x2a3, /* DIV8m */
+  0x357, /* IDIV8m */
+  0xb55, /* TEST8ri */
+  0xb57, /* TEST8ri_alt */
+  0x740, /* NOT8r */
+  0x719, /* NEG8r */
+  0x6eb, /* MUL8r */
+  0x37e, /* IMUL8r */
+  0x2a4, /* DIV8r */
+  0x358, /* IDIV8r */
 /* Table969 */
-  0xb44, /* TEST32mi */
-  0xb45, /* TEST32mi_alt */
-  0x742, /* NOT32m */
-  0x71b, /* NEG32m */
-  0x6ed, /* MUL32m */
-  0x396, /* IMUL32m */
-  0x2c9, /* DIV32m */
-  0x37c, /* IDIV32m */
-  0xb46, /* TEST32ri */
-  0xb47, /* TEST32ri_alt */
-  0x743, /* NOT32r */
-  0x71c, /* NEG32r */
-  0x6ee, /* MUL32r */
-  0x397, /* IMUL32r */
-  0x2ca, /* DIV32r */
-  0x37d, /* IDIV32r */
+  0xb45, /* TEST32mi */
+  0xb46, /* TEST32mi_alt */
+  0x73b, /* NOT32m */
+  0x714, /* NEG32m */
+  0x6e6, /* MUL32m */
+  0x36d, /* IMUL32m */
+  0x29f, /* DIV32m */
+  0x353, /* IDIV32m */
+  0xb47, /* TEST32ri */
+  0xb48, /* TEST32ri_alt */
+  0x73c, /* NOT32r */
+  0x715, /* NEG32r */
+  0x6e7, /* MUL32r */
+  0x36e, /* IMUL32r */
+  0x2a0, /* DIV32r */
+  0x354, /* IDIV32r */
 /* Table985 */
-  0x196, /* CLC */
+  0x16c, /* CLC */
 /* Table986 */
-  0xaae, /* STC */
+  0xaaf, /* STC */
 /* Table987 */
-  0x19a, /* CLI */
+  0x170, /* CLI */
 /* Table988 */
-  0xab1, /* STI */
+  0xab2, /* STI */
 /* Table989 */
-  0x197, /* CLD */
+  0x16d, /* CLD */
 /* Table990 */
-  0xaaf, /* STD */
+  0xab0, /* STD */
 /* Table991 */
-  0x3bd, /* INC8m */
-  0x2c5, /* DEC8m */
+  0x391, /* INC8m */
+  0x29b, /* DEC8m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3be, /* INC8r */
-  0x2c6, /* DEC8r */
+  0x392, /* INC8r */
+  0x29c, /* DEC8r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44272,37 +48923,37 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1007 */
-  0x3b5, /* INC32m */
-  0x2bd, /* DEC32m */
-  0x187, /* CALL32m */
-  0x318, /* FARCALL32m */
-  0x477, /* JMP32m */
-  0x31d, /* FARJMP32m */
-  0x8dc, /* PUSH32rmm */
+  0x389, /* INC32m */
+  0x293, /* DEC32m */
+  0x15d, /* CALL32m */
+  0x2f0, /* FARCALL32m */
+  0x44e, /* JMP32m */
+  0x2f5, /* FARJMP32m */
+  0x8d5, /* PUSH32rmm */
   0x0, /*  */
-  0x3b4, /* INC32_32r */
-  0x2bc, /* DEC32_32r */
-  0x188, /* CALL32r */
+  0x388, /* INC32_32r */
+  0x292, /* DEC32_32r */
+  0x15e, /* CALL32r */
   0x0, /*  */
-  0x478, /* JMP32r */
+  0x44f, /* JMP32r */
   0x0, /*  */
-  0x8dd, /* PUSH32rmr */
+  0x8d6, /* PUSH32rmr */
   0x0, /*  */
 /* Table1023 */
-  0x95b, /* REX64_PREFIX */
+  0x954, /* REX64_PREFIX */
 /* Table1024 */
-  0x8e1, /* PUSH64r */
+  0x8da, /* PUSH64r */
 /* Table1025 */
-  0x866, /* POP64r */
+  0x85f, /* POP64r */
 /* Table1026 */
-  0x8df, /* PUSH64i32 */
+  0x8d8, /* PUSH64i32 */
 /* Table1027 */
-  0x8e0, /* PUSH64i8 */
+  0x8d9, /* PUSH64i8 */
 /* Table1028 */
-  0x4cc, /* LEA64_32r */
+  0x4c4, /* LEA64_32r */
   0x0, /*  */
 /* Table1030 */
-  0x867, /* POP64rmm */
+  0x860, /* POP64rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44310,7 +48961,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x868, /* POP64rmr */
+  0x861, /* POP64rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44319,210 +48970,210 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1046 */
-  0x1506, /* XCHG32ar64 */
+  0x17a5, /* XCHG32ar64 */
 /* Table1047 */
-  0x8ee, /* PUSHF64 */
+  0x8e7, /* PUSHF64 */
 /* Table1048 */
-  0x877, /* POPF64 */
+  0x870, /* POPF64 */
 /* Table1049 */
-  0x65e, /* MOV64o8a */
+  0x657, /* MOV64o8a */
 /* Table1050 */
-  0x65c, /* MOV64o32a */
+  0x655, /* MOV64o32a */
 /* Table1051 */
-  0x655, /* MOV64ao8 */
+  0x64e, /* MOV64ao8 */
 /* Table1052 */
-  0x653, /* MOV64ao32 */
+  0x64c, /* MOV64ao32 */
 /* Table1053 */
-  0x956, /* RETIQ */
+  0x94f, /* RETIQ */
 /* Table1054 */
-  0x959, /* RETQ */
+  0x952, /* RETQ */
 /* Table1055 */
-  0x4cf, /* LEAVE64 */
+  0x4c7, /* LEAVE64 */
 /* Table1056 */
-  0x490, /* JRCXZ */
+  0x467, /* JRCXZ */
 /* Table1057 */
-  0x18a, /* CALL64pcrel32 */
+  0x160, /* CALL64pcrel32 */
 /* Table1058 */
-  0x3b9, /* INC64_32m */
-  0x2c1, /* DEC64_32m */
-  0x189, /* CALL64m */
-  0x318, /* FARCALL32m */
-  0x479, /* JMP64m */
-  0x31d, /* FARJMP32m */
-  0x8e2, /* PUSH64rmm */
+  0x38d, /* INC64_32m */
+  0x297, /* DEC64_32m */
+  0x15f, /* CALL64m */
+  0x2f0, /* FARCALL32m */
+  0x450, /* JMP64m */
+  0x2f5, /* FARJMP32m */
+  0x8db, /* PUSH64rmm */
   0x0, /*  */
-  0x3ba, /* INC64_32r */
-  0x2c2, /* DEC64_32r */
-  0x18b, /* CALL64r */
+  0x38e, /* INC64_32r */
+  0x298, /* DEC64_32r */
+  0x161, /* CALL64r */
   0x0, /*  */
-  0x47a, /* JMP64r */
+  0x451, /* JMP64r */
   0x0, /*  */
-  0x8e3, /* PUSH64rmr */
+  0x8dc, /* PUSH64rmr */
   0x0, /*  */
 /* Table1074 */
-  0x48, /* ADD16mr */
-  0x4e, /* ADD16rr */
+  0x49, /* ADD16mr */
+  0x4f, /* ADD16rr */
 /* Table1076 */
-  0x4d, /* ADD16rm */
-  0x50, /* ADD16rr_REV */
+  0x4e, /* ADD16rm */
+  0x51, /* ADD16rr_REV */
 /* Table1078 */
-  0x45, /* ADD16i16 */
+  0x46, /* ADD16i16 */
 /* Table1079 */
-  0x8ea, /* PUSHES16 */
+  0x8e3, /* PUSHES16 */
 /* Table1080 */
-  0x873, /* POPES16 */
+  0x86c, /* POPES16 */
 /* Table1081 */
-  0x74b, /* OR16mr */
-  0x74f, /* OR16rr */
+  0x744, /* OR16mr */
+  0x748, /* OR16rr */
 /* Table1083 */
-  0x74e, /* OR16rm */
-  0x750, /* OR16rr_REV */
+  0x747, /* OR16rm */
+  0x749, /* OR16rr_REV */
 /* Table1085 */
-  0x748, /* OR16i16 */
+  0x741, /* OR16i16 */
 /* Table1086 */
-  0x8e6, /* PUSHCS16 */
+  0x8df, /* PUSHCS16 */
 /* Table1087 */
-  0x22, /* ADC16mr */
-  0x26, /* ADC16rr */
+  0x23, /* ADC16mr */
+  0x27, /* ADC16rr */
 /* Table1089 */
-  0x25, /* ADC16rm */
-  0x27, /* ADC16rr_REV */
+  0x26, /* ADC16rm */
+  0x28, /* ADC16rr_REV */
 /* Table1091 */
-  0x1f, /* ADC16i16 */
+  0x20, /* ADC16i16 */
 /* Table1092 */
-  0x8f5, /* PUSHSS16 */
+  0x8ee, /* PUSHSS16 */
 /* Table1093 */
-  0x87e, /* POPSS16 */
+  0x877, /* POPSS16 */
 /* Table1094 */
-  0x9dc, /* SBB16mr */
-  0x9e0, /* SBB16rr */
+  0x9d5, /* SBB16mr */
+  0x9d9, /* SBB16rr */
 /* Table1096 */
-  0x9df, /* SBB16rm */
-  0x9e1, /* SBB16rr_REV */
+  0x9d8, /* SBB16rm */
+  0x9da, /* SBB16rr_REV */
 /* Table1098 */
-  0x9d9, /* SBB16i16 */
+  0x9d2, /* SBB16i16 */
 /* Table1099 */
-  0x8e8, /* PUSHDS16 */
+  0x8e1, /* PUSHDS16 */
 /* Table1100 */
-  0x871, /* POPDS16 */
+  0x86a, /* POPDS16 */
 /* Table1101 */
-  0xad, /* AND16mr */
-  0xb1, /* AND16rr */
+  0xae, /* AND16mr */
+  0xb2, /* AND16rr */
 /* Table1103 */
-  0xb0, /* AND16rm */
-  0xb2, /* AND16rr_REV */
+  0xb1, /* AND16rm */
+  0xb3, /* AND16rr_REV */
 /* Table1105 */
-  0xaa, /* AND16i16 */
+  0xab, /* AND16i16 */
 /* Table1106 */
-  0xad2, /* SUB16mr */
-  0xad6, /* SUB16rr */
+  0xad3, /* SUB16mr */
+  0xad7, /* SUB16rr */
 /* Table1108 */
-  0xad5, /* SUB16rm */
-  0xad7, /* SUB16rr_REV */
+  0xad6, /* SUB16rm */
+  0xad8, /* SUB16rr_REV */
 /* Table1110 */
-  0xacf, /* SUB16i16 */
+  0xad0, /* SUB16i16 */
 /* Table1111 */
-  0x151a, /* XOR16mr */
-  0x151e, /* XOR16rr */
+  0x17b9, /* XOR16mr */
+  0x17bd, /* XOR16rr */
 /* Table1113 */
-  0x151d, /* XOR16rm */
-  0x151f, /* XOR16rr_REV */
+  0x17bc, /* XOR16rm */
+  0x17be, /* XOR16rr_REV */
 /* Table1115 */
-  0x1517, /* XOR16i16 */
+  0x17b6, /* XOR16i16 */
 /* Table1116 */
-  0x231, /* CMP16mr */
-  0x235, /* CMP16rr */
+  0x207, /* CMP16mr */
+  0x20b, /* CMP16rr */
 /* Table1118 */
-  0x234, /* CMP16rm */
-  0x236, /* CMP16rr_REV */
+  0x20a, /* CMP16rm */
+  0x20c, /* CMP16rr_REV */
 /* Table1120 */
-  0x22e, /* CMP16i16 */
+  0x204, /* CMP16i16 */
 /* Table1121 */
-  0x3b2, /* INC16r */
+  0x386, /* INC16r */
 /* Table1122 */
-  0x2ba, /* DEC16r */
+  0x290, /* DEC16r */
 /* Table1123 */
-  0x8d7, /* PUSH16r */
+  0x8d0, /* PUSH16r */
 /* Table1124 */
-  0x860, /* POP16r */
+  0x859, /* POP16r */
 /* Table1125 */
-  0x8e4, /* PUSHA16 */
+  0x8dd, /* PUSHA16 */
 /* Table1126 */
-  0x869, /* POPA16 */
+  0x862, /* POPA16 */
 /* Table1127 */
-  0x141, /* BOUNDS16rm */
+  0x117, /* BOUNDS16rm */
   0x0, /*  */
 /* Table1129 */
-  0x8f7, /* PUSHi16 */
+  0x8f0, /* PUSHi16 */
 /* Table1130 */
-  0x391, /* IMUL16rmi */
-  0x394, /* IMUL16rri */
+  0x368, /* IMUL16rmi */
+  0x36b, /* IMUL16rri */
 /* Table1132 */
-  0x8d6, /* PUSH16i8 */
+  0x8cf, /* PUSH16i8 */
 /* Table1133 */
-  0x392, /* IMUL16rmi8 */
-  0x395, /* IMUL16rri8 */
+  0x369, /* IMUL16rmi8 */
+  0x36c, /* IMUL16rri8 */
 /* Table1135 */
-  0x3a8, /* IN16 */
+  0x399, /* INSW */
 /* Table1136 */
-  0x778, /* OUTSW */
+  0x771, /* OUTSW */
 /* Table1137 */
-  0x46, /* ADD16mi */
-  0x749, /* OR16mi */
-  0x20, /* ADC16mi */
-  0x9da, /* SBB16mi */
-  0xab, /* AND16mi */
-  0xad0, /* SUB16mi */
-  0x1518, /* XOR16mi */
-  0x22f, /* CMP16mi */
-  0x49, /* ADD16ri */
-  0x74c, /* OR16ri */
-  0x23, /* ADC16ri */
-  0x9dd, /* SBB16ri */
-  0xae, /* AND16ri */
-  0xad3, /* SUB16ri */
-  0x151b, /* XOR16ri */
-  0x232, /* CMP16ri */
+  0x47, /* ADD16mi */
+  0x742, /* OR16mi */
+  0x21, /* ADC16mi */
+  0x9d3, /* SBB16mi */
+  0xac, /* AND16mi */
+  0xad1, /* SUB16mi */
+  0x17b7, /* XOR16mi */
+  0x205, /* CMP16mi */
+  0x4a, /* ADD16ri */
+  0x745, /* OR16ri */
+  0x24, /* ADC16ri */
+  0x9d6, /* SBB16ri */
+  0xaf, /* AND16ri */
+  0xad4, /* SUB16ri */
+  0x17ba, /* XOR16ri */
+  0x208, /* CMP16ri */
 /* Table1153 */
-  0x47, /* ADD16mi8 */
-  0x74a, /* OR16mi8 */
-  0x21, /* ADC16mi8 */
-  0x9db, /* SBB16mi8 */
-  0xac, /* AND16mi8 */
-  0xad1, /* SUB16mi8 */
-  0x1519, /* XOR16mi8 */
-  0x230, /* CMP16mi8 */
-  0x4a, /* ADD16ri8 */
-  0x74d, /* OR16ri8 */
-  0x24, /* ADC16ri8 */
-  0x9de, /* SBB16ri8 */
-  0xaf, /* AND16ri8 */
-  0xad4, /* SUB16ri8 */
-  0x151c, /* XOR16ri8 */
-  0x233, /* CMP16ri8 */
+  0x48, /* ADD16mi8 */
+  0x743, /* OR16mi8 */
+  0x22, /* ADC16mi8 */
+  0x9d4, /* SBB16mi8 */
+  0xad, /* AND16mi8 */
+  0xad2, /* SUB16mi8 */
+  0x17b8, /* XOR16mi8 */
+  0x206, /* CMP16mi8 */
+  0x4b, /* ADD16ri8 */
+  0x746, /* OR16ri8 */
+  0x25, /* ADC16ri8 */
+  0x9d7, /* SBB16ri8 */
+  0xb0, /* AND16ri8 */
+  0xad5, /* SUB16ri8 */
+  0x17bb, /* XOR16ri8 */
+  0x209, /* CMP16ri8 */
 /* Table1169 */
-  0xb41, /* TEST16rm */
-  0xb42, /* TEST16rr */
+  0xb42, /* TEST16rm */
+  0xb43, /* TEST16rr */
 /* Table1171 */
-  0x1503, /* XCHG16rm */
-  0x1504, /* XCHG16rr */
+  0x17a2, /* XCHG16rm */
+  0x17a3, /* XCHG16rr */
 /* Table1173 */
-  0x631, /* MOV16mr */
-  0x638, /* MOV16rr */
+  0x62a, /* MOV16mr */
+  0x631, /* MOV16rr */
 /* Table1175 */
-  0x637, /* MOV16rm */
-  0x639, /* MOV16rr_REV */
+  0x630, /* MOV16rm */
+  0x632, /* MOV16rr_REV */
 /* Table1177 */
-  0x632, /* MOV16ms */
-  0x63a, /* MOV16rs */
+  0x62b, /* MOV16ms */
+  0x633, /* MOV16rs */
 /* Table1179 */
-  0x4ca, /* LEA16r */
+  0x4c2, /* LEA16r */
   0x0, /*  */
 /* Table1181 */
-  0x63b, /* MOV16sm */
-  0x63c, /* MOV16sr */
+  0x634, /* MOV16sm */
+  0x635, /* MOV16sr */
 /* Table1183 */
-  0x861, /* POP16rmm */
+  0x85a, /* POP16rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44530,7 +49181,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x862, /* POP16rmr */
+  0x85b, /* POP16rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44539,64 +49190,64 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1199 */
-  0x1502, /* XCHG16ar */
+  0x17a1, /* XCHG16ar */
 /* Table1200 */
-  0x18e, /* CBW */
+  0x164, /* CBW */
 /* Table1201 */
-  0x2b4, /* CWD */
+  0x28a, /* CWD */
 /* Table1202 */
-  0x315, /* FARCALL16i */
+  0x2ed, /* FARCALL16i */
 /* Table1203 */
-  0x8ec, /* PUSHF16 */
+  0x8e5, /* PUSHF16 */
 /* Table1204 */
-  0x875, /* POPF16 */
+  0x86e, /* POPF16 */
 /* Table1205 */
-  0x633, /* MOV16o16a */
+  0x62c, /* MOV16o16a */
 /* Table1206 */
-  0x62e, /* MOV16ao16 */
+  0x627, /* MOV16ao16 */
 /* Table1207 */
-  0x6c4, /* MOVSW */
+  0x6bd, /* MOVSW */
 /* Table1208 */
-  0x258, /* CMPS16 */
+  0x239, /* CMPSW */
 /* Table1209 */
-  0xb3c, /* TEST16i16 */
+  0xb3d, /* TEST16i16 */
 /* Table1210 */
-  0xab6, /* STOSW */
+  0xab7, /* STOSW */
 /* Table1211 */
-  0x526, /* LODSW */
+  0x51e, /* LODSW */
 /* Table1212 */
-  0x9fb, /* SCAS16 */
+  0x9f7, /* SCASW */
 /* Table1213 */
-  0x635, /* MOV16ri */
+  0x62e, /* MOV16ri */
 /* Table1214 */
-  0x95e, /* ROL16mi */
-  0x976, /* ROR16mi */
-  0x8fd, /* RCL16mi */
-  0x91d, /* RCR16mi */
-  0xa39, /* SHL16mi */
-  0xa61, /* SHR16mi */
-  0x9a6, /* SAL16mi */
-  0x9bf, /* SAR16mi */
-  0x961, /* ROL16ri */
-  0x979, /* ROR16ri */
-  0x900, /* RCL16ri */
-  0x920, /* RCR16ri */
-  0xa3c, /* SHL16ri */
-  0xa64, /* SHR16ri */
-  0x9a9, /* SAL16ri */
-  0x9c2, /* SAR16ri */
+  0x957, /* ROL16mi */
+  0x96f, /* ROR16mi */
+  0x8f6, /* RCL16mi */
+  0x916, /* RCR16mi */
+  0xa3a, /* SHL16mi */
+  0xa62, /* SHR16mi */
+  0x99f, /* SAL16mi */
+  0x9b8, /* SAR16mi */
+  0x95a, /* ROL16ri */
+  0x972, /* ROR16ri */
+  0x8f9, /* RCL16ri */
+  0x919, /* RCR16ri */
+  0xa3d, /* SHL16ri */
+  0xa65, /* SHR16ri */
+  0x9a2, /* SAL16ri */
+  0x9bb, /* SAR16ri */
 /* Table1230 */
-  0x957, /* RETIW */
+  0x950, /* RETIW */
 /* Table1231 */
-  0x95a, /* RETW */
+  0x953, /* RETW */
 /* Table1232 */
-  0x4d0, /* LES16rm */
+  0x4c8, /* LES16rm */
   0x0, /*  */
 /* Table1234 */
-  0x4b6, /* LDS16rm */
+  0x4ae, /* LDS16rm */
   0x0, /*  */
 /* Table1236 */
-  0x630, /* MOV16mi */
+  0x629, /* MOV16mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44604,14 +49255,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
+  0x62f, /* MOV16ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44660,7 +49311,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1501, /* XBEGIN_4 */
+  0x17a0, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44669,268 +49320,268 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1308 */
-  0x52c, /* LRETIW */
+  0x524, /* LRETIW */
 /* Table1309 */
-  0x52f, /* LRETW */
+  0x527, /* LRETW */
 /* Table1310 */
-  0x3d1, /* IRET16 */
+  0x3a8, /* IRET16 */
 /* Table1311 */
-  0x95c, /* ROL16m1 */
-  0x974, /* ROR16m1 */
-  0x8fb, /* RCL16m1 */
-  0x91b, /* RCR16m1 */
-  0xa37, /* SHL16m1 */
-  0xa5f, /* SHR16m1 */
-  0x9a4, /* SAL16m1 */
-  0x9bd, /* SAR16m1 */
-  0x95f, /* ROL16r1 */
-  0x977, /* ROR16r1 */
-  0x8fe, /* RCL16r1 */
-  0x91e, /* RCR16r1 */
-  0xa3a, /* SHL16r1 */
-  0xa62, /* SHR16r1 */
-  0x9a7, /* SAL16r1 */
-  0x9c0, /* SAR16r1 */
+  0x955, /* ROL16m1 */
+  0x96d, /* ROR16m1 */
+  0x8f4, /* RCL16m1 */
+  0x914, /* RCR16m1 */
+  0xa38, /* SHL16m1 */
+  0xa60, /* SHR16m1 */
+  0x99d, /* SAL16m1 */
+  0x9b6, /* SAR16m1 */
+  0x958, /* ROL16r1 */
+  0x970, /* ROR16r1 */
+  0x8f7, /* RCL16r1 */
+  0x917, /* RCR16r1 */
+  0xa3b, /* SHL16r1 */
+  0xa63, /* SHR16r1 */
+  0x9a0, /* SAL16r1 */
+  0x9b9, /* SAR16r1 */
 /* Table1327 */
-  0x95d, /* ROL16mCL */
-  0x975, /* ROR16mCL */
-  0x8fc, /* RCL16mCL */
-  0x91c, /* RCR16mCL */
-  0xa38, /* SHL16mCL */
-  0xa60, /* SHR16mCL */
-  0x9a5, /* SAL16mCL */
-  0x9be, /* SAR16mCL */
-  0x960, /* ROL16rCL */
-  0x978, /* ROR16rCL */
-  0x8ff, /* RCL16rCL */
-  0x91f, /* RCR16rCL */
-  0xa3b, /* SHL16rCL */
-  0xa63, /* SHR16rCL */
-  0x9a8, /* SAL16rCL */
-  0x9c1, /* SAR16rCL */
+  0x956, /* ROL16mCL */
+  0x96e, /* ROR16mCL */
+  0x8f5, /* RCL16mCL */
+  0x915, /* RCR16mCL */
+  0xa39, /* SHL16mCL */
+  0xa61, /* SHR16mCL */
+  0x99e, /* SAL16mCL */
+  0x9b7, /* SAR16mCL */
+  0x959, /* ROL16rCL */
+  0x971, /* ROR16rCL */
+  0x8f8, /* RCL16rCL */
+  0x918, /* RCR16rCL */
+  0xa3c, /* SHL16rCL */
+  0xa64, /* SHR16rCL */
+  0x9a1, /* SAL16rCL */
+  0x9ba, /* SAR16rCL */
 /* Table1343 */
-  0x3a9, /* IN16ri */
+  0x37f, /* IN16ri */
 /* Table1344 */
-  0x770, /* OUT16ir */
+  0x769, /* OUT16ir */
 /* Table1345 */
-  0x18c, /* CALLpcrel16 */
+  0x162, /* CALLpcrel16 */
 /* Table1346 */
-  0x47c, /* JMP_2 */
+  0x453, /* JMP_2 */
 /* Table1347 */
-  0x31a, /* FARJMP16i */
+  0x2f2, /* FARJMP16i */
 /* Table1348 */
-  0x3aa, /* IN16rr */
+  0x380, /* IN16rr */
 /* Table1349 */
-  0x771, /* OUT16rr */
+  0x76a, /* OUT16rr */
 /* Table1350 */
-  0xb3d, /* TEST16mi */
-  0xb3e, /* TEST16mi_alt */
-  0x740, /* NOT16m */
-  0x719, /* NEG16m */
-  0x6eb, /* MUL16m */
-  0x38e, /* IMUL16m */
-  0x2c7, /* DIV16m */
-  0x37a, /* IDIV16m */
-  0xb3f, /* TEST16ri */
-  0xb40, /* TEST16ri_alt */
-  0x741, /* NOT16r */
-  0x71a, /* NEG16r */
-  0x6ec, /* MUL16r */
-  0x38f, /* IMUL16r */
-  0x2c8, /* DIV16r */
-  0x37b, /* IDIV16r */
+  0xb3e, /* TEST16mi */
+  0xb3f, /* TEST16mi_alt */
+  0x739, /* NOT16m */
+  0x712, /* NEG16m */
+  0x6e4, /* MUL16m */
+  0x365, /* IMUL16m */
+  0x29d, /* DIV16m */
+  0x351, /* IDIV16m */
+  0xb40, /* TEST16ri */
+  0xb41, /* TEST16ri_alt */
+  0x73a, /* NOT16r */
+  0x713, /* NEG16r */
+  0x6e5, /* MUL16r */
+  0x366, /* IMUL16r */
+  0x29e, /* DIV16r */
+  0x352, /* IDIV16r */
 /* Table1366 */
-  0x3b1, /* INC16m */
-  0x2b9, /* DEC16m */
-  0x185, /* CALL16m */
-  0x316, /* FARCALL16m */
-  0x475, /* JMP16m */
-  0x31b, /* FARJMP16m */
-  0x8d8, /* PUSH16rmm */
+  0x385, /* INC16m */
+  0x28f, /* DEC16m */
+  0x15b, /* CALL16m */
+  0x2ee, /* FARCALL16m */
+  0x44c, /* JMP16m */
+  0x2f3, /* FARJMP16m */
+  0x8d1, /* PUSH16rmm */
   0x0, /*  */
-  0x3b3, /* INC32_16r */
-  0x2bb, /* DEC32_16r */
-  0x186, /* CALL16r */
+  0x387, /* INC32_16r */
+  0x291, /* DEC32_16r */
+  0x15c, /* CALL16r */
   0x0, /*  */
-  0x476, /* JMP16r */
+  0x44d, /* JMP16r */
   0x0, /*  */
-  0x8d9, /* PUSH16rmr */
+  0x8d2, /* PUSH16rmr */
   0x0, /*  */
 /* Table1382 */
-  0x463, /* JCXZ */
+  0x43a, /* JCXZ */
 /* Table1383 */
-  0x79d, /* PAUSE */
+  0x796, /* PAUSE */
 /* Table1384 */
-  0x60, /* ADD64mr */
-  0x66, /* ADD64rr */
+  0x61, /* ADD64mr */
+  0x67, /* ADD64rr */
 /* Table1386 */
-  0x65, /* ADD64rm */
-  0x68, /* ADD64rr_REV */
+  0x66, /* ADD64rm */
+  0x69, /* ADD64rr_REV */
 /* Table1388 */
-  0x5d, /* ADD64i32 */
+  0x5e, /* ADD64i32 */
 /* Table1389 */
-  0x75e, /* OR64mr */
-  0x762, /* OR64rr */
+  0x757, /* OR64mr */
+  0x75b, /* OR64rr */
 /* Table1391 */
-  0x761, /* OR64rm */
-  0x763, /* OR64rr_REV */
+  0x75a, /* OR64rm */
+  0x75c, /* OR64rr_REV */
 /* Table1393 */
-  0x75b, /* OR64i32 */
+  0x754, /* OR64i32 */
 /* Table1394 */
-  0x34, /* ADC64mr */
-  0x38, /* ADC64rr */
+  0x35, /* ADC64mr */
+  0x39, /* ADC64rr */
 /* Table1396 */
-  0x37, /* ADC64rm */
-  0x39, /* ADC64rr_REV */
+  0x38, /* ADC64rm */
+  0x3a, /* ADC64rr_REV */
 /* Table1398 */
-  0x31, /* ADC64i32 */
+  0x32, /* ADC64i32 */
 /* Table1399 */
-  0x9ee, /* SBB64mr */
-  0x9f2, /* SBB64rr */
+  0x9e7, /* SBB64mr */
+  0x9eb, /* SBB64rr */
 /* Table1401 */
-  0x9f1, /* SBB64rm */
-  0x9f3, /* SBB64rr_REV */
+  0x9ea, /* SBB64rm */
+  0x9ec, /* SBB64rr_REV */
 /* Table1403 */
-  0x9eb, /* SBB64i32 */
+  0x9e4, /* SBB64i32 */
 /* Table1404 */
-  0xbf, /* AND64mr */
-  0xc3, /* AND64rr */
+  0xc0, /* AND64mr */
+  0xc4, /* AND64rr */
 /* Table1406 */
-  0xc2, /* AND64rm */
-  0xc4, /* AND64rr_REV */
+  0xc3, /* AND64rm */
+  0xc5, /* AND64rr_REV */
 /* Table1408 */
-  0xbc, /* AND64i32 */
+  0xbd, /* AND64i32 */
 /* Table1409 */
-  0xae4, /* SUB64mr */
-  0xae8, /* SUB64rr */
+  0xae5, /* SUB64mr */
+  0xae9, /* SUB64rr */
 /* Table1411 */
-  0xae7, /* SUB64rm */
-  0xae9, /* SUB64rr_REV */
+  0xae8, /* SUB64rm */
+  0xaea, /* SUB64rr_REV */
 /* Table1413 */
-  0xae1, /* SUB64i32 */
+  0xae2, /* SUB64i32 */
 /* Table1414 */
-  0x152c, /* XOR64mr */
-  0x1530, /* XOR64rr */
+  0x17cb, /* XOR64mr */
+  0x17cf, /* XOR64rr */
 /* Table1416 */
-  0x152f, /* XOR64rm */
-  0x1531, /* XOR64rr_REV */
+  0x17ce, /* XOR64rm */
+  0x17d0, /* XOR64rr_REV */
 /* Table1418 */
-  0x1529, /* XOR64i32 */
+  0x17c8, /* XOR64i32 */
 /* Table1419 */
-  0x243, /* CMP64mr */
-  0x247, /* CMP64rr */
+  0x219, /* CMP64mr */
+  0x21d, /* CMP64rr */
 /* Table1421 */
-  0x246, /* CMP64rm */
-  0x248, /* CMP64rr_REV */
+  0x21c, /* CMP64rm */
+  0x21e, /* CMP64rr_REV */
 /* Table1423 */
-  0x240, /* CMP64i32 */
+  0x216, /* CMP64i32 */
 /* Table1424 */
-  0x6cc, /* MOVSX64rm32 */
-  0x6cf, /* MOVSX64rr32 */
+  0x6c5, /* MOVSX64rm32 */
+  0x6c8, /* MOVSX64rr32 */
 /* Table1426 */
-  0x3a1, /* IMUL64rmi32 */
-  0x3a4, /* IMUL64rri32 */
+  0x378, /* IMUL64rmi32 */
+  0x37b, /* IMUL64rri32 */
 /* Table1428 */
-  0x3a2, /* IMUL64rmi8 */
-  0x3a5, /* IMUL64rri8 */
+  0x379, /* IMUL64rmi8 */
+  0x37c, /* IMUL64rri8 */
 /* Table1430 */
-  0x5e, /* ADD64mi32 */
-  0x75c, /* OR64mi32 */
-  0x32, /* ADC64mi32 */
-  0x9ec, /* SBB64mi32 */
-  0xbd, /* AND64mi32 */
-  0xae2, /* SUB64mi32 */
-  0x152a, /* XOR64mi32 */
-  0x241, /* CMP64mi32 */
-  0x61, /* ADD64ri32 */
-  0x75f, /* OR64ri32 */
-  0x35, /* ADC64ri32 */
-  0x9ef, /* SBB64ri32 */
-  0xc0, /* AND64ri32 */
-  0xae5, /* SUB64ri32 */
-  0x152d, /* XOR64ri32 */
-  0x244, /* CMP64ri32 */
+  0x5f, /* ADD64mi32 */
+  0x755, /* OR64mi32 */
+  0x33, /* ADC64mi32 */
+  0x9e5, /* SBB64mi32 */
+  0xbe, /* AND64mi32 */
+  0xae3, /* SUB64mi32 */
+  0x17c9, /* XOR64mi32 */
+  0x217, /* CMP64mi32 */
+  0x62, /* ADD64ri32 */
+  0x758, /* OR64ri32 */
+  0x36, /* ADC64ri32 */
+  0x9e8, /* SBB64ri32 */
+  0xc1, /* AND64ri32 */
+  0xae6, /* SUB64ri32 */
+  0x17cc, /* XOR64ri32 */
+  0x21a, /* CMP64ri32 */
 /* Table1446 */
-  0x5f, /* ADD64mi8 */
-  0x75d, /* OR64mi8 */
-  0x33, /* ADC64mi8 */
-  0x9ed, /* SBB64mi8 */
-  0xbe, /* AND64mi8 */
-  0xae3, /* SUB64mi8 */
-  0x152b, /* XOR64mi8 */
-  0x242, /* CMP64mi8 */
-  0x63, /* ADD64ri8 */
-  0x760, /* OR64ri8 */
-  0x36, /* ADC64ri8 */
-  0x9f0, /* SBB64ri8 */
-  0xc1, /* AND64ri8 */
-  0xae6, /* SUB64ri8 */
-  0x152e, /* XOR64ri8 */
-  0x245, /* CMP64ri8 */
+  0x60, /* ADD64mi8 */
+  0x756, /* OR64mi8 */
+  0x34, /* ADC64mi8 */
+  0x9e6, /* SBB64mi8 */
+  0xbf, /* AND64mi8 */
+  0xae4, /* SUB64mi8 */
+  0x17ca, /* XOR64mi8 */
+  0x218, /* CMP64mi8 */
+  0x64, /* ADD64ri8 */
+  0x759, /* OR64ri8 */
+  0x37, /* ADC64ri8 */
+  0x9e9, /* SBB64ri8 */
+  0xc2, /* AND64ri8 */
+  0xae7, /* SUB64ri8 */
+  0x17cd, /* XOR64ri8 */
+  0x21b, /* CMP64ri8 */
 /* Table1462 */
-  0xb4f, /* TEST64rm */
-  0xb50, /* TEST64rr */
+  0xb50, /* TEST64rm */
+  0xb51, /* TEST64rr */
 /* Table1464 */
-  0x150a, /* XCHG64rm */
-  0x150b, /* XCHG64rr */
+  0x17a9, /* XCHG64rm */
+  0x17aa, /* XCHG64rr */
 /* Table1466 */
-  0x659, /* MOV64mr */
-  0x664, /* MOV64rr */
+  0x652, /* MOV64mr */
+  0x65d, /* MOV64rr */
 /* Table1468 */
-  0x663, /* MOV64rm */
-  0x665, /* MOV64rr_REV */
+  0x65c, /* MOV64rm */
+  0x65e, /* MOV64rr_REV */
 /* Table1470 */
-  0x65a, /* MOV64ms */
-  0x666, /* MOV64rs */
+  0x653, /* MOV64ms */
+  0x65f, /* MOV64rs */
 /* Table1472 */
-  0x4cd, /* LEA64r */
+  0x4c5, /* LEA64r */
   0x0, /*  */
 /* Table1474 */
-  0x667, /* MOV64sm */
-  0x668, /* MOV64sr */
+  0x660, /* MOV64sm */
+  0x661, /* MOV64sr */
 /* Table1476 */
-  0x1509, /* XCHG64ar */
+  0x17a8, /* XCHG64ar */
 /* Table1477 */
-  0x190, /* CDQE */
+  0x166, /* CDQE */
 /* Table1478 */
-  0x27c, /* CQO */
+  0x252, /* CQO */
 /* Table1479 */
-  0x65d, /* MOV64o64a */
+  0x656, /* MOV64o64a */
 /* Table1480 */
-  0x654, /* MOV64ao64 */
+  0x64d, /* MOV64ao64 */
 /* Table1481 */
-  0x6bd, /* MOVSQ */
+  0x6b6, /* MOVSQ */
 /* Table1482 */
-  0x25a, /* CMPS64 */
+  0x234, /* CMPSQ */
 /* Table1483 */
-  0xb4a, /* TEST64i32 */
+  0xb4b, /* TEST64i32 */
 /* Table1484 */
-  0xab5, /* STOSQ */
+  0xab6, /* STOSQ */
 /* Table1485 */
-  0x525, /* LODSQ */
+  0x51d, /* LODSQ */
 /* Table1486 */
-  0x9fd, /* SCAS64 */
+  0x9f6, /* SCASQ */
 /* Table1487 */
-  0x661, /* MOV64ri */
+  0x65a, /* MOV64ri */
 /* Table1488 */
-  0x96a, /* ROL64mi */
-  0x982, /* ROR64mi */
-  0x909, /* RCL64mi */
-  0x929, /* RCR64mi */
-  0xa45, /* SHL64mi */
-  0xa6d, /* SHR64mi */
-  0x9b2, /* SAL64mi */
-  0x9cb, /* SAR64mi */
-  0x96d, /* ROL64ri */
-  0x985, /* ROR64ri */
-  0x90c, /* RCL64ri */
-  0x92c, /* RCR64ri */
-  0xa48, /* SHL64ri */
-  0xa70, /* SHR64ri */
-  0x9b5, /* SAL64ri */
-  0x9ce, /* SAR64ri */
+  0x963, /* ROL64mi */
+  0x97b, /* ROR64mi */
+  0x902, /* RCL64mi */
+  0x922, /* RCR64mi */
+  0xa46, /* SHL64mi */
+  0xa6e, /* SHR64mi */
+  0x9ab, /* SAL64mi */
+  0x9c4, /* SAR64mi */
+  0x966, /* ROL64ri */
+  0x97e, /* ROR64ri */
+  0x905, /* RCL64ri */
+  0x925, /* RCR64ri */
+  0xa49, /* SHL64ri */
+  0xa71, /* SHR64ri */
+  0x9ae, /* SAL64ri */
+  0x9c7, /* SAR64ri */
 /* Table1504 */
-  0x658, /* MOV64mi32 */
+  0x651, /* MOV64mi32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44938,14 +49589,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
+  0x65b, /* MOV64ri32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44994,7 +49645,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1501, /* XBEGIN_4 */
+  0x17a0, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45003,187 +49654,187 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1576 */
-  0x52b, /* LRETIQ */
+  0x523, /* LRETIQ */
 /* Table1577 */
-  0x52e, /* LRETQ */
+  0x526, /* LRETQ */
 /* Table1578 */
-  0x3d3, /* IRET64 */
+  0x3aa, /* IRET64 */
 /* Table1579 */
-  0x968, /* ROL64m1 */
-  0x980, /* ROR64m1 */
-  0x907, /* RCL64m1 */
-  0x927, /* RCR64m1 */
-  0xa43, /* SHL64m1 */
-  0xa6b, /* SHR64m1 */
-  0x9b0, /* SAL64m1 */
-  0x9c9, /* SAR64m1 */
-  0x96b, /* ROL64r1 */
-  0x983, /* ROR64r1 */
-  0x90a, /* RCL64r1 */
-  0x92a, /* RCR64r1 */
-  0xa46, /* SHL64r1 */
-  0xa6e, /* SHR64r1 */
-  0x9b3, /* SAL64r1 */
-  0x9cc, /* SAR64r1 */
+  0x961, /* ROL64m1 */
+  0x979, /* ROR64m1 */
+  0x900, /* RCL64m1 */
+  0x920, /* RCR64m1 */
+  0xa44, /* SHL64m1 */
+  0xa6c, /* SHR64m1 */
+  0x9a9, /* SAL64m1 */
+  0x9c2, /* SAR64m1 */
+  0x964, /* ROL64r1 */
+  0x97c, /* ROR64r1 */
+  0x903, /* RCL64r1 */
+  0x923, /* RCR64r1 */
+  0xa47, /* SHL64r1 */
+  0xa6f, /* SHR64r1 */
+  0x9ac, /* SAL64r1 */
+  0x9c5, /* SAR64r1 */
 /* Table1595 */
-  0x969, /* ROL64mCL */
-  0x981, /* ROR64mCL */
-  0x908, /* RCL64mCL */
-  0x928, /* RCR64mCL */
-  0xa44, /* SHL64mCL */
-  0xa6c, /* SHR64mCL */
-  0x9b1, /* SAL64mCL */
-  0x9ca, /* SAR64mCL */
-  0x96c, /* ROL64rCL */
-  0x984, /* ROR64rCL */
-  0x90b, /* RCL64rCL */
-  0x92b, /* RCR64rCL */
-  0xa47, /* SHL64rCL */
-  0xa6f, /* SHR64rCL */
-  0x9b4, /* SAL64rCL */
-  0x9cd, /* SAR64rCL */
+  0x962, /* ROL64mCL */
+  0x97a, /* ROR64mCL */
+  0x901, /* RCL64mCL */
+  0x921, /* RCR64mCL */
+  0xa45, /* SHL64mCL */
+  0xa6d, /* SHR64mCL */
+  0x9aa, /* SAL64mCL */
+  0x9c3, /* SAR64mCL */
+  0x965, /* ROL64rCL */
+  0x97d, /* ROR64rCL */
+  0x904, /* RCL64rCL */
+  0x924, /* RCR64rCL */
+  0xa48, /* SHL64rCL */
+  0xa70, /* SHR64rCL */
+  0x9ad, /* SAL64rCL */
+  0x9c6, /* SAR64rCL */
 /* Table1611 */
-  0xb4b, /* TEST64mi32 */
-  0xb4c, /* TEST64mi32_alt */
-  0x744, /* NOT64m */
-  0x71d, /* NEG64m */
-  0x6ef, /* MUL64m */
-  0x39e, /* IMUL64m */
-  0x2cb, /* DIV64m */
-  0x37e, /* IDIV64m */
-  0xb4d, /* TEST64ri32 */
-  0xb4e, /* TEST64ri32_alt */
-  0x745, /* NOT64r */
-  0x71e, /* NEG64r */
-  0x6f0, /* MUL64r */
-  0x39f, /* IMUL64r */
-  0x2cc, /* DIV64r */
-  0x37f, /* IDIV64r */
+  0xb4c, /* TEST64mi32 */
+  0xb4d, /* TEST64mi32_alt */
+  0x73d, /* NOT64m */
+  0x716, /* NEG64m */
+  0x6e8, /* MUL64m */
+  0x375, /* IMUL64m */
+  0x2a1, /* DIV64m */
+  0x355, /* IDIV64m */
+  0xb4e, /* TEST64ri32 */
+  0xb4f, /* TEST64ri32_alt */
+  0x73e, /* NOT64r */
+  0x717, /* NEG64r */
+  0x6e9, /* MUL64r */
+  0x376, /* IMUL64r */
+  0x2a2, /* DIV64r */
+  0x356, /* IDIV64r */
 /* Table1627 */
-  0x3bb, /* INC64m */
-  0x2c3, /* DEC64m */
-  0x189, /* CALL64m */
-  0x319, /* FARCALL64 */
-  0x479, /* JMP64m */
-  0x31e, /* FARJMP64 */
-  0x8e2, /* PUSH64rmm */
+  0x38f, /* INC64m */
+  0x299, /* DEC64m */
+  0x15f, /* CALL64m */
+  0x2f1, /* FARCALL64 */
+  0x450, /* JMP64m */
+  0x2f6, /* FARJMP64 */
+  0x8db, /* PUSH64rmm */
   0x0, /*  */
-  0x3bc, /* INC64r */
-  0x2c4, /* DEC64r */
-  0x18b, /* CALL64r */
+  0x390, /* INC64r */
+  0x29a, /* DEC64r */
+  0x161, /* CALL64r */
   0x0, /*  */
-  0x47a, /* JMP64r */
+  0x451, /* JMP64r */
   0x0, /*  */
-  0x8e3, /* PUSH64rmr */
+  0x8dc, /* PUSH64rmr */
   0x0, /*  */
 /* Table1643 */
-  0x8de, /* PUSH64i16 */
+  0x8d7, /* PUSH64i16 */
 /* Table1644 */
-  0x65b, /* MOV64o16a */
+  0x654, /* MOV64o16a */
 /* Table1645 */
-  0x652, /* MOV64ao16 */
+  0x64b, /* MOV64ao16 */
 /* Table1646 */
-  0x3b7, /* INC64_16m */
-  0x2bf, /* DEC64_16m */
-  0x189, /* CALL64m */
-  0x316, /* FARCALL16m */
-  0x479, /* JMP64m */
-  0x31b, /* FARJMP16m */
-  0x8d8, /* PUSH16rmm */
+  0x38b, /* INC64_16m */
+  0x295, /* DEC64_16m */
+  0x15f, /* CALL64m */
+  0x2ee, /* FARCALL16m */
+  0x450, /* JMP64m */
+  0x2f3, /* FARJMP16m */
+  0x8d1, /* PUSH16rmm */
   0x0, /*  */
-  0x3b8, /* INC64_16r */
-  0x2c0, /* DEC64_16r */
-  0x18b, /* CALL64r */
+  0x38c, /* INC64_16r */
+  0x296, /* DEC64_16r */
+  0x161, /* CALL64r */
   0x0, /*  */
-  0x47a, /* JMP64r */
+  0x451, /* JMP64r */
   0x0, /*  */
-  0x8d9, /* PUSH16rmr */
+  0x8d2, /* PUSH16rmr */
   0x0, /*  */
 /* Table1662 */
-  0x465, /* JECXZ_64 */
+  0x43c, /* JECXZ_64 */
 /* Table1663 */
-  0x3bb, /* INC64m */
-  0x2c3, /* DEC64m */
-  0x189, /* CALL64m */
-  0x319, /* FARCALL64 */
-  0x479, /* JMP64m */
-  0x31e, /* FARJMP64 */
-  0x8d8, /* PUSH16rmm */
+  0x38f, /* INC64m */
+  0x299, /* DEC64m */
+  0x15f, /* CALL64m */
+  0x2f1, /* FARCALL64 */
+  0x450, /* JMP64m */
+  0x2f6, /* FARJMP64 */
+  0x8d1, /* PUSH16rmm */
   0x0, /*  */
-  0x3bc, /* INC64r */
-  0x2c4, /* DEC64r */
-  0x18b, /* CALL64r */
+  0x390, /* INC64r */
+  0x29a, /* DEC64r */
+  0x161, /* CALL64r */
   0x0, /*  */
-  0x47a, /* JMP64r */
+  0x451, /* JMP64r */
   0x0, /*  */
-  0x8d9, /* PUSH16rmr */
+  0x8d2, /* PUSH16rmr */
   0x0, /*  */
 /* Table1679 */
-  0xa93, /* SLDT16m */
-  0xaba, /* STRm */
-  0x4df, /* LLDT16m */
-  0x539, /* LTRm */
-  0xcdb, /* VERRm */
-  0xcdd, /* VERWm */
+  0xa94, /* SLDT16m */
+  0xabb, /* STRm */
+  0x4d7, /* LLDT16m */
+  0x531, /* LTRm */
+  0xcfc, /* VERRm */
+  0xcfe, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa95, /* SLDT32r */
-  0xab8, /* STR32r */
-  0x4e0, /* LLDT16r */
-  0x53a, /* LTRr */
-  0xcdc, /* VERRr */
-  0xcde, /* VERWr */
+  0xa96, /* SLDT32r */
+  0xab9, /* STR32r */
+  0x4d8, /* LLDT16r */
+  0x532, /* LTRr */
+  0xcfd, /* VERRr */
+  0xcff, /* VERWr */
   0x0, /*  */
   0x0, /*  */
 /* Table1695 */
-  0xa27, /* SGDT32m */
-  0xa8c, /* SIDT32m */
-  0x4d7, /* LGDT32m */
-  0x4dd, /* LIDT32m */
-  0xa98, /* SMSW16m */
+  0xa28, /* SGDT32m */
+  0xa8d, /* SIDT32m */
+  0x4cf, /* LGDT32m */
+  0x4d5, /* LIDT32m */
+  0xa99, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d9, /* LMSW16m */
+  0x3a1, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf43, /* VMCALL */
+  0xf78, /* VMLAUNCH */
+  0x1166, /* VMRESUME */
+  0x1195, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x623, /* MONITORrrr */
+  0x711, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaae, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e4, /* ENCLS */
+  0x17b4, /* XGETBV */
+  0x17e4, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xffe, /* VMRUN32 */
-  0xf3a, /* VMMCALL */
-  0xf38, /* VMLOAD32 */
-  0x1000, /* VMSAVE32 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cb, /* INVLPGA32 */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
+  0xf45, /* VMFUNC */
+  0x17b3, /* XEND */
+  0x17e8, /* XTEST */
+  0x2e5, /* ENCLU */
+  0x1167, /* VMRUN32 */
+  0xf7b, /* VMMCALL */
+  0xf79, /* VMLOAD32 */
+  0x1169, /* VMSAVE32 */
+  0xab1, /* STGI */
+  0x16f, /* CLGI */
+  0xa93, /* SKINIT */
+  0x3a2, /* INVLPGA32 */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45192,16 +49843,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0xb26, /* SWAPGS */
+  0x939, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45209,26 +49860,26 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1767 */
-  0x4aa, /* LAR32rm */
-  0x4ab, /* LAR32rr */
+  0x4a2, /* LAR32rm */
+  0x4a3, /* LAR32rr */
 /* Table1769 */
-  0x532, /* LSL32rm */
-  0x533, /* LSL32rr */
+  0x52a, /* LSL32rm */
+  0x52b, /* LSL32rr */
 /* Table1771 */
-  0xb26, /* SYSCALL */
+  0xb27, /* SYSCALL */
 /* Table1772 */
-  0x19b, /* CLTS */
+  0x171, /* CLTS */
 /* Table1773 */
-  0xb2a, /* SYSRET */
+  0xb2b, /* SYSRET */
 /* Table1774 */
-  0x3c7, /* INVD */
+  0x39e, /* INVD */
 /* Table1775 */
-  0x14ed, /* WBINVD */
+  0x178c, /* WBINVD */
 /* Table1776 */
-  0xb5f, /* TRAP */
+  0xb60, /* TRAP */
 /* Table1777 */
   0x0, /*  */
-  0x887, /* PREFETCHW */
+  0x880, /* PREFETCHW */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45244,258 +49895,258 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1793 */
-  0x6d6, /* MOVUPSrm */
-  0x6d7, /* MOVUPSrr */
+  0x6cf, /* MOVUPSrm */
+  0x6d0, /* MOVUPSrr */
 /* Table1795 */
-  0x6d5, /* MOVUPSmr */
-  0x6d8, /* MOVUPSrr_REV */
+  0x6ce, /* MOVUPSmr */
+  0x6d1, /* MOVUPSrr_REV */
 /* Table1797 */
-  0x69f, /* MOVLPSrm */
-  0x696, /* MOVHLPSrr */
+  0x698, /* MOVLPSrm */
+  0x68f, /* MOVHLPSrr */
 /* Table1799 */
-  0x69e, /* MOVLPSmr */
+  0x697, /* MOVLPSmr */
   0x0, /*  */
 /* Table1801 */
-  0xb84, /* UNPCKLPSrm */
-  0xb85, /* UNPCKLPSrr */
+  0xb85, /* UNPCKLPSrm */
+  0xb86, /* UNPCKLPSrr */
 /* Table1803 */
-  0xb80, /* UNPCKHPSrm */
-  0xb81, /* UNPCKHPSrr */
+  0xb81, /* UNPCKHPSrm */
+  0xb82, /* UNPCKHPSrr */
 /* Table1805 */
-  0x69a, /* MOVHPSrm */
-  0x69b, /* MOVLHPSrr */
+  0x693, /* MOVHPSrm */
+  0x694, /* MOVLHPSrr */
 /* Table1807 */
-  0x699, /* MOVHPSmr */
+  0x692, /* MOVHPSmr */
   0x0, /*  */
 /* Table1809 */
-  0x883, /* PREFETCHNTA */
-  0x884, /* PREFETCHT0 */
-  0x885, /* PREFETCHT1 */
-  0x886, /* PREFETCHT2 */
-  0x72a, /* NOOP18_m4 */
-  0x72b, /* NOOP18_m5 */
-  0x72c, /* NOOP18_m6 */
-  0x72d, /* NOOP18_m7 */
+  0x87c, /* PREFETCHNTA */
+  0x87d, /* PREFETCHT0 */
+  0x87e, /* PREFETCHT1 */
+  0x87f, /* PREFETCHT2 */
+  0x723, /* NOOP18_m4 */
+  0x724, /* NOOP18_m5 */
+  0x725, /* NOOP18_m6 */
+  0x726, /* NOOP18_m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x72e, /* NOOP18_r4 */
-  0x72f, /* NOOP18_r5 */
-  0x730, /* NOOP18_r6 */
-  0x731, /* NOOP18_r7 */
+  0x727, /* NOOP18_r4 */
+  0x728, /* NOOP18_r5 */
+  0x729, /* NOOP18_r6 */
+  0x72a, /* NOOP18_r7 */
 /* Table1825 */
-  0x733, /* NOOPL_19 */
+  0x72c, /* NOOPL_19 */
   0x0, /*  */
 /* Table1827 */
-  0x734, /* NOOPL_1a */
+  0x72d, /* NOOPL_1a */
   0x0, /*  */
 /* Table1829 */
-  0x735, /* NOOPL_1b */
+  0x72e, /* NOOPL_1b */
   0x0, /*  */
 /* Table1831 */
-  0x736, /* NOOPL_1c */
+  0x72f, /* NOOPL_1c */
   0x0, /*  */
 /* Table1833 */
-  0x737, /* NOOPL_1d */
+  0x730, /* NOOPL_1d */
   0x0, /*  */
 /* Table1835 */
-  0x738, /* NOOPL_1e */
+  0x731, /* NOOPL_1e */
   0x0, /*  */
 /* Table1837 */
-  0x732, /* NOOPL */
+  0x72b, /* NOOPL */
   0x0, /*  */
 /* Table1839 */
   0x0, /*  */
-  0x647, /* MOV32rc */
+  0x640, /* MOV32rc */
 /* Table1841 */
   0x0, /*  */
-  0x648, /* MOV32rd */
+  0x641, /* MOV32rd */
 /* Table1843 */
   0x0, /*  */
-  0x63f, /* MOV32cr */
+  0x638, /* MOV32cr */
 /* Table1845 */
   0x0, /*  */
-  0x640, /* MOV32dr */
+  0x639, /* MOV32dr */
 /* Table1847 */
-  0x67f, /* MOVAPSrm */
-  0x680, /* MOVAPSrr */
+  0x678, /* MOVAPSrm */
+  0x679, /* MOVAPSrr */
 /* Table1849 */
-  0x67e, /* MOVAPSmr */
-  0x681, /* MOVAPSrr_REV */
+  0x677, /* MOVAPSmr */
+  0x67a, /* MOVAPSrr_REV */
 /* Table1851 */
-  0x574, /* MMX_CVTPI2PSirm */
-  0x575, /* MMX_CVTPI2PSirr */
+  0x56c, /* MMX_CVTPI2PSirm */
+  0x56d, /* MMX_CVTPI2PSirr */
 /* Table1853 */
-  0x6a7, /* MOVNTPSmr */
+  0x6a0, /* MOVNTPSmr */
   0x0, /*  */
 /* Table1855 */
-  0x57a, /* MMX_CVTTPS2PIirm */
-  0x57b, /* MMX_CVTTPS2PIirr */
+  0x572, /* MMX_CVTTPS2PIirm */
+  0x573, /* MMX_CVTTPS2PIirr */
 /* Table1857 */
-  0x576, /* MMX_CVTPS2PIirm */
-  0x577, /* MMX_CVTPS2PIirr */
+  0x56e, /* MMX_CVTPS2PIirm */
+  0x56f, /* MMX_CVTPS2PIirr */
 /* Table1859 */
-  0xb70, /* UCOMISSrm */
-  0xb71, /* UCOMISSrr */
+  0xb71, /* UCOMISSrm */
+  0xb72, /* UCOMISSrr */
 /* Table1861 */
-  0x270, /* COMISSrm */
-  0x271, /* COMISSrr */
+  0x246, /* COMISSrm */
+  0x247, /* COMISSrr */
 /* Table1863 */
-  0x14f5, /* WRMSR */
+  0x1794, /* WRMSR */
 /* Table1864 */
-  0x93f, /* RDTSC */
+  0x938, /* RDTSC */
 /* Table1865 */
-  0x937, /* RDMSR */
+  0x930, /* RDMSR */
 /* Table1866 */
-  0x938, /* RDPMC */
+  0x931, /* RDPMC */
 /* Table1867 */
-  0xb27, /* SYSENTER */
+  0xb28, /* SYSENTER */
 /* Table1868 */
-  0xb28, /* SYSEXIT */
+  0xb29, /* SYSEXIT */
 /* Table1869 */
-  0x36f, /* GETSEC */
+  0x346, /* GETSEC */
 /* Table1870 */
-  0x209, /* CMOVO32rm */
-  0x20a, /* CMOVO32rr */
+  0x1df, /* CMOVO32rm */
+  0x1e0, /* CMOVO32rr */
 /* Table1872 */
-  0x1f3, /* CMOVNO32rm */
-  0x1f4, /* CMOVNO32rr */
+  0x1c9, /* CMOVNO32rm */
+  0x1ca, /* CMOVNO32rr */
 /* Table1874 */
-  0x1ab, /* CMOVB32rm */
-  0x1ac, /* CMOVB32rr */
+  0x181, /* CMOVB32rm */
+  0x182, /* CMOVB32rr */
 /* Table1876 */
-  0x1a5, /* CMOVAE32rm */
-  0x1a6, /* CMOVAE32rr */
+  0x17b, /* CMOVAE32rm */
+  0x17c, /* CMOVAE32rr */
 /* Table1878 */
-  0x1bf, /* CMOVE32rm */
-  0x1c0, /* CMOVE32rr */
+  0x195, /* CMOVE32rm */
+  0x196, /* CMOVE32rr */
 /* Table1880 */
-  0x1e9, /* CMOVNE32rm */
-  0x1ea, /* CMOVNE32rr */
+  0x1bf, /* CMOVNE32rm */
+  0x1c0, /* CMOVNE32rr */
 /* Table1882 */
-  0x1b1, /* CMOVBE32rm */
-  0x1b2, /* CMOVBE32rr */
+  0x187, /* CMOVBE32rm */
+  0x188, /* CMOVBE32rr */
 /* Table1884 */
-  0x19f, /* CMOVA32rm */
-  0x1a0, /* CMOVA32rr */
+  0x175, /* CMOVA32rm */
+  0x176, /* CMOVA32rr */
 /* Table1886 */
-  0x219, /* CMOVS32rm */
-  0x21a, /* CMOVS32rr */
+  0x1ef, /* CMOVS32rm */
+  0x1f0, /* CMOVS32rr */
 /* Table1888 */
-  0x203, /* CMOVNS32rm */
-  0x204, /* CMOVNS32rr */
+  0x1d9, /* CMOVNS32rm */
+  0x1da, /* CMOVNS32rr */
 /* Table1890 */
-  0x20f, /* CMOVP32rm */
-  0x210, /* CMOVP32rr */
+  0x1e5, /* CMOVP32rm */
+  0x1e6, /* CMOVP32rr */
 /* Table1892 */
-  0x1f9, /* CMOVNP32rm */
-  0x1fa, /* CMOVNP32rr */
+  0x1cf, /* CMOVNP32rm */
+  0x1d0, /* CMOVNP32rr */
 /* Table1894 */
-  0x1d5, /* CMOVL32rm */
-  0x1d6, /* CMOVL32rr */
+  0x1ab, /* CMOVL32rm */
+  0x1ac, /* CMOVL32rr */
 /* Table1896 */
-  0x1cf, /* CMOVGE32rm */
-  0x1d0, /* CMOVGE32rr */
+  0x1a5, /* CMOVGE32rm */
+  0x1a6, /* CMOVGE32rr */
 /* Table1898 */
-  0x1db, /* CMOVLE32rm */
-  0x1dc, /* CMOVLE32rr */
+  0x1b1, /* CMOVLE32rm */
+  0x1b2, /* CMOVLE32rr */
 /* Table1900 */
-  0x1c9, /* CMOVG32rm */
-  0x1ca, /* CMOVG32rr */
+  0x19f, /* CMOVG32rm */
+  0x1a0, /* CMOVG32rr */
 /* Table1902 */
   0x0, /*  */
-  0x6a1, /* MOVMSKPSrr */
+  0x69a, /* MOVMSKPSrr */
 /* Table1904 */
-  0xa9e, /* SQRTPSm */
-  0xa9f, /* SQRTPSr */
+  0xa9f, /* SQRTPSm */
+  0xaa0, /* SQRTPSr */
 /* Table1906 */
-  0x99b, /* RSQRTPSm */
-  0x99d, /* RSQRTPSr */
+  0x994, /* RSQRTPSm */
+  0x996, /* RSQRTPSr */
 /* Table1908 */
-  0x913, /* RCPPSm */
-  0x915, /* RCPPSr */
+  0x90c, /* RCPPSm */
+  0x90e, /* RCPPSr */
 /* Table1910 */
-  0xd7, /* ANDPSrm */
-  0xd8, /* ANDPSrr */
+  0xd8, /* ANDPSrm */
+  0xd9, /* ANDPSrr */
 /* Table1912 */
-  0xd3, /* ANDNPSrm */
-  0xd4, /* ANDNPSrr */
+  0xd4, /* ANDNPSrm */
+  0xd5, /* ANDNPSrr */
 /* Table1914 */
-  0x76e, /* ORPSrm */
-  0x76f, /* ORPSrr */
+  0x767, /* ORPSrm */
+  0x768, /* ORPSrr */
 /* Table1916 */
-  0x153c, /* XORPSrm */
-  0x153d, /* XORPSrr */
+  0x17db, /* XORPSrm */
+  0x17dc, /* XORPSrr */
 /* Table1918 */
-  0x73, /* ADDPSrm */
-  0x74, /* ADDPSrr */
+  0x74, /* ADDPSrm */
+  0x75, /* ADDPSrr */
 /* Table1920 */
-  0x6f5, /* MULPSrm */
-  0x6f6, /* MULPSrr */
+  0x6ee, /* MULPSrm */
+  0x6ef, /* MULPSrr */
 /* Table1922 */
-  0x292, /* CVTPS2PDrm */
-  0x293, /* CVTPS2PDrr */
+  0x268, /* CVTPS2PDrm */
+  0x269, /* CVTPS2PDrr */
 /* Table1924 */
-  0x28a, /* CVTDQ2PSrm */
-  0x28b, /* CVTDQ2PSrr */
+  0x260, /* CVTDQ2PSrm */
+  0x261, /* CVTDQ2PSrr */
 /* Table1926 */
-  0xaf4, /* SUBPSrm */
-  0xaf5, /* SUBPSrr */
+  0xaf5, /* SUBPSrm */
+  0xaf6, /* SUBPSrr */
 /* Table1928 */
-  0x566, /* MINPSrm */
-  0x567, /* MINPSrr */
+  0x55e, /* MINPSrm */
+  0x55f, /* MINPSrr */
 /* Table1930 */
-  0x2d1, /* DIVPSrm */
-  0x2d2, /* DIVPSrr */
+  0x2a7, /* DIVPSrm */
+  0x2a8, /* DIVPSrr */
 /* Table1932 */
-  0x551, /* MAXPSrm */
-  0x552, /* MAXPSrr */
+  0x549, /* MAXPSrm */
+  0x54a, /* MAXPSrr */
 /* Table1934 */
-  0x621, /* MMX_PUNPCKLBWirm */
-  0x622, /* MMX_PUNPCKLBWirr */
+  0x61a, /* MMX_PUNPCKLBWirm */
+  0x61b, /* MMX_PUNPCKLBWirr */
 /* Table1936 */
-  0x625, /* MMX_PUNPCKLWDirm */
-  0x626, /* MMX_PUNPCKLWDirr */
+  0x61e, /* MMX_PUNPCKLWDirm */
+  0x61f, /* MMX_PUNPCKLWDirr */
 /* Table1938 */
-  0x623, /* MMX_PUNPCKLDQirm */
-  0x624, /* MMX_PUNPCKLDQirr */
+  0x61c, /* MMX_PUNPCKLDQirm */
+  0x61d, /* MMX_PUNPCKLDQirr */
 /* Table1940 */
-  0x595, /* MMX_PACKSSWBirm */
-  0x596, /* MMX_PACKSSWBirr */
+  0x58e, /* MMX_PACKSSWBirm */
+  0x58f, /* MMX_PACKSSWBirr */
 /* Table1942 */
-  0x5b9, /* MMX_PCMPGTBirm */
-  0x5ba, /* MMX_PCMPGTBirr */
+  0x5b2, /* MMX_PCMPGTBirm */
+  0x5b3, /* MMX_PCMPGTBirr */
 /* Table1944 */
-  0x5bd, /* MMX_PCMPGTWirm */
-  0x5be, /* MMX_PCMPGTWirr */
+  0x5b6, /* MMX_PCMPGTWirm */
+  0x5b7, /* MMX_PCMPGTWirr */
 /* Table1946 */
-  0x5bb, /* MMX_PCMPGTDirm */
-  0x5bc, /* MMX_PCMPGTDirr */
+  0x5b4, /* MMX_PCMPGTDirm */
+  0x5b5, /* MMX_PCMPGTDirr */
 /* Table1948 */
-  0x597, /* MMX_PACKUSWBirm */
-  0x598, /* MMX_PACKUSWBirr */
+  0x590, /* MMX_PACKUSWBirm */
+  0x591, /* MMX_PACKUSWBirr */
 /* Table1950 */
-  0x61b, /* MMX_PUNPCKHBWirm */
-  0x61c, /* MMX_PUNPCKHBWirr */
+  0x614, /* MMX_PUNPCKHBWirm */
+  0x615, /* MMX_PUNPCKHBWirr */
 /* Table1952 */
-  0x61f, /* MMX_PUNPCKHWDirm */
-  0x620, /* MMX_PUNPCKHWDirr */
+  0x618, /* MMX_PUNPCKHWDirm */
+  0x619, /* MMX_PUNPCKHWDirr */
 /* Table1954 */
-  0x61d, /* MMX_PUNPCKHDQirm */
-  0x61e, /* MMX_PUNPCKHDQirr */
+  0x616, /* MMX_PUNPCKHDQirm */
+  0x617, /* MMX_PUNPCKHDQirr */
 /* Table1956 */
-  0x593, /* MMX_PACKSSDWirm */
-  0x594, /* MMX_PACKSSDWirr */
+  0x58c, /* MMX_PACKSSDWirm */
+  0x58d, /* MMX_PACKSSDWirr */
 /* Table1958 */
-  0x582, /* MMX_MOVD64rm */
-  0x583, /* MMX_MOVD64rr */
+  0x57a, /* MMX_MOVD64rm */
+  0x57b, /* MMX_MOVD64rr */
 /* Table1960 */
-  0x58b, /* MMX_MOVQ64rm */
-  0x58c, /* MMX_MOVQ64rr */
+  0x583, /* MMX_MOVQ64rm */
+  0x584, /* MMX_MOVQ64rr */
 /* Table1962 */
-  0x5eb, /* MMX_PSHUFWmi */
-  0x5ec, /* MMX_PSHUFWri */
+  0x5e4, /* MMX_PSHUFWmi */
+  0x5e5, /* MMX_PSHUFWri */
 /* Table1964 */
   0x0, /*  */
   0x0, /*  */
@@ -45507,11 +50158,11 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x608, /* MMX_PSRLWri */
+  0x601, /* MMX_PSRLWri */
   0x0, /*  */
-  0x5ff, /* MMX_PSRAWri */
+  0x5f8, /* MMX_PSRAWri */
   0x0, /*  */
-  0x5f9, /* MMX_PSLLWri */
+  0x5f2, /* MMX_PSLLWri */
   0x0, /*  */
 /* Table1980 */
   0x0, /*  */
@@ -45524,11 +50175,11 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x602, /* MMX_PSRLDri */
+  0x5fb, /* MMX_PSRLDri */
   0x0, /*  */
-  0x5fc, /* MMX_PSRADri */
+  0x5f5, /* MMX_PSRADri */
   0x0, /*  */
-  0x5f3, /* MMX_PSLLDri */
+  0x5ec, /* MMX_PSLLDri */
   0x0, /*  */
 /* Table1996 */
   0x0, /*  */
@@ -45541,130 +50192,130 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x605, /* MMX_PSRLQri */
+  0x5fe, /* MMX_PSRLQri */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x5f6, /* MMX_PSLLQri */
+  0x5ef, /* MMX_PSLLQri */
   0x0, /*  */
 /* Table2012 */
-  0x5b3, /* MMX_PCMPEQBirm */
-  0x5b4, /* MMX_PCMPEQBirr */
+  0x5ac, /* MMX_PCMPEQBirm */
+  0x5ad, /* MMX_PCMPEQBirr */
 /* Table2014 */
-  0x5b7, /* MMX_PCMPEQWirm */
-  0x5b8, /* MMX_PCMPEQWirr */
+  0x5b0, /* MMX_PCMPEQWirm */
+  0x5b1, /* MMX_PCMPEQWirr */
 /* Table2016 */
-  0x5b5, /* MMX_PCMPEQDirm */
-  0x5b6, /* MMX_PCMPEQDirr */
+  0x5ae, /* MMX_PCMPEQDirm */
+  0x5af, /* MMX_PCMPEQDirr */
 /* Table2018 */
-  0x57c, /* MMX_EMMS */
+  0x574, /* MMX_EMMS */
 /* Table2019 */
-  0xff9, /* VMREAD32rm */
-  0xffa, /* VMREAD32rr */
+  0x1162, /* VMREAD32rm */
+  0x1163, /* VMREAD32rr */
 /* Table2021 */
-  0x101c, /* VMWRITE32rm */
-  0x101d, /* VMWRITE32rr */
+  0x1191, /* VMWRITE32rm */
+  0x1192, /* VMWRITE32rr */
 /* Table2023 */
-  0x581, /* MMX_MOVD64mr */
-  0x580, /* MMX_MOVD64grr */
+  0x579, /* MMX_MOVD64mr */
+  0x578, /* MMX_MOVD64grr */
 /* Table2025 */
-  0x58a, /* MMX_MOVQ64mr */
-  0x0, /*  */
+  0x582, /* MMX_MOVQ64mr */
+  0x585, /* MMX_MOVQ64rr_REV */
 /* Table2027 */
-  0x48c, /* JO_4 */
+  0x463, /* JO_4 */
 /* Table2028 */
-  0x483, /* JNO_4 */
+  0x45a, /* JNO_4 */
 /* Table2029 */
-  0x462, /* JB_4 */
+  0x439, /* JB_4 */
 /* Table2030 */
-  0x459, /* JAE_4 */
+  0x430, /* JAE_4 */
 /* Table2031 */
-  0x468, /* JE_4 */
+  0x43f, /* JE_4 */
 /* Table2032 */
-  0x480, /* JNE_4 */
+  0x457, /* JNE_4 */
 /* Table2033 */
-  0x45f, /* JBE_4 */
+  0x436, /* JBE_4 */
 /* Table2034 */
-  0x45c, /* JA_4 */
+  0x433, /* JA_4 */
 /* Table2035 */
-  0x493, /* JS_4 */
+  0x46a, /* JS_4 */
 /* Table2036 */
-  0x489, /* JNS_4 */
+  0x460, /* JNS_4 */
 /* Table2037 */
-  0x48f, /* JP_4 */
+  0x466, /* JP_4 */
 /* Table2038 */
-  0x486, /* JNP_4 */
+  0x45d, /* JNP_4 */
 /* Table2039 */
-  0x474, /* JL_4 */
+  0x44b, /* JL_4 */
 /* Table2040 */
-  0x46b, /* JGE_4 */
+  0x442, /* JGE_4 */
 /* Table2041 */
-  0x471, /* JLE_4 */
+  0x448, /* JLE_4 */
 /* Table2042 */
-  0x46e, /* JG_4 */
+  0x445, /* JG_4 */
 /* Table2043 */
-  0xa1f, /* SETOm */
-  0xa20, /* SETOr */
+  0xa20, /* SETOm */
+  0xa21, /* SETOr */
 /* Table2045 */
-  0xa19, /* SETNOm */
-  0xa1a, /* SETNOr */
+  0xa1a, /* SETNOm */
+  0xa1b, /* SETNOr */
 /* Table2047 */
-  0xa0b, /* SETBm */
-  0xa0c, /* SETBr */
+  0xa0c, /* SETBm */
+  0xa0d, /* SETBr */
 /* Table2049 */
-  0xa01, /* SETAEm */
-  0xa02, /* SETAEr */
+  0xa02, /* SETAEm */
+  0xa03, /* SETAEr */
 /* Table2051 */
-  0xa0d, /* SETEm */
-  0xa0e, /* SETEr */
+  0xa0e, /* SETEm */
+  0xa0f, /* SETEr */
 /* Table2053 */
-  0xa17, /* SETNEm */
-  0xa18, /* SETNEr */
+  0xa18, /* SETNEm */
+  0xa19, /* SETNEr */
 /* Table2055 */
-  0xa05, /* SETBEm */
-  0xa06, /* SETBEr */
+  0xa06, /* SETBEm */
+  0xa07, /* SETBEr */
 /* Table2057 */
-  0xa03, /* SETAm */
-  0xa04, /* SETAr */
+  0xa04, /* SETAm */
+  0xa05, /* SETAr */
 /* Table2059 */
-  0xa23, /* SETSm */
-  0xa24, /* SETSr */
+  0xa24, /* SETSm */
+  0xa25, /* SETSr */
 /* Table2061 */
-  0xa1d, /* SETNSm */
-  0xa1e, /* SETNSr */
+  0xa1e, /* SETNSm */
+  0xa1f, /* SETNSr */
 /* Table2063 */
-  0xa21, /* SETPm */
-  0xa22, /* SETPr */
+  0xa22, /* SETPm */
+  0xa23, /* SETPr */
 /* Table2065 */
-  0xa1b, /* SETNPm */
-  0xa1c, /* SETNPr */
+  0xa1c, /* SETNPm */
+  0xa1d, /* SETNPr */
 /* Table2067 */
-  0xa15, /* SETLm */
-  0xa16, /* SETLr */
+  0xa16, /* SETLm */
+  0xa17, /* SETLr */
 /* Table2069 */
-  0xa0f, /* SETGEm */
-  0xa10, /* SETGEr */
+  0xa10, /* SETGEm */
+  0xa11, /* SETGEr */
 /* Table2071 */
-  0xa13, /* SETLEm */
-  0xa14, /* SETLEr */
+  0xa14, /* SETLEm */
+  0xa15, /* SETLEr */
 /* Table2073 */
-  0xa11, /* SETGm */
-  0xa12, /* SETGr */
+  0xa12, /* SETGm */
+  0xa13, /* SETGr */
 /* Table2075 */
-  0x8f0, /* PUSHFS32 */
+  0x8e9, /* PUSHFS32 */
 /* Table2076 */
-  0x879, /* POPFS32 */
+  0x872, /* POPFS32 */
 /* Table2077 */
-  0x27a, /* CPUID32 */
+  0x250, /* CPUID32 */
 /* Table2078 */
-  0x156, /* BT32mr */
-  0x158, /* BT32rr */
+  0x12c, /* BT32mr */
+  0x12e, /* BT32rr */
 /* Table2080 */
-  0xa54, /* SHLD32mri8 */
-  0xa56, /* SHLD32rri8 */
+  0xa55, /* SHLD32mri8 */
+  0xa57, /* SHLD32rri8 */
 /* Table2082 */
-  0xa53, /* SHLD32mrCL */
-  0xa55, /* SHLD32rrCL */
+  0xa54, /* SHLD32mrCL */
+  0xa56, /* SHLD32rrCL */
 /* Table2084 */
   0x0, /*  */
   0x0, /*  */
@@ -45674,7 +50325,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62b, /* MONTMUL */
+  0x624, /* MONTMUL */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45682,7 +50333,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1546, /* XSHA1 */
+  0x17e5, /* XSHA1 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45690,7 +50341,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1547, /* XSHA256 */
+  0x17e6, /* XSHA256 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45747,7 +50398,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1548, /* XSTORE */
+  0x17e7, /* XSTORE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45755,7 +50406,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1512, /* XCRYPTECB */
+  0x17b1, /* XCRYPTECB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45763,7 +50414,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x150f, /* XCRYPTCBC */
+  0x17ae, /* XCRYPTCBC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45771,7 +50422,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1511, /* XCRYPTCTR */
+  0x17b0, /* XCRYPTCTR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45779,7 +50430,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1510, /* XCRYPTCFB */
+  0x17af, /* XCRYPTCFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45787,7 +50438,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1513, /* XCRYPTOFB */
+  0x17b2, /* XCRYPTOFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45812,29 +50463,29 @@
   0x0, /*  */
   0x0, /*  */
 /* Table2228 */
-  0x8f3, /* PUSHGS32 */
+  0x8ec, /* PUSHGS32 */
 /* Table2229 */
-  0x87c, /* POPGS32 */
+  0x875, /* POPGS32 */
 /* Table2230 */
-  0x99a, /* RSM */
+  0x993, /* RSM */
 /* Table2231 */
-  0x17a, /* BTS32mr */
-  0x17c, /* BTS32rr */
+  0x150, /* BTS32mr */
+  0x152, /* BTS32rr */
 /* Table2233 */
-  0xa7c, /* SHRD32mri8 */
-  0xa7e, /* SHRD32rri8 */
+  0xa7d, /* SHRD32mri8 */
+  0xa7f, /* SHRD32rri8 */
 /* Table2235 */
-  0xa7b, /* SHRD32mrCL */
-  0xa7d, /* SHRD32rrCL */
+  0xa7c, /* SHRD32mrCL */
+  0xa7e, /* SHRD32rrCL */
 /* Table2237 */
-  0x353, /* FXSAVE */
-  0x351, /* FXRSTOR */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1541, /* XSAVE */
-  0x153f, /* XRSTOR */
-  0x1543, /* XSAVEOPT */
-  0x198, /* CLFLUSH */
+  0x32b, /* FXSAVE */
+  0x329, /* FXRSTOR */
+  0x4ad, /* LDMXCSR */
+  0xab3, /* STMXCSR */
+  0x17e0, /* XSAVE */
+  0x17de, /* XRSTOR */
+  0x17e2, /* XSAVEOPT */
+  0x16e, /* CLFLUSH */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45875,7 +50526,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4ca, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45883,7 +50534,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x553, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45891,7 +50542,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa26, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45900,284 +50551,284 @@
   0x0, /*  */
   0x0, /*  */
 /* Table2309 */
-  0x398, /* IMUL32rm */
-  0x39b, /* IMUL32rr */
+  0x36f, /* IMUL32rm */
+  0x372, /* IMUL32rr */
 /* Table2311 */
-  0x26c, /* CMPXCHG8rm */
-  0x26d, /* CMPXCHG8rr */
+  0x242, /* CMPXCHG8rm */
+  0x243, /* CMPXCHG8rr */
 /* Table2313 */
-  0x267, /* CMPXCHG32rm */
-  0x268, /* CMPXCHG32rr */
+  0x23d, /* CMPXCHG32rm */
+  0x23e, /* CMPXCHG32rr */
 /* Table2315 */
-  0x537, /* LSS32rm */
+  0x52f, /* LSS32rm */
   0x0, /*  */
 /* Table2317 */
-  0x16e, /* BTR32mr */
-  0x170, /* BTR32rr */
+  0x144, /* BTR32mr */
+  0x146, /* BTR32rr */
 /* Table2319 */
-  0x4d4, /* LFS32rm */
+  0x4cc, /* LFS32rm */
   0x0, /*  */
 /* Table2321 */
-  0x4da, /* LGS32rm */
+  0x4d2, /* LGS32rm */
   0x0, /*  */
 /* Table2323 */
-  0x6e2, /* MOVZX32rm8 */
-  0x6e4, /* MOVZX32rr8 */
+  0x6db, /* MOVZX32rm8 */
+  0x6dd, /* MOVZX32rr8 */
 /* Table2325 */
-  0x6e1, /* MOVZX32rm16 */
-  0x6e3, /* MOVZX32rr16 */
+  0x6da, /* MOVZX32rm16 */
+  0x6dc, /* MOVZX32rr16 */
 /* Table2327 */
-  0xb7d, /* UD2B */
+  0xb7e, /* UD2B */
 /* Table2328 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x155, /* BT32mi8 */
-  0x179, /* BTS32mi8 */
-  0x16d, /* BTR32mi8 */
-  0x161, /* BTC32mi8 */
+  0x12b, /* BT32mi8 */
+  0x14f, /* BTS32mi8 */
+  0x143, /* BTR32mi8 */
+  0x137, /* BTC32mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x157, /* BT32ri8 */
-  0x17b, /* BTS32ri8 */
-  0x16f, /* BTR32ri8 */
-  0x163, /* BTC32ri8 */
+  0x12d, /* BT32ri8 */
+  0x151, /* BTS32ri8 */
+  0x145, /* BTR32ri8 */
+  0x139, /* BTC32ri8 */
 /* Table2344 */
-  0x162, /* BTC32mr */
-  0x164, /* BTC32rr */
+  0x138, /* BTC32mr */
+  0x13a, /* BTC32rr */
 /* Table2346 */
-  0x145, /* BSF32rm */
-  0x146, /* BSF32rr */
+  0x11b, /* BSF32rm */
+  0x11c, /* BSF32rr */
 /* Table2348 */
-  0x14b, /* BSR32rm */
-  0x14c, /* BSR32rr */
+  0x121, /* BSR32rm */
+  0x122, /* BSR32rr */
 /* Table2350 */
-  0x6c8, /* MOVSX32rm8 */
-  0x6ca, /* MOVSX32rr8 */
+  0x6c1, /* MOVSX32rm8 */
+  0x6c3, /* MOVSX32rr8 */
 /* Table2352 */
-  0x6c7, /* MOVSX32rm16 */
-  0x6c9, /* MOVSX32rr16 */
+  0x6c0, /* MOVSX32rm16 */
+  0x6c2, /* MOVSX32rr16 */
 /* Table2354 */
-  0x14fe, /* XADD8rm */
-  0x14ff, /* XADD8rr */
+  0x179d, /* XADD8rm */
+  0x179e, /* XADD8rr */
 /* Table2356 */
-  0x14fa, /* XADD32rm */
-  0x14fb, /* XADD32rr */
+  0x1799, /* XADD32rm */
+  0x179a, /* XADD32rr */
 /* Table2358 */
-  0x254, /* CMPPSrmi */
-  0x256, /* CMPPSrri */
+  0x22a, /* CMPPSrmi */
+  0x22c, /* CMPPSrri */
 /* Table2360 */
-  0x6a5, /* MOVNTImr */
+  0x69e, /* MOVNTImr */
   0x0, /*  */
 /* Table2362 */
-  0x5cc, /* MMX_PINSRWirmi */
-  0x5cd, /* MMX_PINSRWirri */
+  0x5c5, /* MMX_PINSRWirmi */
+  0x5c6, /* MMX_PINSRWirri */
 /* Table2364 */
   0x0, /*  */
-  0x5bf, /* MMX_PEXTRWirri */
+  0x5b8, /* MMX_PEXTRWirri */
 /* Table2366 */
-  0xa89, /* SHUFPSrmi */
-  0xa8a, /* SHUFPSrri */
+  0xa8a, /* SHUFPSrmi */
+  0xa8b, /* SHUFPSrri */
 /* Table2368 */
   0x0, /*  */
-  0x26b, /* CMPXCHG8B */
+  0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xff7, /* VMPTRLDm */
-  0xff8, /* VMPTRSTm */
+  0x1160, /* VMPTRLDm */
+  0x1161, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93a, /* RDRAND32r */
-  0x93d, /* RDSEED32r */
+  0x933, /* RDRAND32r */
+  0x936, /* RDSEED32r */
 /* Table2384 */
-  0x14f, /* BSWAP32r */
+  0x125, /* BSWAP32r */
 /* Table2385 */
-  0x609, /* MMX_PSRLWrm */
-  0x60a, /* MMX_PSRLWrr */
+  0x602, /* MMX_PSRLWrm */
+  0x603, /* MMX_PSRLWrr */
 /* Table2387 */
-  0x603, /* MMX_PSRLDrm */
-  0x604, /* MMX_PSRLDrr */
+  0x5fc, /* MMX_PSRLDrm */
+  0x5fd, /* MMX_PSRLDrr */
 /* Table2389 */
-  0x606, /* MMX_PSRLQrm */
-  0x607, /* MMX_PSRLQrr */
+  0x5ff, /* MMX_PSRLQrm */
+  0x600, /* MMX_PSRLQrr */
 /* Table2391 */
-  0x59d, /* MMX_PADDQirm */
-  0x59e, /* MMX_PADDQirr */
+  0x596, /* MMX_PADDQirm */
+  0x597, /* MMX_PADDQirr */
 /* Table2393 */
-  0x5e1, /* MMX_PMULLWirm */
-  0x5e2, /* MMX_PMULLWirr */
+  0x5da, /* MMX_PMULLWirm */
+  0x5db, /* MMX_PMULLWirr */
 /* Table2395 */
   0x0, /*  */
-  0x5da, /* MMX_PMOVMSKBrr */
+  0x5d3, /* MMX_PMOVMSKBrr */
 /* Table2397 */
-  0x615, /* MMX_PSUBUSBirm */
-  0x616, /* MMX_PSUBUSBirr */
+  0x60e, /* MMX_PSUBUSBirm */
+  0x60f, /* MMX_PSUBUSBirr */
 /* Table2399 */
-  0x617, /* MMX_PSUBUSWirm */
-  0x618, /* MMX_PSUBUSWirr */
+  0x610, /* MMX_PSUBUSWirm */
+  0x611, /* MMX_PSUBUSWirr */
 /* Table2401 */
-  0x5d8, /* MMX_PMINUBirm */
-  0x5d9, /* MMX_PMINUBirr */
+  0x5d1, /* MMX_PMINUBirm */
+  0x5d2, /* MMX_PMINUBirr */
 /* Table2403 */
-  0x5ad, /* MMX_PANDirm */
-  0x5ae, /* MMX_PANDirr */
+  0x5a6, /* MMX_PANDirm */
+  0x5a7, /* MMX_PANDirr */
 /* Table2405 */
-  0x5a3, /* MMX_PADDUSBirm */
-  0x5a4, /* MMX_PADDUSBirr */
+  0x59c, /* MMX_PADDUSBirm */
+  0x59d, /* MMX_PADDUSBirr */
 /* Table2407 */
-  0x5a5, /* MMX_PADDUSWirm */
-  0x5a6, /* MMX_PADDUSWirr */
+  0x59e, /* MMX_PADDUSWirm */
+  0x59f, /* MMX_PADDUSWirr */
 /* Table2409 */
-  0x5d4, /* MMX_PMAXUBirm */
-  0x5d5, /* MMX_PMAXUBirr */
+  0x5cd, /* MMX_PMAXUBirm */
+  0x5ce, /* MMX_PMAXUBirr */
 /* Table2411 */
-  0x5ab, /* MMX_PANDNirm */
-  0x5ac, /* MMX_PANDNirr */
+  0x5a4, /* MMX_PANDNirm */
+  0x5a5, /* MMX_PANDNirr */
 /* Table2413 */
-  0x5af, /* MMX_PAVGBirm */
-  0x5b0, /* MMX_PAVGBirr */
+  0x5a8, /* MMX_PAVGBirm */
+  0x5a9, /* MMX_PAVGBirr */
 /* Table2415 */
-  0x600, /* MMX_PSRAWrm */
-  0x601, /* MMX_PSRAWrr */
+  0x5f9, /* MMX_PSRAWrm */
+  0x5fa, /* MMX_PSRAWrr */
 /* Table2417 */
-  0x5fd, /* MMX_PSRADrm */
-  0x5fe, /* MMX_PSRADrr */
+  0x5f6, /* MMX_PSRADrm */
+  0x5f7, /* MMX_PSRADrr */
 /* Table2419 */
-  0x5b1, /* MMX_PAVGWirm */
-  0x5b2, /* MMX_PAVGWirr */
+  0x5aa, /* MMX_PAVGWirm */
+  0x5ab, /* MMX_PAVGWirr */
 /* Table2421 */
-  0x5dd, /* MMX_PMULHUWirm */
-  0x5de, /* MMX_PMULHUWirr */
+  0x5d6, /* MMX_PMULHUWirm */
+  0x5d7, /* MMX_PMULHUWirr */
 /* Table2423 */
-  0x5df, /* MMX_PMULHWirm */
-  0x5e0, /* MMX_PMULHWirr */
+  0x5d8, /* MMX_PMULHWirm */
+  0x5d9, /* MMX_PMULHWirr */
 /* Table2425 */
-  0x587, /* MMX_MOVNTQmr */
+  0x57f, /* MMX_MOVNTQmr */
   0x0, /*  */
 /* Table2427 */
-  0x611, /* MMX_PSUBSBirm */
-  0x612, /* MMX_PSUBSBirr */
+  0x60a, /* MMX_PSUBSBirm */
+  0x60b, /* MMX_PSUBSBirr */
 /* Table2429 */
-  0x613, /* MMX_PSUBSWirm */
-  0x614, /* MMX_PSUBSWirr */
+  0x60c, /* MMX_PSUBSWirm */
+  0x60d, /* MMX_PSUBSWirr */
 /* Table2431 */
-  0x5d6, /* MMX_PMINSWirm */
-  0x5d7, /* MMX_PMINSWirr */
+  0x5cf, /* MMX_PMINSWirm */
+  0x5d0, /* MMX_PMINSWirr */
 /* Table2433 */
-  0x5e5, /* MMX_PORirm */
-  0x5e6, /* MMX_PORirr */
+  0x5de, /* MMX_PORirm */
+  0x5df, /* MMX_PORirr */
 /* Table2435 */
-  0x59f, /* MMX_PADDSBirm */
-  0x5a0, /* MMX_PADDSBirr */
+  0x598, /* MMX_PADDSBirm */
+  0x599, /* MMX_PADDSBirr */
 /* Table2437 */
-  0x5a1, /* MMX_PADDSWirm */
-  0x5a2, /* MMX_PADDSWirr */
+  0x59a, /* MMX_PADDSWirm */
+  0x59b, /* MMX_PADDSWirr */
 /* Table2439 */
-  0x5d2, /* MMX_PMAXSWirm */
-  0x5d3, /* MMX_PMAXSWirr */
+  0x5cb, /* MMX_PMAXSWirm */
+  0x5cc, /* MMX_PMAXSWirr */
 /* Table2441 */
-  0x627, /* MMX_PXORirm */
-  0x628, /* MMX_PXORirr */
+  0x620, /* MMX_PXORirm */
+  0x621, /* MMX_PXORirr */
 /* Table2443 */
-  0x5fa, /* MMX_PSLLWrm */
-  0x5fb, /* MMX_PSLLWrr */
+  0x5f3, /* MMX_PSLLWrm */
+  0x5f4, /* MMX_PSLLWrr */
 /* Table2445 */
-  0x5f4, /* MMX_PSLLDrm */
-  0x5f5, /* MMX_PSLLDrr */
+  0x5ed, /* MMX_PSLLDrm */
+  0x5ee, /* MMX_PSLLDrr */
 /* Table2447 */
-  0x5f7, /* MMX_PSLLQrm */
-  0x5f8, /* MMX_PSLLQrr */
+  0x5f0, /* MMX_PSLLQrm */
+  0x5f1, /* MMX_PSLLQrr */
 /* Table2449 */
-  0x5e3, /* MMX_PMULUDQirm */
-  0x5e4, /* MMX_PMULUDQirr */
+  0x5dc, /* MMX_PMULUDQirm */
+  0x5dd, /* MMX_PMULUDQirr */
 /* Table2451 */
-  0x5d0, /* MMX_PMADDWDirm */
-  0x5d1, /* MMX_PMADDWDirr */
+  0x5c9, /* MMX_PMADDWDirm */
+  0x5ca, /* MMX_PMADDWDirr */
 /* Table2453 */
-  0x5e7, /* MMX_PSADBWirm */
-  0x5e8, /* MMX_PSADBWirr */
+  0x5e0, /* MMX_PSADBWirm */
+  0x5e1, /* MMX_PSADBWirr */
 /* Table2455 */
   0x0, /*  */
-  0x57d, /* MMX_MASKMOVQ */
+  0x575, /* MMX_MASKMOVQ */
 /* Table2457 */
-  0x60b, /* MMX_PSUBBirm */
-  0x60c, /* MMX_PSUBBirr */
+  0x604, /* MMX_PSUBBirm */
+  0x605, /* MMX_PSUBBirr */
 /* Table2459 */
-  0x619, /* MMX_PSUBWirm */
-  0x61a, /* MMX_PSUBWirr */
+  0x612, /* MMX_PSUBWirm */
+  0x613, /* MMX_PSUBWirr */
 /* Table2461 */
-  0x60d, /* MMX_PSUBDirm */
-  0x60e, /* MMX_PSUBDirr */
+  0x606, /* MMX_PSUBDirm */
+  0x607, /* MMX_PSUBDirr */
 /* Table2463 */
-  0x60f, /* MMX_PSUBQirm */
-  0x610, /* MMX_PSUBQirr */
+  0x608, /* MMX_PSUBQirm */
+  0x609, /* MMX_PSUBQirr */
 /* Table2465 */
-  0x599, /* MMX_PADDBirm */
-  0x59a, /* MMX_PADDBirr */
+  0x592, /* MMX_PADDBirm */
+  0x593, /* MMX_PADDBirr */
 /* Table2467 */
-  0x5a7, /* MMX_PADDWirm */
-  0x5a8, /* MMX_PADDWirr */
+  0x5a0, /* MMX_PADDWirm */
+  0x5a1, /* MMX_PADDWirr */
 /* Table2469 */
-  0x59b, /* MMX_PADDDirm */
-  0x59c, /* MMX_PADDDirr */
+  0x594, /* MMX_PADDDirm */
+  0x595, /* MMX_PADDDirr */
 /* Table2471 */
-  0xa28, /* SGDT64m */
-  0xa8d, /* SIDT64m */
-  0x4d8, /* LGDT64m */
-  0x4de, /* LIDT64m */
-  0xa98, /* SMSW16m */
+  0xa29, /* SGDT64m */
+  0xa8e, /* SIDT64m */
+  0x4d0, /* LGDT64m */
+  0x4d6, /* LIDT64m */
+  0xa99, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d9, /* LMSW16m */
+  0x3a1, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf43, /* VMCALL */
+  0xf78, /* VMLAUNCH */
+  0x1166, /* VMRESUME */
+  0x1195, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x623, /* MONITORrrr */
+  0x711, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaae, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e4, /* ENCLS */
+  0x17b4, /* XGETBV */
+  0x17e4, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xfff, /* VMRUN64 */
-  0xf3a, /* VMMCALL */
-  0xf39, /* VMLOAD64 */
-  0x1001, /* VMSAVE64 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cc, /* INVLPGA64 */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
+  0xf45, /* VMFUNC */
+  0x17b3, /* XEND */
+  0x17e8, /* XTEST */
+  0x2e5, /* ENCLU */
+  0x1168, /* VMRUN64 */
+  0xf7b, /* VMMCALL */
+  0xf7a, /* VMLOAD64 */
+  0x116a, /* VMSAVE64 */
+  0xab1, /* STGI */
+  0x16f, /* CLGI */
+  0xa93, /* SKINIT */
+  0x3a3, /* INVLPGA64 */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
+  0xa9b, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46186,16 +50837,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0xb26, /* SWAPGS */
+  0x939, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46204,101 +50855,101 @@
   0x0, /*  */
 /* Table2543 */
   0x0, /*  */
-  0x65f, /* MOV64rc */
+  0x658, /* MOV64rc */
 /* Table2545 */
   0x0, /*  */
-  0x660, /* MOV64rd */
+  0x659, /* MOV64rd */
 /* Table2547 */
   0x0, /*  */
-  0x656, /* MOV64cr */
+  0x64f, /* MOV64cr */
 /* Table2549 */
   0x0, /*  */
-  0x657, /* MOV64dr */
+  0x650, /* MOV64dr */
 /* Table2551 */
-  0xffb, /* VMREAD64rm */
-  0xffc, /* VMREAD64rr */
+  0x1164, /* VMREAD64rm */
+  0x1165, /* VMREAD64rr */
 /* Table2553 */
-  0x101e, /* VMWRITE64rm */
-  0x101f, /* VMWRITE64rr */
+  0x1193, /* VMWRITE64rm */
+  0x1194, /* VMWRITE64rr */
 /* Table2555 */
-  0x8f1, /* PUSHFS64 */
+  0x8ea, /* PUSHFS64 */
 /* Table2556 */
-  0x87a, /* POPFS64 */
+  0x873, /* POPFS64 */
 /* Table2557 */
-  0x27b, /* CPUID64 */
+  0x251, /* CPUID64 */
 /* Table2558 */
-  0x8f4, /* PUSHGS64 */
+  0x8ed, /* PUSHGS64 */
 /* Table2559 */
-  0x87d, /* POPGS64 */
+  0x876, /* POPGS64 */
 /* Table2560 */
   0x0, /*  */
-  0x57e, /* MMX_MASKMOVQ64 */
+  0x576, /* MMX_MASKMOVQ64 */
 /* Table2562 */
-  0xa93, /* SLDT16m */
-  0xaba, /* STRm */
-  0x4df, /* LLDT16m */
-  0x539, /* LTRm */
-  0xcdb, /* VERRm */
-  0xcdd, /* VERWm */
+  0xa94, /* SLDT16m */
+  0xabb, /* STRm */
+  0x4d7, /* LLDT16m */
+  0x531, /* LTRm */
+  0xcfc, /* VERRm */
+  0xcfe, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa94, /* SLDT16r */
-  0xab7, /* STR16r */
-  0x4e0, /* LLDT16r */
-  0x53a, /* LTRr */
-  0xcdc, /* VERRr */
-  0xcde, /* VERWr */
+  0xa95, /* SLDT16r */
+  0xab8, /* STR16r */
+  0x4d8, /* LLDT16r */
+  0x532, /* LTRr */
+  0xcfd, /* VERRr */
+  0xcff, /* VERWr */
   0x0, /*  */
   0x0, /*  */
 /* Table2578 */
-  0xa26, /* SGDT16m */
-  0xa8b, /* SIDT16m */
-  0x4d6, /* LGDT16m */
-  0x4dc, /* LIDT16m */
-  0xa98, /* SMSW16m */
+  0xa27, /* SGDT16m */
+  0xa8c, /* SIDT16m */
+  0x4ce, /* LGDT16m */
+  0x4d4, /* LIDT16m */
+  0xa99, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d9, /* LMSW16m */
+  0x3a1, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf43, /* VMCALL */
+  0xf78, /* VMLAUNCH */
+  0x1166, /* VMRESUME */
+  0x1195, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x623, /* MONITORrrr */
+  0x711, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaae, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e4, /* ENCLS */
+  0x17b4, /* XGETBV */
+  0x17e4, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xffe, /* VMRUN32 */
-  0xf3a, /* VMMCALL */
-  0xf38, /* VMLOAD32 */
-  0x1000, /* VMSAVE32 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cb, /* INVLPGA32 */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
+  0xf45, /* VMFUNC */
+  0x17b3, /* XEND */
+  0x17e8, /* XTEST */
+  0x2e5, /* ENCLU */
+  0x1167, /* VMRUN32 */
+  0xf7b, /* VMMCALL */
+  0xf79, /* VMLOAD32 */
+  0x1169, /* VMSAVE32 */
+  0xab1, /* STGI */
+  0x16f, /* CLGI */
+  0xa93, /* SKINIT */
+  0x3a2, /* INVLPGA32 */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46307,16 +50958,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0xb26, /* SWAPGS */
+  0x939, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46324,238 +50975,238 @@
   0x0, /*  */
   0x0, /*  */
 /* Table2650 */
-  0x4a8, /* LAR16rm */
-  0x4a9, /* LAR16rr */
+  0x4a0, /* LAR16rm */
+  0x4a1, /* LAR16rr */
 /* Table2652 */
-  0x530, /* LSL16rm */
-  0x531, /* LSL16rr */
+  0x528, /* LSL16rm */
+  0x529, /* LSL16rr */
 /* Table2654 */
-  0x6d2, /* MOVUPDrm */
-  0x6d3, /* MOVUPDrr */
+  0x6cb, /* MOVUPDrm */
+  0x6cc, /* MOVUPDrr */
 /* Table2656 */
-  0x6d1, /* MOVUPDmr */
-  0x6d4, /* MOVUPDrr_REV */
+  0x6ca, /* MOVUPDmr */
+  0x6cd, /* MOVUPDrr_REV */
 /* Table2658 */
-  0x69d, /* MOVLPDrm */
-  0x696, /* MOVHLPSrr */
+  0x696, /* MOVLPDrm */
+  0x68f, /* MOVHLPSrr */
 /* Table2660 */
-  0x69c, /* MOVLPDmr */
+  0x695, /* MOVLPDmr */
   0x0, /*  */
 /* Table2662 */
-  0xb82, /* UNPCKLPDrm */
-  0xb83, /* UNPCKLPDrr */
+  0xb83, /* UNPCKLPDrm */
+  0xb84, /* UNPCKLPDrr */
 /* Table2664 */
-  0xb7e, /* UNPCKHPDrm */
-  0xb7f, /* UNPCKHPDrr */
+  0xb7f, /* UNPCKHPDrm */
+  0xb80, /* UNPCKHPDrr */
 /* Table2666 */
-  0x698, /* MOVHPDrm */
-  0x69b, /* MOVLHPSrr */
+  0x691, /* MOVHPDrm */
+  0x694, /* MOVLHPSrr */
 /* Table2668 */
-  0x697, /* MOVHPDmr */
+  0x690, /* MOVHPDmr */
   0x0, /*  */
 /* Table2670 */
-  0x883, /* PREFETCHNTA */
-  0x884, /* PREFETCHT0 */
-  0x885, /* PREFETCHT1 */
-  0x886, /* PREFETCHT2 */
-  0x722, /* NOOP18_16m4 */
-  0x723, /* NOOP18_16m5 */
-  0x724, /* NOOP18_16m6 */
-  0x725, /* NOOP18_16m7 */
+  0x87c, /* PREFETCHNTA */
+  0x87d, /* PREFETCHT0 */
+  0x87e, /* PREFETCHT1 */
+  0x87f, /* PREFETCHT2 */
+  0x71b, /* NOOP18_16m4 */
+  0x71c, /* NOOP18_16m5 */
+  0x71d, /* NOOP18_16m6 */
+  0x71e, /* NOOP18_16m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x726, /* NOOP18_16r4 */
-  0x727, /* NOOP18_16r5 */
-  0x728, /* NOOP18_16r6 */
-  0x729, /* NOOP18_16r7 */
+  0x71f, /* NOOP18_16r4 */
+  0x720, /* NOOP18_16r5 */
+  0x721, /* NOOP18_16r6 */
+  0x722, /* NOOP18_16r7 */
 /* Table2686 */
-  0x73a, /* NOOPW_19 */
+  0x733, /* NOOPW_19 */
   0x0, /*  */
 /* Table2688 */
-  0x73b, /* NOOPW_1a */
+  0x734, /* NOOPW_1a */
   0x0, /*  */
 /* Table2690 */
-  0x73c, /* NOOPW_1b */
+  0x735, /* NOOPW_1b */
   0x0, /*  */
 /* Table2692 */
-  0x73d, /* NOOPW_1c */
+  0x736, /* NOOPW_1c */
   0x0, /*  */
 /* Table2694 */
-  0x73e, /* NOOPW_1d */
+  0x737, /* NOOPW_1d */
   0x0, /*  */
 /* Table2696 */
-  0x73f, /* NOOPW_1e */
+  0x738, /* NOOPW_1e */
   0x0, /*  */
 /* Table2698 */
-  0x739, /* NOOPW */
+  0x732, /* NOOPW */
   0x0, /*  */
 /* Table2700 */
-  0x67b, /* MOVAPDrm */
-  0x67c, /* MOVAPDrr */
+  0x674, /* MOVAPDrm */
+  0x675, /* MOVAPDrr */
 /* Table2702 */
-  0x67a, /* MOVAPDmr */
-  0x67d, /* MOVAPDrr_REV */
+  0x673, /* MOVAPDmr */
+  0x676, /* MOVAPDrr_REV */
 /* Table2704 */
-  0x572, /* MMX_CVTPI2PDirm */
-  0x573, /* MMX_CVTPI2PDirr */
+  0x56a, /* MMX_CVTPI2PDirm */
+  0x56b, /* MMX_CVTPI2PDirr */
 /* Table2706 */
-  0x6a6, /* MOVNTPDmr */
+  0x69f, /* MOVNTPDmr */
   0x0, /*  */
 /* Table2708 */
-  0x578, /* MMX_CVTTPD2PIirm */
-  0x579, /* MMX_CVTTPD2PIirr */
+  0x570, /* MMX_CVTTPD2PIirm */
+  0x571, /* MMX_CVTTPD2PIirr */
 /* Table2710 */
-  0x570, /* MMX_CVTPD2PIirm */
-  0x571, /* MMX_CVTPD2PIirr */
+  0x568, /* MMX_CVTPD2PIirm */
+  0x569, /* MMX_CVTPD2PIirr */
 /* Table2712 */
-  0xb6e, /* UCOMISDrm */
-  0xb6f, /* UCOMISDrr */
+  0xb6f, /* UCOMISDrm */
+  0xb70, /* UCOMISDrr */
 /* Table2714 */
-  0x26e, /* COMISDrm */
-  0x26f, /* COMISDrr */
+  0x244, /* COMISDrm */
+  0x245, /* COMISDrr */
 /* Table2716 */
-  0x207, /* CMOVO16rm */
-  0x208, /* CMOVO16rr */
+  0x1dd, /* CMOVO16rm */
+  0x1de, /* CMOVO16rr */
 /* Table2718 */
-  0x1f1, /* CMOVNO16rm */
-  0x1f2, /* CMOVNO16rr */
+  0x1c7, /* CMOVNO16rm */
+  0x1c8, /* CMOVNO16rr */
 /* Table2720 */
-  0x1a9, /* CMOVB16rm */
-  0x1aa, /* CMOVB16rr */
+  0x17f, /* CMOVB16rm */
+  0x180, /* CMOVB16rr */
 /* Table2722 */
-  0x1a3, /* CMOVAE16rm */
-  0x1a4, /* CMOVAE16rr */
+  0x179, /* CMOVAE16rm */
+  0x17a, /* CMOVAE16rr */
 /* Table2724 */
-  0x1bd, /* CMOVE16rm */
-  0x1be, /* CMOVE16rr */
+  0x193, /* CMOVE16rm */
+  0x194, /* CMOVE16rr */
 /* Table2726 */
-  0x1e7, /* CMOVNE16rm */
-  0x1e8, /* CMOVNE16rr */
+  0x1bd, /* CMOVNE16rm */
+  0x1be, /* CMOVNE16rr */
 /* Table2728 */
-  0x1af, /* CMOVBE16rm */
-  0x1b0, /* CMOVBE16rr */
+  0x185, /* CMOVBE16rm */
+  0x186, /* CMOVBE16rr */
 /* Table2730 */
-  0x19d, /* CMOVA16rm */
-  0x19e, /* CMOVA16rr */
+  0x173, /* CMOVA16rm */
+  0x174, /* CMOVA16rr */
 /* Table2732 */
-  0x217, /* CMOVS16rm */
-  0x218, /* CMOVS16rr */
+  0x1ed, /* CMOVS16rm */
+  0x1ee, /* CMOVS16rr */
 /* Table2734 */
-  0x201, /* CMOVNS16rm */
-  0x202, /* CMOVNS16rr */
+  0x1d7, /* CMOVNS16rm */
+  0x1d8, /* CMOVNS16rr */
 /* Table2736 */
-  0x20d, /* CMOVP16rm */
-  0x20e, /* CMOVP16rr */
+  0x1e3, /* CMOVP16rm */
+  0x1e4, /* CMOVP16rr */
 /* Table2738 */
-  0x1f7, /* CMOVNP16rm */
-  0x1f8, /* CMOVNP16rr */
+  0x1cd, /* CMOVNP16rm */
+  0x1ce, /* CMOVNP16rr */
 /* Table2740 */
-  0x1d3, /* CMOVL16rm */
-  0x1d4, /* CMOVL16rr */
+  0x1a9, /* CMOVL16rm */
+  0x1aa, /* CMOVL16rr */
 /* Table2742 */
-  0x1cd, /* CMOVGE16rm */
-  0x1ce, /* CMOVGE16rr */
+  0x1a3, /* CMOVGE16rm */
+  0x1a4, /* CMOVGE16rr */
 /* Table2744 */
-  0x1d9, /* CMOVLE16rm */
-  0x1da, /* CMOVLE16rr */
+  0x1af, /* CMOVLE16rm */
+  0x1b0, /* CMOVLE16rr */
 /* Table2746 */
-  0x1c7, /* CMOVG16rm */
-  0x1c8, /* CMOVG16rr */
+  0x19d, /* CMOVG16rm */
+  0x19e, /* CMOVG16rr */
 /* Table2748 */
   0x0, /*  */
-  0x6a0, /* MOVMSKPDrr */
+  0x699, /* MOVMSKPDrr */
 /* Table2750 */
-  0xa9c, /* SQRTPDm */
-  0xa9d, /* SQRTPDr */
+  0xa9d, /* SQRTPDm */
+  0xa9e, /* SQRTPDr */
 /* Table2752 */
-  0xd5, /* ANDPDrm */
-  0xd6, /* ANDPDrr */
+  0xd6, /* ANDPDrm */
+  0xd7, /* ANDPDrr */
 /* Table2754 */
-  0xd1, /* ANDNPDrm */
-  0xd2, /* ANDNPDrr */
+  0xd2, /* ANDNPDrm */
+  0xd3, /* ANDNPDrr */
 /* Table2756 */
-  0x76c, /* ORPDrm */
-  0x76d, /* ORPDrr */
+  0x765, /* ORPDrm */
+  0x766, /* ORPDrr */
 /* Table2758 */
-  0x153a, /* XORPDrm */
-  0x153b, /* XORPDrr */
+  0x17d9, /* XORPDrm */
+  0x17da, /* XORPDrr */
 /* Table2760 */
-  0x71, /* ADDPDrm */
-  0x72, /* ADDPDrr */
+  0x72, /* ADDPDrm */
+  0x73, /* ADDPDrr */
 /* Table2762 */
-  0x6f3, /* MULPDrm */
-  0x6f4, /* MULPDrr */
+  0x6ec, /* MULPDrm */
+  0x6ed, /* MULPDrr */
 /* Table2764 */
-  0x28e, /* CVTPD2PSrm */
-  0x28f, /* CVTPD2PSrr */
+  0x264, /* CVTPD2PSrm */
+  0x265, /* CVTPD2PSrr */
 /* Table2766 */
-  0x290, /* CVTPS2DQrm */
-  0x291, /* CVTPS2DQrr */
+  0x266, /* CVTPS2DQrm */
+  0x267, /* CVTPS2DQrr */
 /* Table2768 */
-  0xaf2, /* SUBPDrm */
-  0xaf3, /* SUBPDrr */
+  0xaf3, /* SUBPDrm */
+  0xaf4, /* SUBPDrr */
 /* Table2770 */
-  0x564, /* MINPDrm */
-  0x565, /* MINPDrr */
+  0x55c, /* MINPDrm */
+  0x55d, /* MINPDrr */
 /* Table2772 */
-  0x2cf, /* DIVPDrm */
-  0x2d0, /* DIVPDrr */
+  0x2a5, /* DIVPDrm */
+  0x2a6, /* DIVPDrr */
 /* Table2774 */
-  0x54f, /* MAXPDrm */
-  0x550, /* MAXPDrr */
+  0x547, /* MAXPDrm */
+  0x548, /* MAXPDrr */
 /* Table2776 */
-  0x8ce, /* PUNPCKLBWrm */
-  0x8cf, /* PUNPCKLBWrr */
+  0x8c7, /* PUNPCKLBWrm */
+  0x8c8, /* PUNPCKLBWrr */
 /* Table2778 */
-  0x8d4, /* PUNPCKLWDrm */
-  0x8d5, /* PUNPCKLWDrr */
+  0x8cd, /* PUNPCKLWDrm */
+  0x8ce, /* PUNPCKLWDrr */
 /* Table2780 */
-  0x8d0, /* PUNPCKLDQrm */
-  0x8d1, /* PUNPCKLDQrr */
+  0x8c9, /* PUNPCKLDQrm */
+  0x8ca, /* PUNPCKLDQrr */
 /* Table2782 */
-  0x781, /* PACKSSWBrm */
-  0x782, /* PACKSSWBrr */
+  0x77a, /* PACKSSWBrm */
+  0x77b, /* PACKSSWBrr */
 /* Table2784 */
-  0x7ba, /* PCMPGTBrm */
-  0x7bb, /* PCMPGTBrr */
+  0x7b3, /* PCMPGTBrm */
+  0x7b4, /* PCMPGTBrr */
 /* Table2786 */
-  0x7c0, /* PCMPGTWrm */
-  0x7c1, /* PCMPGTWrr */
+  0x7b9, /* PCMPGTWrm */
+  0x7ba, /* PCMPGTWrr */
 /* Table2788 */
-  0x7bc, /* PCMPGTDrm */
-  0x7bd, /* PCMPGTDrr */
+  0x7b5, /* PCMPGTDrm */
+  0x7b6, /* PCMPGTDrr */
 /* Table2790 */
-  0x785, /* PACKUSWBrm */
-  0x786, /* PACKUSWBrr */
+  0x77e, /* PACKUSWBrm */
+  0x77f, /* PACKUSWBrr */
 /* Table2792 */
-  0x8c6, /* PUNPCKHBWrm */
-  0x8c7, /* PUNPCKHBWrr */
+  0x8bf, /* PUNPCKHBWrm */
+  0x8c0, /* PUNPCKHBWrr */
 /* Table2794 */
-  0x8cc, /* PUNPCKHWDrm */
-  0x8cd, /* PUNPCKHWDrr */
+  0x8c5, /* PUNPCKHWDrm */
+  0x8c6, /* PUNPCKHWDrr */
 /* Table2796 */
-  0x8c8, /* PUNPCKHDQrm */
-  0x8c9, /* PUNPCKHDQrr */
+  0x8c1, /* PUNPCKHDQrm */
+  0x8c2, /* PUNPCKHDQrr */
 /* Table2798 */
-  0x77f, /* PACKSSDWrm */
-  0x780, /* PACKSSDWrr */
+  0x778, /* PACKSSDWrm */
+  0x779, /* PACKSSDWrr */
 /* Table2800 */
-  0x8d2, /* PUNPCKLQDQrm */
-  0x8d3, /* PUNPCKLQDQrr */
+  0x8cb, /* PUNPCKLQDQrm */
+  0x8cc, /* PUNPCKLQDQrr */
 /* Table2802 */
-  0x8ca, /* PUNPCKHQDQrm */
-  0x8cb, /* PUNPCKHQDQrr */
+  0x8c3, /* PUNPCKHQDQrm */
+  0x8c4, /* PUNPCKHQDQrr */
 /* Table2804 */
-  0x68a, /* MOVDI2PDIrm */
-  0x68b, /* MOVDI2PDIrr */
+  0x683, /* MOVDI2PDIrm */
+  0x684, /* MOVDI2PDIrr */
 /* Table2806 */
-  0x68f, /* MOVDQArm */
-  0x690, /* MOVDQArr */
+  0x688, /* MOVDQArm */
+  0x689, /* MOVDQArr */
 /* Table2808 */
-  0x88c, /* PSHUFDmi */
-  0x88d, /* PSHUFDri */
+  0x885, /* PSHUFDmi */
+  0x886, /* PSHUFDri */
 /* Table2810 */
   0x0, /*  */
   0x0, /*  */
@@ -46567,11 +51218,11 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8af, /* PSRLWri */
+  0x8a8, /* PSRLWri */
   0x0, /*  */
-  0x8a5, /* PSRAWri */
+  0x89e, /* PSRAWri */
   0x0, /*  */
-  0x89f, /* PSLLWri */
+  0x898, /* PSLLWri */
   0x0, /*  */
 /* Table2826 */
   0x0, /*  */
@@ -46584,11 +51235,11 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8a9, /* PSRLDri */
+  0x8a2, /* PSRLDri */
   0x0, /*  */
-  0x8a2, /* PSRADri */
+  0x89b, /* PSRADri */
   0x0, /*  */
-  0x899, /* PSLLDri */
+  0x892, /* PSLLDri */
   0x0, /*  */
 /* Table2842 */
   0x0, /*  */
@@ -46601,571 +51252,571 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8ac, /* PSRLQri */
-  0x8a8, /* PSRLDQri */
+  0x8a5, /* PSRLQri */
+  0x8a1, /* PSRLDQri */
   0x0, /*  */
   0x0, /*  */
-  0x89c, /* PSLLQri */
-  0x898, /* PSLLDQri */
+  0x895, /* PSLLQri */
+  0x891, /* PSLLDQri */
 /* Table2858 */
-  0x7aa, /* PCMPEQBrm */
-  0x7ab, /* PCMPEQBrr */
+  0x7a3, /* PCMPEQBrm */
+  0x7a4, /* PCMPEQBrr */
 /* Table2860 */
-  0x7b0, /* PCMPEQWrm */
-  0x7b1, /* PCMPEQWrr */
+  0x7a9, /* PCMPEQWrm */
+  0x7aa, /* PCMPEQWrr */
 /* Table2862 */
-  0x7ac, /* PCMPEQDrm */
-  0x7ad, /* PCMPEQDrr */
+  0x7a5, /* PCMPEQDrm */
+  0x7a6, /* PCMPEQDrr */
 /* Table2864 */
-  0xff9, /* VMREAD32rm */
-  0x313, /* EXTRQI */
+  0x1162, /* VMREAD32rm */
+  0x2eb, /* EXTRQI */
 /* Table2866 */
-  0x101c, /* VMWRITE32rm */
-  0x312, /* EXTRQ */
+  0x1191, /* VMWRITE32rm */
+  0x2ea, /* EXTRQ */
 /* Table2868 */
-  0x371, /* HADDPDrm */
-  0x372, /* HADDPDrr */
+  0x348, /* HADDPDrm */
+  0x349, /* HADDPDrr */
 /* Table2870 */
-  0x376, /* HSUBPDrm */
-  0x377, /* HSUBPDrr */
+  0x34d, /* HSUBPDrm */
+  0x34e, /* HSUBPDrr */
 /* Table2872 */
-  0x6ab, /* MOVPDI2DImr */
-  0x6ac, /* MOVPDI2DIrr */
+  0x6a4, /* MOVPDI2DImr */
+  0x6a5, /* MOVPDI2DIrr */
 /* Table2874 */
-  0x68e, /* MOVDQAmr */
-  0x691, /* MOVDQArr_REV */
+  0x687, /* MOVDQAmr */
+  0x68a, /* MOVDQArr_REV */
 /* Table2876 */
-  0x48b, /* JO_2 */
+  0x462, /* JO_2 */
 /* Table2877 */
-  0x482, /* JNO_2 */
+  0x459, /* JNO_2 */
 /* Table2878 */
-  0x461, /* JB_2 */
+  0x438, /* JB_2 */
 /* Table2879 */
-  0x458, /* JAE_2 */
+  0x42f, /* JAE_2 */
 /* Table2880 */
-  0x467, /* JE_2 */
+  0x43e, /* JE_2 */
 /* Table2881 */
-  0x47f, /* JNE_2 */
+  0x456, /* JNE_2 */
 /* Table2882 */
-  0x45e, /* JBE_2 */
+  0x435, /* JBE_2 */
 /* Table2883 */
-  0x45b, /* JA_2 */
+  0x432, /* JA_2 */
 /* Table2884 */
-  0x492, /* JS_2 */
+  0x469, /* JS_2 */
 /* Table2885 */
-  0x488, /* JNS_2 */
+  0x45f, /* JNS_2 */
 /* Table2886 */
-  0x48e, /* JP_2 */
+  0x465, /* JP_2 */
 /* Table2887 */
-  0x485, /* JNP_2 */
+  0x45c, /* JNP_2 */
 /* Table2888 */
-  0x473, /* JL_2 */
+  0x44a, /* JL_2 */
 /* Table2889 */
-  0x46a, /* JGE_2 */
+  0x441, /* JGE_2 */
 /* Table2890 */
-  0x470, /* JLE_2 */
+  0x447, /* JLE_2 */
 /* Table2891 */
-  0x46d, /* JG_2 */
+  0x444, /* JG_2 */
 /* Table2892 */
-  0x8ef, /* PUSHFS16 */
+  0x8e8, /* PUSHFS16 */
 /* Table2893 */
-  0x878, /* POPFS16 */
+  0x871, /* POPFS16 */
 /* Table2894 */
-  0x152, /* BT16mr */
-  0x154, /* BT16rr */
+  0x128, /* BT16mr */
+  0x12a, /* BT16rr */
 /* Table2896 */
-  0xa50, /* SHLD16mri8 */
-  0xa52, /* SHLD16rri8 */
+  0xa51, /* SHLD16mri8 */
+  0xa53, /* SHLD16rri8 */
 /* Table2898 */
-  0xa4f, /* SHLD16mrCL */
-  0xa51, /* SHLD16rrCL */
+  0xa50, /* SHLD16mrCL */
+  0xa52, /* SHLD16rrCL */
 /* Table2900 */
-  0x8f2, /* PUSHGS16 */
+  0x8eb, /* PUSHGS16 */
 /* Table2901 */
-  0x87b, /* POPGS16 */
+  0x874, /* POPGS16 */
 /* Table2902 */
-  0x176, /* BTS16mr */
-  0x178, /* BTS16rr */
+  0x14c, /* BTS16mr */
+  0x14e, /* BTS16rr */
 /* Table2904 */
-  0xa78, /* SHRD16mri8 */
-  0xa7a, /* SHRD16rri8 */
+  0xa79, /* SHRD16mri8 */
+  0xa7b, /* SHRD16rri8 */
 /* Table2906 */
-  0xa77, /* SHRD16mrCL */
-  0xa79, /* SHRD16rrCL */
+  0xa78, /* SHRD16mrCL */
+  0xa7a, /* SHRD16rrCL */
 /* Table2908 */
-  0x390, /* IMUL16rm */
-  0x393, /* IMUL16rr */
+  0x367, /* IMUL16rm */
+  0x36a, /* IMUL16rr */
 /* Table2910 */
-  0x265, /* CMPXCHG16rm */
-  0x266, /* CMPXCHG16rr */
+  0x23b, /* CMPXCHG16rm */
+  0x23c, /* CMPXCHG16rr */
 /* Table2912 */
-  0x536, /* LSS16rm */
+  0x52e, /* LSS16rm */
   0x0, /*  */
 /* Table2914 */
-  0x16a, /* BTR16mr */
-  0x16c, /* BTR16rr */
+  0x140, /* BTR16mr */
+  0x142, /* BTR16rr */
 /* Table2916 */
-  0x4d3, /* LFS16rm */
+  0x4cb, /* LFS16rm */
   0x0, /*  */
 /* Table2918 */
-  0x4d9, /* LGS16rm */
+  0x4d1, /* LGS16rm */
   0x0, /*  */
 /* Table2920 */
-  0x6dd, /* MOVZX16rm8 */
-  0x6de, /* MOVZX16rr8 */
+  0x6d6, /* MOVZX16rm8 */
+  0x6d7, /* MOVZX16rr8 */
 /* Table2922 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x151, /* BT16mi8 */
-  0x175, /* BTS16mi8 */
-  0x169, /* BTR16mi8 */
-  0x15d, /* BTC16mi8 */
+  0x127, /* BT16mi8 */
+  0x14b, /* BTS16mi8 */
+  0x13f, /* BTR16mi8 */
+  0x133, /* BTC16mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x153, /* BT16ri8 */
-  0x177, /* BTS16ri8 */
-  0x16b, /* BTR16ri8 */
-  0x15f, /* BTC16ri8 */
+  0x129, /* BT16ri8 */
+  0x14d, /* BTS16ri8 */
+  0x141, /* BTR16ri8 */
+  0x135, /* BTC16ri8 */
 /* Table2938 */
-  0x15e, /* BTC16mr */
-  0x160, /* BTC16rr */
+  0x134, /* BTC16mr */
+  0x136, /* BTC16rr */
 /* Table2940 */
-  0x143, /* BSF16rm */
-  0x144, /* BSF16rr */
+  0x119, /* BSF16rm */
+  0x11a, /* BSF16rr */
 /* Table2942 */
-  0x149, /* BSR16rm */
-  0x14a, /* BSR16rr */
+  0x11f, /* BSR16rm */
+  0x120, /* BSR16rr */
 /* Table2944 */
-  0x6c5, /* MOVSX16rm8 */
-  0x6c6, /* MOVSX16rr8 */
+  0x6be, /* MOVSX16rm8 */
+  0x6bf, /* MOVSX16rr8 */
 /* Table2946 */
-  0x14f8, /* XADD16rm */
-  0x14f9, /* XADD16rr */
+  0x1797, /* XADD16rm */
+  0x1798, /* XADD16rr */
 /* Table2948 */
-  0x250, /* CMPPDrmi */
-  0x252, /* CMPPDrri */
+  0x226, /* CMPPDrmi */
+  0x228, /* CMPPDrri */
 /* Table2950 */
-  0x819, /* PINSRWrmi */
-  0x81a, /* PINSRWrri */
+  0x812, /* PINSRWrmi */
+  0x813, /* PINSRWrri */
 /* Table2952 */
   0x0, /*  */
-  0x7d9, /* PEXTRWri */
+  0x7d2, /* PEXTRWri */
 /* Table2954 */
-  0xa87, /* SHUFPDrmi */
-  0xa88, /* SHUFPDrri */
+  0xa88, /* SHUFPDrmi */
+  0xa89, /* SHUFPDrri */
 /* Table2956 */
   0x0, /*  */
-  0x26b, /* CMPXCHG8B */
+  0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf0f, /* VMCLEARm */
-  0xff8, /* VMPTRSTm */
+  0xf44, /* VMCLEARm */
+  0x1161, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x939, /* RDRAND16r */
-  0x93c, /* RDSEED16r */
+  0x932, /* RDRAND16r */
+  0x935, /* RDSEED16r */
 /* Table2972 */
-  0x7d, /* ADDSUBPDrm */
-  0x7e, /* ADDSUBPDrr */
+  0x7e, /* ADDSUBPDrm */
+  0x7f, /* ADDSUBPDrr */
 /* Table2974 */
-  0x8b0, /* PSRLWrm */
-  0x8b1, /* PSRLWrr */
+  0x8a9, /* PSRLWrm */
+  0x8aa, /* PSRLWrr */
 /* Table2976 */
-  0x8aa, /* PSRLDrm */
-  0x8ab, /* PSRLDrr */
+  0x8a3, /* PSRLDrm */
+  0x8a4, /* PSRLDrr */
 /* Table2978 */
-  0x8ad, /* PSRLQrm */
-  0x8ae, /* PSRLQrr */
+  0x8a6, /* PSRLQrm */
+  0x8a7, /* PSRLQrr */
 /* Table2980 */
-  0x78b, /* PADDQrm */
-  0x78c, /* PADDQrr */
+  0x784, /* PADDQrm */
+  0x785, /* PADDQrr */
 /* Table2982 */
-  0x85c, /* PMULLWrm */
-  0x85d, /* PMULLWrr */
+  0x855, /* PMULLWrm */
+  0x856, /* PMULLWrr */
 /* Table2984 */
-  0x6ad, /* MOVPQI2QImr */
-  0x6ae, /* MOVPQI2QIrr */
+  0x6a6, /* MOVPQI2QImr */
+  0x6a7, /* MOVPQI2QIrr */
 /* Table2986 */
   0x0, /*  */
-  0x837, /* PMOVMSKBrr */
+  0x830, /* PMOVMSKBrr */
 /* Table2988 */
-  0x8bc, /* PSUBUSBrm */
-  0x8bd, /* PSUBUSBrr */
+  0x8b5, /* PSUBUSBrm */
+  0x8b6, /* PSUBUSBrr */
 /* Table2990 */
-  0x8be, /* PSUBUSWrm */
-  0x8bf, /* PSUBUSWrr */
+  0x8b7, /* PSUBUSWrm */
+  0x8b8, /* PSUBUSWrr */
 /* Table2992 */
-  0x831, /* PMINUBrm */
-  0x832, /* PMINUBrr */
+  0x82a, /* PMINUBrm */
+  0x82b, /* PMINUBrr */
 /* Table2994 */
-  0x79b, /* PANDrm */
-  0x79c, /* PANDrr */
+  0x794, /* PANDrm */
+  0x795, /* PANDrr */
 /* Table2996 */
-  0x791, /* PADDUSBrm */
-  0x792, /* PADDUSBrr */
+  0x78a, /* PADDUSBrm */
+  0x78b, /* PADDUSBrr */
 /* Table2998 */
-  0x793, /* PADDUSWrm */
-  0x794, /* PADDUSWrr */
+  0x78c, /* PADDUSWrm */
+  0x78d, /* PADDUSWrr */
 /* Table3000 */
-  0x825, /* PMAXUBrm */
-  0x826, /* PMAXUBrr */
+  0x81e, /* PMAXUBrm */
+  0x81f, /* PMAXUBrr */
 /* Table3002 */
-  0x799, /* PANDNrm */
-  0x79a, /* PANDNrr */
+  0x792, /* PANDNrm */
+  0x793, /* PANDNrr */
 /* Table3004 */
-  0x79e, /* PAVGBrm */
-  0x79f, /* PAVGBrr */
+  0x797, /* PAVGBrm */
+  0x798, /* PAVGBrr */
 /* Table3006 */
-  0x8a6, /* PSRAWrm */
-  0x8a7, /* PSRAWrr */
+  0x89f, /* PSRAWrm */
+  0x8a0, /* PSRAWrr */
 /* Table3008 */
-  0x8a3, /* PSRADrm */
-  0x8a4, /* PSRADrr */
+  0x89c, /* PSRADrm */
+  0x89d, /* PSRADrr */
 /* Table3010 */
-  0x7a2, /* PAVGWrm */
-  0x7a3, /* PAVGWrr */
+  0x79b, /* PAVGWrm */
+  0x79c, /* PAVGWrr */
 /* Table3012 */
-  0x856, /* PMULHUWrm */
-  0x857, /* PMULHUWrr */
+  0x84f, /* PMULHUWrm */
+  0x850, /* PMULHUWrr */
 /* Table3014 */
-  0x858, /* PMULHWrm */
-  0x859, /* PMULHWrr */
+  0x851, /* PMULHWrm */
+  0x852, /* PMULHWrr */
 /* Table3016 */
-  0x2a8, /* CVTTPD2DQrm */
-  0x2a9, /* CVTTPD2DQrr */
+  0x27e, /* CVTTPD2DQrm */
+  0x27f, /* CVTTPD2DQrr */
 /* Table3018 */
-  0x6a3, /* MOVNTDQmr */
+  0x69c, /* MOVNTDQmr */
   0x0, /*  */
 /* Table3020 */
-  0x8b8, /* PSUBSBrm */
-  0x8b9, /* PSUBSBrr */
+  0x8b1, /* PSUBSBrm */
+  0x8b2, /* PSUBSBrr */
 /* Table3022 */
-  0x8ba, /* PSUBSWrm */
-  0x8bb, /* PSUBSWrr */
+  0x8b3, /* PSUBSWrm */
+  0x8b4, /* PSUBSWrr */
 /* Table3024 */
-  0x82f, /* PMINSWrm */
-  0x830, /* PMINSWrr */
+  0x828, /* PMINSWrm */
+  0x829, /* PMINSWrr */
 /* Table3026 */
-  0x880, /* PORrm */
-  0x881, /* PORrr */
+  0x879, /* PORrm */
+  0x87a, /* PORrr */
 /* Table3028 */
-  0x78d, /* PADDSBrm */
-  0x78e, /* PADDSBrr */
+  0x786, /* PADDSBrm */
+  0x787, /* PADDSBrr */
 /* Table3030 */
-  0x78f, /* PADDSWrm */
-  0x790, /* PADDSWrr */
+  0x788, /* PADDSWrm */
+  0x789, /* PADDSWrr */
 /* Table3032 */
-  0x823, /* PMAXSWrm */
-  0x824, /* PMAXSWrr */
+  0x81c, /* PMAXSWrm */
+  0x81d, /* PMAXSWrr */
 /* Table3034 */
-  0x8f9, /* PXORrm */
-  0x8fa, /* PXORrr */
+  0x8f2, /* PXORrm */
+  0x8f3, /* PXORrr */
 /* Table3036 */
-  0x8a0, /* PSLLWrm */
-  0x8a1, /* PSLLWrr */
+  0x899, /* PSLLWrm */
+  0x89a, /* PSLLWrr */
 /* Table3038 */
-  0x89a, /* PSLLDrm */
-  0x89b, /* PSLLDrr */
+  0x893, /* PSLLDrm */
+  0x894, /* PSLLDrr */
 /* Table3040 */
-  0x89d, /* PSLLQrm */
-  0x89e, /* PSLLQrr */
+  0x896, /* PSLLQrm */
+  0x897, /* PSLLQrr */
 /* Table3042 */
-  0x85e, /* PMULUDQrm */
-  0x85f, /* PMULUDQrr */
+  0x857, /* PMULUDQrm */
+  0x858, /* PMULUDQrr */
 /* Table3044 */
-  0x81d, /* PMADDWDrm */
-  0x81e, /* PMADDWDrr */
+  0x816, /* PMADDWDrm */
+  0x817, /* PMADDWDrr */
 /* Table3046 */
-  0x888, /* PSADBWrm */
-  0x889, /* PSADBWrr */
+  0x881, /* PSADBWrm */
+  0x882, /* PSADBWrr */
 /* Table3048 */
   0x0, /*  */
-  0x545, /* MASKMOVDQU */
+  0x53d, /* MASKMOVDQU */
 /* Table3050 */
-  0x8b2, /* PSUBBrm */
-  0x8b3, /* PSUBBrr */
+  0x8ab, /* PSUBBrm */
+  0x8ac, /* PSUBBrr */
 /* Table3052 */
-  0x8c0, /* PSUBWrm */
-  0x8c1, /* PSUBWrr */
+  0x8b9, /* PSUBWrm */
+  0x8ba, /* PSUBWrr */
 /* Table3054 */
-  0x8b4, /* PSUBDrm */
-  0x8b5, /* PSUBDrr */
+  0x8ad, /* PSUBDrm */
+  0x8ae, /* PSUBDrr */
 /* Table3056 */
-  0x8b6, /* PSUBQrm */
-  0x8b7, /* PSUBQrr */
+  0x8af, /* PSUBQrm */
+  0x8b0, /* PSUBQrr */
 /* Table3058 */
-  0x787, /* PADDBrm */
-  0x788, /* PADDBrr */
+  0x780, /* PADDBrm */
+  0x781, /* PADDBrr */
 /* Table3060 */
-  0x795, /* PADDWrm */
-  0x796, /* PADDWrr */
+  0x78e, /* PADDWrm */
+  0x78f, /* PADDWrr */
 /* Table3062 */
-  0x789, /* PADDDrm */
-  0x78a, /* PADDDrr */
+  0x782, /* PADDDrm */
+  0x783, /* PADDDrr */
 /* Table3064 */
-  0x6b3, /* MOVSDrm */
-  0x6b4, /* MOVSDrr */
+  0x6ac, /* MOVSDrm */
+  0x6ad, /* MOVSDrr */
 /* Table3066 */
-  0x6b2, /* MOVSDmr */
-  0x6b5, /* MOVSDrr_REV */
+  0x6ab, /* MOVSDmr */
+  0x6ae, /* MOVSDrr_REV */
 /* Table3068 */
-  0x688, /* MOVDDUPrm */
-  0x689, /* MOVDDUPrr */
+  0x681, /* MOVDDUPrm */
+  0x682, /* MOVDDUPrr */
 /* Table3070 */
-  0x29c, /* CVTSI2SDrm */
-  0x29d, /* CVTSI2SDrr */
+  0x272, /* CVTSI2SDrm */
+  0x273, /* CVTSI2SDrr */
 /* Table3072 */
-  0x6a8, /* MOVNTSD */
+  0x6a1, /* MOVNTSD */
   0x0, /*  */
 /* Table3074 */
-  0x2ae, /* CVTTSD2SIrm */
-  0x2af, /* CVTTSD2SIrr */
+  0x284, /* CVTTSD2SIrm */
+  0x285, /* CVTTSD2SIrr */
 /* Table3076 */
-  0x296, /* CVTSD2SIrm */
-  0x297, /* CVTSD2SIrr */
+  0x26c, /* CVTSD2SIrm */
+  0x26d, /* CVTSD2SIrr */
 /* Table3078 */
-  0xaa0, /* SQRTSDm */
-  0xaa2, /* SQRTSDr */
+  0xaa1, /* SQRTSDm */
+  0xaa3, /* SQRTSDr */
 /* Table3080 */
-  0x75, /* ADDSDrm */
-  0x77, /* ADDSDrr */
+  0x76, /* ADDSDrm */
+  0x78, /* ADDSDrr */
 /* Table3082 */
-  0x6f7, /* MULSDrm */
-  0x6f9, /* MULSDrr */
+  0x6f0, /* MULSDrm */
+  0x6f2, /* MULSDrr */
 /* Table3084 */
-  0x298, /* CVTSD2SSrm */
-  0x299, /* CVTSD2SSrr */
+  0x26e, /* CVTSD2SSrm */
+  0x26f, /* CVTSD2SSrr */
 /* Table3086 */
-  0xb08, /* SUBSDrm */
-  0xb0a, /* SUBSDrr */
+  0xb09, /* SUBSDrm */
+  0xb0b, /* SUBSDrr */
 /* Table3088 */
-  0x568, /* MINSDrm */
-  0x56a, /* MINSDrr */
+  0x560, /* MINSDrm */
+  0x562, /* MINSDrr */
 /* Table3090 */
-  0x2e5, /* DIVSDrm */
-  0x2e7, /* DIVSDrr */
+  0x2bb, /* DIVSDrm */
+  0x2bd, /* DIVSDrr */
 /* Table3092 */
-  0x553, /* MAXSDrm */
-  0x555, /* MAXSDrr */
+  0x54b, /* MAXSDrm */
+  0x54d, /* MAXSDrr */
 /* Table3094 */
-  0x890, /* PSHUFLWmi */
-  0x891, /* PSHUFLWri */
+  0x889, /* PSHUFLWmi */
+  0x88a, /* PSHUFLWri */
 /* Table3096 */
-  0xff9, /* VMREAD32rm */
-  0x3c2, /* INSERTQI */
+  0x1162, /* VMREAD32rm */
+  0x397, /* INSERTQI */
 /* Table3098 */
-  0x101c, /* VMWRITE32rm */
-  0x3c1, /* INSERTQ */
+  0x1191, /* VMWRITE32rm */
+  0x396, /* INSERTQ */
 /* Table3100 */
-  0x373, /* HADDPSrm */
-  0x374, /* HADDPSrr */
+  0x34a, /* HADDPSrm */
+  0x34b, /* HADDPSrr */
 /* Table3102 */
-  0x378, /* HSUBPSrm */
-  0x379, /* HSUBPSrr */
+  0x34f, /* HSUBPSrm */
+  0x350, /* HSUBPSrr */
 /* Table3104 */
-  0x25c, /* CMPSDrm */
-  0x25e, /* CMPSDrr */
+  0x22f, /* CMPSDrm */
+  0x231, /* CMPSDrr */
 /* Table3106 */
-  0x7f, /* ADDSUBPSrm */
-  0x80, /* ADDSUBPSrr */
+  0x80, /* ADDSUBPSrm */
+  0x81, /* ADDSUBPSrr */
 /* Table3108 */
   0x0, /*  */
-  0x585, /* MMX_MOVDQ2Qrr */
+  0x57d, /* MMX_MOVDQ2Qrr */
 /* Table3110 */
-  0x28c, /* CVTPD2DQrm */
-  0x28d, /* CVTPD2DQrr */
+  0x262, /* CVTPD2DQrm */
+  0x263, /* CVTPD2DQrr */
 /* Table3112 */
-  0x4b4, /* LDDQUrm */
+  0x4ac, /* LDDQUrm */
   0x0, /*  */
 /* Table3114 */
-  0x6c1, /* MOVSSrm */
-  0x6c2, /* MOVSSrr */
+  0x6ba, /* MOVSSrm */
+  0x6bb, /* MOVSSrr */
 /* Table3116 */
-  0x6c0, /* MOVSSmr */
-  0x6c3, /* MOVSSrr_REV */
+  0x6b9, /* MOVSSmr */
+  0x6bc, /* MOVSSrr_REV */
 /* Table3118 */
-  0x6bb, /* MOVSLDUPrm */
-  0x6bc, /* MOVSLDUPrr */
+  0x6b4, /* MOVSLDUPrm */
+  0x6b5, /* MOVSLDUPrr */
 /* Table3120 */
-  0x6b8, /* MOVSHDUPrm */
-  0x6b9, /* MOVSHDUPrr */
+  0x6b1, /* MOVSHDUPrm */
+  0x6b2, /* MOVSHDUPrr */
 /* Table3122 */
-  0x2a0, /* CVTSI2SSrm */
-  0x2a1, /* CVTSI2SSrr */
+  0x276, /* CVTSI2SSrm */
+  0x277, /* CVTSI2SSrr */
 /* Table3124 */
-  0x6a9, /* MOVNTSS */
+  0x6a2, /* MOVNTSS */
   0x0, /*  */
 /* Table3126 */
-  0x2b2, /* CVTTSS2SIrm */
-  0x2b3, /* CVTTSS2SIrr */
+  0x288, /* CVTTSS2SIrm */
+  0x289, /* CVTTSS2SIrr */
 /* Table3128 */
-  0x2a6, /* CVTSS2SIrm */
-  0x2a7, /* CVTSS2SIrr */
+  0x27c, /* CVTSS2SIrm */
+  0x27d, /* CVTSS2SIrr */
 /* Table3130 */
-  0xaa4, /* SQRTSSm */
-  0xaa6, /* SQRTSSr */
+  0xaa5, /* SQRTSSm */
+  0xaa7, /* SQRTSSr */
 /* Table3132 */
-  0x99f, /* RSQRTSSm */
-  0x9a1, /* RSQRTSSr */
+  0x998, /* RSQRTSSm */
+  0x99a, /* RSQRTSSr */
 /* Table3134 */
-  0x917, /* RCPSSm */
-  0x919, /* RCPSSr */
+  0x910, /* RCPSSm */
+  0x912, /* RCPSSr */
 /* Table3136 */
-  0x79, /* ADDSSrm */
-  0x7b, /* ADDSSrr */
+  0x7a, /* ADDSSrm */
+  0x7c, /* ADDSSrr */
 /* Table3138 */
-  0x6fb, /* MULSSrm */
-  0x6fd, /* MULSSrr */
+  0x6f4, /* MULSSrm */
+  0x6f6, /* MULSSrr */
 /* Table3140 */
-  0x2a2, /* CVTSS2SDrm */
-  0x2a3, /* CVTSS2SDrr */
+  0x278, /* CVTSS2SDrm */
+  0x279, /* CVTSS2SDrr */
 /* Table3142 */
-  0x2aa, /* CVTTPS2DQrm */
-  0x2ab, /* CVTTPS2DQrr */
+  0x280, /* CVTTPS2DQrm */
+  0x281, /* CVTTPS2DQrr */
 /* Table3144 */
-  0xb0c, /* SUBSSrm */
-  0xb0e, /* SUBSSrr */
+  0xb0d, /* SUBSSrm */
+  0xb0f, /* SUBSSrr */
 /* Table3146 */
-  0x56c, /* MINSSrm */
-  0x56e, /* MINSSrr */
+  0x564, /* MINSSrm */
+  0x566, /* MINSSrr */
 /* Table3148 */
-  0x2e9, /* DIVSSrm */
-  0x2eb, /* DIVSSrr */
+  0x2bf, /* DIVSSrm */
+  0x2c1, /* DIVSSrr */
 /* Table3150 */
-  0x557, /* MAXSSrm */
-  0x559, /* MAXSSrr */
+  0x54f, /* MAXSSrm */
+  0x551, /* MAXSSrr */
 /* Table3152 */
-  0x693, /* MOVDQUrm */
-  0x694, /* MOVDQUrr */
+  0x68c, /* MOVDQUrm */
+  0x68d, /* MOVDQUrr */
 /* Table3154 */
-  0x88e, /* PSHUFHWmi */
-  0x88f, /* PSHUFHWri */
+  0x887, /* PSHUFHWmi */
+  0x888, /* PSHUFHWri */
 /* Table3156 */
-  0x6b0, /* MOVQI2PQIrm */
-  0x6da, /* MOVZPQILo2PQIrr */
+  0x6a9, /* MOVQI2PQIrm */
+  0x6d3, /* MOVZPQILo2PQIrr */
 /* Table3158 */
-  0x692, /* MOVDQUmr */
-  0x695, /* MOVDQUrr_REV */
+  0x68b, /* MOVDQUmr */
+  0x68e, /* MOVDQUrr_REV */
 /* Table3160 */
-  0x86d, /* POPCNT32rm */
-  0x86e, /* POPCNT32rr */
+  0x866, /* POPCNT32rm */
+  0x867, /* POPCNT32rr */
 /* Table3162 */
-  0xb66, /* TZCNT32rm */
-  0xb67, /* TZCNT32rr */
+  0xb67, /* TZCNT32rm */
+  0xb68, /* TZCNT32rr */
 /* Table3164 */
-  0x541, /* LZCNT32rm */
-  0x542, /* LZCNT32rr */
+  0x539, /* LZCNT32rm */
+  0x53a, /* LZCNT32rr */
 /* Table3166 */
-  0x260, /* CMPSSrm */
-  0x262, /* CMPSSrr */
+  0x235, /* CMPSSrm */
+  0x237, /* CMPSSrr */
 /* Table3168 */
   0x0, /*  */
-  0x26b, /* CMPXCHG8B */
+  0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1021, /* VMXON */
-  0xff8, /* VMPTRSTm */
+  0x1196, /* VMXON */
+  0x1161, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93a, /* RDRAND32r */
-  0x93d, /* RDSEED32r */
+  0x933, /* RDRAND32r */
+  0x936, /* RDSEED32r */
 /* Table3184 */
   0x0, /*  */
-  0x588, /* MMX_MOVQ2DQrr */
+  0x580, /* MMX_MOVQ2DQrr */
 /* Table3186 */
-  0x288, /* CVTDQ2PDrm */
-  0x289, /* CVTDQ2PDrr */
+  0x25e, /* CVTDQ2PDrm */
+  0x25f, /* CVTDQ2PDrr */
 /* Table3188 */
-  0x86b, /* POPCNT16rm */
-  0x86c, /* POPCNT16rr */
+  0x864, /* POPCNT16rm */
+  0x865, /* POPCNT16rr */
 /* Table3190 */
-  0xb64, /* TZCNT16rm */
-  0xb65, /* TZCNT16rr */
+  0xb65, /* TZCNT16rm */
+  0xb66, /* TZCNT16rr */
 /* Table3192 */
-  0x53f, /* LZCNT16rm */
-  0x540, /* LZCNT16rr */
+  0x537, /* LZCNT16rm */
+  0x538, /* LZCNT16rr */
 /* Table3194 */
-  0xa96, /* SLDT64m */
-  0xaba, /* STRm */
-  0x4df, /* LLDT16m */
-  0x539, /* LTRm */
-  0xcdb, /* VERRm */
-  0xcdd, /* VERWm */
+  0xa97, /* SLDT64m */
+  0xabb, /* STRm */
+  0x4d7, /* LLDT16m */
+  0x531, /* LTRm */
+  0xcfc, /* VERRm */
+  0xcfe, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa97, /* SLDT64r */
-  0xab9, /* STR64r */
-  0x4e0, /* LLDT16r */
-  0x53a, /* LTRr */
-  0xcdc, /* VERRr */
-  0xcde, /* VERWr */
+  0xa98, /* SLDT64r */
+  0xaba, /* STR64r */
+  0x4d8, /* LLDT16r */
+  0x532, /* LTRr */
+  0xcfd, /* VERRr */
+  0xcff, /* VERWr */
   0x0, /*  */
   0x0, /*  */
 /* Table3210 */
-  0xa28, /* SGDT64m */
-  0xa8d, /* SIDT64m */
-  0x4d8, /* LGDT64m */
-  0x4de, /* LIDT64m */
-  0xa98, /* SMSW16m */
+  0xa29, /* SGDT64m */
+  0xa8e, /* SIDT64m */
+  0x4d0, /* LGDT64m */
+  0x4d6, /* LIDT64m */
+  0xa99, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d9, /* LMSW16m */
+  0x3a1, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf43, /* VMCALL */
+  0xf78, /* VMLAUNCH */
+  0x1166, /* VMRESUME */
+  0x1195, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x623, /* MONITORrrr */
+  0x711, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaae, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e4, /* ENCLS */
+  0x17b4, /* XGETBV */
+  0x17e4, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xfff, /* VMRUN64 */
-  0xf3a, /* VMMCALL */
-  0xf39, /* VMLOAD64 */
-  0x1001, /* VMSAVE64 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cc, /* INVLPGA64 */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
+  0xf45, /* VMFUNC */
+  0x17b3, /* XEND */
+  0x17e8, /* XTEST */
+  0x2e5, /* ENCLU */
+  0x1168, /* VMRUN64 */
+  0xf7b, /* VMMCALL */
+  0xf7a, /* VMLOAD64 */
+  0x116a, /* VMSAVE64 */
+  0xab1, /* STGI */
+  0x16f, /* CLGI */
+  0xa93, /* SKINIT */
+  0x3a3, /* INVLPGA64 */
+  0xa9c, /* SMSW64r */
+  0xa9c, /* SMSW64r */
+  0xa9c, /* SMSW64r */
+  0xa9c, /* SMSW64r */
+  0xa9c, /* SMSW64r */
+  0xa9c, /* SMSW64r */
+  0xa9c, /* SMSW64r */
+  0xa9c, /* SMSW64r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47174,16 +51825,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0xb26, /* SWAPGS */
+  0x939, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47191,96 +51842,96 @@
   0x0, /*  */
   0x0, /*  */
 /* Table3282 */
-  0x4ac, /* LAR64rm */
-  0x4ad, /* LAR64rr */
+  0x4a4, /* LAR64rm */
+  0x4a5, /* LAR64rr */
 /* Table3284 */
-  0x534, /* LSL64rm */
-  0x535, /* LSL64rr */
+  0x52c, /* LSL64rm */
+  0x52d, /* LSL64rr */
 /* Table3286 */
-  0xb2b, /* SYSRET64 */
+  0xb2c, /* SYSRET64 */
 /* Table3287 */
-  0xb29, /* SYSEXIT64 */
+  0xb2a, /* SYSEXIT64 */
 /* Table3288 */
-  0x20b, /* CMOVO64rm */
-  0x20c, /* CMOVO64rr */
+  0x1e1, /* CMOVO64rm */
+  0x1e2, /* CMOVO64rr */
 /* Table3290 */
-  0x1f5, /* CMOVNO64rm */
-  0x1f6, /* CMOVNO64rr */
+  0x1cb, /* CMOVNO64rm */
+  0x1cc, /* CMOVNO64rr */
 /* Table3292 */
-  0x1ad, /* CMOVB64rm */
-  0x1ae, /* CMOVB64rr */
+  0x183, /* CMOVB64rm */
+  0x184, /* CMOVB64rr */
 /* Table3294 */
-  0x1a7, /* CMOVAE64rm */
-  0x1a8, /* CMOVAE64rr */
+  0x17d, /* CMOVAE64rm */
+  0x17e, /* CMOVAE64rr */
 /* Table3296 */
-  0x1c1, /* CMOVE64rm */
-  0x1c2, /* CMOVE64rr */
+  0x197, /* CMOVE64rm */
+  0x198, /* CMOVE64rr */
 /* Table3298 */
-  0x1eb, /* CMOVNE64rm */
-  0x1ec, /* CMOVNE64rr */
+  0x1c1, /* CMOVNE64rm */
+  0x1c2, /* CMOVNE64rr */
 /* Table3300 */
-  0x1b3, /* CMOVBE64rm */
-  0x1b4, /* CMOVBE64rr */
+  0x189, /* CMOVBE64rm */
+  0x18a, /* CMOVBE64rr */
 /* Table3302 */
-  0x1a1, /* CMOVA64rm */
-  0x1a2, /* CMOVA64rr */
+  0x177, /* CMOVA64rm */
+  0x178, /* CMOVA64rr */
 /* Table3304 */
-  0x21b, /* CMOVS64rm */
-  0x21c, /* CMOVS64rr */
+  0x1f1, /* CMOVS64rm */
+  0x1f2, /* CMOVS64rr */
 /* Table3306 */
-  0x205, /* CMOVNS64rm */
-  0x206, /* CMOVNS64rr */
+  0x1db, /* CMOVNS64rm */
+  0x1dc, /* CMOVNS64rr */
 /* Table3308 */
-  0x211, /* CMOVP64rm */
-  0x212, /* CMOVP64rr */
+  0x1e7, /* CMOVP64rm */
+  0x1e8, /* CMOVP64rr */
 /* Table3310 */
-  0x1fb, /* CMOVNP64rm */
-  0x1fc, /* CMOVNP64rr */
+  0x1d1, /* CMOVNP64rm */
+  0x1d2, /* CMOVNP64rr */
 /* Table3312 */
-  0x1d7, /* CMOVL64rm */
-  0x1d8, /* CMOVL64rr */
+  0x1ad, /* CMOVL64rm */
+  0x1ae, /* CMOVL64rr */
 /* Table3314 */
-  0x1d1, /* CMOVGE64rm */
-  0x1d2, /* CMOVGE64rr */
+  0x1a7, /* CMOVGE64rm */
+  0x1a8, /* CMOVGE64rr */
 /* Table3316 */
-  0x1dd, /* CMOVLE64rm */
-  0x1de, /* CMOVLE64rr */
+  0x1b3, /* CMOVLE64rm */
+  0x1b4, /* CMOVLE64rr */
 /* Table3318 */
-  0x1cb, /* CMOVG64rm */
-  0x1cc, /* CMOVG64rr */
+  0x1a1, /* CMOVG64rm */
+  0x1a2, /* CMOVG64rr */
 /* Table3320 */
-  0x582, /* MMX_MOVD64rm */
-  0x584, /* MMX_MOVD64to64rr */
+  0x57a, /* MMX_MOVD64rm */
+  0x57c, /* MMX_MOVD64to64rr */
 /* Table3322 */
-  0x581, /* MMX_MOVD64mr */
-  0x57f, /* MMX_MOVD64from64rr */
+  0x579, /* MMX_MOVD64mr */
+  0x577, /* MMX_MOVD64from64rr */
 /* Table3324 */
-  0x15a, /* BT64mr */
-  0x15c, /* BT64rr */
+  0x130, /* BT64mr */
+  0x132, /* BT64rr */
 /* Table3326 */
-  0xa58, /* SHLD64mri8 */
-  0xa5a, /* SHLD64rri8 */
+  0xa59, /* SHLD64mri8 */
+  0xa5b, /* SHLD64rri8 */
 /* Table3328 */
-  0xa57, /* SHLD64mrCL */
-  0xa59, /* SHLD64rrCL */
+  0xa58, /* SHLD64mrCL */
+  0xa5a, /* SHLD64rrCL */
 /* Table3330 */
-  0x17e, /* BTS64mr */
-  0x180, /* BTS64rr */
+  0x154, /* BTS64mr */
+  0x156, /* BTS64rr */
 /* Table3332 */
-  0xa80, /* SHRD64mri8 */
-  0xa82, /* SHRD64rri8 */
+  0xa81, /* SHRD64mri8 */
+  0xa83, /* SHRD64rri8 */
 /* Table3334 */
-  0xa7f, /* SHRD64mrCL */
-  0xa81, /* SHRD64rrCL */
+  0xa80, /* SHRD64mrCL */
+  0xa82, /* SHRD64rrCL */
 /* Table3336 */
-  0x354, /* FXSAVE64 */
-  0x352, /* FXRSTOR64 */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1542, /* XSAVE64 */
-  0x1540, /* XRSTOR64 */
-  0x1544, /* XSAVEOPT64 */
-  0x198, /* CLFLUSH */
+  0x32c, /* FXSAVE64 */
+  0x32a, /* FXRSTOR64 */
+  0x4ad, /* LDMXCSR */
+  0xab3, /* STMXCSR */
+  0x17e1, /* XSAVE64 */
+  0x17df, /* XRSTOR64 */
+  0x17e3, /* XSAVEOPT64 */
+  0x16e, /* CLFLUSH */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47321,7 +51972,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4ca, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47329,7 +51980,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x553, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47337,7 +51988,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa26, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47346,135 +51997,135 @@
   0x0, /*  */
   0x0, /*  */
 /* Table3408 */
-  0x3a0, /* IMUL64rm */
-  0x3a3, /* IMUL64rr */
+  0x377, /* IMUL64rm */
+  0x37a, /* IMUL64rr */
 /* Table3410 */
-  0x269, /* CMPXCHG64rm */
-  0x26a, /* CMPXCHG64rr */
+  0x23f, /* CMPXCHG64rm */
+  0x240, /* CMPXCHG64rr */
 /* Table3412 */
-  0x538, /* LSS64rm */
+  0x530, /* LSS64rm */
   0x0, /*  */
 /* Table3414 */
-  0x172, /* BTR64mr */
-  0x174, /* BTR64rr */
+  0x148, /* BTR64mr */
+  0x14a, /* BTR64rr */
 /* Table3416 */
-  0x4d5, /* LFS64rm */
+  0x4cd, /* LFS64rm */
   0x0, /*  */
 /* Table3418 */
-  0x4db, /* LGS64rm */
+  0x4d3, /* LGS64rm */
   0x0, /*  */
 /* Table3420 */
-  0x6e6, /* MOVZX64rm8_Q */
-  0x6e8, /* MOVZX64rr8_Q */
+  0x6df, /* MOVZX64rm8_Q */
+  0x6e1, /* MOVZX64rr8_Q */
 /* Table3422 */
-  0x6e5, /* MOVZX64rm16_Q */
-  0x6e7, /* MOVZX64rr16_Q */
+  0x6de, /* MOVZX64rm16_Q */
+  0x6e0, /* MOVZX64rr16_Q */
 /* Table3424 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x159, /* BT64mi8 */
-  0x17d, /* BTS64mi8 */
-  0x171, /* BTR64mi8 */
-  0x165, /* BTC64mi8 */
+  0x12f, /* BT64mi8 */
+  0x153, /* BTS64mi8 */
+  0x147, /* BTR64mi8 */
+  0x13b, /* BTC64mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x15b, /* BT64ri8 */
-  0x17f, /* BTS64ri8 */
-  0x173, /* BTR64ri8 */
-  0x167, /* BTC64ri8 */
+  0x131, /* BT64ri8 */
+  0x155, /* BTS64ri8 */
+  0x149, /* BTR64ri8 */
+  0x13d, /* BTC64ri8 */
 /* Table3440 */
-  0x166, /* BTC64mr */
-  0x168, /* BTC64rr */
+  0x13c, /* BTC64mr */
+  0x13e, /* BTC64rr */
 /* Table3442 */
-  0x147, /* BSF64rm */
-  0x148, /* BSF64rr */
+  0x11d, /* BSF64rm */
+  0x11e, /* BSF64rr */
 /* Table3444 */
-  0x14d, /* BSR64rm */
-  0x14e, /* BSR64rr */
+  0x123, /* BSR64rm */
+  0x124, /* BSR64rr */
 /* Table3446 */
-  0x6cd, /* MOVSX64rm8 */
-  0x6d0, /* MOVSX64rr8 */
+  0x6c6, /* MOVSX64rm8 */
+  0x6c9, /* MOVSX64rr8 */
 /* Table3448 */
-  0x6cb, /* MOVSX64rm16 */
-  0x6ce, /* MOVSX64rr16 */
+  0x6c4, /* MOVSX64rm16 */
+  0x6c7, /* MOVSX64rr16 */
 /* Table3450 */
-  0x14fc, /* XADD64rm */
-  0x14fd, /* XADD64rr */
+  0x179b, /* XADD64rm */
+  0x179c, /* XADD64rr */
 /* Table3452 */
-  0x6a4, /* MOVNTI_64mr */
+  0x69d, /* MOVNTI_64mr */
   0x0, /*  */
 /* Table3454 */
   0x0, /*  */
-  0x264, /* CMPXCHG16B */
+  0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xff7, /* VMPTRLDm */
-  0xff8, /* VMPTRSTm */
+  0x1160, /* VMPTRLDm */
+  0x1161, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93b, /* RDRAND64r */
-  0x93e, /* RDSEED64r */
+  0x934, /* RDRAND64r */
+  0x937, /* RDSEED64r */
 /* Table3470 */
-  0x150, /* BSWAP64r */
+  0x126, /* BSWAP64r */
 /* Table3471 */
-  0xa28, /* SGDT64m */
-  0xa8d, /* SIDT64m */
-  0x4d8, /* LGDT64m */
-  0x4de, /* LIDT64m */
-  0xa98, /* SMSW16m */
+  0xa29, /* SGDT64m */
+  0xa8e, /* SIDT64m */
+  0x4d0, /* LGDT64m */
+  0x4d6, /* LIDT64m */
+  0xa99, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d9, /* LMSW16m */
+  0x3a1, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf43, /* VMCALL */
+  0xf78, /* VMLAUNCH */
+  0x1166, /* VMRESUME */
+  0x1195, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x623, /* MONITORrrr */
+  0x711, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaae, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e4, /* ENCLS */
+  0x17b4, /* XGETBV */
+  0x17e4, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xfff, /* VMRUN64 */
-  0xf3a, /* VMMCALL */
-  0xf39, /* VMLOAD64 */
-  0x1001, /* VMSAVE64 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cc, /* INVLPGA64 */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
+  0xf45, /* VMFUNC */
+  0x17b3, /* XEND */
+  0x17e8, /* XTEST */
+  0x2e5, /* ENCLU */
+  0x1168, /* VMRUN64 */
+  0xf7b, /* VMMCALL */
+  0xf7a, /* VMLOAD64 */
+  0x116a, /* VMSAVE64 */
+  0xab1, /* STGI */
+  0x16f, /* CLGI */
+  0xa93, /* SKINIT */
+  0x3a3, /* INVLPGA64 */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
+  0xa9a, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47483,16 +52134,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0x4da, /* LMSW16r */
+  0xb26, /* SWAPGS */
+  0x939, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47500,61 +52151,61 @@
   0x0, /*  */
   0x0, /*  */
 /* Table3543 */
-  0xffb, /* VMREAD64rm */
-  0x313, /* EXTRQI */
+  0x1164, /* VMREAD64rm */
+  0x2eb, /* EXTRQI */
 /* Table3545 */
-  0x101e, /* VMWRITE64rm */
-  0x312, /* EXTRQ */
+  0x1193, /* VMWRITE64rm */
+  0x2ea, /* EXTRQ */
 /* Table3547 */
   0x0, /*  */
-  0x546, /* MASKMOVDQU64 */
+  0x53e, /* MASKMOVDQU64 */
 /* Table3549 */
-  0xffb, /* VMREAD64rm */
-  0x3c2, /* INSERTQI */
+  0x1164, /* VMREAD64rm */
+  0x397, /* INSERTQI */
 /* Table3551 */
-  0x101e, /* VMWRITE64rm */
-  0x3c1, /* INSERTQ */
+  0x1193, /* VMWRITE64rm */
+  0x396, /* INSERTQ */
 /* Table3553 */
-  0x353, /* FXSAVE */
-  0x351, /* FXRSTOR */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1541, /* XSAVE */
-  0x153f, /* XRSTOR */
-  0x1543, /* XSAVEOPT */
-  0x198, /* CLFLUSH */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
+  0x32b, /* FXSAVE */
+  0x329, /* FXRSTOR */
+  0x4ad, /* LDMXCSR */
+  0xab3, /* STMXCSR */
+  0x17e0, /* XSAVE */
+  0x17de, /* XRSTOR */
+  0x17e2, /* XSAVEOPT */
+  0x16e, /* CLFLUSH */
+  0x92c, /* RDFSBASE */
+  0x92c, /* RDFSBASE */
+  0x92c, /* RDFSBASE */
+  0x92c, /* RDFSBASE */
+  0x92c, /* RDFSBASE */
+  0x92c, /* RDFSBASE */
+  0x92c, /* RDFSBASE */
+  0x92c, /* RDFSBASE */
+  0x92e, /* RDGSBASE */
+  0x92e, /* RDGSBASE */
+  0x92e, /* RDGSBASE */
+  0x92e, /* RDGSBASE */
+  0x92e, /* RDGSBASE */
+  0x92e, /* RDGSBASE */
+  0x92e, /* RDGSBASE */
+  0x92e, /* RDGSBASE */
+  0x1790, /* WRFSBASE */
+  0x1790, /* WRFSBASE */
+  0x1790, /* WRFSBASE */
+  0x1790, /* WRFSBASE */
+  0x1790, /* WRFSBASE */
+  0x1790, /* WRFSBASE */
+  0x1790, /* WRFSBASE */
+  0x1790, /* WRFSBASE */
+  0x1792, /* WRGSBASE */
+  0x1792, /* WRGSBASE */
+  0x1792, /* WRGSBASE */
+  0x1792, /* WRGSBASE */
+  0x1792, /* WRGSBASE */
+  0x1792, /* WRGSBASE */
+  0x1792, /* WRGSBASE */
+  0x1792, /* WRGSBASE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47563,7 +52214,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4ca, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47571,7 +52222,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x553, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47579,7 +52230,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa26, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47588,58 +52239,58 @@
   0x0, /*  */
   0x0, /*  */
 /* Table3625 */
-  0x29e, /* CVTSI2SS64rm */
-  0x29f, /* CVTSI2SS64rr */
+  0x274, /* CVTSI2SS64rm */
+  0x275, /* CVTSI2SS64rr */
 /* Table3627 */
-  0x2b0, /* CVTTSS2SI64rm */
-  0x2b1, /* CVTTSS2SI64rr */
+  0x286, /* CVTTSS2SI64rm */
+  0x287, /* CVTTSS2SI64rr */
 /* Table3629 */
-  0x2a4, /* CVTSS2SI64rm */
-  0x2a5, /* CVTSS2SI64rr */
+  0x27a, /* CVTSS2SI64rm */
+  0x27b, /* CVTSS2SI64rr */
 /* Table3631 */
-  0x6b0, /* MOVQI2PQIrm */
-  0x57f, /* MMX_MOVD64from64rr */
+  0x6a9, /* MOVQI2PQIrm */
+  0x577, /* MMX_MOVD64from64rr */
 /* Table3633 */
-  0x354, /* FXSAVE64 */
-  0x352, /* FXRSTOR64 */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1542, /* XSAVE64 */
-  0x1540, /* XRSTOR64 */
-  0x1544, /* XSAVEOPT64 */
-  0x198, /* CLFLUSH */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
+  0x32c, /* FXSAVE64 */
+  0x32a, /* FXRSTOR64 */
+  0x4ad, /* LDMXCSR */
+  0xab3, /* STMXCSR */
+  0x17e1, /* XSAVE64 */
+  0x17df, /* XRSTOR64 */
+  0x17e3, /* XSAVEOPT64 */
+  0x16e, /* CLFLUSH */
+  0x92d, /* RDFSBASE64 */
+  0x92d, /* RDFSBASE64 */
+  0x92d, /* RDFSBASE64 */
+  0x92d, /* RDFSBASE64 */
+  0x92d, /* RDFSBASE64 */
+  0x92d, /* RDFSBASE64 */
+  0x92d, /* RDFSBASE64 */
+  0x92d, /* RDFSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x92f, /* RDGSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1791, /* WRFSBASE64 */
+  0x1793, /* WRGSBASE64 */
+  0x1793, /* WRGSBASE64 */
+  0x1793, /* WRGSBASE64 */
+  0x1793, /* WRGSBASE64 */
+  0x1793, /* WRGSBASE64 */
+  0x1793, /* WRGSBASE64 */
+  0x1793, /* WRGSBASE64 */
+  0x1793, /* WRGSBASE64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47648,7 +52299,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4ca, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47656,7 +52307,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x553, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47664,7 +52315,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa26, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47673,175 +52324,175 @@
   0x0, /*  */
   0x0, /*  */
 /* Table3705 */
-  0x86f, /* POPCNT64rm */
-  0x870, /* POPCNT64rr */
+  0x868, /* POPCNT64rm */
+  0x869, /* POPCNT64rr */
 /* Table3707 */
-  0xb68, /* TZCNT64rm */
-  0xb69, /* TZCNT64rr */
+  0xb69, /* TZCNT64rm */
+  0xb6a, /* TZCNT64rr */
 /* Table3709 */
-  0x543, /* LZCNT64rm */
-  0x544, /* LZCNT64rr */
+  0x53b, /* LZCNT64rm */
+  0x53c, /* LZCNT64rr */
 /* Table3711 */
   0x0, /*  */
-  0x264, /* CMPXCHG16B */
+  0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1021, /* VMXON */
-  0xff8, /* VMPTRSTm */
+  0x1196, /* VMXON */
+  0x1161, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93b, /* RDRAND64r */
-  0x93e, /* RDSEED64r */
+  0x934, /* RDRAND64r */
+  0x937, /* RDSEED64r */
 /* Table3727 */
-  0x29a, /* CVTSI2SD64rm */
-  0x29b, /* CVTSI2SD64rr */
+  0x270, /* CVTSI2SD64rm */
+  0x271, /* CVTSI2SD64rr */
 /* Table3729 */
-  0x2ac, /* CVTTSD2SI64rm */
-  0x2ad, /* CVTTSD2SI64rr */
+  0x282, /* CVTTSD2SI64rm */
+  0x283, /* CVTTSD2SI64rr */
 /* Table3731 */
-  0x294, /* CVTSD2SI64rm */
-  0x295, /* CVTSD2SI64rr */
+  0x26a, /* CVTSD2SI64rm */
+  0x26b, /* CVTSD2SI64rr */
 /* Table3733 */
-  0x68a, /* MOVDI2PDIrm */
-  0x669, /* MOV64toPQIrr */
+  0x683, /* MOVDI2PDIrm */
+  0x662, /* MOV64toPQIrr */
 /* Table3735 */
-  0x6ab, /* MOVPDI2DImr */
-  0x6af, /* MOVPQIto64rr */
+  0x6a4, /* MOVPDI2DImr */
+  0x6a8, /* MOVPQIto64rr */
 /* Table3737 */
   0x0, /*  */
-  0x264, /* CMPXCHG16B */
+  0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf0f, /* VMCLEARm */
-  0xff8, /* VMPTRSTm */
+  0xf44, /* VMCLEARm */
+  0x1161, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93b, /* RDRAND64r */
-  0x93e, /* RDSEED64r */
+  0x934, /* RDRAND64r */
+  0x937, /* RDSEED64r */
 /* Table3753 */
-  0xfea, /* VMOVUPSrm */
-  0xfeb, /* VMOVUPSrr */
+  0x1153, /* VMOVUPSrm */
+  0x1154, /* VMOVUPSrr */
 /* Table3755 */
-  0xfe9, /* VMOVUPSmr */
-  0xfec, /* VMOVUPSrr_REV */
+  0x1152, /* VMOVUPSmr */
+  0x1155, /* VMOVUPSrr_REV */
 /* Table3757 */
-  0xf95, /* VMOVLPSrm */
-  0xf8b, /* VMOVHLPSrr */
+  0x10c2, /* VMOVLPSrm */
+  0x10b8, /* VMOVHLPSrr */
 /* Table3759 */
-  0xf94, /* VMOVLPSmr */
+  0x10c1, /* VMOVLPSmr */
   0x0, /*  */
 /* Table3761 */
-  0x14dd, /* VUNPCKLPSrm */
-  0x14de, /* VUNPCKLPSrr */
+  0x177c, /* VUNPCKLPSrm */
+  0x177d, /* VUNPCKLPSrr */
 /* Table3763 */
-  0x14d1, /* VUNPCKHPSrm */
-  0x14d2, /* VUNPCKHPSrr */
+  0x1770, /* VUNPCKHPSrm */
+  0x1771, /* VUNPCKHPSrr */
 /* Table3765 */
-  0xf8f, /* VMOVHPSrm */
-  0xf91, /* VMOVLHPSrr */
+  0x10bc, /* VMOVHPSrm */
+  0x10be, /* VMOVLHPSrr */
 /* Table3767 */
-  0xf8e, /* VMOVHPSmr */
+  0x10bb, /* VMOVHPSmr */
   0x0, /*  */
 /* Table3769 */
-  0xf57, /* VMOVAPSrm */
-  0xf58, /* VMOVAPSrr */
+  0xfd0, /* VMOVAPSrm */
+  0xfd1, /* VMOVAPSrr */
 /* Table3771 */
-  0xf56, /* VMOVAPSmr */
-  0xf59, /* VMOVAPSrr_REV */
+  0xfcf, /* VMOVAPSmr */
+  0xfd2, /* VMOVAPSrr_REV */
 /* Table3773 */
-  0xfa1, /* VMOVNTPSmr */
+  0x10d2, /* VMOVNTPSmr */
   0x0, /*  */
 /* Table3775 */
-  0x14c5, /* VUCOMISSrm */
-  0x14c6, /* VUCOMISSrr */
+  0x1764, /* VUCOMISSrm */
+  0x1765, /* VUCOMISSrr */
 /* Table3777 */
-  0xc1a, /* VCOMISSrm */
-  0xc1b, /* VCOMISSrr */
+  0xc2f, /* VCOMISSrm */
+  0xc30, /* VCOMISSrr */
 /* Table3779 */
   0x0, /*  */
-  0x49b, /* KNOTWrr */
+  0x48a, /* KNOTWrr */
 /* Table3781 */
   0x0, /*  */
-  0xf99, /* VMOVMSKPSrr */
+  0x10c6, /* VMOVMSKPSrr */
 /* Table3783 */
-  0x148c, /* VSQRTPSm */
-  0x148d, /* VSQRTPSr */
+  0x171f, /* VSQRTPSm */
+  0x1720, /* VSQRTPSr */
 /* Table3785 */
-  0x1467, /* VRSQRTPSm */
-  0x1469, /* VRSQRTPSr */
+  0x16f6, /* VRSQRTPSm */
+  0x16f8, /* VRSQRTPSr */
 /* Table3787 */
-  0x1432, /* VRCPPSm */
-  0x1434, /* VRCPPSr */
+  0x16c1, /* VRCPPSm */
+  0x16c3, /* VRCPPSr */
 /* Table3789 */
-  0xbc7, /* VANDPSrm */
-  0xbc8, /* VANDPSrr */
+  0xbd8, /* VANDPSrm */
+  0xbd9, /* VANDPSrr */
 /* Table3791 */
-  0xbbf, /* VANDNPSrm */
-  0xbc0, /* VANDNPSrr */
+  0xbd0, /* VANDNPSrm */
+  0xbd1, /* VANDNPSrr */
 /* Table3793 */
-  0x1028, /* VORPSrm */
-  0x1029, /* VORPSrr */
+  0x119d, /* VORPSrm */
+  0x119e, /* VORPSrr */
 /* Table3795 */
-  0x14e5, /* VXORPSrm */
-  0x14e6, /* VXORPSrr */
+  0x1784, /* VXORPSrm */
+  0x1785, /* VXORPSrr */
 /* Table3797 */
-  0xb93, /* VADDPSrm */
-  0xb94, /* VADDPSrr */
+  0xba0, /* VADDPSrm */
+  0xba1, /* VADDPSrr */
 /* Table3799 */
-  0x100e, /* VMULPSrm */
-  0x100f, /* VMULPSrr */
+  0x1183, /* VMULPSrm */
+  0x1184, /* VMULPSrr */
 /* Table3801 */
-  0xc4b, /* VCVTPS2PDrm */
-  0xc4c, /* VCVTPS2PDrr */
+  0xc60, /* VCVTPS2PDrm */
+  0xc61, /* VCVTPS2PDrr */
 /* Table3803 */
-  0xc27, /* VCVTDQ2PSrm */
-  0xc28, /* VCVTDQ2PSrr */
+  0xc3c, /* VCVTDQ2PSrm */
+  0xc3d, /* VCVTDQ2PSrr */
 /* Table3805 */
-  0x14a9, /* VSUBPSrm */
-  0x14aa, /* VSUBPSrr */
+  0x1748, /* VSUBPSrm */
+  0x1749, /* VSUBPSrr */
 /* Table3807 */
-  0xf29, /* VMINPSrm */
-  0xf2a, /* VMINPSrr */
+  0xf6a, /* VMINPSrm */
+  0xf6b, /* VMINPSrr */
 /* Table3809 */
-  0xcc7, /* VDIVPSrm */
-  0xcc8, /* VDIVPSrr */
+  0xce8, /* VDIVPSrm */
+  0xce9, /* VDIVPSrr */
 /* Table3811 */
-  0xf00, /* VMAXPSrm */
-  0xf01, /* VMAXPSrr */
+  0xf35, /* VMAXPSrm */
+  0xf36, /* VMAXPSrr */
 /* Table3813 */
-  0x14e8, /* VZEROUPPER */
+  0x1787, /* VZEROUPPER */
 /* Table3814 */
-  0x497, /* KMOVWkm */
-  0x496, /* KMOVWkk */
+  0x483, /* KMOVWkm */
+  0x482, /* KMOVWkk */
 /* Table3816 */
-  0x499, /* KMOVWmk */
+  0x485, /* KMOVWmk */
   0x0, /*  */
 /* Table3818 */
   0x0, /*  */
-  0x498, /* KMOVWkr */
+  0x484, /* KMOVWkr */
 /* Table3820 */
   0x0, /*  */
-  0x49a, /* KMOVWrk */
+  0x486, /* KMOVWrk */
 /* Table3822 */
   0x0, /*  */
-  0x49c, /* KORTESTWrr */
+  0x48e, /* KORTESTWrr */
 /* Table3824 */
   0x0, /*  */
   0x0, /*  */
-  0xedd, /* VLDMXCSR */
-  0x149c, /* VSTMXCSR */
+  0xf06, /* VLDMXCSR */
+  0x172f, /* VSTMXCSR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47855,279 +52506,288 @@
   0x0, /*  */
   0x0, /*  */
 /* Table3840 */
-  0xc00, /* VCMPPSrmi */
-  0xc02, /* VCMPPSrri */
+  0xc15, /* VCMPPSrmi */
+  0xc17, /* VCMPPSrri */
 /* Table3842 */
-  0x147c, /* VSHUFPSrmi */
-  0x147d, /* VSHUFPSrri */
+  0x1713, /* VSHUFPSrmi */
+  0x1714, /* VSHUFPSrri */
 /* Table3844 */
-  0xfd0, /* VMOVSSrm */
-  0xfd1, /* VMOVSSrr */
+  0x1101, /* VMOVSSrm */
+  0x1102, /* VMOVSSrr */
 /* Table3846 */
-  0xfcf, /* VMOVSSmr */
-  0xfd2, /* VMOVSSrr_REV */
+  0x1100, /* VMOVSSmr */
+  0x1103, /* VMOVSSrr_REV */
 /* Table3848 */
-  0xfc4, /* VMOVSLDUPrm */
-  0xfc5, /* VMOVSLDUPrr */
+  0x10f5, /* VMOVSLDUPrm */
+  0x10f6, /* VMOVSLDUPrr */
 /* Table3850 */
-  0xfbe, /* VMOVSHDUPrm */
-  0xfbf, /* VMOVSHDUPrr */
+  0x10ef, /* VMOVSHDUPrm */
+  0x10f0, /* VMOVSHDUPrr */
 /* Table3852 */
-  0xc70, /* VCVTSI2SSrm */
-  0xc71, /* VCVTSI2SSrr */
+  0xc85, /* VCVTSI2SSrm */
+  0xc86, /* VCVTSI2SSrr */
 /* Table3854 */
-  0xca8, /* VCVTTSS2SIrm */
-  0xca9, /* VCVTTSS2SIrr */
+  0xcbd, /* VCVTTSS2SIrm */
+  0xcbe, /* VCVTTSS2SIrr */
 /* Table3856 */
-  0xc80, /* VCVTSS2SIrm */
-  0xc81, /* VCVTSS2SIrr */
+  0xc95, /* VCVTSS2SIrm */
+  0xc96, /* VCVTSS2SIrr */
 /* Table3858 */
-  0x1499, /* VSQRTSSm */
-  0x149b, /* VSQRTSSr */
+  0x172c, /* VSQRTSSm */
+  0x172e, /* VSQRTSSr */
 /* Table3860 */
-  0x146b, /* VRSQRTSSm */
-  0x146d, /* VRSQRTSSr */
+  0x16fa, /* VRSQRTSSm */
+  0x16fc, /* VRSQRTSSr */
 /* Table3862 */
-  0x1436, /* VRCPSSm */
-  0x1438, /* VRCPSSr */
+  0x16c5, /* VRCPSSm */
+  0x16c7, /* VRCPSSr */
 /* Table3864 */
-  0xb9d, /* VADDSSrm */
-  0xb9f, /* VADDSSrr */
+  0xbaa, /* VADDSSrm */
+  0xbac, /* VADDSSrr */
 /* Table3866 */
-  0x1018, /* VMULSSrm */
-  0x101a, /* VMULSSrr */
+  0x118d, /* VMULSSrm */
+  0x118f, /* VMULSSrr */
 /* Table3868 */
-  0xc78, /* VCVTSS2SDrm */
-  0xc79, /* VCVTSS2SDrr */
+  0xc8d, /* VCVTSS2SDrm */
+  0xc8e, /* VCVTSS2SDrr */
 /* Table3870 */
-  0xc92, /* VCVTTPS2DQrm */
-  0xc93, /* VCVTTPS2DQrr */
+  0xca7, /* VCVTTPS2DQrm */
+  0xca8, /* VCVTTPS2DQrr */
 /* Table3872 */
-  0x14b3, /* VSUBSSrm */
-  0x14b5, /* VSUBSSrr */
+  0x1752, /* VSUBSSrm */
+  0x1754, /* VSUBSSrr */
 /* Table3874 */
-  0xf33, /* VMINSSrm */
-  0xf35, /* VMINSSrr */
+  0xf74, /* VMINSSrm */
+  0xf76, /* VMINSSrr */
 /* Table3876 */
-  0xcd1, /* VDIVSSrm */
-  0xcd3, /* VDIVSSrr */
+  0xcf2, /* VDIVSSrm */
+  0xcf4, /* VDIVSSrr */
 /* Table3878 */
-  0xf0a, /* VMAXSSrm */
-  0xf0c, /* VMAXSSrr */
+  0xf3f, /* VMAXSSrm */
+  0xf41, /* VMAXSSrr */
 /* Table3880 */
-  0xf87, /* VMOVDQUrm */
-  0xf88, /* VMOVDQUrr */
+  0x10b4, /* VMOVDQUrm */
+  0x10b5, /* VMOVDQUrr */
 /* Table3882 */
-  0x1320, /* VPSHUFHWmi */
-  0x1321, /* VPSHUFHWri */
+  0x1597, /* VPSHUFHWmi */
+  0x1598, /* VPSHUFHWri */
 /* Table3884 */
-  0xfac, /* VMOVQI2PQIrm */
-  0xff0, /* VMOVZPQILo2PQIrr */
+  0x10dd, /* VMOVQI2PQIrm */
+  0x1159, /* VMOVZPQILo2PQIrr */
 /* Table3886 */
-  0xf86, /* VMOVDQUmr */
-  0xf89, /* VMOVDQUrr_REV */
+  0x10b3, /* VMOVDQUmr */
+  0x10b6, /* VMOVDQUrr_REV */
 /* Table3888 */
-  0xc10, /* VCMPSSrm */
-  0xc12, /* VCMPSSrr */
+  0xc25, /* VCMPSSrm */
+  0xc27, /* VCMPSSrr */
 /* Table3890 */
-  0xc20, /* VCVTDQ2PDrm */
-  0xc21, /* VCVTDQ2PDrr */
+  0xc35, /* VCVTDQ2PDrm */
+  0xc36, /* VCVTDQ2PDrr */
 /* Table3892 */
-  0xfb3, /* VMOVSDrm */
-  0xfb4, /* VMOVSDrr */
+  0x10e4, /* VMOVSDrm */
+  0x10e5, /* VMOVSDrr */
 /* Table3894 */
-  0xfb2, /* VMOVSDmr */
-  0xfb5, /* VMOVSDrr_REV */
+  0x10e3, /* VMOVSDmr */
+  0x10e6, /* VMOVSDrr_REV */
 /* Table3896 */
-  0xf5e, /* VMOVDDUPrm */
-  0xf5f, /* VMOVDDUPrr */
+  0xfd7, /* VMOVDDUPrm */
+  0xfd8, /* VMOVDDUPrr */
 /* Table3898 */
-  0xc6a, /* VCVTSI2SDrm */
-  0xc6b, /* VCVTSI2SDrr */
+  0xc7f, /* VCVTSI2SDrm */
+  0xc80, /* VCVTSI2SDrr */
 /* Table3900 */
-  0xc9c, /* VCVTTSD2SIrm */
-  0xc9d, /* VCVTTSD2SIrr */
+  0xcb1, /* VCVTTSD2SIrm */
+  0xcb2, /* VCVTTSD2SIrr */
 /* Table3902 */
-  0xc5c, /* VCVTSD2SIrm */
-  0xc5d, /* VCVTSD2SIrr */
+  0xc71, /* VCVTSD2SIrm */
+  0xc72, /* VCVTSD2SIrr */
 /* Table3904 */
-  0x1492, /* VSQRTSDm */
-  0x1494, /* VSQRTSDr */
+  0x1725, /* VSQRTSDm */
+  0x1727, /* VSQRTSDr */
 /* Table3906 */
-  0xb97, /* VADDSDrm */
-  0xb99, /* VADDSDrr */
+  0xba4, /* VADDSDrm */
+  0xba6, /* VADDSDrr */
 /* Table3908 */
-  0x1012, /* VMULSDrm */
-  0x1014, /* VMULSDrr */
+  0x1187, /* VMULSDrm */
+  0x1189, /* VMULSDrr */
 /* Table3910 */
-  0xc60, /* VCVTSD2SSrm */
-  0xc61, /* VCVTSD2SSrr */
+  0xc75, /* VCVTSD2SSrm */
+  0xc76, /* VCVTSD2SSrr */
 /* Table3912 */
-  0x14ad, /* VSUBSDrm */
-  0x14af, /* VSUBSDrr */
+  0x174c, /* VSUBSDrm */
+  0x174e, /* VSUBSDrr */
 /* Table3914 */
-  0xf2d, /* VMINSDrm */
-  0xf2f, /* VMINSDrr */
+  0xf6e, /* VMINSDrm */
+  0xf70, /* VMINSDrr */
 /* Table3916 */
-  0xccb, /* VDIVSDrm */
-  0xccd, /* VDIVSDrr */
+  0xcec, /* VDIVSDrm */
+  0xcee, /* VDIVSDrr */
 /* Table3918 */
-  0xf04, /* VMAXSDrm */
-  0xf06, /* VMAXSDrr */
+  0xf39, /* VMAXSDrm */
+  0xf3b, /* VMAXSDrr */
 /* Table3920 */
-  0x1324, /* VPSHUFLWmi */
-  0x1325, /* VPSHUFLWri */
+  0x159b, /* VPSHUFLWmi */
+  0x159c, /* VPSHUFLWri */
 /* Table3922 */
-  0xec1, /* VHADDPSrm */
-  0xec2, /* VHADDPSrr */
+  0xeea, /* VHADDPSrm */
+  0xeeb, /* VHADDPSrr */
 /* Table3924 */
-  0xec9, /* VHSUBPSrm */
-  0xeca, /* VHSUBPSrr */
+  0xef2, /* VHSUBPSrm */
+  0xef3, /* VHSUBPSrr */
 /* Table3926 */
-  0xc08, /* VCMPSDrm */
-  0xc0a, /* VCMPSDrr */
+  0x0, /*  */
+  0x47a, /* KMOVDkr */
 /* Table3928 */
-  0xba7, /* VADDSUBPSrm */
-  0xba8, /* VADDSUBPSrr */
+  0x0, /*  */
+  0x47c, /* KMOVDrk */
 /* Table3930 */
-  0xc29, /* VCVTPD2DQXrm */
-  0xc2f, /* VCVTPD2DQrr */
+  0xc1d, /* VCMPSDrm */
+  0xc1f, /* VCMPSDrr */
 /* Table3932 */
-  0xedc, /* VLDDQUrm */
-  0x0, /*  */
+  0xbb4, /* VADDSUBPSrm */
+  0xbb5, /* VADDSUBPSrr */
 /* Table3934 */
-  0xfdd, /* VMOVUPDrm */
-  0xfde, /* VMOVUPDrr */
+  0xc3e, /* VCVTPD2DQXrm */
+  0xc44, /* VCVTPD2DQrr */
 /* Table3936 */
-  0xfdc, /* VMOVUPDmr */
-  0xfdf, /* VMOVUPDrr_REV */
+  0xf05, /* VLDDQUrm */
+  0x0, /*  */
 /* Table3938 */
-  0xf93, /* VMOVLPDrm */
-  0x0, /*  */
+  0x112a, /* VMOVUPDrm */
+  0x112b, /* VMOVUPDrr */
 /* Table3940 */
-  0xf92, /* VMOVLPDmr */
-  0x0, /*  */
+  0x1129, /* VMOVUPDmr */
+  0x112c, /* VMOVUPDrr_REV */
 /* Table3942 */
-  0x14d7, /* VUNPCKLPDrm */
-  0x14d8, /* VUNPCKLPDrr */
+  0x10c0, /* VMOVLPDrm */
+  0x0, /*  */
 /* Table3944 */
-  0x14cb, /* VUNPCKHPDrm */
-  0x14cc, /* VUNPCKHPDrr */
+  0x10bf, /* VMOVLPDmr */
+  0x0, /*  */
 /* Table3946 */
-  0xf8d, /* VMOVHPDrm */
-  0x0, /*  */
+  0x1776, /* VUNPCKLPDrm */
+  0x1777, /* VUNPCKLPDrr */
 /* Table3948 */
-  0xf8c, /* VMOVHPDmr */
-  0x0, /*  */
+  0x176a, /* VUNPCKHPDrm */
+  0x176b, /* VUNPCKHPDrr */
 /* Table3950 */
-  0xf4a, /* VMOVAPDrm */
-  0xf4b, /* VMOVAPDrr */
+  0x10ba, /* VMOVHPDrm */
+  0x0, /*  */
 /* Table3952 */
-  0xf49, /* VMOVAPDmr */
-  0xf4c, /* VMOVAPDrr_REV */
+  0x10b9, /* VMOVHPDmr */
+  0x0, /*  */
 /* Table3954 */
-  0xf9f, /* VMOVNTPDmr */
-  0x0, /*  */
+  0xfa7, /* VMOVAPDrm */
+  0xfa8, /* VMOVAPDrr */
 /* Table3956 */
-  0x14c1, /* VUCOMISDrm */
-  0x14c2, /* VUCOMISDrr */
+  0xfa6, /* VMOVAPDmr */
+  0xfa9, /* VMOVAPDrr_REV */
 /* Table3958 */
-  0xc16, /* VCOMISDrm */
-  0xc17, /* VCOMISDrr */
+  0x10cf, /* VMOVNTPDmr */
+  0x0, /*  */
 /* Table3960 */
-  0x0, /*  */
-  0xf97, /* VMOVMSKPDrr */
+  0x1760, /* VUCOMISDrm */
+  0x1761, /* VUCOMISDrr */
 /* Table3962 */
-  0x1484, /* VSQRTPDm */
-  0x1485, /* VSQRTPDr */
+  0xc2b, /* VCOMISDrm */
+  0xc2c, /* VCOMISDrr */
 /* Table3964 */
-  0xbc3, /* VANDPDrm */
-  0xbc4, /* VANDPDrr */
+  0x0, /*  */
+  0x487, /* KNOTBrr */
 /* Table3966 */
-  0xbbb, /* VANDNPDrm */
-  0xbbc, /* VANDNPDrr */
+  0x0, /*  */
+  0x10c4, /* VMOVMSKPDrr */
 /* Table3968 */
-  0x1024, /* VORPDrm */
-  0x1025, /* VORPDrr */
+  0x1719, /* VSQRTPDm */
+  0x171a, /* VSQRTPDr */
 /* Table3970 */
-  0x14e1, /* VXORPDrm */
-  0x14e2, /* VXORPDrr */
+  0xbd4, /* VANDPDrm */
+  0xbd5, /* VANDPDrr */
 /* Table3972 */
-  0xb8c, /* VADDPDrm */
-  0xb8d, /* VADDPDrr */
+  0xbcc, /* VANDNPDrm */
+  0xbcd, /* VANDNPDrr */
 /* Table3974 */
-  0x1007, /* VMULPDrm */
-  0x1008, /* VMULPDrr */
+  0x1199, /* VORPDrm */
+  0x119a, /* VORPDrr */
 /* Table3976 */
-  0xc30, /* VCVTPD2PSXrm */
-  0xc36, /* VCVTPD2PSrr */
+  0x1780, /* VXORPDrm */
+  0x1781, /* VXORPDrr */
 /* Table3978 */
-  0xc45, /* VCVTPS2DQrm */
-  0xc46, /* VCVTPS2DQrr */
+  0xb93, /* VADDPDrm */
+  0xb94, /* VADDPDrr */
 /* Table3980 */
-  0x14a2, /* VSUBPDrm */
-  0x14a3, /* VSUBPDrr */
+  0x1176, /* VMULPDrm */
+  0x1177, /* VMULPDrr */
 /* Table3982 */
-  0xf22, /* VMINPDrm */
-  0xf23, /* VMINPDrr */
+  0xc45, /* VCVTPD2PSXrm */
+  0xc4b, /* VCVTPD2PSrr */
 /* Table3984 */
-  0xcc0, /* VDIVPDrm */
-  0xcc1, /* VDIVPDrr */
+  0xc5a, /* VCVTPS2DQrm */
+  0xc5b, /* VCVTPS2DQrr */
 /* Table3986 */
-  0xef9, /* VMAXPDrm */
-  0xefa, /* VMAXPDrr */
+  0x173b, /* VSUBPDrm */
+  0x173c, /* VSUBPDrr */
 /* Table3988 */
-  0x13fe, /* VPUNPCKLBWrm */
-  0x13ff, /* VPUNPCKLBWrr */
+  0xf5d, /* VMINPDrm */
+  0xf5e, /* VMINPDrr */
 /* Table3990 */
-  0x140e, /* VPUNPCKLWDrm */
-  0x140f, /* VPUNPCKLWDrr */
+  0xcdb, /* VDIVPDrm */
+  0xcdc, /* VDIVPDrr */
 /* Table3992 */
-  0x1404, /* VPUNPCKLDQrm */
-  0x1405, /* VPUNPCKLDQrr */
+  0xf28, /* VMAXPDrm */
+  0xf29, /* VMAXPDrr */
 /* Table3994 */
-  0x1040, /* VPACKSSWBrm */
-  0x1041, /* VPACKSSWBrr */
+  0x1681, /* VPUNPCKLBWrm */
+  0x1682, /* VPUNPCKLBWrr */
 /* Table3996 */
-  0x10e8, /* VPCMPGTBrm */
-  0x10e9, /* VPCMPGTBrr */
+  0x1691, /* VPUNPCKLWDrm */
+  0x1692, /* VPUNPCKLWDrr */
 /* Table3998 */
-  0x10f8, /* VPCMPGTWrm */
-  0x10f9, /* VPCMPGTWrr */
+  0x1687, /* VPUNPCKLDQrm */
+  0x1688, /* VPUNPCKLDQrr */
 /* Table4000 */
-  0x10ee, /* VPCMPGTDrm */
-  0x10ef, /* VPCMPGTDrr */
+  0x11c3, /* VPACKSSWBrm */
+  0x11c4, /* VPACKSSWBrr */
 /* Table4002 */
-  0x1048, /* VPACKUSWBrm */
-  0x1049, /* VPACKUSWBrr */
+  0x1291, /* VPCMPGTBrm */
+  0x1292, /* VPCMPGTBrr */
 /* Table4004 */
-  0x13ea, /* VPUNPCKHBWrm */
-  0x13eb, /* VPUNPCKHBWrr */
+  0x12a1, /* VPCMPGTWrm */
+  0x12a2, /* VPCMPGTWrr */
 /* Table4006 */
-  0x13fa, /* VPUNPCKHWDrm */
-  0x13fb, /* VPUNPCKHWDrr */
+  0x1297, /* VPCMPGTDrm */
+  0x1298, /* VPCMPGTDrr */
 /* Table4008 */
-  0x13f0, /* VPUNPCKHDQrm */
-  0x13f1, /* VPUNPCKHDQrr */
+  0x11cb, /* VPACKUSWBrm */
+  0x11cc, /* VPACKUSWBrr */
 /* Table4010 */
-  0x103c, /* VPACKSSDWrm */
-  0x103d, /* VPACKSSDWrr */
+  0x166d, /* VPUNPCKHBWrm */
+  0x166e, /* VPUNPCKHBWrr */
 /* Table4012 */
-  0x140a, /* VPUNPCKLQDQrm */
-  0x140b, /* VPUNPCKLQDQrr */
+  0x167d, /* VPUNPCKHWDrm */
+  0x167e, /* VPUNPCKHWDrr */
 /* Table4014 */
-  0x13f6, /* VPUNPCKHQDQrm */
-  0x13f7, /* VPUNPCKHQDQrr */
+  0x1673, /* VPUNPCKHDQrm */
+  0x1674, /* VPUNPCKHDQrr */
 /* Table4016 */
-  0xf62, /* VMOVDI2PDIrm */
-  0xf63, /* VMOVDI2PDIrr */
+  0x11bf, /* VPACKSSDWrm */
+  0x11c0, /* VPACKSSDWrr */
 /* Table4018 */
-  0xf73, /* VMOVDQArm */
-  0xf74, /* VMOVDQArr */
+  0x168d, /* VPUNPCKLQDQrm */
+  0x168e, /* VPUNPCKLQDQrr */
 /* Table4020 */
-  0x131c, /* VPSHUFDmi */
-  0x131d, /* VPSHUFDri */
+  0x1679, /* VPUNPCKHQDQrm */
+  0x167a, /* VPUNPCKHQDQrr */
 /* Table4022 */
+  0xfdb, /* VMOVDI2PDIrm */
+  0xfdc, /* VMOVDI2PDIrr */
+/* Table4024 */
+  0x1028, /* VMOVDQArm */
+  0x1029, /* VMOVDQArr */
+/* Table4026 */
+  0x1593, /* VPSHUFDmi */
+  0x1594, /* VPSHUFDri */
+/* Table4028 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48138,13 +52798,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x13b3, /* VPSRLWri */
+  0x162a, /* VPSRLWri */
   0x0, /*  */
-  0x1383, /* VPSRAWri */
+  0x15fa, /* VPSRAWri */
   0x0, /*  */
-  0x135f, /* VPSLLWri */
+  0x15d6, /* VPSLLWri */
   0x0, /*  */
-/* Table4038 */
+/* Table4044 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48155,13 +52815,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1393, /* VPSRLDri */
+  0x160a, /* VPSRLDri */
   0x0, /*  */
-  0x136d, /* VPSRADri */
+  0x15e4, /* VPSRADri */
   0x0, /*  */
-  0x133f, /* VPSLLDri */
+  0x15b6, /* VPSLLDri */
   0x0, /*  */
-/* Table4054 */
+/* Table4060 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48172,490 +52832,507 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x13a1, /* VPSRLQri */
-  0x1387, /* VPSRLDQri */
+  0x1618, /* VPSRLQri */
+  0x15fe, /* VPSRLDQri */
   0x0, /*  */
   0x0, /*  */
-  0x134d, /* VPSLLQri */
-  0x1333, /* VPSLLDQri */
-/* Table4070 */
-  0x10cc, /* VPCMPEQBrm */
-  0x10cd, /* VPCMPEQBrr */
-/* Table4072 */
-  0x10dc, /* VPCMPEQWrm */
-  0x10dd, /* VPCMPEQWrr */
-/* Table4074 */
-  0x10d2, /* VPCMPEQDrm */
-  0x10d3, /* VPCMPEQDrr */
+  0x15c4, /* VPSLLQri */
+  0x15aa, /* VPSLLDQri */
 /* Table4076 */
-  0xebd, /* VHADDPDrm */
-  0xebe, /* VHADDPDrr */
+  0x1275, /* VPCMPEQBrm */
+  0x1276, /* VPCMPEQBrr */
 /* Table4078 */
-  0xec5, /* VHSUBPDrm */
-  0xec6, /* VHSUBPDrr */
+  0x1285, /* VPCMPEQWrm */
+  0x1286, /* VPCMPEQWrr */
 /* Table4080 */
-  0xfa4, /* VMOVPDI2DImr */
-  0xfa5, /* VMOVPDI2DIrr */
+  0x127b, /* VPCMPEQDrm */
+  0x127c, /* VPCMPEQDrr */
 /* Table4082 */
-  0xf72, /* VMOVDQAmr */
-  0xf75, /* VMOVDQArr_REV */
+  0xee6, /* VHADDPDrm */
+  0xee7, /* VHADDPDrr */
 /* Table4084 */
-  0xbf3, /* VCMPPDrmi */
-  0xbf5, /* VCMPPDrri */
+  0xeee, /* VHSUBPDrm */
+  0xeef, /* VHSUBPDrr */
 /* Table4086 */
-  0x11cb, /* VPINSRWrmi */
-  0x11cc, /* VPINSRWrri */
+  0x10d5, /* VMOVPDI2DImr */
+  0x10d6, /* VMOVPDI2DIrr */
 /* Table4088 */
-  0x0, /*  */
-  0x117f, /* VPEXTRWri */
+  0x1027, /* VMOVDQAmr */
+  0x102a, /* VMOVDQArr_REV */
 /* Table4090 */
-  0x1476, /* VSHUFPDrmi */
-  0x1477, /* VSHUFPDrri */
+  0x474, /* KMOVBkm */
+  0x473, /* KMOVBkk */
 /* Table4092 */
-  0xba3, /* VADDSUBPDrm */
-  0xba4, /* VADDSUBPDrr */
+  0x476, /* KMOVBmk */
+  0x0, /*  */
 /* Table4094 */
-  0x13b4, /* VPSRLWrm */
-  0x13b5, /* VPSRLWrr */
+  0x0, /*  */
+  0x475, /* KMOVBkr */
 /* Table4096 */
-  0x1394, /* VPSRLDrm */
-  0x1395, /* VPSRLDrr */
+  0x0, /*  */
+  0x477, /* KMOVBrk */
 /* Table4098 */
-  0x13a2, /* VPSRLQrm */
-  0x13a3, /* VPSRLQrr */
+  0xc08, /* VCMPPDrmi */
+  0xc0a, /* VCMPPDrri */
 /* Table4100 */
-  0x105a, /* VPADDQrm */
-  0x105b, /* VPADDQrr */
+  0x139a, /* VPINSRWrmi */
+  0x139b, /* VPINSRWrri */
 /* Table4102 */
-  0x12cb, /* VPMULLWrm */
-  0x12cc, /* VPMULLWrr */
+  0x0, /*  */
+  0x134e, /* VPEXTRWri */
 /* Table4104 */
-  0xfa6, /* VMOVPQI2QImr */
-  0xfa7, /* VMOVPQI2QIrr */
+  0x170d, /* VSHUFPDrmi */
+  0x170e, /* VSHUFPDrri */
 /* Table4106 */
-  0x0, /*  */
-  0x1244, /* VPMOVMSKBrr */
+  0xbb0, /* VADDSUBPDrm */
+  0xbb1, /* VADDSUBPDrr */
 /* Table4108 */
-  0x13d2, /* VPSUBUSBrm */
-  0x13d3, /* VPSUBUSBrr */
+  0x162b, /* VPSRLWrm */
+  0x162c, /* VPSRLWrr */
 /* Table4110 */
-  0x13d6, /* VPSUBUSWrm */
-  0x13d7, /* VPSUBUSWrr */
+  0x160b, /* VPSRLDrm */
+  0x160c, /* VPSRLDrr */
 /* Table4112 */
-  0x122d, /* VPMINUBrm */
-  0x122e, /* VPMINUBrr */
+  0x1619, /* VPSRLQrm */
+  0x161a, /* VPSRLQrr */
 /* Table4114 */
-  0x1086, /* VPANDrm */
-  0x1087, /* VPANDrr */
+  0x11e9, /* VPADDQrm */
+  0x11ea, /* VPADDQrr */
 /* Table4116 */
-  0x1066, /* VPADDUSBrm */
-  0x1067, /* VPADDUSBrr */
+  0x152f, /* VPMULLWrm */
+  0x1530, /* VPMULLWrr */
 /* Table4118 */
-  0x106a, /* VPADDUSWrm */
-  0x106b, /* VPADDUSWrr */
+  0x10d7, /* VMOVPQI2QImr */
+  0x10d8, /* VMOVPQI2QIrr */
 /* Table4120 */
-  0x1209, /* VPMAXUBrm */
-  0x120a, /* VPMAXUBrr */
+  0x0, /*  */
+  0x1459, /* VPMOVMSKBrr */
 /* Table4122 */
-  0x107f, /* VPANDNrm */
-  0x1080, /* VPANDNrr */
+  0x1655, /* VPSUBUSBrm */
+  0x1656, /* VPSUBUSBrr */
 /* Table4124 */
-  0x108a, /* VPAVGBrm */
-  0x108b, /* VPAVGBrr */
+  0x1659, /* VPSUBUSWrm */
+  0x165a, /* VPSUBUSWrr */
 /* Table4126 */
-  0x1384, /* VPSRAWrm */
-  0x1385, /* VPSRAWrr */
+  0x1432, /* VPMINUBrm */
+  0x1433, /* VPMINUBrr */
 /* Table4128 */
-  0x136e, /* VPSRADrm */
-  0x136f, /* VPSRADrr */
+  0x122d, /* VPANDrm */
+  0x122e, /* VPANDrr */
 /* Table4130 */
-  0x108e, /* VPAVGWrm */
-  0x108f, /* VPAVGWrr */
+  0x11f5, /* VPADDUSBrm */
+  0x11f6, /* VPADDUSBrr */
 /* Table4132 */
-  0x12bc, /* VPMULHUWrm */
-  0x12bd, /* VPMULHUWrr */
+  0x11f9, /* VPADDUSWrm */
+  0x11fa, /* VPADDUSWrr */
 /* Table4134 */
-  0x12c0, /* VPMULHWrm */
-  0x12c1, /* VPMULHWrr */
+  0x13f6, /* VPMAXUBrm */
+  0x13f7, /* VPMAXUBrr */
 /* Table4136 */
-  0xc86, /* VCVTTPD2DQXrm */
-  0xc8b, /* VCVTTPD2DQrr */
+  0x1220, /* VPANDNrm */
+  0x1221, /* VPANDNrr */
 /* Table4138 */
-  0xf9d, /* VMOVNTDQmr */
-  0x0, /*  */
+  0x1231, /* VPAVGBrm */
+  0x1232, /* VPAVGBrr */
 /* Table4140 */
-  0x13ca, /* VPSUBSBrm */
-  0x13cb, /* VPSUBSBrr */
+  0x15fb, /* VPSRAWrm */
+  0x15fc, /* VPSRAWrr */
 /* Table4142 */
-  0x13ce, /* VPSUBSWrm */
-  0x13cf, /* VPSUBSWrr */
+  0x15e5, /* VPSRADrm */
+  0x15e6, /* VPSRADrr */
 /* Table4144 */
-  0x1229, /* VPMINSWrm */
-  0x122a, /* VPMINSWrr */
+  0x1235, /* VPAVGWrm */
+  0x1236, /* VPAVGWrr */
 /* Table4146 */
-  0x12db, /* VPORrm */
-  0x12dc, /* VPORrr */
+  0x151a, /* VPMULHUWrm */
+  0x151b, /* VPMULHUWrr */
 /* Table4148 */
-  0x105e, /* VPADDSBrm */
-  0x105f, /* VPADDSBrr */
+  0x151e, /* VPMULHWrm */
+  0x151f, /* VPMULHWrr */
 /* Table4150 */
-  0x1062, /* VPADDSWrm */
-  0x1063, /* VPADDSWrr */
+  0xc9b, /* VCVTTPD2DQXrm */
+  0xca0, /* VCVTTPD2DQrr */
 /* Table4152 */
-  0x1205, /* VPMAXSWrm */
-  0x1206, /* VPMAXSWrr */
+  0x10cc, /* VMOVNTDQmr */
+  0x0, /*  */
 /* Table4154 */
-  0x1418, /* VPXORrm */
-  0x1419, /* VPXORrr */
+  0x164d, /* VPSUBSBrm */
+  0x164e, /* VPSUBSBrr */
 /* Table4156 */
-  0x1360, /* VPSLLWrm */
-  0x1361, /* VPSLLWrr */
+  0x1651, /* VPSUBSWrm */
+  0x1652, /* VPSUBSWrr */
 /* Table4158 */
-  0x1340, /* VPSLLDrm */
-  0x1341, /* VPSLLDrr */
+  0x142e, /* VPMINSWrm */
+  0x142f, /* VPMINSWrr */
 /* Table4160 */
-  0x134e, /* VPSLLQrm */
-  0x134f, /* VPSLLQrr */
+  0x1552, /* VPORrm */
+  0x1553, /* VPORrr */
 /* Table4162 */
-  0x12d1, /* VPMULUDQrm */
-  0x12d2, /* VPMULUDQrr */
+  0x11ed, /* VPADDSBrm */
+  0x11ee, /* VPADDSBrr */
 /* Table4164 */
-  0x11eb, /* VPMADDWDrm */
-  0x11ec, /* VPMADDWDrr */
+  0x11f1, /* VPADDSWrm */
+  0x11f2, /* VPADDSWrr */
 /* Table4166 */
-  0x12f6, /* VPSADBWrm */
-  0x12f7, /* VPSADBWrr */
+  0x13f2, /* VPMAXSWrm */
+  0x13f3, /* VPMAXSWrr */
 /* Table4168 */
-  0x0, /*  */
-  0xede, /* VMASKMOVDQU */
+  0x16a7, /* VPXORrm */
+  0x16a8, /* VPXORrr */
 /* Table4170 */
-  0x13b8, /* VPSUBBrm */
-  0x13b9, /* VPSUBBrr */
+  0x15d7, /* VPSLLWrm */
+  0x15d8, /* VPSLLWrr */
 /* Table4172 */
-  0x13da, /* VPSUBWrm */
-  0x13db, /* VPSUBWrr */
+  0x15b7, /* VPSLLDrm */
+  0x15b8, /* VPSLLDrr */
 /* Table4174 */
-  0x13bf, /* VPSUBDrm */
-  0x13c0, /* VPSUBDrr */
+  0x15c5, /* VPSLLQrm */
+  0x15c6, /* VPSLLQrr */
 /* Table4176 */
-  0x13c6, /* VPSUBQrm */
-  0x13c7, /* VPSUBQrr */
+  0x153c, /* VPMULUDQrm */
+  0x153d, /* VPMULUDQrr */
 /* Table4178 */
-  0x104c, /* VPADDBrm */
-  0x104d, /* VPADDBrr */
+  0x13cc, /* VPMADDWDrm */
+  0x13cd, /* VPMADDWDrr */
 /* Table4180 */
-  0x106e, /* VPADDWrm */
-  0x106f, /* VPADDWrr */
+  0x156d, /* VPSADBWrm */
+  0x156e, /* VPSADBWrr */
 /* Table4182 */
-  0x1053, /* VPADDDrm */
-  0x1054, /* VPADDDrr */
+  0x0, /*  */
+  0xf07, /* VMASKMOVDQU */
 /* Table4184 */
-  0xc6c, /* VCVTSI2SS64rm */
-  0xc6d, /* VCVTSI2SS64rr */
+  0x162f, /* VPSUBBrm */
+  0x1630, /* VPSUBBrr */
 /* Table4186 */
-  0xca4, /* VCVTTSS2SI64rm */
-  0xca5, /* VCVTTSS2SI64rr */
+  0x165d, /* VPSUBWrm */
+  0x165e, /* VPSUBWrr */
 /* Table4188 */
-  0xc7c, /* VCVTSS2SI64rm */
-  0xc7d, /* VCVTSS2SI64rr */
+  0x163c, /* VPSUBDrm */
+  0x163d, /* VPSUBDrr */
 /* Table4190 */
-  0xc66, /* VCVTSI2SD64rm */
-  0xc67, /* VCVTSI2SD64rr */
+  0x1649, /* VPSUBQrm */
+  0x164a, /* VPSUBQrr */
 /* Table4192 */
-  0xc98, /* VCVTTSD2SI64rm */
-  0xc99, /* VCVTTSD2SI64rr */
+  0x11cf, /* VPADDBrm */
+  0x11d0, /* VPADDBrr */
 /* Table4194 */
-  0xc58, /* VCVTSD2SI64rm */
-  0xc59, /* VCVTSD2SI64rr */
+  0x11fd, /* VPADDWrm */
+  0x11fe, /* VPADDWrr */
 /* Table4196 */
-  0xf62, /* VMOVDI2PDIrm */
-  0xf3c, /* VMOV64toPQIrr */
+  0x11dc, /* VPADDDrm */
+  0x11dd, /* VPADDDrr */
 /* Table4198 */
-  0xfa4, /* VMOVPDI2DImr */
-  0xfaa, /* VMOVPQIto64rr */
+  0x0, /*  */
+  0x489, /* KNOTQrr */
 /* Table4200 */
-  0xfe1, /* VMOVUPSYrm */
-  0xfe2, /* VMOVUPSYrr */
+  0x47e, /* KMOVQkm */
+  0x47d, /* KMOVQkk */
 /* Table4202 */
-  0xfe0, /* VMOVUPSYmr */
-  0xfe3, /* VMOVUPSYrr_REV */
+  0x480, /* KMOVQmk */
+  0x0, /*  */
 /* Table4204 */
-  0x14d9, /* VUNPCKLPSYrm */
-  0x14da, /* VUNPCKLPSYrr */
+  0xc81, /* VCVTSI2SS64rm */
+  0xc82, /* VCVTSI2SS64rr */
 /* Table4206 */
-  0x14cd, /* VUNPCKHPSYrm */
-  0x14ce, /* VUNPCKHPSYrr */
+  0xcb9, /* VCVTTSS2SI64rm */
+  0xcba, /* VCVTTSS2SI64rr */
 /* Table4208 */
-  0xf4e, /* VMOVAPSYrm */
-  0xf4f, /* VMOVAPSYrr */
+  0xc91, /* VCVTSS2SI64rm */
+  0xc92, /* VCVTSS2SI64rr */
 /* Table4210 */
-  0xf4d, /* VMOVAPSYmr */
-  0xf50, /* VMOVAPSYrr_REV */
+  0xc7b, /* VCVTSI2SD64rm */
+  0xc7c, /* VCVTSI2SD64rr */
 /* Table4212 */
-  0xfa0, /* VMOVNTPSYmr */
-  0x0, /*  */
+  0xcad, /* VCVTTSD2SI64rm */
+  0xcae, /* VCVTTSD2SI64rr */
 /* Table4214 */
-  0x0, /*  */
-  0x495, /* KANDWrr */
+  0xc6d, /* VCVTSD2SI64rm */
+  0xc6e, /* VCVTSD2SI64rr */
 /* Table4216 */
   0x0, /*  */
-  0x494, /* KANDNWrr */
+  0x47f, /* KMOVQkr */
 /* Table4218 */
   0x0, /*  */
-  0x49d, /* KORWrr */
+  0x481, /* KMOVQrk */
 /* Table4220 */
   0x0, /*  */
-  0x4a5, /* KXNORWrr */
+  0x488, /* KNOTDrr */
 /* Table4222 */
-  0x0, /*  */
-  0x4a6, /* KXORWrr */
+  0xfdb, /* VMOVDI2PDIrm */
+  0xf7d, /* VMOV64toPQIrr */
 /* Table4224 */
-  0x0, /*  */
-  0xf98, /* VMOVMSKPSYrr */
+  0x10d5, /* VMOVPDI2DImr */
+  0x10db, /* VMOVPQIto64rr */
 /* Table4226 */
-  0x1486, /* VSQRTPSYm */
-  0x1487, /* VSQRTPSYr */
+  0x479, /* KMOVDkm */
+  0x478, /* KMOVDkk */
 /* Table4228 */
-  0x1463, /* VRSQRTPSYm */
-  0x1465, /* VRSQRTPSYr */
+  0x47b, /* KMOVDmk */
+  0x0, /*  */
 /* Table4230 */
-  0x142e, /* VRCPPSYm */
-  0x1430, /* VRCPPSYr */
+  0x112e, /* VMOVUPSYrm */
+  0x112f, /* VMOVUPSYrr */
 /* Table4232 */
-  0xbc5, /* VANDPSYrm */
-  0xbc6, /* VANDPSYrr */
+  0x112d, /* VMOVUPSYmr */
+  0x1130, /* VMOVUPSYrr_REV */
 /* Table4234 */
-  0xbbd, /* VANDNPSYrm */
-  0xbbe, /* VANDNPSYrr */
+  0x1778, /* VUNPCKLPSYrm */
+  0x1779, /* VUNPCKLPSYrr */
 /* Table4236 */
-  0x1026, /* VORPSYrm */
-  0x1027, /* VORPSYrr */
+  0x176c, /* VUNPCKHPSYrm */
+  0x176d, /* VUNPCKHPSYrr */
 /* Table4238 */
-  0x14e3, /* VXORPSYrm */
-  0x14e4, /* VXORPSYrr */
+  0xfab, /* VMOVAPSYrm */
+  0xfac, /* VMOVAPSYrr */
 /* Table4240 */
-  0xb8e, /* VADDPSYrm */
-  0xb8f, /* VADDPSYrr */
+  0xfaa, /* VMOVAPSYmr */
+  0xfad, /* VMOVAPSYrr_REV */
 /* Table4242 */
-  0x1009, /* VMULPSYrm */
-  0x100a, /* VMULPSYrr */
+  0x10d0, /* VMOVNTPSYmr */
+  0x0, /*  */
 /* Table4244 */
-  0xc47, /* VCVTPS2PDYrm */
-  0xc48, /* VCVTPS2PDYrr */
+  0x0, /*  */
+  0x472, /* KANDWrr */
 /* Table4246 */
-  0xc22, /* VCVTDQ2PSYrm */
-  0xc23, /* VCVTDQ2PSYrr */
+  0x0, /*  */
+  0x470, /* KANDNWrr */
 /* Table4248 */
-  0x14a4, /* VSUBPSYrm */
-  0x14a5, /* VSUBPSYrr */
+  0x0, /*  */
+  0x48f, /* KORWrr */
 /* Table4250 */
-  0xf24, /* VMINPSYrm */
-  0xf25, /* VMINPSYrr */
+  0x0, /*  */
+  0x49a, /* KXNORWrr */
 /* Table4252 */
-  0xcc2, /* VDIVPSYrm */
-  0xcc3, /* VDIVPSYrr */
+  0x0, /*  */
+  0x49e, /* KXORWrr */
 /* Table4254 */
-  0xefb, /* VMAXPSYrm */
-  0xefc, /* VMAXPSYrr */
+  0x0, /*  */
+  0x10c5, /* VMOVMSKPSYrr */
 /* Table4256 */
-  0x14e7, /* VZEROALL */
-/* Table4257 */
-  0xbf7, /* VCMPPSYrmi */
-  0xbf9, /* VCMPPSYrri */
-/* Table4259 */
-  0x1478, /* VSHUFPSYrmi */
-  0x1479, /* VSHUFPSYrri */
-/* Table4261 */
-  0xfc0, /* VMOVSLDUPYrm */
-  0xfc1, /* VMOVSLDUPYrr */
-/* Table4263 */
-  0xfba, /* VMOVSHDUPYrm */
-  0xfbb, /* VMOVSHDUPYrr */
-/* Table4265 */
-  0xc8e, /* VCVTTPS2DQYrm */
-  0xc8f, /* VCVTTPS2DQYrr */
-/* Table4267 */
-  0xf83, /* VMOVDQUYrm */
-  0xf84, /* VMOVDQUYrr */
-/* Table4269 */
-  0x131e, /* VPSHUFHWYmi */
-  0x131f, /* VPSHUFHWYri */
-/* Table4271 */
-  0xf82, /* VMOVDQUYmr */
-  0xf85, /* VMOVDQUYrr_REV */
-/* Table4273 */
-  0xc1c, /* VCVTDQ2PDYrm */
-  0xc1d, /* VCVTDQ2PDYrr */
-/* Table4275 */
-  0xf5a, /* VMOVDDUPYrm */
-  0xf5b, /* VMOVDDUPYrr */
-/* Table4277 */
-  0x1322, /* VPSHUFLWYmi */
-  0x1323, /* VPSHUFLWYri */
-/* Table4279 */
-  0xebf, /* VHADDPSYrm */
-  0xec0, /* VHADDPSYrr */
-/* Table4281 */
-  0xec7, /* VHSUBPSYrm */
-  0xec8, /* VHSUBPSYrr */
-/* Table4283 */
-  0xba5, /* VADDSUBPSYrm */
-  0xba6, /* VADDSUBPSYrr */
-/* Table4285 */
-  0xc2a, /* VCVTPD2DQYrm */
-  0xc2b, /* VCVTPD2DQYrr */
+  0x171b, /* VSQRTPSYm */
+  0x171c, /* VSQRTPSYr */
+/* Table4258 */
+  0x16f2, /* VRSQRTPSYm */
+  0x16f4, /* VRSQRTPSYr */
+/* Table4260 */
+  0x16bd, /* VRCPPSYm */
+  0x16bf, /* VRCPPSYr */
+/* Table4262 */
+  0xbd6, /* VANDPSYrm */
+  0xbd7, /* VANDPSYrr */
+/* Table4264 */
+  0xbce, /* VANDNPSYrm */
+  0xbcf, /* VANDNPSYrr */
+/* Table4266 */
+  0x119b, /* VORPSYrm */
+  0x119c, /* VORPSYrr */
+/* Table4268 */
+  0x1782, /* VXORPSYrm */
+  0x1783, /* VXORPSYrr */
+/* Table4270 */
+  0xb95, /* VADDPSYrm */
+  0xb96, /* VADDPSYrr */
+/* Table4272 */
+  0x1178, /* VMULPSYrm */
+  0x1179, /* VMULPSYrr */
+/* Table4274 */
+  0xc5c, /* VCVTPS2PDYrm */
+  0xc5d, /* VCVTPS2PDYrr */
+/* Table4276 */
+  0xc37, /* VCVTDQ2PSYrm */
+  0xc38, /* VCVTDQ2PSYrr */
+/* Table4278 */
+  0x173d, /* VSUBPSYrm */
+  0x173e, /* VSUBPSYrr */
+/* Table4280 */
+  0xf5f, /* VMINPSYrm */
+  0xf60, /* VMINPSYrr */
+/* Table4282 */
+  0xcdd, /* VDIVPSYrm */
+  0xcde, /* VDIVPSYrr */
+/* Table4284 */
+  0xf2a, /* VMAXPSYrm */
+  0xf2b, /* VMAXPSYrr */
+/* Table4286 */
+  0x1786, /* VZEROALL */
 /* Table4287 */
-  0xedb, /* VLDDQUYrm */
-  0x0, /*  */
+  0xc0c, /* VCMPPSYrmi */
+  0xc0e, /* VCMPPSYrri */
 /* Table4289 */
-  0xfd4, /* VMOVUPDYrm */
-  0xfd5, /* VMOVUPDYrr */
+  0x170f, /* VSHUFPSYrmi */
+  0x1710, /* VSHUFPSYrri */
 /* Table4291 */
-  0xfd3, /* VMOVUPDYmr */
-  0xfd6, /* VMOVUPDYrr_REV */
+  0x10f1, /* VMOVSLDUPYrm */
+  0x10f2, /* VMOVSLDUPYrr */
 /* Table4293 */
-  0x14d3, /* VUNPCKLPDYrm */
-  0x14d4, /* VUNPCKLPDYrr */
+  0x10eb, /* VMOVSHDUPYrm */
+  0x10ec, /* VMOVSHDUPYrr */
 /* Table4295 */
-  0x14c7, /* VUNPCKHPDYrm */
-  0x14c8, /* VUNPCKHPDYrr */
+  0xca3, /* VCVTTPS2DQYrm */
+  0xca4, /* VCVTTPS2DQYrr */
 /* Table4297 */
-  0xf41, /* VMOVAPDYrm */
-  0xf42, /* VMOVAPDYrr */
+  0x10b0, /* VMOVDQUYrm */
+  0x10b1, /* VMOVDQUYrr */
 /* Table4299 */
-  0xf40, /* VMOVAPDYmr */
-  0xf43, /* VMOVAPDYrr_REV */
+  0x1595, /* VPSHUFHWYmi */
+  0x1596, /* VPSHUFHWYri */
 /* Table4301 */
-  0xf9e, /* VMOVNTPDYmr */
-  0x0, /*  */
+  0x10af, /* VMOVDQUYmr */
+  0x10b2, /* VMOVDQUYrr_REV */
 /* Table4303 */
-  0x0, /*  */
-  0x4a4, /* KUNPCKBWrr */
+  0xc31, /* VCVTDQ2PDYrm */
+  0xc32, /* VCVTDQ2PDYrr */
 /* Table4305 */
-  0x0, /*  */
-  0xf96, /* VMOVMSKPDYrr */
+  0xfd3, /* VMOVDDUPYrm */
+  0xfd4, /* VMOVDDUPYrr */
 /* Table4307 */
-  0x147e, /* VSQRTPDYm */
-  0x147f, /* VSQRTPDYr */
+  0x1599, /* VPSHUFLWYmi */
+  0x159a, /* VPSHUFLWYri */
 /* Table4309 */
-  0xbc1, /* VANDPDYrm */
-  0xbc2, /* VANDPDYrr */
+  0xee8, /* VHADDPSYrm */
+  0xee9, /* VHADDPSYrr */
 /* Table4311 */
-  0xbb9, /* VANDNPDYrm */
-  0xbba, /* VANDNPDYrr */
+  0xef0, /* VHSUBPSYrm */
+  0xef1, /* VHSUBPSYrr */
 /* Table4313 */
-  0x1022, /* VORPDYrm */
-  0x1023, /* VORPDYrr */
+  0xbb2, /* VADDSUBPSYrm */
+  0xbb3, /* VADDSUBPSYrr */
 /* Table4315 */
-  0x14df, /* VXORPDYrm */
-  0x14e0, /* VXORPDYrr */
+  0xc3f, /* VCVTPD2DQYrm */
+  0xc40, /* VCVTPD2DQYrr */
 /* Table4317 */
-  0xb87, /* VADDPDYrm */
-  0xb88, /* VADDPDYrr */
+  0xf04, /* VLDDQUYrm */
+  0x0, /*  */
 /* Table4319 */
-  0x1002, /* VMULPDYrm */
-  0x1003, /* VMULPDYrr */
+  0x1105, /* VMOVUPDYrm */
+  0x1106, /* VMOVUPDYrr */
 /* Table4321 */
-  0xc31, /* VCVTPD2PSYrm */
-  0xc32, /* VCVTPD2PSYrr */
+  0x1104, /* VMOVUPDYmr */
+  0x1107, /* VMOVUPDYrr_REV */
 /* Table4323 */
-  0xc40, /* VCVTPS2DQYrm */
-  0xc41, /* VCVTPS2DQYrr */
+  0x1772, /* VUNPCKLPDYrm */
+  0x1773, /* VUNPCKLPDYrr */
 /* Table4325 */
-  0x149d, /* VSUBPDYrm */
-  0x149e, /* VSUBPDYrr */
+  0x1766, /* VUNPCKHPDYrm */
+  0x1767, /* VUNPCKHPDYrr */
 /* Table4327 */
-  0xf1d, /* VMINPDYrm */
-  0xf1e, /* VMINPDYrr */
+  0xf82, /* VMOVAPDYrm */
+  0xf83, /* VMOVAPDYrr */
 /* Table4329 */
-  0xcbb, /* VDIVPDYrm */
-  0xcbc, /* VDIVPDYrr */
+  0xf81, /* VMOVAPDYmr */
+  0xf84, /* VMOVAPDYrr_REV */
 /* Table4331 */
-  0xef4, /* VMAXPDYrm */
-  0xef5, /* VMAXPDYrr */
+  0x10cd, /* VMOVNTPDYmr */
+  0x0, /*  */
 /* Table4333 */
-  0x13fc, /* VPUNPCKLBWYrm */
-  0x13fd, /* VPUNPCKLBWYrr */
+  0x0, /*  */
+  0x46b, /* KANDBrr */
 /* Table4335 */
-  0x140c, /* VPUNPCKLWDYrm */
-  0x140d, /* VPUNPCKLWDYrr */
+  0x0, /*  */
+  0x46d, /* KANDNBrr */
 /* Table4337 */
-  0x1400, /* VPUNPCKLDQYrm */
-  0x1401, /* VPUNPCKLDQYrr */
+  0x0, /*  */
+  0x48b, /* KORBrr */
 /* Table4339 */
-  0x103e, /* VPACKSSWBYrm */
-  0x103f, /* VPACKSSWBYrr */
+  0x0, /*  */
+  0x497, /* KXNORBrr */
 /* Table4341 */
-  0x10e6, /* VPCMPGTBYrm */
-  0x10e7, /* VPCMPGTBYrr */
+  0x0, /*  */
+  0x49b, /* KXORBrr */
 /* Table4343 */
-  0x10f6, /* VPCMPGTWYrm */
-  0x10f7, /* VPCMPGTWYrr */
+  0x0, /*  */
+  0x496, /* KUNPCKBWrr */
 /* Table4345 */
-  0x10ea, /* VPCMPGTDYrm */
-  0x10eb, /* VPCMPGTDYrr */
+  0x0, /*  */
+  0x10c3, /* VMOVMSKPDYrr */
 /* Table4347 */
-  0x1046, /* VPACKUSWBYrm */
-  0x1047, /* VPACKUSWBYrr */
+  0x1715, /* VSQRTPDYm */
+  0x1716, /* VSQRTPDYr */
 /* Table4349 */
-  0x13e8, /* VPUNPCKHBWYrm */
-  0x13e9, /* VPUNPCKHBWYrr */
+  0xbd2, /* VANDPDYrm */
+  0xbd3, /* VANDPDYrr */
 /* Table4351 */
-  0x13f8, /* VPUNPCKHWDYrm */
-  0x13f9, /* VPUNPCKHWDYrr */
+  0xbca, /* VANDNPDYrm */
+  0xbcb, /* VANDNPDYrr */
 /* Table4353 */
-  0x13ec, /* VPUNPCKHDQYrm */
-  0x13ed, /* VPUNPCKHDQYrr */
+  0x1197, /* VORPDYrm */
+  0x1198, /* VORPDYrr */
 /* Table4355 */
-  0x103a, /* VPACKSSDWYrm */
-  0x103b, /* VPACKSSDWYrr */
+  0x177e, /* VXORPDYrm */
+  0x177f, /* VXORPDYrr */
 /* Table4357 */
-  0x1406, /* VPUNPCKLQDQYrm */
-  0x1407, /* VPUNPCKLQDQYrr */
+  0xb88, /* VADDPDYrm */
+  0xb89, /* VADDPDYrr */
 /* Table4359 */
-  0x13f2, /* VPUNPCKHQDQYrm */
-  0x13f3, /* VPUNPCKHQDQYrr */
+  0x116b, /* VMULPDYrm */
+  0x116c, /* VMULPDYrr */
 /* Table4361 */
-  0xf6f, /* VMOVDQAYrm */
-  0xf70, /* VMOVDQAYrr */
+  0xc46, /* VCVTPD2PSYrm */
+  0xc47, /* VCVTPD2PSYrr */
 /* Table4363 */
-  0x1318, /* VPSHUFDYmi */
-  0x1319, /* VPSHUFDYri */
+  0xc55, /* VCVTPS2DQYrm */
+  0xc56, /* VCVTPS2DQYrr */
 /* Table4365 */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x13b0, /* VPSRLWYri */
-  0x0, /*  */
-  0x1380, /* VPSRAWYri */
-  0x0, /*  */
-  0x135c, /* VPSLLWYri */
-  0x0, /*  */
+  0x1730, /* VSUBPDYrm */
+  0x1731, /* VSUBPDYrr */
+/* Table4367 */
+  0xf52, /* VMINPDYrm */
+  0xf53, /* VMINPDYrr */
+/* Table4369 */
+  0xcd0, /* VDIVPDYrm */
+  0xcd1, /* VDIVPDYrr */
+/* Table4371 */
+  0xf1d, /* VMAXPDYrm */
+  0xf1e, /* VMAXPDYrr */
+/* Table4373 */
+  0x167f, /* VPUNPCKLBWYrm */
+  0x1680, /* VPUNPCKLBWYrr */
+/* Table4375 */
+  0x168f, /* VPUNPCKLWDYrm */
+  0x1690, /* VPUNPCKLWDYrr */
+/* Table4377 */
+  0x1683, /* VPUNPCKLDQYrm */
+  0x1684, /* VPUNPCKLDQYrr */
+/* Table4379 */
+  0x11c1, /* VPACKSSWBYrm */
+  0x11c2, /* VPACKSSWBYrr */
 /* Table4381 */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1388, /* VPSRLDYri */
-  0x0, /*  */
-  0x1362, /* VPSRADYri */
-  0x0, /*  */
-  0x1334, /* VPSLLDYri */
-  0x0, /*  */
+  0x128f, /* VPCMPGTBYrm */
+  0x1290, /* VPCMPGTBYrr */
+/* Table4383 */
+  0x129f, /* VPCMPGTWYrm */
+  0x12a0, /* VPCMPGTWYrr */
+/* Table4385 */
+  0x1293, /* VPCMPGTDYrm */
+  0x1294, /* VPCMPGTDYrr */
+/* Table4387 */
+  0x11c9, /* VPACKUSWBYrm */
+  0x11ca, /* VPACKUSWBYrr */
+/* Table4389 */
+  0x166b, /* VPUNPCKHBWYrm */
+  0x166c, /* VPUNPCKHBWYrr */
+/* Table4391 */
+  0x167b, /* VPUNPCKHWDYrm */
+  0x167c, /* VPUNPCKHWDYrr */
+/* Table4393 */
+  0x166f, /* VPUNPCKHDQYrm */
+  0x1670, /* VPUNPCKHDQYrr */
+/* Table4395 */
+  0x11bd, /* VPACKSSDWYrm */
+  0x11be, /* VPACKSSDWYrr */
 /* Table4397 */
+  0x1689, /* VPUNPCKLQDQYrm */
+  0x168a, /* VPUNPCKLQDQYrr */
+/* Table4399 */
+  0x1675, /* VPUNPCKHQDQYrm */
+  0x1676, /* VPUNPCKHQDQYrr */
+/* Table4401 */
+  0x1024, /* VMOVDQAYrm */
+  0x1025, /* VMOVDQAYrr */
+/* Table4403 */
+  0x158f, /* VPSHUFDYmi */
+  0x1590, /* VPSHUFDYri */
+/* Table4405 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48666,3535 +53343,4726 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1396, /* VPSRLQYri */
-  0x1386, /* VPSRLDQYri */
+  0x1627, /* VPSRLWYri */
   0x0, /*  */
+  0x15f7, /* VPSRAWYri */
   0x0, /*  */
-  0x1342, /* VPSLLQYri */
-  0x1332, /* VPSLLDQYri */
-/* Table4413 */
-  0x10ca, /* VPCMPEQBYrm */
-  0x10cb, /* VPCMPEQBYrr */
-/* Table4415 */
-  0x10da, /* VPCMPEQWYrm */
-  0x10db, /* VPCMPEQWYrr */
-/* Table4417 */
-  0x10ce, /* VPCMPEQDYrm */
-  0x10cf, /* VPCMPEQDYrr */
-/* Table4419 */
-  0xebb, /* VHADDPDYrm */
-  0xebc, /* VHADDPDYrr */
+  0x15d3, /* VPSLLWYri */
+  0x0, /*  */
 /* Table4421 */
-  0xec3, /* VHSUBPDYrm */
-  0xec4, /* VHSUBPDYrr */
-/* Table4423 */
-  0xf6e, /* VMOVDQAYmr */
-  0xf71, /* VMOVDQAYrr_REV */
-/* Table4425 */
-  0xbea, /* VCMPPDYrmi */
-  0xbec, /* VCMPPDYrri */
-/* Table4427 */
-  0x1472, /* VSHUFPDYrmi */
-  0x1473, /* VSHUFPDYrri */
-/* Table4429 */
-  0xba1, /* VADDSUBPDYrm */
-  0xba2, /* VADDSUBPDYrr */
-/* Table4431 */
-  0x13b1, /* VPSRLWYrm */
-  0x13b2, /* VPSRLWYrr */
-/* Table4433 */
-  0x1389, /* VPSRLDYrm */
-  0x138a, /* VPSRLDYrr */
-/* Table4435 */
-  0x1397, /* VPSRLQYrm */
-  0x1398, /* VPSRLQYrr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x15ff, /* VPSRLDYri */
+  0x0, /*  */
+  0x15d9, /* VPSRADYri */
+  0x0, /*  */
+  0x15ab, /* VPSLLDYri */
+  0x0, /*  */
 /* Table4437 */
-  0x1055, /* VPADDQYrm */
-  0x1056, /* VPADDQYrr */
-/* Table4439 */
-  0x12c9, /* VPMULLWYrm */
-  0x12ca, /* VPMULLWYrr */
-/* Table4441 */
   0x0, /*  */
-  0x1243, /* VPMOVMSKBYrr */
-/* Table4443 */
-  0x13d0, /* VPSUBUSBYrm */
-  0x13d1, /* VPSUBUSBYrr */
-/* Table4445 */
-  0x13d4, /* VPSUBUSWYrm */
-  0x13d5, /* VPSUBUSWYrr */
-/* Table4447 */
-  0x122b, /* VPMINUBYrm */
-  0x122c, /* VPMINUBYrr */
-/* Table4449 */
-  0x1084, /* VPANDYrm */
-  0x1085, /* VPANDYrr */
-/* Table4451 */
-  0x1064, /* VPADDUSBYrm */
-  0x1065, /* VPADDUSBYrr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x160d, /* VPSRLQYri */
+  0x15fd, /* VPSRLDQYri */
+  0x0, /*  */
+  0x0, /*  */
+  0x15b9, /* VPSLLQYri */
+  0x15a9, /* VPSLLDQYri */
 /* Table4453 */
-  0x1068, /* VPADDUSWYrm */
-  0x1069, /* VPADDUSWYrr */
+  0x1273, /* VPCMPEQBYrm */
+  0x1274, /* VPCMPEQBYrr */
 /* Table4455 */
-  0x1207, /* VPMAXUBYrm */
-  0x1208, /* VPMAXUBYrr */
+  0x1283, /* VPCMPEQWYrm */
+  0x1284, /* VPCMPEQWYrr */
 /* Table4457 */
-  0x107d, /* VPANDNYrm */
-  0x107e, /* VPANDNYrr */
+  0x1277, /* VPCMPEQDYrm */
+  0x1278, /* VPCMPEQDYrr */
 /* Table4459 */
-  0x1088, /* VPAVGBYrm */
-  0x1089, /* VPAVGBYrr */
+  0xee4, /* VHADDPDYrm */
+  0xee5, /* VHADDPDYrr */
 /* Table4461 */
-  0x1381, /* VPSRAWYrm */
-  0x1382, /* VPSRAWYrr */
+  0xeec, /* VHSUBPDYrm */
+  0xeed, /* VHSUBPDYrr */
 /* Table4463 */
-  0x1363, /* VPSRADYrm */
-  0x1364, /* VPSRADYrr */
+  0x1023, /* VMOVDQAYmr */
+  0x1026, /* VMOVDQAYrr_REV */
 /* Table4465 */
-  0x108c, /* VPAVGWYrm */
-  0x108d, /* VPAVGWYrr */
+  0xbff, /* VCMPPDYrmi */
+  0xc01, /* VCMPPDYrri */
 /* Table4467 */
-  0x12ba, /* VPMULHUWYrm */
-  0x12bb, /* VPMULHUWYrr */
+  0x1709, /* VSHUFPDYrmi */
+  0x170a, /* VSHUFPDYrri */
 /* Table4469 */
-  0x12be, /* VPMULHWYrm */
-  0x12bf, /* VPMULHWYrr */
+  0xbae, /* VADDSUBPDYrm */
+  0xbaf, /* VADDSUBPDYrr */
 /* Table4471 */
-  0xc87, /* VCVTTPD2DQYrm */
-  0xc88, /* VCVTTPD2DQYrr */
+  0x1628, /* VPSRLWYrm */
+  0x1629, /* VPSRLWYrr */
 /* Table4473 */
-  0xf9c, /* VMOVNTDQYmr */
-  0x0, /*  */
+  0x1600, /* VPSRLDYrm */
+  0x1601, /* VPSRLDYrr */
 /* Table4475 */
-  0x13c8, /* VPSUBSBYrm */
-  0x13c9, /* VPSUBSBYrr */
+  0x160e, /* VPSRLQYrm */
+  0x160f, /* VPSRLQYrr */
 /* Table4477 */
-  0x13cc, /* VPSUBSWYrm */
-  0x13cd, /* VPSUBSWYrr */
+  0x11de, /* VPADDQYrm */
+  0x11df, /* VPADDQYrr */
 /* Table4479 */
-  0x1227, /* VPMINSWYrm */
-  0x1228, /* VPMINSWYrr */
+  0x152d, /* VPMULLWYrm */
+  0x152e, /* VPMULLWYrr */
 /* Table4481 */
-  0x12d9, /* VPORYrm */
-  0x12da, /* VPORYrr */
+  0x0, /*  */
+  0x1458, /* VPMOVMSKBYrr */
 /* Table4483 */
-  0x105c, /* VPADDSBYrm */
-  0x105d, /* VPADDSBYrr */
+  0x1653, /* VPSUBUSBYrm */
+  0x1654, /* VPSUBUSBYrr */
 /* Table4485 */
-  0x1060, /* VPADDSWYrm */
-  0x1061, /* VPADDSWYrr */
+  0x1657, /* VPSUBUSWYrm */
+  0x1658, /* VPSUBUSWYrr */
 /* Table4487 */
-  0x1203, /* VPMAXSWYrm */
-  0x1204, /* VPMAXSWYrr */
+  0x1430, /* VPMINUBYrm */
+  0x1431, /* VPMINUBYrr */
 /* Table4489 */
-  0x1416, /* VPXORYrm */
-  0x1417, /* VPXORYrr */
+  0x122b, /* VPANDYrm */
+  0x122c, /* VPANDYrr */
 /* Table4491 */
-  0x135d, /* VPSLLWYrm */
-  0x135e, /* VPSLLWYrr */
+  0x11f3, /* VPADDUSBYrm */
+  0x11f4, /* VPADDUSBYrr */
 /* Table4493 */
-  0x1335, /* VPSLLDYrm */
-  0x1336, /* VPSLLDYrr */
+  0x11f7, /* VPADDUSWYrm */
+  0x11f8, /* VPADDUSWYrr */
 /* Table4495 */
-  0x1343, /* VPSLLQYrm */
-  0x1344, /* VPSLLQYrr */
+  0x13f4, /* VPMAXUBYrm */
+  0x13f5, /* VPMAXUBYrr */
 /* Table4497 */
-  0x12cd, /* VPMULUDQYrm */
-  0x12ce, /* VPMULUDQYrr */
+  0x121e, /* VPANDNYrm */
+  0x121f, /* VPANDNYrr */
 /* Table4499 */
-  0x11e9, /* VPMADDWDYrm */
-  0x11ea, /* VPMADDWDYrr */
+  0x122f, /* VPAVGBYrm */
+  0x1230, /* VPAVGBYrr */
 /* Table4501 */
-  0x12f4, /* VPSADBWYrm */
-  0x12f5, /* VPSADBWYrr */
+  0x15f8, /* VPSRAWYrm */
+  0x15f9, /* VPSRAWYrr */
 /* Table4503 */
-  0x13b6, /* VPSUBBYrm */
-  0x13b7, /* VPSUBBYrr */
+  0x15da, /* VPSRADYrm */
+  0x15db, /* VPSRADYrr */
 /* Table4505 */
-  0x13d8, /* VPSUBWYrm */
-  0x13d9, /* VPSUBWYrr */
+  0x1233, /* VPAVGWYrm */
+  0x1234, /* VPAVGWYrr */
 /* Table4507 */
-  0x13ba, /* VPSUBDYrm */
-  0x13bb, /* VPSUBDYrr */
+  0x1518, /* VPMULHUWYrm */
+  0x1519, /* VPMULHUWYrr */
 /* Table4509 */
-  0x13c1, /* VPSUBQYrm */
-  0x13c2, /* VPSUBQYrr */
+  0x151c, /* VPMULHWYrm */
+  0x151d, /* VPMULHWYrr */
 /* Table4511 */
-  0x104a, /* VPADDBYrm */
-  0x104b, /* VPADDBYrr */
+  0xc9c, /* VCVTTPD2DQYrm */
+  0xc9d, /* VCVTTPD2DQYrr */
 /* Table4513 */
-  0x106c, /* VPADDWYrm */
-  0x106d, /* VPADDWYrr */
+  0x10ca, /* VMOVNTDQYmr */
+  0x0, /*  */
 /* Table4515 */
-  0x104e, /* VPADDDYrm */
-  0x104f, /* VPADDDYrr */
+  0x164b, /* VPSUBSBYrm */
+  0x164c, /* VPSUBSBYrr */
 /* Table4517 */
-  0x0, /*  */
-  0xf8a, /* VMOVHLPSZrr */
+  0x164f, /* VPSUBSWYrm */
+  0x1650, /* VPSUBSWYrr */
 /* Table4519 */
-  0x0, /*  */
-  0xf90, /* VMOVLHPSZrr */
+  0x142c, /* VPMINSWYrm */
+  0x142d, /* VPMINSWYrr */
 /* Table4521 */
-  0x14c3, /* VUCOMISSZrm */
-  0x14c4, /* VUCOMISSZrr */
+  0x1550, /* VPORYrm */
+  0x1551, /* VPORYrr */
 /* Table4523 */
-  0xc18, /* VCOMISSZrm */
-  0xc19, /* VCOMISSZrr */
+  0x11eb, /* VPADDSBYrm */
+  0x11ec, /* VPADDSBYrr */
 /* Table4525 */
-  0xfcb, /* VMOVSSZrm */
-  0xfcc, /* VMOVSSZrr */
+  0x11ef, /* VPADDSWYrm */
+  0x11f0, /* VPADDSWYrr */
 /* Table4527 */
-  0xfca, /* VMOVSSZmr */
-  0xfcd, /* VMOVSSZrr_REV */
+  0x13f0, /* VPMAXSWYrm */
+  0x13f1, /* VPMAXSWYrr */
 /* Table4529 */
-  0xc6e, /* VCVTSI2SSZrm */
-  0xc6f, /* VCVTSI2SSZrr */
+  0x16a5, /* VPXORYrm */
+  0x16a6, /* VPXORYrr */
 /* Table4531 */
-  0xca6, /* VCVTTSS2SIZrm */
-  0xca7, /* VCVTTSS2SIZrr */
+  0x15d4, /* VPSLLWYrm */
+  0x15d5, /* VPSLLWYrr */
 /* Table4533 */
-  0xc7e, /* VCVTSS2SIZrm */
-  0xc7f, /* VCVTSS2SIZrr */
+  0x15ac, /* VPSLLDYrm */
+  0x15ad, /* VPSLLDYrr */
 /* Table4535 */
-  0x1495, /* VSQRTSSZm */
-  0x1497, /* VSQRTSSZr */
+  0x15ba, /* VPSLLQYrm */
+  0x15bb, /* VPSLLQYrr */
 /* Table4537 */
-  0xb9b, /* VADDSSZrm */
-  0xb9c, /* VADDSSZrr */
+  0x1531, /* VPMULUDQYrm */
+  0x1532, /* VPMULUDQYrr */
 /* Table4539 */
-  0x1016, /* VMULSSZrm */
-  0x1017, /* VMULSSZrr */
+  0x13ca, /* VPMADDWDYrm */
+  0x13cb, /* VPMADDWDYrr */
 /* Table4541 */
-  0xc76, /* VCVTSS2SDZrm */
-  0xc77, /* VCVTSS2SDZrr */
+  0x156b, /* VPSADBWYrm */
+  0x156c, /* VPSADBWYrr */
 /* Table4543 */
-  0x14b1, /* VSUBSSZrm */
-  0x14b2, /* VSUBSSZrr */
+  0x162d, /* VPSUBBYrm */
+  0x162e, /* VPSUBBYrr */
 /* Table4545 */
-  0xf31, /* VMINSSZrm */
-  0xf32, /* VMINSSZrr */
+  0x165b, /* VPSUBWYrm */
+  0x165c, /* VPSUBWYrr */
 /* Table4547 */
-  0xccf, /* VDIVSSZrm */
-  0xcd0, /* VDIVSSZrr */
+  0x1631, /* VPSUBDYrm */
+  0x1632, /* VPSUBDYrr */
 /* Table4549 */
-  0xf08, /* VMAXSSZrm */
-  0xf09, /* VMAXSSZrr */
+  0x163e, /* VPSUBQYrm */
+  0x163f, /* VPSUBQYrr */
 /* Table4551 */
-  0xcac, /* VCVTTSS2USIZrm */
-  0xcad, /* VCVTTSS2USIZrr */
+  0x11cd, /* VPADDBYrm */
+  0x11ce, /* VPADDBYrr */
 /* Table4553 */
-  0xc84, /* VCVTSS2USIZrm */
-  0xc85, /* VCVTSS2USIZrr */
+  0x11fb, /* VPADDWYrm */
+  0x11fc, /* VPADDWYrr */
 /* Table4555 */
-  0xcb5, /* VCVTUSI2SSZrm */
-  0xcb6, /* VCVTUSI2SSZrr */
+  0x11d1, /* VPADDDYrm */
+  0x11d2, /* VPADDDYrr */
 /* Table4557 */
-  0xc0c, /* VCMPSSZrm */
-  0xc0e, /* VCMPSSZrr */
+  0x0, /*  */
+  0x471, /* KANDQrr */
 /* Table4559 */
-  0xc68, /* VCVTSI2SDZrm */
-  0xc69, /* VCVTSI2SDZrr */
+  0x0, /*  */
+  0x46f, /* KANDNQrr */
 /* Table4561 */
-  0xc9a, /* VCVTTSD2SIZrm */
-  0xc9b, /* VCVTTSD2SIZrr */
+  0x0, /*  */
+  0x48d, /* KORQrr */
 /* Table4563 */
-  0xc5a, /* VCVTSD2SIZrm */
-  0xc5b, /* VCVTSD2SIZrr */
+  0x0, /*  */
+  0x499, /* KXNORQrr */
 /* Table4565 */
-  0xca0, /* VCVTTSD2USIZrm */
-  0xca1, /* VCVTTSD2USIZrr */
+  0x0, /*  */
+  0x49d, /* KXORQrr */
 /* Table4567 */
-  0xc64, /* VCVTSD2USIZrm */
-  0xc65, /* VCVTSD2USIZrr */
+  0x0, /*  */
+  0x46c, /* KANDDrr */
 /* Table4569 */
-  0xcb3, /* VCVTUSI2SDZrm */
-  0xcb4, /* VCVTUSI2SDZrr */
+  0x0, /*  */
+  0x46e, /* KANDNDrr */
 /* Table4571 */
-  0xf60, /* VMOVDI2PDIZrm */
-  0xf61, /* VMOVDI2PDIZrr */
+  0x0, /*  */
+  0x48c, /* KORDrr */
 /* Table4573 */
-  0xfa2, /* VMOVPDI2DIZmr */
-  0xfa3, /* VMOVPDI2DIZrr */
+  0x0, /*  */
+  0x498, /* KXNORDrr */
 /* Table4575 */
-  0xc74, /* VCVTSI642SSZrm */
-  0xc75, /* VCVTSI642SSZrr */
+  0x0, /*  */
+  0x49c, /* KXORDrr */
 /* Table4577 */
-  0xca2, /* VCVTTSS2SI64Zrm */
-  0xca3, /* VCVTTSS2SI64Zrr */
+  0x1133, /* VMOVUPSZ128rm */
+  0x1136, /* VMOVUPSZ128rr */
 /* Table4579 */
-  0xc7a, /* VCVTSS2SI64Zrm */
-  0xc7b, /* VCVTSS2SI64Zrr */
+  0x1131, /* VMOVUPSZ128mr */
+  0x0, /*  */
 /* Table4581 */
-  0xcaa, /* VCVTTSS2USI64Zrm */
-  0xcab, /* VCVTTSS2USI64Zrr */
+  0x0, /*  */
+  0x10b7, /* VMOVHLPSZrr */
 /* Table4583 */
-  0xc82, /* VCVTSS2USI64Zrm */
-  0xc83, /* VCVTSS2USI64Zrr */
+  0x0, /*  */
+  0x10bd, /* VMOVLHPSZrr */
 /* Table4585 */
-  0xcb9, /* VCVTUSI642SSZrm */
-  0xcba, /* VCVTUSI642SSZrr */
+  0xfb0, /* VMOVAPSZ128rm */
+  0xfb3, /* VMOVAPSZ128rr */
 /* Table4587 */
-  0xfed, /* VMOVZPQILo2PQIZrm */
-  0xfee, /* VMOVZPQILo2PQIZrr */
+  0xfae, /* VMOVAPSZ128mr */
+  0x0, /*  */
 /* Table4589 */
-  0xfae, /* VMOVSDZrm */
-  0xfaf, /* VMOVSDZrr */
+  0x1762, /* VUCOMISSZrm */
+  0x1763, /* VUCOMISSZrr */
 /* Table4591 */
-  0xfad, /* VMOVSDZmr */
-  0xfb0, /* VMOVSDZrr_REV */
+  0xc2d, /* VCOMISSZrm */
+  0xc2e, /* VCOMISSZrr */
 /* Table4593 */
-  0xc72, /* VCVTSI642SDZrm */
-  0xc73, /* VCVTSI642SDZrr */
+  0x10fc, /* VMOVSSZrm */
+  0x10fd, /* VMOVSSZrr */
 /* Table4595 */
-  0xc96, /* VCVTTSD2SI64Zrm */
-  0xc97, /* VCVTTSD2SI64Zrr */
+  0x10fb, /* VMOVSSZmr */
+  0x10fe, /* VMOVSSZrr_REV */
 /* Table4597 */
-  0xc56, /* VCVTSD2SI64Zrm */
-  0xc57, /* VCVTSD2SI64Zrr */
+  0xc83, /* VCVTSI2SSZrm */
+  0xc84, /* VCVTSI2SSZrr */
 /* Table4599 */
-  0x148e, /* VSQRTSDZm */
-  0x1490, /* VSQRTSDZr */
+  0xcbb, /* VCVTTSS2SIZrm */
+  0xcbc, /* VCVTTSS2SIZrr */
 /* Table4601 */
-  0xb95, /* VADDSDZrm */
-  0xb96, /* VADDSDZrr */
+  0xc93, /* VCVTSS2SIZrm */
+  0xc94, /* VCVTSS2SIZrr */
 /* Table4603 */
-  0x1010, /* VMULSDZrm */
-  0x1011, /* VMULSDZrr */
+  0x1728, /* VSQRTSSZm */
+  0x172a, /* VSQRTSSZr */
 /* Table4605 */
-  0xc5e, /* VCVTSD2SSZrm */
-  0xc5f, /* VCVTSD2SSZrr */
+  0xba8, /* VADDSSZrm */
+  0xba9, /* VADDSSZrr */
 /* Table4607 */
-  0x14ab, /* VSUBSDZrm */
-  0x14ac, /* VSUBSDZrr */
+  0x118b, /* VMULSSZrm */
+  0x118c, /* VMULSSZrr */
 /* Table4609 */
-  0xf2b, /* VMINSDZrm */
-  0xf2c, /* VMINSDZrr */
+  0xc8b, /* VCVTSS2SDZrm */
+  0xc8c, /* VCVTSS2SDZrr */
 /* Table4611 */
-  0xcc9, /* VDIVSDZrm */
-  0xcca, /* VDIVSDZrr */
+  0x1750, /* VSUBSSZrm */
+  0x1751, /* VSUBSSZrr */
 /* Table4613 */
-  0xf02, /* VMAXSDZrm */
-  0xf03, /* VMAXSDZrr */
+  0xf72, /* VMINSSZrm */
+  0xf73, /* VMINSSZrr */
 /* Table4615 */
-  0xc9e, /* VCVTTSD2USI64Zrm */
-  0xc9f, /* VCVTTSD2USI64Zrr */
+  0xcf0, /* VDIVSSZrm */
+  0xcf1, /* VDIVSSZrr */
 /* Table4617 */
-  0xc62, /* VCVTSD2USI64Zrm */
-  0xc63, /* VCVTSD2USI64Zrr */
+  0xf3d, /* VMAXSSZrm */
+  0xf3e, /* VMAXSSZrr */
 /* Table4619 */
-  0xcb7, /* VCVTUSI642SDZrm */
-  0xcb8, /* VCVTUSI642SDZrr */
+  0x104e, /* VMOVDQU32Z128rm */
+  0x1051, /* VMOVDQU32Z128rr */
 /* Table4621 */
-  0xc04, /* VCMPSDZrm */
-  0xc06, /* VCMPSDZrr */
+  0xcc1, /* VCVTTSS2USIZrm */
+  0xcc2, /* VCVTTSS2USIZrr */
 /* Table4623 */
-  0x14bf, /* VUCOMISDZrm */
-  0x14c0, /* VUCOMISDZrr */
+  0xc99, /* VCVTSS2USIZrm */
+  0xc9a, /* VCVTSS2USIZrr */
 /* Table4625 */
-  0xc14, /* VCOMISDZrm */
-  0xc15, /* VCOMISDZrr */
+  0xcca, /* VCVTUSI2SSZrm */
+  0xccb, /* VCVTUSI2SSZrr */
 /* Table4627 */
-  0xfab, /* VMOVQI2PQIZrm */
-  0xf3b, /* VMOV64toPQIZrr */
+  0x104c, /* VMOVDQU32Z128mr */
+  0x0, /*  */
 /* Table4629 */
-  0xfb6, /* VMOVSDto64Zmr */
-  0xfa9, /* VMOVPQIto64Zrr */
+  0xc21, /* VCMPSSZrm */
+  0xc23, /* VCMPSSZrr */
 /* Table4631 */
-  0xfa8, /* VMOVPQIto64Zmr */
-  0x0, /*  */
+  0xc7d, /* VCVTSI2SDZrm */
+  0xc7e, /* VCVTSI2SDZrr */
 /* Table4633 */
-  0xfe5, /* VMOVUPSZrm */
-  0xfe7, /* VMOVUPSZrr */
+  0xcaf, /* VCVTTSD2SIZrm */
+  0xcb0, /* VCVTTSD2SIZrr */
 /* Table4635 */
-  0xfe4, /* VMOVUPSZmr */
-  0x0, /*  */
+  0xc6f, /* VCVTSD2SIZrm */
+  0xc70, /* VCVTSD2SIZrr */
 /* Table4637 */
-  0x14db, /* VUNPCKLPSZrm */
-  0x14dc, /* VUNPCKLPSZrr */
+  0x1090, /* VMOVDQU8Z128rm */
+  0x1093, /* VMOVDQU8Z128rr */
 /* Table4639 */
-  0x14cf, /* VUNPCKHPSZrm */
-  0x14d0, /* VUNPCKHPSZrr */
+  0xcb5, /* VCVTTSD2USIZrm */
+  0xcb6, /* VCVTTSD2USIZrr */
 /* Table4641 */
-  0xf52, /* VMOVAPSZrm */
-  0xf54, /* VMOVAPSZrr */
+  0xc79, /* VCVTSD2USIZrm */
+  0xc7a, /* VCVTSD2USIZrr */
 /* Table4643 */
-  0xf51, /* VMOVAPSZmr */
-  0x0, /*  */
+  0xcc8, /* VCVTUSI2SDZrm */
+  0xcc9, /* VCVTUSI2SDZrr */
 /* Table4645 */
-  0x148a, /* VSQRTPSZrm */
-  0x148b, /* VSQRTPSZrr */
+  0x108e, /* VMOVDQU8Z128mr */
+  0x0, /*  */
 /* Table4647 */
-  0xb90, /* VADDPSZrm */
-  0xb92, /* VADDPSZrr */
+  0xfd9, /* VMOVDI2PDIZrm */
+  0xfda, /* VMOVDI2PDIZrr */
 /* Table4649 */
-  0x100b, /* VMULPSZrm */
-  0x100d, /* VMULPSZrr */
+  0xfe3, /* VMOVDQA32Z128rm */
+  0xfe6, /* VMOVDQA32Z128rr */
 /* Table4651 */
-  0xc49, /* VCVTPS2PDZrm */
-  0xc4a, /* VCVTPS2PDZrr */
+  0x10d3, /* VMOVPDI2DIZmr */
+  0x10d4, /* VMOVPDI2DIZrr */
 /* Table4653 */
-  0xc24, /* VCVTDQ2PSZrm */
-  0xc25, /* VCVTDQ2PSZrr */
+  0xfe1, /* VMOVDQA32Z128mr */
+  0x0, /*  */
 /* Table4655 */
-  0x14a6, /* VSUBPSZrm */
-  0x14a8, /* VSUBPSZrr */
+  0xc89, /* VCVTSI642SSZrm */
+  0xc8a, /* VCVTSI642SSZrr */
 /* Table4657 */
-  0xf26, /* VMINPSZrm */
-  0xf28, /* VMINPSZrr */
+  0xcb7, /* VCVTTSS2SI64Zrm */
+  0xcb8, /* VCVTTSS2SI64Zrr */
 /* Table4659 */
-  0xcc4, /* VDIVPSZrm */
-  0xcc6, /* VDIVPSZrr */
+  0xc8f, /* VCVTSS2SI64Zrm */
+  0xc90, /* VCVTSS2SI64Zrr */
 /* Table4661 */
-  0xefd, /* VMAXPSZrm */
-  0xeff, /* VMAXPSZrr */
+  0x106f, /* VMOVDQU64Z128rm */
+  0x1072, /* VMOVDQU64Z128rr */
 /* Table4663 */
-  0xc94, /* VCVTTPS2UDQZrm */
-  0xc95, /* VCVTTPS2UDQZrr */
+  0xcbf, /* VCVTTSS2USI64Zrm */
+  0xcc0, /* VCVTTSS2USI64Zrr */
 /* Table4665 */
-  0xc53, /* VCVTPS2UDQZrm */
-  0xc54, /* VCVTPS2UDQZrr */
+  0xc97, /* VCVTSS2USI64Zrm */
+  0xc98, /* VCVTSS2USI64Zrr */
 /* Table4667 */
-  0xbfb, /* VCMPPSZrmi */
-  0xbfd, /* VCMPPSZrri */
+  0xcce, /* VCVTUSI642SSZrm */
+  0xccf, /* VCVTUSI642SSZrr */
 /* Table4669 */
-  0x147a, /* VSHUFPSZrmi */
-  0x147b, /* VSHUFPSZrri */
+  0x1156, /* VMOVZPQILo2PQIZrm */
+  0x1157, /* VMOVZPQILo2PQIZrr */
 /* Table4671 */
-  0xfc2, /* VMOVSLDUPZrm */
-  0xfc3, /* VMOVSLDUPZrr */
+  0x106d, /* VMOVDQU64Z128mr */
+  0x0, /*  */
 /* Table4673 */
-  0xfbc, /* VMOVSHDUPZrm */
-  0xfbd, /* VMOVSHDUPZrr */
+  0x10df, /* VMOVSDZrm */
+  0x10e0, /* VMOVSDZrr */
 /* Table4675 */
-  0xc90, /* VCVTTPS2DQZrm */
-  0xc91, /* VCVTTPS2DQZrr */
+  0x10de, /* VMOVSDZmr */
+  0x10e1, /* VMOVSDZrr_REV */
 /* Table4677 */
-  0xf77, /* VMOVDQU32rm */
-  0xf79, /* VMOVDQU32rr */
+  0xc87, /* VCVTSI642SDZrm */
+  0xc88, /* VCVTSI642SDZrr */
 /* Table4679 */
-  0xcae, /* VCVTUDQ2PDZrm */
-  0xcaf, /* VCVTUDQ2PDZrr */
+  0xcab, /* VCVTTSD2SI64Zrm */
+  0xcac, /* VCVTTSD2SI64Zrr */
 /* Table4681 */
-  0xf76, /* VMOVDQU32mr */
-  0x0, /*  */
+  0xc6b, /* VCVTSD2SI64Zrm */
+  0xc6c, /* VCVTSD2SI64Zrr */
 /* Table4683 */
-  0xc1e, /* VCVTDQ2PDZrm */
-  0xc1f, /* VCVTDQ2PDZrr */
+  0x1721, /* VSQRTSDZm */
+  0x1723, /* VSQRTSDZr */
 /* Table4685 */
-  0xcb0, /* VCVTUDQ2PSZrm */
-  0xcb1, /* VCVTUDQ2PSZrr */
+  0xba2, /* VADDSDZrm */
+  0xba3, /* VADDSDZrr */
 /* Table4687 */
-  0x1482, /* VSQRTPDZrm */
-  0x1483, /* VSQRTPDZrr */
+  0x1185, /* VMULSDZrm */
+  0x1186, /* VMULSDZrr */
 /* Table4689 */
-  0xc42, /* VCVTPS2DQZrm */
-  0xc43, /* VCVTPS2DQZrr */
+  0xc73, /* VCVTSD2SSZrm */
+  0xc74, /* VCVTSD2SSZrr */
 /* Table4691 */
-  0x1402, /* VPUNPCKLDQZrm */
-  0x1403, /* VPUNPCKLDQZrr */
+  0x174a, /* VSUBSDZrm */
+  0x174b, /* VSUBSDZrr */
 /* Table4693 */
-  0x10ec, /* VPCMPGTDZrm */
-  0x10ed, /* VPCMPGTDZrr */
+  0xf6c, /* VMINSDZrm */
+  0xf6d, /* VMINSDZrr */
 /* Table4695 */
-  0x13ee, /* VPUNPCKHDQZrm */
-  0x13ef, /* VPUNPCKHDQZrr */
+  0xcea, /* VDIVSDZrm */
+  0xceb, /* VDIVSDZrr */
 /* Table4697 */
-  0xf69, /* VMOVDQA32rm */
-  0xf6a, /* VMOVDQA32rr */
+  0xf37, /* VMAXSDZrm */
+  0xf38, /* VMAXSDZrr */
 /* Table4699 */
-  0x131a, /* VPSHUFDZmi */
-  0x131b, /* VPSHUFDZri */
+  0x102d, /* VMOVDQU16Z128rm */
+  0x1030, /* VMOVDQU16Z128rr */
 /* Table4701 */
+  0xcb3, /* VCVTTSD2USI64Zrm */
+  0xcb4, /* VCVTTSD2USI64Zrr */
+/* Table4703 */
+  0xc77, /* VCVTSD2USI64Zrm */
+  0xc78, /* VCVTSD2USI64Zrr */
+/* Table4705 */
+  0xccc, /* VCVTUSI642SDZrm */
+  0xccd, /* VCVTUSI642SDZrr */
+/* Table4707 */
+  0x102b, /* VMOVDQU16Z128mr */
   0x0, /*  */
+/* Table4709 */
+  0xc19, /* VCMPSDZrm */
+  0xc1b, /* VCMPSDZrr */
+/* Table4711 */
+  0x110a, /* VMOVUPDZ128rm */
+  0x110d, /* VMOVUPDZ128rr */
+/* Table4713 */
+  0x1108, /* VMOVUPDZ128mr */
   0x0, /*  */
-  0x138b, /* VPSRLDZmi */
-  0x0, /*  */
-  0x1365, /* VPSRADZmi */
-  0x0, /*  */
-  0x1337, /* VPSLLDZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x138d, /* VPSRLDZri */
-  0x0, /*  */
-  0x1367, /* VPSRADZri */
-  0x0, /*  */
-  0x1339, /* VPSLLDZri */
-  0x0, /*  */
+/* Table4715 */
+  0xf87, /* VMOVAPDZ128rm */
+  0xf8a, /* VMOVAPDZ128rr */
 /* Table4717 */
-  0x10d0, /* VPCMPEQDZrm */
-  0x10d1, /* VPCMPEQDZrr */
+  0xf85, /* VMOVAPDZ128mr */
+  0x0, /*  */
 /* Table4719 */
-  0xf68, /* VMOVDQA32mr */
-  0x0, /*  */
+  0x175e, /* VUCOMISDZrm */
+  0x175f, /* VUCOMISDZrr */
 /* Table4721 */
-  0x138f, /* VPSRLDZrm */
-  0x1391, /* VPSRLDZrr */
+  0xc29, /* VCOMISDZrm */
+  0xc2a, /* VCOMISDZrr */
 /* Table4723 */
-  0x1074, /* VPANDDZrm */
-  0x1076, /* VPANDDZrr */
+  0x10dc, /* VMOVQI2PQIZrm */
+  0xf7c, /* VMOV64toPQIZrr */
 /* Table4725 */
-  0x1077, /* VPANDNDZrm */
-  0x1079, /* VPANDNDZrr */
+  0x1004, /* VMOVDQA64Z128rm */
+  0x1007, /* VMOVDQA64Z128rr */
 /* Table4727 */
-  0x1369, /* VPSRADZrm */
-  0x136b, /* VPSRADZrr */
+  0x10e7, /* VMOVSDto64Zmr */
+  0x10da, /* VMOVPQIto64Zrr */
 /* Table4729 */
-  0x12d3, /* VPORDZrm */
-  0x12d5, /* VPORDZrr */
-/* Table4731 */
-  0x1410, /* VPXORDZrm */
-  0x1412, /* VPXORDZrr */
-/* Table4733 */
-  0x133b, /* VPSLLDZrm */
-  0x133d, /* VPSLLDZrr */
-/* Table4735 */
-  0x13bc, /* VPSUBDZrm */
-  0x13be, /* VPSUBDZrr */
-/* Table4737 */
-  0x1050, /* VPADDDZrm */
-  0x1052, /* VPADDDZrr */
-/* Table4739 */
-  0xc8c, /* VCVTTPD2UDQZrm */
-  0xc8d, /* VCVTTPD2UDQZrr */
-/* Table4741 */
-  0xc37, /* VCVTPD2UDQZrm */
-  0xc38, /* VCVTPD2UDQZrr */
-/* Table4743 */
-  0xf7d, /* VMOVDQU64rm */
-  0xf7f, /* VMOVDQU64rr */
-/* Table4745 */
-  0xf7c, /* VMOVDQU64mr */
+  0x1002, /* VMOVDQA64Z128mr */
   0x0, /*  */
+/* Table4731 */
+  0x10d9, /* VMOVPQIto64Zmr */
+  0x0, /*  */
+/* Table4733 */
+  0x113e, /* VMOVUPSZ256rm */
+  0x1141, /* VMOVUPSZ256rr */
+/* Table4735 */
+  0x113c, /* VMOVUPSZ256mr */
+  0x0, /*  */
+/* Table4737 */
+  0xfbb, /* VMOVAPSZ256rm */
+  0xfbe, /* VMOVAPSZ256rr */
+/* Table4739 */
+  0xfb9, /* VMOVAPSZ256mr */
+  0x0, /*  */
+/* Table4741 */
+  0x1059, /* VMOVDQU32Z256rm */
+  0x105c, /* VMOVDQU32Z256rr */
+/* Table4743 */
+  0x1057, /* VMOVDQU32Z256mr */
+  0x0, /*  */
+/* Table4745 */
+  0x109b, /* VMOVDQU8Z256rm */
+  0x109e, /* VMOVDQU8Z256rr */
 /* Table4747 */
-  0xc2c, /* VCVTPD2DQZrm */
-  0xc2d, /* VCVTPD2DQZrr */
+  0x1099, /* VMOVDQU8Z256mr */
+  0x0, /*  */
 /* Table4749 */
-  0xfd8, /* VMOVUPDZrm */
-  0xfda, /* VMOVUPDZrr */
+  0xfee, /* VMOVDQA32Z256rm */
+  0xff1, /* VMOVDQA32Z256rr */
 /* Table4751 */
-  0xfd7, /* VMOVUPDZmr */
+  0xfec, /* VMOVDQA32Z256mr */
   0x0, /*  */
 /* Table4753 */
-  0xf5c, /* VMOVDDUPZrm */
-  0xf5d, /* VMOVDDUPZrr */
+  0x107a, /* VMOVDQU64Z256rm */
+  0x107d, /* VMOVDQU64Z256rr */
 /* Table4755 */
-  0x14d5, /* VUNPCKLPDZrm */
-  0x14d6, /* VUNPCKLPDZrr */
+  0x1078, /* VMOVDQU64Z256mr */
+  0x0, /*  */
 /* Table4757 */
-  0x14c9, /* VUNPCKHPDZrm */
-  0x14ca, /* VUNPCKHPDZrr */
+  0x1038, /* VMOVDQU16Z256rm */
+  0x103b, /* VMOVDQU16Z256rr */
 /* Table4759 */
-  0xf45, /* VMOVAPDZrm */
-  0xf47, /* VMOVAPDZrr */
+  0x1036, /* VMOVDQU16Z256mr */
+  0x0, /*  */
 /* Table4761 */
-  0xf44, /* VMOVAPDZmr */
-  0x0, /*  */
+  0x1115, /* VMOVUPDZ256rm */
+  0x1118, /* VMOVUPDZ256rr */
 /* Table4763 */
-  0xb89, /* VADDPDZrm */
-  0xb8b, /* VADDPDZrr */
+  0x1113, /* VMOVUPDZ256mr */
+  0x0, /*  */
 /* Table4765 */
-  0x1004, /* VMULPDZrm */
-  0x1006, /* VMULPDZrr */
+  0xf92, /* VMOVAPDZ256rm */
+  0xf95, /* VMOVAPDZ256rr */
 /* Table4767 */
-  0xc33, /* VCVTPD2PSZrm */
-  0xc34, /* VCVTPD2PSZrr */
+  0xf90, /* VMOVAPDZ256mr */
+  0x0, /*  */
 /* Table4769 */
-  0x149f, /* VSUBPDZrm */
-  0x14a1, /* VSUBPDZrr */
+  0x100f, /* VMOVDQA64Z256rm */
+  0x1012, /* VMOVDQA64Z256rr */
 /* Table4771 */
-  0xf1f, /* VMINPDZrm */
-  0xf21, /* VMINPDZrr */
+  0x100d, /* VMOVDQA64Z256mr */
+  0x0, /*  */
 /* Table4773 */
-  0xcbd, /* VDIVPDZrm */
-  0xcbf, /* VDIVPDZrr */
+  0x1149, /* VMOVUPSZrm */
+  0x114c, /* VMOVUPSZrr */
 /* Table4775 */
-  0xef6, /* VMAXPDZrm */
-  0xef8, /* VMAXPDZrr */
+  0x1147, /* VMOVUPSZmr */
+  0x0, /*  */
 /* Table4777 */
-  0x1408, /* VPUNPCKLQDQZrm */
-  0x1409, /* VPUNPCKLQDQZrr */
+  0x177a, /* VUNPCKLPSZrm */
+  0x177b, /* VUNPCKLPSZrr */
 /* Table4779 */
-  0x13f4, /* VPUNPCKHQDQZrm */
-  0x13f5, /* VPUNPCKHQDQZrr */
+  0x176e, /* VUNPCKHPSZrm */
+  0x176f, /* VUNPCKHPSZrr */
 /* Table4781 */
-  0xf6c, /* VMOVDQA64rm */
-  0xf6d, /* VMOVDQA64rr */
+  0xfc6, /* VMOVAPSZrm */
+  0xfc9, /* VMOVAPSZrr */
 /* Table4783 */
+  0xfc4, /* VMOVAPSZmr */
   0x0, /*  */
+/* Table4785 */
+  0x10d1, /* VMOVNTPSZmr */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1370, /* VPSRAQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1372, /* VPSRAQZri */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+/* Table4787 */
+  0x171d, /* VSQRTPSZrm */
+  0x171e, /* VSQRTPSZrr */
+/* Table4789 */
+  0xb97, /* VADDPSZrm */
+  0xb9d, /* VADDPSZrr */
+/* Table4791 */
+  0x117a, /* VMULPSZrm */
+  0x1180, /* VMULPSZrr */
+/* Table4793 */
+  0xc5e, /* VCVTPS2PDZrm */
+  0xc5f, /* VCVTPS2PDZrr */
+/* Table4795 */
+  0xc39, /* VCVTDQ2PSZrm */
+  0xc3a, /* VCVTDQ2PSZrr */
+/* Table4797 */
+  0x173f, /* VSUBPSZrm */
+  0x1745, /* VSUBPSZrr */
 /* Table4799 */
-  0x0, /*  */
-  0x0, /*  */
-  0x1399, /* VPSRLQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1345, /* VPSLLQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x139b, /* VPSRLQZri */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1347, /* VPSLLQZri */
-  0x0, /*  */
+  0xf61, /* VMINPSZrm */
+  0xf67, /* VMINPSZrr */
+/* Table4801 */
+  0xcdf, /* VDIVPSZrm */
+  0xce5, /* VDIVPSZrr */
+/* Table4803 */
+  0xf2c, /* VMAXPSZrm */
+  0xf32, /* VMAXPSZrr */
+/* Table4805 */
+  0xca9, /* VCVTTPS2UDQZrm */
+  0xcaa, /* VCVTTPS2UDQZrr */
+/* Table4807 */
+  0xc68, /* VCVTPS2UDQZrm */
+  0xc69, /* VCVTPS2UDQZrr */
+/* Table4809 */
+  0xc10, /* VCMPPSZrmi */
+  0xc12, /* VCMPPSZrri */
+/* Table4811 */
+  0x1711, /* VSHUFPSZrmi */
+  0x1712, /* VSHUFPSZrri */
+/* Table4813 */
+  0x10f3, /* VMOVSLDUPZrm */
+  0x10f4, /* VMOVSLDUPZrr */
 /* Table4815 */
-  0xf6b, /* VMOVDQA64mr */
-  0x0, /*  */
+  0x10ed, /* VMOVSHDUPZrm */
+  0x10ee, /* VMOVSHDUPZrr */
 /* Table4817 */
-  0xbee, /* VCMPPDZrmi */
-  0xbf0, /* VCMPPDZrri */
+  0xca5, /* VCVTTPS2DQZrm */
+  0xca6, /* VCVTTPS2DQZrr */
 /* Table4819 */
-  0x1474, /* VSHUFPDZrmi */
-  0x1475, /* VSHUFPDZrri */
+  0x1064, /* VMOVDQU32Zrm */
+  0x1067, /* VMOVDQU32Zrr */
 /* Table4821 */
-  0x139d, /* VPSRLQZrm */
-  0x139f, /* VPSRLQZrr */
+  0xcc3, /* VCVTUDQ2PDZrm */
+  0xcc4, /* VCVTUDQ2PDZrr */
 /* Table4823 */
-  0x1057, /* VPADDQZrm */
-  0x1059, /* VPADDQZrr */
+  0x1062, /* VMOVDQU32Zmr */
+  0x0, /*  */
 /* Table4825 */
-  0x1081, /* VPANDQZrm */
-  0x1083, /* VPANDQZrr */
+  0xc33, /* VCVTDQ2PDZrm */
+  0xc34, /* VCVTDQ2PDZrr */
 /* Table4827 */
-  0x107a, /* VPANDNQZrm */
-  0x107c, /* VPANDNQZrr */
+  0x10a6, /* VMOVDQU8Zrm */
+  0x10a9, /* VMOVDQU8Zrr */
 /* Table4829 */
-  0x1374, /* VPSRAQZrm */
-  0x1376, /* VPSRAQZrr */
+  0xcc5, /* VCVTUDQ2PSZrm */
+  0xcc6, /* VCVTUDQ2PSZrr */
 /* Table4831 */
-  0xc89, /* VCVTTPD2DQZrm */
-  0xc8a, /* VCVTTPD2DQZrr */
+  0x10a4, /* VMOVDQU8Zmr */
+  0x0, /*  */
 /* Table4833 */
-  0x12d6, /* VPORQZrm */
-  0x12d8, /* VPORQZrr */
+  0x1717, /* VSQRTPDZrm */
+  0x1718, /* VSQRTPDZrr */
 /* Table4835 */
-  0x1413, /* VPXORQZrm */
-  0x1415, /* VPXORQZrr */
+  0xc57, /* VCVTPS2DQZrm */
+  0xc58, /* VCVTPS2DQZrr */
 /* Table4837 */
-  0x1349, /* VPSLLQZrm */
-  0x134b, /* VPSLLQZrr */
+  0x1685, /* VPUNPCKLDQZrm */
+  0x1686, /* VPUNPCKLDQZrr */
 /* Table4839 */
-  0x12cf, /* VPMULUDQZrm */
-  0x12d0, /* VPMULUDQZrr */
+  0x1295, /* VPCMPGTDZrm */
+  0x1296, /* VPCMPGTDZrr */
 /* Table4841 */
-  0x13c3, /* VPSUBQZrm */
-  0x13c5, /* VPSUBQZrr */
+  0x1671, /* VPUNPCKHDQZrm */
+  0x1672, /* VPUNPCKHDQZrr */
 /* Table4843 */
-  0x0, /*  */
-  0xfce, /* VMOVSSZrrk */
+  0xff9, /* VMOVDQA32Zrm */
+  0xffc, /* VMOVDQA32Zrr */
 /* Table4845 */
-  0x0, /*  */
-  0xfb1, /* VMOVSDZrrk */
+  0x1591, /* VPSHUFDZmi */
+  0x1592, /* VPSHUFDZri */
 /* Table4847 */
-  0xfe6, /* VMOVUPSZrmk */
-  0xfe8, /* VMOVUPSZrrk */
-/* Table4849 */
-  0xf53, /* VMOVAPSZrmk */
-  0xf55, /* VMOVAPSZrrk */
-/* Table4851 */
-  0xf78, /* VMOVDQU32rmk */
-  0xf7a, /* VMOVDQU32rrk */
-/* Table4853 */
   0x0, /*  */
   0x0, /*  */
-  0x138c, /* VPSRLDZmik */
+  0x1602, /* VPSRLDZmi */
   0x0, /*  */
-  0x1366, /* VPSRADZmik */
+  0x15dc, /* VPSRADZmi */
   0x0, /*  */
-  0x1338, /* VPSLLDZmik */
+  0x15ae, /* VPSLLDZmi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x138e, /* VPSRLDZrik */
+  0x1604, /* VPSRLDZri */
   0x0, /*  */
-  0x1368, /* VPSRADZrik */
+  0x15de, /* VPSRADZri */
   0x0, /*  */
-  0x133a, /* VPSLLDZrik */
+  0x15b0, /* VPSLLDZri */
   0x0, /*  */
+/* Table4863 */
+  0x1279, /* VPCMPEQDZrm */
+  0x127a, /* VPCMPEQDZrr */
+/* Table4865 */
+  0xff7, /* VMOVDQA32Zmr */
+  0x0, /*  */
+/* Table4867 */
+  0x1606, /* VPSRLDZrm */
+  0x1608, /* VPSRLDZrr */
 /* Table4869 */
-  0x1390, /* VPSRLDZrmk */
-  0x1392, /* VPSRLDZrrk */
+  0x1203, /* VPANDDZrm */
+  0x1209, /* VPANDDZrr */
 /* Table4871 */
-  0x136a, /* VPSRADZrmk */
-  0x136c, /* VPSRADZrrk */
+  0x120c, /* VPANDNDZrm */
+  0x1212, /* VPANDNDZrr */
 /* Table4873 */
-  0x133c, /* VPSLLDZrmk */
-  0x133e, /* VPSLLDZrrk */
+  0x15e0, /* VPSRADZrm */
+  0x15e2, /* VPSRADZrr */
 /* Table4875 */
-  0xf7e, /* VMOVDQU64rmk */
-  0xf80, /* VMOVDQU64rrk */
+  0x10cb, /* VMOVNTDQZmr */
+  0x0, /*  */
 /* Table4877 */
-  0xfd9, /* VMOVUPDZrmk */
-  0xfdb, /* VMOVUPDZrrk */
+  0x153e, /* VPORDZrm */
+  0x1544, /* VPORDZrr */
 /* Table4879 */
-  0xf46, /* VMOVAPDZrmk */
-  0xf48, /* VMOVAPDZrrk */
+  0x1693, /* VPXORDZrm */
+  0x1699, /* VPXORDZrr */
 /* Table4881 */
+  0x15b2, /* VPSLLDZrm */
+  0x15b4, /* VPSLLDZrr */
+/* Table4883 */
+  0x1633, /* VPSUBDZrm */
+  0x1639, /* VPSUBDZrr */
+/* Table4885 */
+  0x11d3, /* VPADDDZrm */
+  0x11d9, /* VPADDDZrr */
+/* Table4887 */
+  0xca1, /* VCVTTPD2UDQZrm */
+  0xca2, /* VCVTTPD2UDQZrr */
+/* Table4889 */
+  0xc4c, /* VCVTPD2UDQZrm */
+  0xc4d, /* VCVTPD2UDQZrr */
+/* Table4891 */
+  0x1085, /* VMOVDQU64Zrm */
+  0x1088, /* VMOVDQU64Zrr */
+/* Table4893 */
+  0x1083, /* VMOVDQU64Zmr */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1371, /* VPSRAQZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1373, /* VPSRAQZrik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+/* Table4895 */
+  0x1043, /* VMOVDQU16Zrm */
+  0x1046, /* VMOVDQU16Zrr */
 /* Table4897 */
+  0x1041, /* VMOVDQU16Zmr */
   0x0, /*  */
+/* Table4899 */
+  0xc41, /* VCVTPD2DQZrm */
+  0xc42, /* VCVTPD2DQZrr */
+/* Table4901 */
+  0x1120, /* VMOVUPDZrm */
+  0x1123, /* VMOVUPDZrr */
+/* Table4903 */
+  0x111e, /* VMOVUPDZmr */
   0x0, /*  */
-  0x139a, /* VPSRLQZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1346, /* VPSLLQZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x139c, /* VPSRLQZrik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1348, /* VPSLLQZrik */
-  0x0, /*  */
+/* Table4905 */
+  0xfd5, /* VMOVDDUPZrm */
+  0xfd6, /* VMOVDDUPZrr */
+/* Table4907 */
+  0x1774, /* VUNPCKLPDZrm */
+  0x1775, /* VUNPCKLPDZrr */
+/* Table4909 */
+  0x1768, /* VUNPCKHPDZrm */
+  0x1769, /* VUNPCKHPDZrr */
+/* Table4911 */
+  0xf9d, /* VMOVAPDZrm */
+  0xfa0, /* VMOVAPDZrr */
 /* Table4913 */
-  0x139e, /* VPSRLQZrmk */
-  0x13a0, /* VPSRLQZrrk */
+  0xf9b, /* VMOVAPDZmr */
+  0x0, /*  */
 /* Table4915 */
-  0x1375, /* VPSRAQZrmk */
-  0x1377, /* VPSRAQZrrk */
+  0x10ce, /* VMOVNTPDZmr */
+  0x0, /*  */
 /* Table4917 */
-  0x134a, /* VPSLLQZrmk */
-  0x134c, /* VPSLLQZrrk */
+  0xb8a, /* VADDPDZrm */
+  0xb90, /* VADDPDZrr */
 /* Table4919 */
-  0xb91, /* VADDPSZrmb */
-  0x0, /*  */
+  0x116d, /* VMULPDZrm */
+  0x1173, /* VMULPDZrr */
 /* Table4921 */
-  0x100c, /* VMULPSZrmb */
-  0x0, /*  */
+  0xc48, /* VCVTPD2PSZrm */
+  0xc49, /* VCVTPD2PSZrr */
 /* Table4923 */
-  0x0, /*  */
-  0xc26, /* VCVTDQ2PSZrrb */
+  0x1732, /* VSUBPDZrm */
+  0x1738, /* VSUBPDZrr */
 /* Table4925 */
-  0x14a7, /* VSUBPSZrmb */
-  0x0, /*  */
+  0xf54, /* VMINPDZrm */
+  0xf5a, /* VMINPDZrr */
 /* Table4927 */
-  0xf27, /* VMINPSZrmb */
-  0x0, /*  */
+  0xcd2, /* VDIVPDZrm */
+  0xcd8, /* VDIVPDZrr */
 /* Table4929 */
-  0xcc5, /* VDIVPSZrmb */
-  0x0, /*  */
+  0xf1f, /* VMAXPDZrm */
+  0xf25, /* VMAXPDZrr */
 /* Table4931 */
-  0xefe, /* VMAXPSZrmb */
-  0x0, /*  */
+  0x168b, /* VPUNPCKLQDQZrm */
+  0x168c, /* VPUNPCKLQDQZrr */
 /* Table4933 */
-  0x0, /*  */
-  0xc55, /* VCVTPS2UDQZrrb */
+  0x1677, /* VPUNPCKHQDQZrm */
+  0x1678, /* VPUNPCKHQDQZrr */
 /* Table4935 */
-  0x0, /*  */
-  0xbff, /* VCMPPSZrrib */
+  0x101a, /* VMOVDQA64Zrm */
+  0x101d, /* VMOVDQA64Zrr */
 /* Table4937 */
   0x0, /*  */
-  0xcb2, /* VCVTUDQ2PSZrrb */
-/* Table4939 */
   0x0, /*  */
-  0xc44, /* VCVTPS2DQZrrb */
-/* Table4941 */
-  0x1075, /* VPANDDZrmb */
   0x0, /*  */
-/* Table4943 */
-  0x1078, /* VPANDNDZrmb */
   0x0, /*  */
-/* Table4945 */
-  0x12d4, /* VPORDZrmb */
+  0x15e7, /* VPSRAQZmi */
   0x0, /*  */
-/* Table4947 */
-  0x1411, /* VPXORDZrmb */
   0x0, /*  */
-/* Table4949 */
-  0x13bd, /* VPSUBDZrmb */
   0x0, /*  */
-/* Table4951 */
-  0x1051, /* VPADDDZrmb */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x15e9, /* VPSRAQZri */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
 /* Table4953 */
   0x0, /*  */
-  0xc39, /* VCVTPD2UDQZrrb */
-/* Table4955 */
   0x0, /*  */
-  0xc2e, /* VCVTPD2DQZrrb */
-/* Table4957 */
-  0xb8a, /* VADDPDZrmb */
+  0x1610, /* VPSRLQZmi */
   0x0, /*  */
-/* Table4959 */
-  0x1005, /* VMULPDZrmb */
   0x0, /*  */
-/* Table4961 */
   0x0, /*  */
-  0xc35, /* VCVTPD2PSZrrb */
-/* Table4963 */
-  0x14a0, /* VSUBPDZrmb */
+  0x15bc, /* VPSLLQZmi */
   0x0, /*  */
-/* Table4965 */
-  0xf20, /* VMINPDZrmb */
   0x0, /*  */
-/* Table4967 */
-  0xcbe, /* VDIVPDZrmb */
+  0x0, /*  */
+  0x1612, /* VPSRLQZri */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x15be, /* VPSLLQZri */
   0x0, /*  */
 /* Table4969 */
-  0xef7, /* VMAXPDZrmb */
+  0x1018, /* VMOVDQA64Zmr */
   0x0, /*  */
 /* Table4971 */
-  0x0, /*  */
-  0xbf2, /* VCMPPDZrrib */
+  0xc03, /* VCMPPDZrmi */
+  0xc05, /* VCMPPDZrri */
 /* Table4973 */
-  0x1058, /* VPADDQZrmb */
-  0x0, /*  */
+  0x170b, /* VSHUFPDZrmi */
+  0x170c, /* VSHUFPDZrri */
 /* Table4975 */
-  0x1082, /* VPANDQZrmb */
-  0x0, /*  */
+  0x1614, /* VPSRLQZrm */
+  0x1616, /* VPSRLQZrr */
 /* Table4977 */
-  0x107b, /* VPANDNQZrmb */
-  0x0, /*  */
+  0x11e0, /* VPADDQZrm */
+  0x11e6, /* VPADDQZrr */
 /* Table4979 */
-  0x12d7, /* VPORQZrmb */
-  0x0, /*  */
+  0x1222, /* VPANDQZrm */
+  0x1228, /* VPANDQZrr */
 /* Table4981 */
-  0x1414, /* VPXORQZrmb */
-  0x0, /*  */
+  0x1215, /* VPANDNQZrm */
+  0x121b, /* VPANDNQZrr */
 /* Table4983 */
-  0x13c4, /* VPSUBQZrmb */
-  0x0, /*  */
+  0x15eb, /* VPSRAQZrm */
+  0x15ed, /* VPSRAQZrr */
 /* Table4985 */
-  0x0, /*  */
-  0xf7b, /* VMOVDQU32rrkz */
+  0xc9e, /* VCVTTPD2DQZrm */
+  0xc9f, /* VCVTTPD2DQZrr */
 /* Table4987 */
-  0x0, /*  */
-  0xf81, /* VMOVDQU64rrkz */
+  0x1547, /* VPORQZrm */
+  0x154d, /* VPORQZrr */
 /* Table4989 */
-  0x5e9, /* MMX_PSHUFBrm64 */
-  0x5ea, /* MMX_PSHUFBrr64 */
+  0x169c, /* VPXORQZrm */
+  0x16a2, /* VPXORQZrr */
 /* Table4991 */
-  0x5c2, /* MMX_PHADDWrm64 */
-  0x5c3, /* MMX_PHADDWrr64 */
+  0x15c0, /* VPSLLQZrm */
+  0x15c2, /* VPSLLQZrr */
 /* Table4993 */
-  0x5c4, /* MMX_PHADDrm64 */
-  0x5c5, /* MMX_PHADDrr64 */
+  0x1533, /* VPMULUDQZrm */
+  0x1539, /* VPMULUDQZrr */
 /* Table4995 */
-  0x5c0, /* MMX_PHADDSWrm64 */
-  0x5c1, /* MMX_PHADDSWrr64 */
+  0x1640, /* VPSUBQZrm */
+  0x1646, /* VPSUBQZrr */
 /* Table4997 */
-  0x5ce, /* MMX_PMADDUBSWrm64 */
-  0x5cf, /* MMX_PMADDUBSWrr64 */
+  0x1134, /* VMOVUPSZ128rmk */
+  0x1138, /* VMOVUPSZ128rrk */
 /* Table4999 */
-  0x5ca, /* MMX_PHSUBWrm64 */
-  0x5cb, /* MMX_PHSUBWrr64 */
+  0x1132, /* VMOVUPSZ128mrk */
+  0x0, /*  */
 /* Table5001 */
-  0x5c6, /* MMX_PHSUBDrm64 */
-  0x5c7, /* MMX_PHSUBDrr64 */
+  0xfb1, /* VMOVAPSZ128rmk */
+  0xfb5, /* VMOVAPSZ128rrk */
 /* Table5003 */
-  0x5c8, /* MMX_PHSUBSWrm64 */
-  0x5c9, /* MMX_PHSUBSWrr64 */
+  0xfaf, /* VMOVAPSZ128mrk */
+  0x0, /*  */
 /* Table5005 */
-  0x5ed, /* MMX_PSIGNBrm64 */
-  0x5ee, /* MMX_PSIGNBrr64 */
+  0x0, /*  */
+  0x10ff, /* VMOVSSZrrk */
 /* Table5007 */
-  0x5f1, /* MMX_PSIGNWrm64 */
-  0x5f2, /* MMX_PSIGNWrr64 */
+  0x104f, /* VMOVDQU32Z128rmk */
+  0x1053, /* VMOVDQU32Z128rrk */
 /* Table5009 */
-  0x5ef, /* MMX_PSIGNDrm64 */
-  0x5f0, /* MMX_PSIGNDrr64 */
+  0x104d, /* VMOVDQU32Z128mrk */
+  0x0, /*  */
 /* Table5011 */
-  0x5db, /* MMX_PMULHRSWrm64 */
-  0x5dc, /* MMX_PMULHRSWrr64 */
+  0x1091, /* VMOVDQU8Z128rmk */
+  0x1095, /* VMOVDQU8Z128rrk */
 /* Table5013 */
-  0x58d, /* MMX_PABSBrm64 */
-  0x58e, /* MMX_PABSBrr64 */
+  0x108f, /* VMOVDQU8Z128mrk */
+  0x0, /*  */
 /* Table5015 */
-  0x591, /* MMX_PABSWrm64 */
-  0x592, /* MMX_PABSWrr64 */
+  0xfe4, /* VMOVDQA32Z128rmk */
+  0xfe8, /* VMOVDQA32Z128rrk */
 /* Table5017 */
-  0x58f, /* MMX_PABSDrm64 */
-  0x590, /* MMX_PABSDrr64 */
+  0xfe2, /* VMOVDQA32Z128mrk */
+  0x0, /*  */
 /* Table5019 */
-  0xa2d, /* SHA1NEXTErm */
-  0xa2e, /* SHA1NEXTErr */
+  0x1070, /* VMOVDQU64Z128rmk */
+  0x1074, /* VMOVDQU64Z128rrk */
 /* Table5021 */
-  0xa29, /* SHA1MSG1rm */
-  0xa2a, /* SHA1MSG1rr */
+  0x106e, /* VMOVDQU64Z128mrk */
+  0x0, /*  */
 /* Table5023 */
-  0xa2b, /* SHA1MSG2rm */
-  0xa2c, /* SHA1MSG2rr */
+  0x0, /*  */
+  0x10e2, /* VMOVSDZrrk */
 /* Table5025 */
-  0xa35, /* SHA256RNDS2rm */
-  0xa36, /* SHA256RNDS2rr */
+  0x102e, /* VMOVDQU16Z128rmk */
+  0x1032, /* VMOVDQU16Z128rrk */
 /* Table5027 */
-  0xa31, /* SHA256MSG1rm */
-  0xa32, /* SHA256MSG1rr */
+  0x102c, /* VMOVDQU16Z128mrk */
+  0x0, /*  */
 /* Table5029 */
-  0xa33, /* SHA256MSG2rm */
-  0xa34, /* SHA256MSG2rr */
+  0x110b, /* VMOVUPDZ128rmk */
+  0x110f, /* VMOVUPDZ128rrk */
 /* Table5031 */
-  0x685, /* MOVBE32rm */
+  0x1109, /* VMOVUPDZ128mrk */
   0x0, /*  */
 /* Table5033 */
-  0x684, /* MOVBE32mr */
-  0x0, /*  */
+  0xf88, /* VMOVAPDZ128rmk */
+  0xf8c, /* VMOVAPDZ128rrk */
 /* Table5035 */
-  0x88a, /* PSHUFBrm */
-  0x88b, /* PSHUFBrr */
-/* Table5037 */
-  0x805, /* PHADDWrm */
-  0x806, /* PHADDWrr */
-/* Table5039 */
-  0x801, /* PHADDDrm */
-  0x802, /* PHADDDrr */
-/* Table5041 */
-  0x803, /* PHADDSWrm128 */
-  0x804, /* PHADDSWrr128 */
-/* Table5043 */
-  0x81b, /* PMADDUBSWrm128 */
-  0x81c, /* PMADDUBSWrr128 */
-/* Table5045 */
-  0x80d, /* PHSUBWrm */
-  0x80e, /* PHSUBWrr */
-/* Table5047 */
-  0x809, /* PHSUBDrm */
-  0x80a, /* PHSUBDrr */
-/* Table5049 */
-  0x80b, /* PHSUBSWrm128 */
-  0x80c, /* PHSUBSWrr128 */
-/* Table5051 */
-  0x892, /* PSIGNBrm */
-  0x893, /* PSIGNBrr */
-/* Table5053 */
-  0x896, /* PSIGNWrm */
-  0x897, /* PSIGNWrr */
-/* Table5055 */
-  0x894, /* PSIGNDrm */
-  0x895, /* PSIGNDrr */
-/* Table5057 */
-  0x852, /* PMULHRSWrm128 */
-  0x853, /* PMULHRSWrr128 */
-/* Table5059 */
-  0x7a4, /* PBLENDVBrm0 */
-  0x7a5, /* PBLENDVBrr0 */
-/* Table5061 */
-  0x12b, /* BLENDVPSrm0 */
-  0x12c, /* BLENDVPSrr0 */
-/* Table5063 */
-  0x129, /* BLENDVPDrm0 */
-  0x12a, /* BLENDVPDrr0 */
-/* Table5065 */
-  0x8c4, /* PTESTrm */
-  0x8c5, /* PTESTrr */
-/* Table5067 */
-  0x779, /* PABSBrm128 */
-  0x77a, /* PABSBrr128 */
-/* Table5069 */
-  0x77d, /* PABSWrm128 */
-  0x77e, /* PABSWrr128 */
-/* Table5071 */
-  0x77b, /* PABSDrm128 */
-  0x77c, /* PABSDrr128 */
-/* Table5073 */
-  0x83c, /* PMOVSXBWrm */
-  0x83d, /* PMOVSXBWrr */
-/* Table5075 */
-  0x838, /* PMOVSXBDrm */
-  0x839, /* PMOVSXBDrr */
-/* Table5077 */
-  0x83a, /* PMOVSXBQrm */
-  0x83b, /* PMOVSXBQrr */
-/* Table5079 */
-  0x840, /* PMOVSXWDrm */
-  0x841, /* PMOVSXWDrr */
-/* Table5081 */
-  0x842, /* PMOVSXWQrm */
-  0x843, /* PMOVSXWQrr */
-/* Table5083 */
-  0x83e, /* PMOVSXDQrm */
-  0x83f, /* PMOVSXDQrr */
-/* Table5085 */
-  0x850, /* PMULDQrm */
-  0x851, /* PMULDQrr */
-/* Table5087 */
-  0x7ae, /* PCMPEQQrm */
-  0x7af, /* PCMPEQQrr */
-/* Table5089 */
-  0x6a2, /* MOVNTDQArm */
+  0xf86, /* VMOVAPDZ128mrk */
   0x0, /*  */
+/* Table5037 */
+  0x1005, /* VMOVDQA64Z128rmk */
+  0x1009, /* VMOVDQA64Z128rrk */
+/* Table5039 */
+  0x1003, /* VMOVDQA64Z128mrk */
+  0x0, /*  */
+/* Table5041 */
+  0x113f, /* VMOVUPSZ256rmk */
+  0x1143, /* VMOVUPSZ256rrk */
+/* Table5043 */
+  0x113d, /* VMOVUPSZ256mrk */
+  0x0, /*  */
+/* Table5045 */
+  0xfbc, /* VMOVAPSZ256rmk */
+  0xfc0, /* VMOVAPSZ256rrk */
+/* Table5047 */
+  0xfba, /* VMOVAPSZ256mrk */
+  0x0, /*  */
+/* Table5049 */
+  0x105a, /* VMOVDQU32Z256rmk */
+  0x105e, /* VMOVDQU32Z256rrk */
+/* Table5051 */
+  0x1058, /* VMOVDQU32Z256mrk */
+  0x0, /*  */
+/* Table5053 */
+  0x109c, /* VMOVDQU8Z256rmk */
+  0x10a0, /* VMOVDQU8Z256rrk */
+/* Table5055 */
+  0x109a, /* VMOVDQU8Z256mrk */
+  0x0, /*  */
+/* Table5057 */
+  0xfef, /* VMOVDQA32Z256rmk */
+  0xff3, /* VMOVDQA32Z256rrk */
+/* Table5059 */
+  0xfed, /* VMOVDQA32Z256mrk */
+  0x0, /*  */
+/* Table5061 */
+  0x107b, /* VMOVDQU64Z256rmk */
+  0x107f, /* VMOVDQU64Z256rrk */
+/* Table5063 */
+  0x1079, /* VMOVDQU64Z256mrk */
+  0x0, /*  */
+/* Table5065 */
+  0x1039, /* VMOVDQU16Z256rmk */
+  0x103d, /* VMOVDQU16Z256rrk */
+/* Table5067 */
+  0x1037, /* VMOVDQU16Z256mrk */
+  0x0, /*  */
+/* Table5069 */
+  0x1116, /* VMOVUPDZ256rmk */
+  0x111a, /* VMOVUPDZ256rrk */
+/* Table5071 */
+  0x1114, /* VMOVUPDZ256mrk */
+  0x0, /*  */
+/* Table5073 */
+  0xf93, /* VMOVAPDZ256rmk */
+  0xf97, /* VMOVAPDZ256rrk */
+/* Table5075 */
+  0xf91, /* VMOVAPDZ256mrk */
+  0x0, /*  */
+/* Table5077 */
+  0x1010, /* VMOVDQA64Z256rmk */
+  0x1014, /* VMOVDQA64Z256rrk */
+/* Table5079 */
+  0x100e, /* VMOVDQA64Z256mrk */
+  0x0, /*  */
+/* Table5081 */
+  0x114a, /* VMOVUPSZrmk */
+  0x114e, /* VMOVUPSZrrk */
+/* Table5083 */
+  0x1148, /* VMOVUPSZmrk */
+  0x0, /*  */
+/* Table5085 */
+  0xfc7, /* VMOVAPSZrmk */
+  0xfcb, /* VMOVAPSZrrk */
+/* Table5087 */
+  0xfc5, /* VMOVAPSZmrk */
+  0x0, /*  */
+/* Table5089 */
+  0xb9b, /* VADDPSZrmk */
+  0xb9e, /* VADDPSZrrk */
 /* Table5091 */
-  0x783, /* PACKUSDWrm */
-  0x784, /* PACKUSDWrr */
+  0x117e, /* VMULPSZrmk */
+  0x1181, /* VMULPSZrrk */
 /* Table5093 */
-  0x848, /* PMOVZXBWrm */
-  0x849, /* PMOVZXBWrr */
+  0x1743, /* VSUBPSZrmk */
+  0x1746, /* VSUBPSZrrk */
 /* Table5095 */
-  0x844, /* PMOVZXBDrm */
-  0x845, /* PMOVZXBDrr */
+  0xf65, /* VMINPSZrmk */
+  0xf68, /* VMINPSZrrk */
 /* Table5097 */
-  0x846, /* PMOVZXBQrm */
-  0x847, /* PMOVZXBQrr */
+  0xce3, /* VDIVPSZrmk */
+  0xce6, /* VDIVPSZrrk */
 /* Table5099 */
-  0x84c, /* PMOVZXWDrm */
-  0x84d, /* PMOVZXWDrr */
+  0xf30, /* VMAXPSZrmk */
+  0xf33, /* VMAXPSZrrk */
 /* Table5101 */
-  0x84e, /* PMOVZXWQrm */
-  0x84f, /* PMOVZXWQrr */
+  0x1065, /* VMOVDQU32Zrmk */
+  0x1069, /* VMOVDQU32Zrrk */
 /* Table5103 */
-  0x84a, /* PMOVZXDQrm */
-  0x84b, /* PMOVZXDQrr */
+  0x1063, /* VMOVDQU32Zmrk */
+  0x0, /*  */
 /* Table5105 */
-  0x7be, /* PCMPGTQrm */
-  0x7bf, /* PCMPGTQrr */
+  0x10a7, /* VMOVDQU8Zrmk */
+  0x10ab, /* VMOVDQU8Zrrk */
 /* Table5107 */
-  0x82b, /* PMINSBrm */
-  0x82c, /* PMINSBrr */
+  0x10a5, /* VMOVDQU8Zmrk */
+  0x0, /*  */
 /* Table5109 */
-  0x82d, /* PMINSDrm */
-  0x82e, /* PMINSDrr */
+  0xffa, /* VMOVDQA32Zrmk */
+  0xffe, /* VMOVDQA32Zrrk */
 /* Table5111 */
-  0x835, /* PMINUWrm */
-  0x836, /* PMINUWrr */
-/* Table5113 */
-  0x833, /* PMINUDrm */
-  0x834, /* PMINUDrr */
-/* Table5115 */
-  0x81f, /* PMAXSBrm */
-  0x820, /* PMAXSBrr */
-/* Table5117 */
-  0x821, /* PMAXSDrm */
-  0x822, /* PMAXSDrr */
-/* Table5119 */
-  0x829, /* PMAXUWrm */
-  0x82a, /* PMAXUWrr */
-/* Table5121 */
-  0x827, /* PMAXUDrm */
-  0x828, /* PMAXUDrr */
-/* Table5123 */
-  0x85a, /* PMULLDrm */
-  0x85b, /* PMULLDrr */
-/* Table5125 */
-  0x807, /* PHMINPOSUWrm128 */
-  0x808, /* PHMINPOSUWrr128 */
+  0x0, /*  */
+  0x0, /*  */
+  0x1603, /* VPSRLDZmik */
+  0x0, /*  */
+  0x15dd, /* VPSRADZmik */
+  0x0, /*  */
+  0x15af, /* VPSLLDZmik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1605, /* VPSRLDZrik */
+  0x0, /*  */
+  0x15df, /* VPSRADZrik */
+  0x0, /*  */
+  0x15b1, /* VPSLLDZrik */
+  0x0, /*  */
 /* Table5127 */
-  0x3c8, /* INVEPT32 */
+  0xff8, /* VMOVDQA32Zmrk */
   0x0, /*  */
 /* Table5129 */
-  0x3cf, /* INVVPID32 */
-  0x0, /*  */
+  0x1607, /* VPSRLDZrmk */
+  0x1609, /* VPSRLDZrrk */
 /* Table5131 */
-  0x3cd, /* INVPCID32 */
-  0x0, /*  */
+  0x1207, /* VPANDDZrmk */
+  0x120a, /* VPANDDZrrk */
 /* Table5133 */
-  0xa6, /* AESIMCrm */
-  0xa7, /* AESIMCrr */
+  0x1210, /* VPANDNDZrmk */
+  0x1213, /* VPANDNDZrrk */
 /* Table5135 */
-  0xa4, /* AESENCrm */
-  0xa5, /* AESENCrr */
+  0x15e1, /* VPSRADZrmk */
+  0x15e3, /* VPSRADZrrk */
 /* Table5137 */
-  0xa2, /* AESENCLASTrm */
-  0xa3, /* AESENCLASTrr */
+  0x1542, /* VPORDZrmk */
+  0x1545, /* VPORDZrrk */
 /* Table5139 */
-  0xa0, /* AESDECrm */
-  0xa1, /* AESDECrr */
+  0x1697, /* VPXORDZrmk */
+  0x169a, /* VPXORDZrrk */
 /* Table5141 */
-  0x9e, /* AESDECLASTrm */
-  0x9f, /* AESDECLASTrr */
+  0x15b3, /* VPSLLDZrmk */
+  0x15b5, /* VPSLLDZrrk */
 /* Table5143 */
-  0x683, /* MOVBE16rm */
-  0x0, /*  */
+  0x1637, /* VPSUBDZrmk */
+  0x163a, /* VPSUBDZrrk */
 /* Table5145 */
-  0x682, /* MOVBE16mr */
-  0x0, /*  */
+  0x11d7, /* VPADDDZrmk */
+  0x11da, /* VPADDDZrrk */
 /* Table5147 */
-  0x41, /* ADCX32rm */
-  0x42, /* ADCX32rr */
+  0x1086, /* VMOVDQU64Zrmk */
+  0x108a, /* VMOVDQU64Zrrk */
 /* Table5149 */
-  0x27f, /* CRC32r32m8 */
-  0x282, /* CRC32r32r8 */
+  0x1084, /* VMOVDQU64Zmrk */
+  0x0, /*  */
 /* Table5151 */
-  0x27e, /* CRC32r32m32 */
-  0x281, /* CRC32r32r32 */
+  0x1044, /* VMOVDQU16Zrmk */
+  0x1048, /* VMOVDQU16Zrrk */
 /* Table5153 */
-  0x9a, /* ADOX32rm */
-  0x9b, /* ADOX32rr */
+  0x1042, /* VMOVDQU16Zmrk */
+  0x0, /*  */
 /* Table5155 */
-  0x27d, /* CRC32r32m16 */
-  0x280, /* CRC32r32r16 */
+  0x1121, /* VMOVUPDZrmk */
+  0x1125, /* VMOVUPDZrrk */
 /* Table5157 */
-  0x687, /* MOVBE64rm */
+  0x111f, /* VMOVUPDZmrk */
   0x0, /*  */
 /* Table5159 */
-  0x686, /* MOVBE64mr */
-  0x0, /*  */
+  0xf9e, /* VMOVAPDZrmk */
+  0xfa2, /* VMOVAPDZrrk */
 /* Table5161 */
-  0x3c9, /* INVEPT64 */
+  0xf9c, /* VMOVAPDZmrk */
   0x0, /*  */
 /* Table5163 */
-  0x3d0, /* INVVPID64 */
-  0x0, /*  */
+  0xb8e, /* VADDPDZrmk */
+  0xb91, /* VADDPDZrrk */
 /* Table5165 */
-  0x3ce, /* INVPCID64 */
-  0x0, /*  */
+  0x1171, /* VMULPDZrmk */
+  0x1174, /* VMULPDZrrk */
 /* Table5167 */
-  0x9c, /* ADOX64rm */
-  0x9d, /* ADOX64rr */
+  0x1736, /* VSUBPDZrmk */
+  0x1739, /* VSUBPDZrrk */
 /* Table5169 */
-  0x284, /* CRC32r64m8 */
-  0x286, /* CRC32r64r8 */
+  0xf58, /* VMINPDZrmk */
+  0xf5b, /* VMINPDZrrk */
 /* Table5171 */
-  0x283, /* CRC32r64m64 */
-  0x285, /* CRC32r64r64 */
+  0xcd6, /* VDIVPDZrmk */
+  0xcd9, /* VDIVPDZrrk */
 /* Table5173 */
-  0x43, /* ADCX64rm */
-  0x44, /* ADCX64rr */
+  0xf23, /* VMAXPDZrmk */
+  0xf26, /* VMAXPDZrrk */
 /* Table5175 */
-  0xcd, /* ANDN32rm */
-  0xce, /* ANDN32rr */
+  0x101b, /* VMOVDQA64Zrmk */
+  0x101f, /* VMOVDQA64Zrrk */
 /* Table5177 */
   0x0, /*  */
-  0x13d, /* BLSR32rm */
-  0x139, /* BLSMSK32rm */
-  0x131, /* BLSI32rm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x15e8, /* VPSRAQZmik */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x13e, /* BLSR32rr */
-  0x13a, /* BLSMSK32rr */
-  0x132, /* BLSI32rr */
   0x0, /*  */
   0x0, /*  */
+  0x15ea, /* VPSRAQZrik */
+  0x0, /*  */
   0x0, /*  */
   0x0, /*  */
 /* Table5193 */
-  0x181, /* BZHI32rm */
-  0x182, /* BZHI32rr */
-/* Table5195 */
-  0x109, /* BEXTR32rm */
-  0x10a, /* BEXTR32rr */
-/* Table5197 */
-  0x7ce, /* PEXT32rm */
-  0x7cf, /* PEXT32rr */
-/* Table5199 */
-  0x9d5, /* SARX32rm */
-  0x9d6, /* SARX32rr */
-/* Table5201 */
-  0x7ca, /* PDEP32rm */
-  0x7cb, /* PDEP32rr */
-/* Table5203 */
-  0x6ff, /* MULX32rm */
-  0x700, /* MULX32rr */
-/* Table5205 */
-  0xa83, /* SHRX32rm */
-  0xa84, /* SHRX32rr */
-/* Table5207 */
-  0x1316, /* VPSHUFBrm */
-  0x1317, /* VPSHUFBrr */
-/* Table5209 */
-  0x11af, /* VPHADDWrm */
-  0x11b0, /* VPHADDWrr */
-/* Table5211 */
-  0x1197, /* VPHADDDrm */
-  0x1198, /* VPHADDDrr */
-/* Table5213 */
-  0x1199, /* VPHADDSWrm128 */
-  0x119b, /* VPHADDSWrr128 */
-/* Table5215 */
-  0x11e5, /* VPMADDUBSWrm128 */
-  0x11e7, /* VPMADDUBSWrr128 */
-/* Table5217 */
-  0x11c3, /* VPHSUBWrm */
-  0x11c4, /* VPHSUBWrr */
-/* Table5219 */
-  0x11b9, /* VPHSUBDrm */
-  0x11ba, /* VPHSUBDrr */
-/* Table5221 */
-  0x11bb, /* VPHSUBSWrm128 */
-  0x11bd, /* VPHSUBSWrr128 */
-/* Table5223 */
-  0x1328, /* VPSIGNBrm */
-  0x1329, /* VPSIGNBrr */
-/* Table5225 */
-  0x1330, /* VPSIGNWrm */
-  0x1331, /* VPSIGNWrr */
-/* Table5227 */
-  0x132c, /* VPSIGNDrm */
-  0x132d, /* VPSIGNDrr */
-/* Table5229 */
-  0x12b6, /* VPMULHRSWrm128 */
-  0x12b8, /* VPMULHRSWrr128 */
-/* Table5231 */
-  0x115e, /* VPERMILPSrm */
-  0x115f, /* VPERMILPSrr */
-/* Table5233 */
-  0x1154, /* VPERMILPDrm */
-  0x1155, /* VPERMILPDrr */
-/* Table5235 */
-  0x14bd, /* VTESTPSrm */
-  0x14be, /* VTESTPSrr */
-/* Table5237 */
-  0x14b9, /* VTESTPDrm */
-  0x14ba, /* VTESTPDrr */
-/* Table5239 */
-  0xc3e, /* VCVTPH2PSrm */
-  0xc3f, /* VCVTPH2PSrr */
-/* Table5241 */
-  0x13e6, /* VPTESTrm */
-  0x13e7, /* VPTESTrr */
-/* Table5243 */
-  0xbe8, /* VBROADCASTSSrm */
-  0xbe9, /* VBROADCASTSSrr */
-/* Table5245 */
-  0x102a, /* VPABSBrm128 */
-  0x102c, /* VPABSBrr128 */
-/* Table5247 */
-  0x1036, /* VPABSWrm128 */
-  0x1038, /* VPABSWrr128 */
-/* Table5249 */
-  0x102f, /* VPABSDrm128 */
-  0x1032, /* VPABSDrr128 */
-/* Table5251 */
-  0x126b, /* VPMOVSXBWrm */
-  0x126c, /* VPMOVSXBWrr */
-/* Table5253 */
-  0x1261, /* VPMOVSXBDrm */
-  0x1262, /* VPMOVSXBDrr */
-/* Table5255 */
-  0x1267, /* VPMOVSXBQrm */
-  0x1268, /* VPMOVSXBQrr */
-/* Table5257 */
-  0x1277, /* VPMOVSXWDrm */
-  0x1278, /* VPMOVSXWDrr */
-/* Table5259 */
-  0x127d, /* VPMOVSXWQrm */
-  0x127e, /* VPMOVSXWQrr */
-/* Table5261 */
-  0x1271, /* VPMOVSXDQrm */
-  0x1272, /* VPMOVSXDQrr */
-/* Table5263 */
-  0x12b4, /* VPMULDQrm */
-  0x12b5, /* VPMULDQrr */
-/* Table5265 */
-  0x10d8, /* VPCMPEQQrm */
-  0x10d9, /* VPCMPEQQrr */
-/* Table5267 */
-  0xf9b, /* VMOVNTDQArm */
   0x0, /*  */
+  0x0, /*  */
+  0x1611, /* VPSRLQZmik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x15bd, /* VPSLLQZmik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1613, /* VPSRLQZrik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x15bf, /* VPSLLQZrik */
+  0x0, /*  */
+/* Table5209 */
+  0x1019, /* VMOVDQA64Zmrk */
+  0x0, /*  */
+/* Table5211 */
+  0x1615, /* VPSRLQZrmk */
+  0x1617, /* VPSRLQZrrk */
+/* Table5213 */
+  0x11e4, /* VPADDQZrmk */
+  0x11e7, /* VPADDQZrrk */
+/* Table5215 */
+  0x1226, /* VPANDQZrmk */
+  0x1229, /* VPANDQZrrk */
+/* Table5217 */
+  0x1219, /* VPANDNQZrmk */
+  0x121c, /* VPANDNQZrrk */
+/* Table5219 */
+  0x15ec, /* VPSRAQZrmk */
+  0x15ee, /* VPSRAQZrrk */
+/* Table5221 */
+  0x154b, /* VPORQZrmk */
+  0x154e, /* VPORQZrrk */
+/* Table5223 */
+  0x16a0, /* VPXORQZrmk */
+  0x16a3, /* VPXORQZrrk */
+/* Table5225 */
+  0x15c1, /* VPSLLQZrmk */
+  0x15c3, /* VPSLLQZrrk */
+/* Table5227 */
+  0x1537, /* VPMULUDQZrmk */
+  0x153a, /* VPMULUDQZrrk */
+/* Table5229 */
+  0x1644, /* VPSUBQZrmk */
+  0x1647, /* VPSUBQZrrk */
+/* Table5231 */
+  0xb98, /* VADDPSZrmb */
+  0x0, /*  */
+/* Table5233 */
+  0x117b, /* VMULPSZrmb */
+  0x0, /*  */
+/* Table5235 */
+  0x0, /*  */
+  0xc3b, /* VCVTDQ2PSZrrb */
+/* Table5237 */
+  0x1740, /* VSUBPSZrmb */
+  0x0, /*  */
+/* Table5239 */
+  0xf62, /* VMINPSZrmb */
+  0x0, /*  */
+/* Table5241 */
+  0xce0, /* VDIVPSZrmb */
+  0x0, /*  */
+/* Table5243 */
+  0xf2d, /* VMAXPSZrmb */
+  0x0, /*  */
+/* Table5245 */
+  0x0, /*  */
+  0xc6a, /* VCVTPS2UDQZrrb */
+/* Table5247 */
+  0x0, /*  */
+  0xc14, /* VCMPPSZrrib */
+/* Table5249 */
+  0x0, /*  */
+  0xcc7, /* VCVTUDQ2PSZrrb */
+/* Table5251 */
+  0x0, /*  */
+  0xc59, /* VCVTPS2DQZrrb */
+/* Table5253 */
+  0x1204, /* VPANDDZrmb */
+  0x0, /*  */
+/* Table5255 */
+  0x120d, /* VPANDNDZrmb */
+  0x0, /*  */
+/* Table5257 */
+  0x153f, /* VPORDZrmb */
+  0x0, /*  */
+/* Table5259 */
+  0x1694, /* VPXORDZrmb */
+  0x0, /*  */
+/* Table5261 */
+  0x1634, /* VPSUBDZrmb */
+  0x0, /*  */
+/* Table5263 */
+  0x11d4, /* VPADDDZrmb */
+  0x0, /*  */
+/* Table5265 */
+  0x0, /*  */
+  0xc4e, /* VCVTPD2UDQZrrb */
+/* Table5267 */
+  0x0, /*  */
+  0xc43, /* VCVTPD2DQZrrb */
 /* Table5269 */
-  0x1044, /* VPACKUSDWrm */
-  0x1045, /* VPACKUSDWrr */
+  0xb8b, /* VADDPDZrmb */
+  0x0, /*  */
 /* Table5271 */
-  0xee7, /* VMASKMOVPSrm */
+  0x116e, /* VMULPDZrmb */
   0x0, /*  */
 /* Table5273 */
-  0xee3, /* VMASKMOVPDrm */
   0x0, /*  */
+  0xc4a, /* VCVTPD2PSZrrb */
 /* Table5275 */
-  0xee6, /* VMASKMOVPSmr */
+  0x1733, /* VSUBPDZrmb */
   0x0, /*  */
 /* Table5277 */
-  0xee2, /* VMASKMOVPDmr */
+  0xf55, /* VMINPDZrmb */
   0x0, /*  */
 /* Table5279 */
-  0x129c, /* VPMOVZXBWrm */
-  0x129d, /* VPMOVZXBWrr */
+  0xcd3, /* VDIVPDZrmb */
+  0x0, /*  */
 /* Table5281 */
-  0x1292, /* VPMOVZXBDrm */
-  0x1293, /* VPMOVZXBDrr */
+  0xf20, /* VMAXPDZrmb */
+  0x0, /*  */
 /* Table5283 */
-  0x1298, /* VPMOVZXBQrm */
-  0x1299, /* VPMOVZXBQrr */
+  0x0, /*  */
+  0xc07, /* VCMPPDZrrib */
 /* Table5285 */
-  0x12a8, /* VPMOVZXWDrm */
-  0x12a9, /* VPMOVZXWDrr */
+  0x11e1, /* VPADDQZrmb */
+  0x0, /*  */
 /* Table5287 */
-  0x12ae, /* VPMOVZXWQrm */
-  0x12af, /* VPMOVZXWQrr */
+  0x1223, /* VPANDQZrmb */
+  0x0, /*  */
 /* Table5289 */
-  0x12a2, /* VPMOVZXDQrm */
-  0x12a3, /* VPMOVZXDQrr */
+  0x1216, /* VPANDNQZrmb */
+  0x0, /*  */
 /* Table5291 */
-  0x10f4, /* VPCMPGTQrm */
-  0x10f5, /* VPCMPGTQrr */
+  0x1548, /* VPORQZrmb */
+  0x0, /*  */
 /* Table5293 */
-  0x121b, /* VPMINSBrm */
-  0x121c, /* VPMINSBrr */
+  0x169d, /* VPXORQZrmb */
+  0x0, /*  */
 /* Table5295 */
-  0x1222, /* VPMINSDrm */
-  0x1223, /* VPMINSDrr */
+  0x1534, /* VPMULUDQZrmb */
+  0x0, /*  */
 /* Table5297 */
-  0x123b, /* VPMINUWrm */
-  0x123c, /* VPMINUWrr */
+  0x1641, /* VPSUBQZrmb */
+  0x0, /*  */
 /* Table5299 */
-  0x1234, /* VPMINUDrm */
-  0x1235, /* VPMINUDrr */
+  0xb99, /* VADDPSZrmbk */
+  0x0, /*  */
 /* Table5301 */
-  0x11f7, /* VPMAXSBrm */
-  0x11f8, /* VPMAXSBrr */
+  0x117c, /* VMULPSZrmbk */
+  0x0, /*  */
 /* Table5303 */
-  0x11fe, /* VPMAXSDrm */
-  0x11ff, /* VPMAXSDrr */
+  0x1741, /* VSUBPSZrmbk */
+  0x0, /*  */
 /* Table5305 */
-  0x1217, /* VPMAXUWrm */
-  0x1218, /* VPMAXUWrr */
+  0xf63, /* VMINPSZrmbk */
+  0x0, /*  */
 /* Table5307 */
-  0x1210, /* VPMAXUDrm */
-  0x1211, /* VPMAXUDrr */
+  0xce1, /* VDIVPSZrmbk */
+  0x0, /*  */
 /* Table5309 */
-  0x12c7, /* VPMULLDrm */
-  0x12c8, /* VPMULLDrr */
+  0xf2e, /* VMAXPSZrmbk */
+  0x0, /*  */
 /* Table5311 */
-  0x11b1, /* VPHMINPOSUWrm128 */
-  0x11b2, /* VPHMINPOSUWrr128 */
+  0x1205, /* VPANDDZrmbk */
+  0x0, /*  */
 /* Table5313 */
-  0x13a8, /* VPSRLVDrm */
-  0x13a9, /* VPSRLVDrr */
+  0x120e, /* VPANDNDZrmbk */
+  0x0, /*  */
 /* Table5315 */
-  0x137c, /* VPSRAVDrm */
-  0x137d, /* VPSRAVDrr */
+  0x1540, /* VPORDZrmbk */
+  0x0, /*  */
 /* Table5317 */
-  0x1354, /* VPSLLVDrm */
-  0x1355, /* VPSLLVDrr */
+  0x1695, /* VPXORDZrmbk */
+  0x0, /*  */
 /* Table5319 */
-  0x10ac, /* VPBROADCASTDrm */
-  0x10ad, /* VPBROADCASTDrr */
+  0x1635, /* VPSUBDZrmbk */
+  0x0, /*  */
 /* Table5321 */
-  0x10b8, /* VPBROADCASTQrm */
-  0x10b9, /* VPBROADCASTQrr */
+  0x11d5, /* VPADDDZrmbk */
+  0x0, /*  */
 /* Table5323 */
-  0x10a2, /* VPBROADCASTBrm */
-  0x10a3, /* VPBROADCASTBrr */
+  0xb8c, /* VADDPDZrmbk */
+  0x0, /*  */
 /* Table5325 */
-  0x10bc, /* VPBROADCASTWrm */
-  0x10bd, /* VPBROADCASTWrr */
+  0x116f, /* VMULPDZrmbk */
+  0x0, /*  */
 /* Table5327 */
-  0x11f0, /* VPMASKMOVDrm */
+  0x1734, /* VSUBPDZrmbk */
   0x0, /*  */
 /* Table5329 */
-  0x11ef, /* VPMASKMOVDmr */
+  0xf56, /* VMINPDZrmbk */
   0x0, /*  */
 /* Table5331 */
-  0x1183, /* VPGATHERDDrm */
+  0xcd4, /* VDIVPDZrmbk */
   0x0, /*  */
 /* Table5333 */
-  0x1189, /* VPGATHERQDrm */
+  0xf21, /* VMAXPDZrmbk */
   0x0, /*  */
 /* Table5335 */
-  0xeb4, /* VGATHERDPSrm */
+  0x11e2, /* VPADDQZrmbk */
   0x0, /*  */
 /* Table5337 */
-  0xeba, /* VGATHERQPSrm */
+  0x1224, /* VPANDQZrmbk */
   0x0, /*  */
 /* Table5339 */
-  0xd65, /* VFMADDSUBPSr132m */
-  0xd67, /* VFMADDSUBPSr132r */
+  0x1217, /* VPANDNQZrmbk */
+  0x0, /*  */
 /* Table5341 */
-  0xda1, /* VFMSUBADDPSr132m */
-  0xda3, /* VFMSUBADDPSr132r */
+  0x1549, /* VPORQZrmbk */
+  0x0, /*  */
 /* Table5343 */
-  0xd15, /* VFMADDPSr132m */
-  0xd17, /* VFMADDPSr132r */
+  0x169e, /* VPXORQZrmbk */
+  0x0, /*  */
 /* Table5345 */
-  0xd39, /* VFMADDSSr132m */
-  0xd3a, /* VFMADDSSr132r */
+  0x1535, /* VPMULUDQZrmbk */
+  0x0, /*  */
 /* Table5347 */
-  0xdc9, /* VFMSUBPSr132m */
-  0xdcb, /* VFMSUBPSr132r */
+  0x1642, /* VPSUBQZrmbk */
+  0x0, /*  */
 /* Table5349 */
-  0xded, /* VFMSUBSSr132m */
-  0xdee, /* VFMSUBSSr132r */
+  0xb9a, /* VADDPSZrmbkz */
+  0x0, /*  */
 /* Table5351 */
-  0xe19, /* VFNMADDPSr132m */
-  0xe1b, /* VFNMADDPSr132r */
+  0x117d, /* VMULPSZrmbkz */
+  0x0, /*  */
 /* Table5353 */
-  0xe3d, /* VFNMADDSSr132m */
-  0xe3e, /* VFNMADDSSr132r */
+  0x1742, /* VSUBPSZrmbkz */
+  0x0, /*  */
 /* Table5355 */
-  0xe69, /* VFNMSUBPSr132m */
-  0xe6b, /* VFNMSUBPSr132r */
+  0xf64, /* VMINPSZrmbkz */
+  0x0, /*  */
 /* Table5357 */
-  0xe8d, /* VFNMSUBSSr132m */
-  0xe8e, /* VFNMSUBSSr132r */
+  0xce2, /* VDIVPSZrmbkz */
+  0x0, /*  */
 /* Table5359 */
-  0xd69, /* VFMADDSUBPSr213m */
-  0xd6b, /* VFMADDSUBPSr213r */
+  0xf2f, /* VMAXPSZrmbkz */
+  0x0, /*  */
 /* Table5361 */
-  0xda5, /* VFMSUBADDPSr213m */
-  0xda7, /* VFMSUBADDPSr213r */
+  0x1206, /* VPANDDZrmbkz */
+  0x0, /*  */
 /* Table5363 */
-  0xd19, /* VFMADDPSr213m */
-  0xd1b, /* VFMADDPSr213r */
+  0x120f, /* VPANDNDZrmbkz */
+  0x0, /*  */
 /* Table5365 */
-  0xd3b, /* VFMADDSSr213m */
-  0xd3c, /* VFMADDSSr213r */
+  0x1541, /* VPORDZrmbkz */
+  0x0, /*  */
 /* Table5367 */
-  0xdcd, /* VFMSUBPSr213m */
-  0xdcf, /* VFMSUBPSr213r */
+  0x1696, /* VPXORDZrmbkz */
+  0x0, /*  */
 /* Table5369 */
-  0xdef, /* VFMSUBSSr213m */
-  0xdf0, /* VFMSUBSSr213r */
+  0x1636, /* VPSUBDZrmbkz */
+  0x0, /*  */
 /* Table5371 */
-  0xe1d, /* VFNMADDPSr213m */
-  0xe1f, /* VFNMADDPSr213r */
+  0x11d6, /* VPADDDZrmbkz */
+  0x0, /*  */
 /* Table5373 */
-  0xe3f, /* VFNMADDSSr213m */
-  0xe40, /* VFNMADDSSr213r */
+  0xb8d, /* VADDPDZrmbkz */
+  0x0, /*  */
 /* Table5375 */
-  0xe6d, /* VFNMSUBPSr213m */
-  0xe6f, /* VFNMSUBPSr213r */
+  0x1170, /* VMULPDZrmbkz */
+  0x0, /*  */
 /* Table5377 */
-  0xe8f, /* VFNMSUBSSr213m */
-  0xe90, /* VFNMSUBSSr213r */
+  0x1735, /* VSUBPDZrmbkz */
+  0x0, /*  */
 /* Table5379 */
-  0xd6d, /* VFMADDSUBPSr231m */
-  0xd6f, /* VFMADDSUBPSr231r */
+  0xf57, /* VMINPDZrmbkz */
+  0x0, /*  */
 /* Table5381 */
-  0xda9, /* VFMSUBADDPSr231m */
-  0xdab, /* VFMSUBADDPSr231r */
+  0xcd5, /* VDIVPDZrmbkz */
+  0x0, /*  */
 /* Table5383 */
-  0xd1d, /* VFMADDPSr231m */
-  0xd1f, /* VFMADDPSr231r */
+  0xf22, /* VMAXPDZrmbkz */
+  0x0, /*  */
 /* Table5385 */
-  0xd3d, /* VFMADDSSr231m */
-  0xd3e, /* VFMADDSSr231r */
+  0x11e3, /* VPADDQZrmbkz */
+  0x0, /*  */
 /* Table5387 */
-  0xdd1, /* VFMSUBPSr231m */
-  0xdd3, /* VFMSUBPSr231r */
+  0x1225, /* VPANDQZrmbkz */
+  0x0, /*  */
 /* Table5389 */
-  0xdf1, /* VFMSUBSSr231m */
-  0xdf2, /* VFMSUBSSr231r */
+  0x1218, /* VPANDNQZrmbkz */
+  0x0, /*  */
 /* Table5391 */
-  0xe21, /* VFNMADDPSr231m */
-  0xe23, /* VFNMADDPSr231r */
+  0x154a, /* VPORQZrmbkz */
+  0x0, /*  */
 /* Table5393 */
-  0xe41, /* VFNMADDSSr231m */
-  0xe42, /* VFNMADDSSr231r */
+  0x169f, /* VPXORQZrmbkz */
+  0x0, /*  */
 /* Table5395 */
-  0xe71, /* VFNMSUBPSr231m */
-  0xe73, /* VFNMSUBPSr231r */
+  0x1536, /* VPMULUDQZrmbkz */
+  0x0, /*  */
 /* Table5397 */
-  0xe91, /* VFNMSUBSSr231m */
-  0xe92, /* VFNMSUBSSr231r */
+  0x1643, /* VPSUBQZrmbkz */
+  0x0, /*  */
 /* Table5399 */
-  0xbb1, /* VAESIMCrm */
-  0xbb2, /* VAESIMCrr */
+  0x1135, /* VMOVUPSZ128rmkz */
+  0x113a, /* VMOVUPSZ128rrkz */
 /* Table5401 */
-  0xbaf, /* VAESENCrm */
-  0xbb0, /* VAESENCrr */
+  0xfb2, /* VMOVAPSZ128rmkz */
+  0xfb7, /* VMOVAPSZ128rrkz */
 /* Table5403 */
-  0xbad, /* VAESENCLASTrm */
-  0xbae, /* VAESENCLASTrr */
+  0x1050, /* VMOVDQU32Z128rmkz */
+  0x1055, /* VMOVDQU32Z128rrkz */
 /* Table5405 */
-  0xbab, /* VAESDECrm */
-  0xbac, /* VAESDECrr */
+  0x1092, /* VMOVDQU8Z128rmkz */
+  0x1097, /* VMOVDQU8Z128rrkz */
 /* Table5407 */
-  0xba9, /* VAESDECLASTrm */
-  0xbaa, /* VAESDECLASTrr */
+  0xfe5, /* VMOVDQA32Z128rmkz */
+  0xfea, /* VMOVDQA32Z128rrkz */
 /* Table5409 */
-  0xa5b, /* SHLX32rm */
-  0xa5c, /* SHLX32rr */
+  0x1071, /* VMOVDQU64Z128rmkz */
+  0x1076, /* VMOVDQU64Z128rrkz */
 /* Table5411 */
-  0xcf, /* ANDN64rm */
-  0xd0, /* ANDN64rr */
+  0x102f, /* VMOVDQU16Z128rmkz */
+  0x1034, /* VMOVDQU16Z128rrkz */
 /* Table5413 */
-  0x0, /*  */
-  0x13f, /* BLSR64rm */
-  0x13b, /* BLSMSK64rm */
-  0x133, /* BLSI64rm */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x140, /* BLSR64rr */
-  0x13c, /* BLSMSK64rr */
-  0x134, /* BLSI64rr */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+  0x110c, /* VMOVUPDZ128rmkz */
+  0x1111, /* VMOVUPDZ128rrkz */
+/* Table5415 */
+  0xf89, /* VMOVAPDZ128rmkz */
+  0xf8e, /* VMOVAPDZ128rrkz */
+/* Table5417 */
+  0x1006, /* VMOVDQA64Z128rmkz */
+  0x100b, /* VMOVDQA64Z128rrkz */
+/* Table5419 */
+  0x1140, /* VMOVUPSZ256rmkz */
+  0x1145, /* VMOVUPSZ256rrkz */
+/* Table5421 */
+  0xfbd, /* VMOVAPSZ256rmkz */
+  0xfc2, /* VMOVAPSZ256rrkz */
+/* Table5423 */
+  0x105b, /* VMOVDQU32Z256rmkz */
+  0x1060, /* VMOVDQU32Z256rrkz */
+/* Table5425 */
+  0x109d, /* VMOVDQU8Z256rmkz */
+  0x10a2, /* VMOVDQU8Z256rrkz */
+/* Table5427 */
+  0xff0, /* VMOVDQA32Z256rmkz */
+  0xff5, /* VMOVDQA32Z256rrkz */
 /* Table5429 */
-  0x183, /* BZHI64rm */
-  0x184, /* BZHI64rr */
+  0x107c, /* VMOVDQU64Z256rmkz */
+  0x1081, /* VMOVDQU64Z256rrkz */
 /* Table5431 */
-  0x10b, /* BEXTR64rm */
-  0x10c, /* BEXTR64rr */
+  0x103a, /* VMOVDQU16Z256rmkz */
+  0x103f, /* VMOVDQU16Z256rrkz */
 /* Table5433 */
-  0x7d0, /* PEXT64rm */
-  0x7d1, /* PEXT64rr */
+  0x1117, /* VMOVUPDZ256rmkz */
+  0x111c, /* VMOVUPDZ256rrkz */
 /* Table5435 */
-  0x9d7, /* SARX64rm */
-  0x9d8, /* SARX64rr */
+  0xf94, /* VMOVAPDZ256rmkz */
+  0xf99, /* VMOVAPDZ256rrkz */
 /* Table5437 */
-  0x7cc, /* PDEP64rm */
-  0x7cd, /* PDEP64rr */
+  0x1011, /* VMOVDQA64Z256rmkz */
+  0x1016, /* VMOVDQA64Z256rrkz */
 /* Table5439 */
-  0x701, /* MULX64rm */
-  0x702, /* MULX64rr */
+  0x114b, /* VMOVUPSZrmkz */
+  0x1150, /* VMOVUPSZrrkz */
 /* Table5441 */
-  0xa85, /* SHRX64rm */
-  0xa86, /* SHRX64rr */
+  0xfc8, /* VMOVAPSZrmkz */
+  0xfcd, /* VMOVAPSZrrkz */
 /* Table5443 */
-  0x13ae, /* VPSRLVQrm */
-  0x13af, /* VPSRLVQrr */
+  0xb9c, /* VADDPSZrmkz */
+  0xb9f, /* VADDPSZrrkz */
 /* Table5445 */
-  0x135a, /* VPSLLVQrm */
-  0x135b, /* VPSLLVQrr */
+  0x117f, /* VMULPSZrmkz */
+  0x1182, /* VMULPSZrrkz */
 /* Table5447 */
-  0x11f4, /* VPMASKMOVQrm */
-  0x0, /*  */
+  0x1744, /* VSUBPSZrmkz */
+  0x1747, /* VSUBPSZrrkz */
 /* Table5449 */
-  0x11f3, /* VPMASKMOVQmr */
-  0x0, /*  */
+  0xf66, /* VMINPSZrmkz */
+  0xf69, /* VMINPSZrrkz */
 /* Table5451 */
-  0x1186, /* VPGATHERDQrm */
-  0x0, /*  */
+  0xce4, /* VDIVPSZrmkz */
+  0xce7, /* VDIVPSZrrkz */
 /* Table5453 */
-  0x118c, /* VPGATHERQQrm */
-  0x0, /*  */
+  0xf31, /* VMAXPSZrmkz */
+  0xf34, /* VMAXPSZrrkz */
 /* Table5455 */
-  0xeb1, /* VGATHERDPDrm */
-  0x0, /*  */
+  0x1066, /* VMOVDQU32Zrmkz */
+  0x106b, /* VMOVDQU32Zrrkz */
 /* Table5457 */
-  0xeb7, /* VGATHERQPDrm */
-  0x0, /*  */
+  0x10a8, /* VMOVDQU8Zrmkz */
+  0x10ad, /* VMOVDQU8Zrrkz */
 /* Table5459 */
-  0xd51, /* VFMADDSUBPDr132m */
-  0xd53, /* VFMADDSUBPDr132r */
+  0xffb, /* VMOVDQA32Zrmkz */
+  0x1000, /* VMOVDQA32Zrrkz */
 /* Table5461 */
-  0xd8d, /* VFMSUBADDPDr132m */
-  0xd8f, /* VFMSUBADDPDr132r */
+  0x1208, /* VPANDDZrmkz */
+  0x120b, /* VPANDDZrrkz */
 /* Table5463 */
-  0xd01, /* VFMADDPDr132m */
-  0xd03, /* VFMADDPDr132r */
+  0x1211, /* VPANDNDZrmkz */
+  0x1214, /* VPANDNDZrrkz */
 /* Table5465 */
-  0xd2a, /* VFMADDSDr132m */
-  0xd2b, /* VFMADDSDr132r */
+  0x1543, /* VPORDZrmkz */
+  0x1546, /* VPORDZrrkz */
 /* Table5467 */
-  0xdb5, /* VFMSUBPDr132m */
-  0xdb7, /* VFMSUBPDr132r */
+  0x1698, /* VPXORDZrmkz */
+  0x169b, /* VPXORDZrrkz */
 /* Table5469 */
-  0xdde, /* VFMSUBSDr132m */
-  0xddf, /* VFMSUBSDr132r */
+  0x1638, /* VPSUBDZrmkz */
+  0x163b, /* VPSUBDZrrkz */
 /* Table5471 */
-  0xe05, /* VFNMADDPDr132m */
-  0xe07, /* VFNMADDPDr132r */
+  0x11d8, /* VPADDDZrmkz */
+  0x11db, /* VPADDDZrrkz */
 /* Table5473 */
-  0xe2e, /* VFNMADDSDr132m */
-  0xe2f, /* VFNMADDSDr132r */
+  0x1087, /* VMOVDQU64Zrmkz */
+  0x108c, /* VMOVDQU64Zrrkz */
 /* Table5475 */
-  0xe55, /* VFNMSUBPDr132m */
-  0xe57, /* VFNMSUBPDr132r */
+  0x1045, /* VMOVDQU16Zrmkz */
+  0x104a, /* VMOVDQU16Zrrkz */
 /* Table5477 */
-  0xe7e, /* VFNMSUBSDr132m */
-  0xe7f, /* VFNMSUBSDr132r */
+  0x1122, /* VMOVUPDZrmkz */
+  0x1127, /* VMOVUPDZrrkz */
 /* Table5479 */
-  0xd55, /* VFMADDSUBPDr213m */
-  0xd57, /* VFMADDSUBPDr213r */
+  0xf9f, /* VMOVAPDZrmkz */
+  0xfa4, /* VMOVAPDZrrkz */
 /* Table5481 */
-  0xd91, /* VFMSUBADDPDr213m */
-  0xd93, /* VFMSUBADDPDr213r */
+  0xb8f, /* VADDPDZrmkz */
+  0xb92, /* VADDPDZrrkz */
 /* Table5483 */
-  0xd05, /* VFMADDPDr213m */
-  0xd07, /* VFMADDPDr213r */
+  0x1172, /* VMULPDZrmkz */
+  0x1175, /* VMULPDZrrkz */
 /* Table5485 */
-  0xd2c, /* VFMADDSDr213m */
-  0xd2d, /* VFMADDSDr213r */
+  0x1737, /* VSUBPDZrmkz */
+  0x173a, /* VSUBPDZrrkz */
 /* Table5487 */
-  0xdb9, /* VFMSUBPDr213m */
-  0xdbb, /* VFMSUBPDr213r */
+  0xf59, /* VMINPDZrmkz */
+  0xf5c, /* VMINPDZrrkz */
 /* Table5489 */
-  0xde0, /* VFMSUBSDr213m */
-  0xde1, /* VFMSUBSDr213r */
+  0xcd7, /* VDIVPDZrmkz */
+  0xcda, /* VDIVPDZrrkz */
 /* Table5491 */
-  0xe09, /* VFNMADDPDr213m */
-  0xe0b, /* VFNMADDPDr213r */
+  0xf24, /* VMAXPDZrmkz */
+  0xf27, /* VMAXPDZrrkz */
 /* Table5493 */
-  0xe30, /* VFNMADDSDr213m */
-  0xe31, /* VFNMADDSDr213r */
+  0x101c, /* VMOVDQA64Zrmkz */
+  0x1021, /* VMOVDQA64Zrrkz */
 /* Table5495 */
-  0xe59, /* VFNMSUBPDr213m */
-  0xe5b, /* VFNMSUBPDr213r */
+  0x11e5, /* VPADDQZrmkz */
+  0x11e8, /* VPADDQZrrkz */
 /* Table5497 */
-  0xe80, /* VFNMSUBSDr213m */
-  0xe81, /* VFNMSUBSDr213r */
+  0x1227, /* VPANDQZrmkz */
+  0x122a, /* VPANDQZrrkz */
 /* Table5499 */
-  0xd59, /* VFMADDSUBPDr231m */
-  0xd5b, /* VFMADDSUBPDr231r */
+  0x121a, /* VPANDNQZrmkz */
+  0x121d, /* VPANDNQZrrkz */
 /* Table5501 */
-  0xd95, /* VFMSUBADDPDr231m */
-  0xd97, /* VFMSUBADDPDr231r */
+  0x154c, /* VPORQZrmkz */
+  0x154f, /* VPORQZrrkz */
 /* Table5503 */
-  0xd09, /* VFMADDPDr231m */
-  0xd0b, /* VFMADDPDr231r */
+  0x16a1, /* VPXORQZrmkz */
+  0x16a4, /* VPXORQZrrkz */
 /* Table5505 */
-  0xd2e, /* VFMADDSDr231m */
-  0xd2f, /* VFMADDSDr231r */
+  0x1538, /* VPMULUDQZrmkz */
+  0x153b, /* VPMULUDQZrrkz */
 /* Table5507 */
-  0xdbd, /* VFMSUBPDr231m */
-  0xdbf, /* VFMSUBPDr231r */
+  0x1645, /* VPSUBQZrmkz */
+  0x1648, /* VPSUBQZrrkz */
 /* Table5509 */
-  0xde2, /* VFMSUBSDr231m */
-  0xde3, /* VFMSUBSDr231r */
+  0x5e2, /* MMX_PSHUFBrm64 */
+  0x5e3, /* MMX_PSHUFBrr64 */
 /* Table5511 */
-  0xe0d, /* VFNMADDPDr231m */
-  0xe0f, /* VFNMADDPDr231r */
+  0x5bb, /* MMX_PHADDWrm64 */
+  0x5bc, /* MMX_PHADDWrr64 */
 /* Table5513 */
-  0xe32, /* VFNMADDSDr231m */
-  0xe33, /* VFNMADDSDr231r */
+  0x5bd, /* MMX_PHADDrm64 */
+  0x5be, /* MMX_PHADDrr64 */
 /* Table5515 */
-  0xe5d, /* VFNMSUBPDr231m */
-  0xe5f, /* VFNMSUBPDr231r */
+  0x5b9, /* MMX_PHADDSWrm64 */
+  0x5ba, /* MMX_PHADDSWrr64 */
 /* Table5517 */
-  0xe82, /* VFNMSUBSDr231m */
-  0xe83, /* VFNMSUBSDr231r */
+  0x5c7, /* MMX_PMADDUBSWrm64 */
+  0x5c8, /* MMX_PMADDUBSWrr64 */
 /* Table5519 */
-  0xa5d, /* SHLX64rm */
-  0xa5e, /* SHLX64rr */
+  0x5c3, /* MMX_PHSUBWrm64 */
+  0x5c4, /* MMX_PHSUBWrr64 */
 /* Table5521 */
-  0x1314, /* VPSHUFBYrm */
-  0x1315, /* VPSHUFBYrr */
+  0x5bf, /* MMX_PHSUBDrm64 */
+  0x5c0, /* MMX_PHSUBDrr64 */
 /* Table5523 */
-  0x11ad, /* VPHADDWYrm */
-  0x11ae, /* VPHADDWYrr */
+  0x5c1, /* MMX_PHSUBSWrm64 */
+  0x5c2, /* MMX_PHSUBSWrr64 */
 /* Table5525 */
-  0x1195, /* VPHADDDYrm */
-  0x1196, /* VPHADDDYrr */
+  0x5e6, /* MMX_PSIGNBrm64 */
+  0x5e7, /* MMX_PSIGNBrr64 */
 /* Table5527 */
-  0x119a, /* VPHADDSWrm256 */
-  0x119c, /* VPHADDSWrr256 */
+  0x5ea, /* MMX_PSIGNWrm64 */
+  0x5eb, /* MMX_PSIGNWrr64 */
 /* Table5529 */
-  0x11e6, /* VPMADDUBSWrm256 */
-  0x11e8, /* VPMADDUBSWrr256 */
+  0x5e8, /* MMX_PSIGNDrm64 */
+  0x5e9, /* MMX_PSIGNDrr64 */
 /* Table5531 */
-  0x11c1, /* VPHSUBWYrm */
-  0x11c2, /* VPHSUBWYrr */
+  0x5d4, /* MMX_PMULHRSWrm64 */
+  0x5d5, /* MMX_PMULHRSWrr64 */
 /* Table5533 */
-  0x11b7, /* VPHSUBDYrm */
-  0x11b8, /* VPHSUBDYrr */
+  0x586, /* MMX_PABSBrm64 */
+  0x587, /* MMX_PABSBrr64 */
 /* Table5535 */
-  0x11bc, /* VPHSUBSWrm256 */
-  0x11be, /* VPHSUBSWrr256 */
+  0x58a, /* MMX_PABSWrm64 */
+  0x58b, /* MMX_PABSWrr64 */
 /* Table5537 */
-  0x1326, /* VPSIGNBYrm */
-  0x1327, /* VPSIGNBYrr */
+  0x588, /* MMX_PABSDrm64 */
+  0x589, /* MMX_PABSDrr64 */
 /* Table5539 */
-  0x132e, /* VPSIGNWYrm */
-  0x132f, /* VPSIGNWYrr */
+  0xa2e, /* SHA1NEXTErm */
+  0xa2f, /* SHA1NEXTErr */
 /* Table5541 */
-  0x132a, /* VPSIGNDYrm */
-  0x132b, /* VPSIGNDYrr */
+  0xa2a, /* SHA1MSG1rm */
+  0xa2b, /* SHA1MSG1rr */
 /* Table5543 */
-  0x12b7, /* VPMULHRSWrm256 */
-  0x12b9, /* VPMULHRSWrr256 */
+  0xa2c, /* SHA1MSG2rm */
+  0xa2d, /* SHA1MSG2rr */
 /* Table5545 */
-  0x1158, /* VPERMILPSYrm */
-  0x1159, /* VPERMILPSYrr */
+  0xa36, /* SHA256RNDS2rm */
+  0xa37, /* SHA256RNDS2rr */
 /* Table5547 */
-  0x114e, /* VPERMILPDYrm */
-  0x114f, /* VPERMILPDYrr */
+  0xa32, /* SHA256MSG1rm */
+  0xa33, /* SHA256MSG1rr */
 /* Table5549 */
-  0x14bb, /* VTESTPSYrm */
-  0x14bc, /* VTESTPSYrr */
+  0xa34, /* SHA256MSG2rm */
+  0xa35, /* SHA256MSG2rr */
 /* Table5551 */
-  0x14b7, /* VTESTPDYrm */
-  0x14b8, /* VTESTPDYrr */
+  0x67e, /* MOVBE32rm */
+  0x0, /*  */
 /* Table5553 */
-  0xc3a, /* VCVTPH2PSYrm */
-  0xc3b, /* VCVTPH2PSYrr */
+  0x67d, /* MOVBE32mr */
+  0x0, /*  */
 /* Table5555 */
-  0x1166, /* VPERMPSYrm */
-  0x1167, /* VPERMPSYrr */
+  0x883, /* PSHUFBrm */
+  0x884, /* PSHUFBrr */
 /* Table5557 */
-  0x13e4, /* VPTESTYrm */
-  0x13e5, /* VPTESTYrr */
+  0x7fe, /* PHADDWrm */
+  0x7ff, /* PHADDWrr */
 /* Table5559 */
-  0xbe4, /* VBROADCASTSSYrm */
-  0xbe5, /* VBROADCASTSSYrr */
+  0x7fa, /* PHADDDrm */
+  0x7fb, /* PHADDDrr */
 /* Table5561 */
-  0xbe0, /* VBROADCASTSDYrm */
-  0xbe1, /* VBROADCASTSDYrr */
+  0x7fc, /* PHADDSWrm128 */
+  0x7fd, /* PHADDSWrr128 */
 /* Table5563 */
-  0xbde, /* VBROADCASTF128 */
-  0x0, /*  */
+  0x814, /* PMADDUBSWrm128 */
+  0x815, /* PMADDUBSWrr128 */
 /* Table5565 */
-  0x102b, /* VPABSBrm256 */
-  0x102d, /* VPABSBrr256 */
+  0x806, /* PHSUBWrm */
+  0x807, /* PHSUBWrr */
 /* Table5567 */
-  0x1037, /* VPABSWrm256 */
-  0x1039, /* VPABSWrr256 */
+  0x802, /* PHSUBDrm */
+  0x803, /* PHSUBDrr */
 /* Table5569 */
-  0x1030, /* VPABSDrm256 */
-  0x1033, /* VPABSDrr256 */
+  0x804, /* PHSUBSWrm128 */
+  0x805, /* PHSUBSWrr128 */
 /* Table5571 */
-  0x1269, /* VPMOVSXBWYrm */
-  0x126a, /* VPMOVSXBWYrr */
+  0x88b, /* PSIGNBrm */
+  0x88c, /* PSIGNBrr */
 /* Table5573 */
-  0x125d, /* VPMOVSXBDYrm */
-  0x125e, /* VPMOVSXBDYrr */
+  0x88f, /* PSIGNWrm */
+  0x890, /* PSIGNWrr */
 /* Table5575 */
-  0x1263, /* VPMOVSXBQYrm */
-  0x1264, /* VPMOVSXBQYrr */
+  0x88d, /* PSIGNDrm */
+  0x88e, /* PSIGNDrr */
 /* Table5577 */
-  0x1273, /* VPMOVSXWDYrm */
-  0x1274, /* VPMOVSXWDYrr */
+  0x84b, /* PMULHRSWrm128 */
+  0x84c, /* PMULHRSWrr128 */
 /* Table5579 */
-  0x1279, /* VPMOVSXWQYrm */
-  0x127a, /* VPMOVSXWQYrr */
+  0x79d, /* PBLENDVBrm0 */
+  0x79e, /* PBLENDVBrr0 */
 /* Table5581 */
-  0x126d, /* VPMOVSXDQYrm */
-  0x126e, /* VPMOVSXDQYrr */
+  0x101, /* BLENDVPSrm0 */
+  0x102, /* BLENDVPSrr0 */
 /* Table5583 */
-  0x12b0, /* VPMULDQYrm */
-  0x12b1, /* VPMULDQYrr */
+  0xff, /* BLENDVPDrm0 */
+  0x100, /* BLENDVPDrr0 */
 /* Table5585 */
-  0x10d4, /* VPCMPEQQYrm */
-  0x10d5, /* VPCMPEQQYrr */
+  0x8bd, /* PTESTrm */
+  0x8be, /* PTESTrr */
 /* Table5587 */
-  0xf9a, /* VMOVNTDQAYrm */
-  0x0, /*  */
+  0x772, /* PABSBrm128 */
+  0x773, /* PABSBrr128 */
 /* Table5589 */
-  0x1042, /* VPACKUSDWYrm */
-  0x1043, /* VPACKUSDWYrr */
+  0x776, /* PABSWrm128 */
+  0x777, /* PABSWrr128 */
 /* Table5591 */
-  0xee5, /* VMASKMOVPSYrm */
-  0x0, /*  */
+  0x774, /* PABSDrm128 */
+  0x775, /* PABSDrr128 */
 /* Table5593 */
-  0xee1, /* VMASKMOVPDYrm */
-  0x0, /*  */
+  0x835, /* PMOVSXBWrm */
+  0x836, /* PMOVSXBWrr */
 /* Table5595 */
-  0xee4, /* VMASKMOVPSYmr */
-  0x0, /*  */
+  0x831, /* PMOVSXBDrm */
+  0x832, /* PMOVSXBDrr */
 /* Table5597 */
-  0xee0, /* VMASKMOVPDYmr */
-  0x0, /*  */
+  0x833, /* PMOVSXBQrm */
+  0x834, /* PMOVSXBQrr */
 /* Table5599 */
-  0x129a, /* VPMOVZXBWYrm */
-  0x129b, /* VPMOVZXBWYrr */
+  0x839, /* PMOVSXWDrm */
+  0x83a, /* PMOVSXWDrr */
 /* Table5601 */
-  0x128e, /* VPMOVZXBDYrm */
-  0x128f, /* VPMOVZXBDYrr */
+  0x83b, /* PMOVSXWQrm */
+  0x83c, /* PMOVSXWQrr */
 /* Table5603 */
-  0x1294, /* VPMOVZXBQYrm */
-  0x1295, /* VPMOVZXBQYrr */
+  0x837, /* PMOVSXDQrm */
+  0x838, /* PMOVSXDQrr */
 /* Table5605 */
-  0x12a4, /* VPMOVZXWDYrm */
-  0x12a5, /* VPMOVZXWDYrr */
+  0x849, /* PMULDQrm */
+  0x84a, /* PMULDQrr */
 /* Table5607 */
-  0x12aa, /* VPMOVZXWQYrm */
-  0x12ab, /* VPMOVZXWQYrr */
+  0x7a7, /* PCMPEQQrm */
+  0x7a8, /* PCMPEQQrr */
 /* Table5609 */
-  0x129e, /* VPMOVZXDQYrm */
-  0x129f, /* VPMOVZXDQYrr */
-/* Table5611 */
-  0x1134, /* VPERMDYrm */
-  0x1135, /* VPERMDYrr */
-/* Table5613 */
-  0x10f0, /* VPCMPGTQYrm */
-  0x10f1, /* VPCMPGTQYrr */
-/* Table5615 */
-  0x1219, /* VPMINSBYrm */
-  0x121a, /* VPMINSBYrr */
-/* Table5617 */
-  0x121d, /* VPMINSDYrm */
-  0x121e, /* VPMINSDYrr */
-/* Table5619 */
-  0x1239, /* VPMINUWYrm */
-  0x123a, /* VPMINUWYrr */
-/* Table5621 */
-  0x122f, /* VPMINUDYrm */
-  0x1230, /* VPMINUDYrr */
-/* Table5623 */
-  0x11f5, /* VPMAXSBYrm */
-  0x11f6, /* VPMAXSBYrr */
-/* Table5625 */
-  0x11f9, /* VPMAXSDYrm */
-  0x11fa, /* VPMAXSDYrr */
-/* Table5627 */
-  0x1215, /* VPMAXUWYrm */
-  0x1216, /* VPMAXUWYrr */
-/* Table5629 */
-  0x120b, /* VPMAXUDYrm */
-  0x120c, /* VPMAXUDYrr */
-/* Table5631 */
-  0x12c2, /* VPMULLDYrm */
-  0x12c3, /* VPMULLDYrr */
-/* Table5633 */
-  0x13a4, /* VPSRLVDYrm */
-  0x13a5, /* VPSRLVDYrr */
-/* Table5635 */
-  0x1378, /* VPSRAVDYrm */
-  0x1379, /* VPSRAVDYrr */
-/* Table5637 */
-  0x1350, /* VPSLLVDYrm */
-  0x1351, /* VPSLLVDYrr */
-/* Table5639 */
-  0x10a4, /* VPBROADCASTDYrm */
-  0x10a5, /* VPBROADCASTDYrr */
-/* Table5641 */
-  0x10b0, /* VPBROADCASTQYrm */
-  0x10b1, /* VPBROADCASTQYrr */
-/* Table5643 */
-  0xbdf, /* VBROADCASTI128 */
+  0x69b, /* MOVNTDQArm */
   0x0, /*  */
+/* Table5611 */
+  0x77c, /* PACKUSDWrm */
+  0x77d, /* PACKUSDWrr */
+/* Table5613 */
+  0x841, /* PMOVZXBWrm */
+  0x842, /* PMOVZXBWrr */
+/* Table5615 */
+  0x83d, /* PMOVZXBDrm */
+  0x83e, /* PMOVZXBDrr */
+/* Table5617 */
+  0x83f, /* PMOVZXBQrm */
+  0x840, /* PMOVZXBQrr */
+/* Table5619 */
+  0x845, /* PMOVZXWDrm */
+  0x846, /* PMOVZXWDrr */
+/* Table5621 */
+  0x847, /* PMOVZXWQrm */
+  0x848, /* PMOVZXWQrr */
+/* Table5623 */
+  0x843, /* PMOVZXDQrm */
+  0x844, /* PMOVZXDQrr */
+/* Table5625 */
+  0x7b7, /* PCMPGTQrm */
+  0x7b8, /* PCMPGTQrr */
+/* Table5627 */
+  0x824, /* PMINSBrm */
+  0x825, /* PMINSBrr */
+/* Table5629 */
+  0x826, /* PMINSDrm */
+  0x827, /* PMINSDrr */
+/* Table5631 */
+  0x82e, /* PMINUWrm */
+  0x82f, /* PMINUWrr */
+/* Table5633 */
+  0x82c, /* PMINUDrm */
+  0x82d, /* PMINUDrr */
+/* Table5635 */
+  0x818, /* PMAXSBrm */
+  0x819, /* PMAXSBrr */
+/* Table5637 */
+  0x81a, /* PMAXSDrm */
+  0x81b, /* PMAXSDrr */
+/* Table5639 */
+  0x822, /* PMAXUWrm */
+  0x823, /* PMAXUWrr */
+/* Table5641 */
+  0x820, /* PMAXUDrm */
+  0x821, /* PMAXUDrr */
+/* Table5643 */
+  0x853, /* PMULLDrm */
+  0x854, /* PMULLDrr */
 /* Table5645 */
-  0x10a0, /* VPBROADCASTBYrm */
-  0x10a1, /* VPBROADCASTBYrr */
+  0x800, /* PHMINPOSUWrm128 */
+  0x801, /* PHMINPOSUWrr128 */
 /* Table5647 */
-  0x10ba, /* VPBROADCASTWYrm */
-  0x10bb, /* VPBROADCASTWYrr */
+  0x39f, /* INVEPT32 */
+  0x0, /*  */
 /* Table5649 */
-  0x11ee, /* VPMASKMOVDYrm */
+  0x3a6, /* INVVPID32 */
   0x0, /*  */
 /* Table5651 */
-  0x11ed, /* VPMASKMOVDYmr */
+  0x3a4, /* INVPCID32 */
   0x0, /*  */
 /* Table5653 */
-  0x1181, /* VPGATHERDDYrm */
-  0x0, /*  */
+  0xa7, /* AESIMCrm */
+  0xa8, /* AESIMCrr */
 /* Table5655 */
-  0x1187, /* VPGATHERQDYrm */
-  0x0, /*  */
+  0xa5, /* AESENCrm */
+  0xa6, /* AESENCrr */
 /* Table5657 */
-  0xeb2, /* VGATHERDPSYrm */
-  0x0, /*  */
+  0xa3, /* AESENCLASTrm */
+  0xa4, /* AESENCLASTrr */
 /* Table5659 */
-  0xeb8, /* VGATHERQPSYrm */
-  0x0, /*  */
+  0xa1, /* AESDECrm */
+  0xa2, /* AESDECrr */
 /* Table5661 */
-  0xd66, /* VFMADDSUBPSr132mY */
-  0xd68, /* VFMADDSUBPSr132rY */
+  0x9f, /* AESDECLASTrm */
+  0xa0, /* AESDECLASTrr */
 /* Table5663 */
-  0xda2, /* VFMSUBADDPSr132mY */
-  0xda4, /* VFMSUBADDPSr132rY */
+  0x67c, /* MOVBE16rm */
+  0x0, /*  */
 /* Table5665 */
-  0xd16, /* VFMADDPSr132mY */
-  0xd18, /* VFMADDPSr132rY */
+  0x67b, /* MOVBE16mr */
+  0x0, /*  */
 /* Table5667 */
-  0xdca, /* VFMSUBPSr132mY */
-  0xdcc, /* VFMSUBPSr132rY */
+  0x42, /* ADCX32rm */
+  0x43, /* ADCX32rr */
 /* Table5669 */
-  0xe1a, /* VFNMADDPSr132mY */
-  0xe1c, /* VFNMADDPSr132rY */
+  0x255, /* CRC32r32m8 */
+  0x258, /* CRC32r32r8 */
 /* Table5671 */
-  0xe6a, /* VFNMSUBPSr132mY */
-  0xe6c, /* VFNMSUBPSr132rY */
+  0x254, /* CRC32r32m32 */
+  0x257, /* CRC32r32r32 */
 /* Table5673 */
-  0xd6a, /* VFMADDSUBPSr213mY */
-  0xd6c, /* VFMADDSUBPSr213rY */
+  0x9b, /* ADOX32rm */
+  0x9c, /* ADOX32rr */
 /* Table5675 */
-  0xda6, /* VFMSUBADDPSr213mY */
-  0xda8, /* VFMSUBADDPSr213rY */
+  0x253, /* CRC32r32m16 */
+  0x256, /* CRC32r32r16 */
 /* Table5677 */
-  0xd1a, /* VFMADDPSr213mY */
-  0xd1c, /* VFMADDPSr213rY */
+  0x680, /* MOVBE64rm */
+  0x0, /*  */
 /* Table5679 */
-  0xdce, /* VFMSUBPSr213mY */
-  0xdd0, /* VFMSUBPSr213rY */
+  0x67f, /* MOVBE64mr */
+  0x0, /*  */
 /* Table5681 */
-  0xe1e, /* VFNMADDPSr213mY */
-  0xe20, /* VFNMADDPSr213rY */
+  0x3a0, /* INVEPT64 */
+  0x0, /*  */
 /* Table5683 */
-  0xe6e, /* VFNMSUBPSr213mY */
-  0xe70, /* VFNMSUBPSr213rY */
+  0x3a7, /* INVVPID64 */
+  0x0, /*  */
 /* Table5685 */
-  0xd6e, /* VFMADDSUBPSr231mY */
-  0xd70, /* VFMADDSUBPSr231rY */
+  0x3a5, /* INVPCID64 */
+  0x0, /*  */
 /* Table5687 */
-  0xdaa, /* VFMSUBADDPSr231mY */
-  0xdac, /* VFMSUBADDPSr231rY */
+  0x9d, /* ADOX64rm */
+  0x9e, /* ADOX64rr */
 /* Table5689 */
-  0xd1e, /* VFMADDPSr231mY */
-  0xd20, /* VFMADDPSr231rY */
+  0x25a, /* CRC32r64m8 */
+  0x25c, /* CRC32r64r8 */
 /* Table5691 */
-  0xdd2, /* VFMSUBPSr231mY */
-  0xdd4, /* VFMSUBPSr231rY */
+  0x259, /* CRC32r64m64 */
+  0x25b, /* CRC32r64r64 */
 /* Table5693 */
-  0xe22, /* VFNMADDPSr231mY */
-  0xe24, /* VFNMADDPSr231rY */
+  0x44, /* ADCX64rm */
+  0x45, /* ADCX64rr */
 /* Table5695 */
-  0xe72, /* VFNMSUBPSr231mY */
-  0xe74, /* VFNMSUBPSr231rY */
+  0xce, /* ANDN32rm */
+  0xcf, /* ANDN32rr */
 /* Table5697 */
-  0x13aa, /* VPSRLVQYrm */
-  0x13ab, /* VPSRLVQYrr */
-/* Table5699 */
-  0x1356, /* VPSLLVQYrm */
-  0x1357, /* VPSLLVQYrr */
-/* Table5701 */
-  0x11f2, /* VPMASKMOVQYrm */
   0x0, /*  */
-/* Table5703 */
-  0x11f1, /* VPMASKMOVQYmr */
+  0x113, /* BLSR32rm */
+  0x10f, /* BLSMSK32rm */
+  0x107, /* BLSI32rm */
   0x0, /*  */
-/* Table5705 */
-  0x1184, /* VPGATHERDQYrm */
   0x0, /*  */
-/* Table5707 */
-  0x118a, /* VPGATHERQQYrm */
   0x0, /*  */
-/* Table5709 */
-  0xeaf, /* VGATHERDPDYrm */
   0x0, /*  */
-/* Table5711 */
-  0xeb5, /* VGATHERQPDYrm */
+  0x0, /*  */
+  0x114, /* BLSR32rr */
+  0x110, /* BLSMSK32rr */
+  0x108, /* BLSI32rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
 /* Table5713 */
-  0xd52, /* VFMADDSUBPDr132mY */
-  0xd54, /* VFMADDSUBPDr132rY */
+  0x157, /* BZHI32rm */
+  0x158, /* BZHI32rr */
 /* Table5715 */
-  0xd8e, /* VFMSUBADDPDr132mY */
-  0xd90, /* VFMSUBADDPDr132rY */
+  0xdf, /* BEXTR32rm */
+  0xe0, /* BEXTR32rr */
 /* Table5717 */
-  0xd02, /* VFMADDPDr132mY */
-  0xd04, /* VFMADDPDr132rY */
+  0x7c7, /* PEXT32rm */
+  0x7c8, /* PEXT32rr */
 /* Table5719 */
-  0xdb6, /* VFMSUBPDr132mY */
-  0xdb8, /* VFMSUBPDr132rY */
+  0x9ce, /* SARX32rm */
+  0x9cf, /* SARX32rr */
 /* Table5721 */
-  0xe06, /* VFNMADDPDr132mY */
-  0xe08, /* VFNMADDPDr132rY */
+  0x7c3, /* PDEP32rm */
+  0x7c4, /* PDEP32rr */
 /* Table5723 */
-  0xe56, /* VFNMSUBPDr132mY */
-  0xe58, /* VFNMSUBPDr132rY */
+  0x6f8, /* MULX32rm */
+  0x6f9, /* MULX32rr */
 /* Table5725 */
-  0xd56, /* VFMADDSUBPDr213mY */
-  0xd58, /* VFMADDSUBPDr213rY */
+  0xa84, /* SHRX32rm */
+  0xa85, /* SHRX32rr */
 /* Table5727 */
-  0xd92, /* VFMSUBADDPDr213mY */
-  0xd94, /* VFMSUBADDPDr213rY */
+  0x158d, /* VPSHUFBrm */
+  0x158e, /* VPSHUFBrr */
 /* Table5729 */
-  0xd06, /* VFMADDPDr213mY */
-  0xd08, /* VFMADDPDr213rY */
+  0x137e, /* VPHADDWrm */
+  0x137f, /* VPHADDWrr */
 /* Table5731 */
-  0xdba, /* VFMSUBPDr213mY */
-  0xdbc, /* VFMSUBPDr213rY */
+  0x1366, /* VPHADDDrm */
+  0x1367, /* VPHADDDrr */
 /* Table5733 */
-  0xe0a, /* VFNMADDPDr213mY */
-  0xe0c, /* VFNMADDPDr213rY */
+  0x1368, /* VPHADDSWrm128 */
+  0x136a, /* VPHADDSWrr128 */
 /* Table5735 */
-  0xe5a, /* VFNMSUBPDr213mY */
-  0xe5c, /* VFNMSUBPDr213rY */
+  0x13c6, /* VPMADDUBSWrm128 */
+  0x13c8, /* VPMADDUBSWrr128 */
 /* Table5737 */
-  0xd5a, /* VFMADDSUBPDr231mY */
-  0xd5c, /* VFMADDSUBPDr231rY */
+  0x1392, /* VPHSUBWrm */
+  0x1393, /* VPHSUBWrr */
 /* Table5739 */
-  0xd96, /* VFMSUBADDPDr231mY */
-  0xd98, /* VFMSUBADDPDr231rY */
+  0x1388, /* VPHSUBDrm */
+  0x1389, /* VPHSUBDrr */
 /* Table5741 */
-  0xd0a, /* VFMADDPDr231mY */
-  0xd0c, /* VFMADDPDr231rY */
+  0x138a, /* VPHSUBSWrm128 */
+  0x138c, /* VPHSUBSWrr128 */
 /* Table5743 */
-  0xdbe, /* VFMSUBPDr231mY */
-  0xdc0, /* VFMSUBPDr231rY */
+  0x159f, /* VPSIGNBrm */
+  0x15a0, /* VPSIGNBrr */
 /* Table5745 */
-  0xe0e, /* VFNMADDPDr231mY */
-  0xe10, /* VFNMADDPDr231rY */
+  0x15a7, /* VPSIGNWrm */
+  0x15a8, /* VPSIGNWrr */
 /* Table5747 */
-  0xe5e, /* VFNMSUBPDr231mY */
-  0xe60, /* VFNMSUBPDr231rY */
+  0x15a3, /* VPSIGNDrm */
+  0x15a4, /* VPSIGNDrr */
 /* Table5749 */
-  0x1420, /* VRCP14SSrm */
-  0x1421, /* VRCP14SSrr */
+  0x1514, /* VPMULHRSWrm128 */
+  0x1516, /* VPMULHRSWrr128 */
 /* Table5751 */
-  0x1455, /* VRSQRT14SSrm */
-  0x1456, /* VRSQRT14SSrr */
+  0x131d, /* VPERMILPSrm */
+  0x131e, /* VPERMILPSrr */
 /* Table5753 */
-  0xd37, /* VFMADDSSZm */
-  0xd38, /* VFMADDSSZr */
+  0x1313, /* VPERMILPDrm */
+  0x1314, /* VPERMILPDrr */
 /* Table5755 */
-  0xdeb, /* VFMSUBSSZm */
-  0xdec, /* VFMSUBSSZr */
+  0x175c, /* VTESTPSrm */
+  0x175d, /* VTESTPSrr */
 /* Table5757 */
-  0xe3b, /* VFNMADDSSZm */
-  0xe3c, /* VFNMADDSSZr */
+  0x1758, /* VTESTPDrm */
+  0x1759, /* VTESTPDrr */
 /* Table5759 */
-  0xe8b, /* VFNMSUBSSZm */
-  0xe8c, /* VFNMSUBSSZr */
+  0xc53, /* VCVTPH2PSrm */
+  0xc54, /* VCVTPH2PSrr */
 /* Table5761 */
-  0x142b, /* VRCP28SSrm */
-  0x142c, /* VRCP28SSrr */
+  0x1669, /* VPTESTrm */
+  0x166a, /* VPTESTrr */
 /* Table5763 */
-  0x1460, /* VRSQRT28SSrm */
-  0x1461, /* VRSQRT28SSrr */
+  0xbfd, /* VBROADCASTSSrm */
+  0xbfe, /* VBROADCASTSSrr */
 /* Table5765 */
-  0x141e, /* VRCP14SDrm */
-  0x141f, /* VRCP14SDrr */
+  0x119f, /* VPABSBrm128 */
+  0x11a1, /* VPABSBrr128 */
 /* Table5767 */
-  0x1453, /* VRSQRT14SDrm */
-  0x1454, /* VRSQRT14SDrr */
+  0x11b9, /* VPABSWrm128 */
+  0x11bb, /* VPABSWrr128 */
 /* Table5769 */
-  0xd28, /* VFMADDSDZm */
-  0xd29, /* VFMADDSDZr */
+  0x11ac, /* VPABSDrm128 */
+  0x11ae, /* VPABSDrr128 */
 /* Table5771 */
-  0xddc, /* VFMSUBSDZm */
-  0xddd, /* VFMSUBSDZr */
+  0x1498, /* VPMOVSXBWrm */
+  0x1499, /* VPMOVSXBWrr */
 /* Table5773 */
-  0xe2c, /* VFNMADDSDZm */
-  0xe2d, /* VFNMADDSDZr */
+  0x148a, /* VPMOVSXBDrm */
+  0x148b, /* VPMOVSXBDrr */
 /* Table5775 */
-  0xe7c, /* VFNMSUBSDZm */
-  0xe7d, /* VFNMSUBSDZr */
+  0x1494, /* VPMOVSXBQrm */
+  0x1495, /* VPMOVSXBQrr */
 /* Table5777 */
-  0x1428, /* VRCP28SDrm */
-  0x1429, /* VRCP28SDrr */
+  0x14ac, /* VPMOVSXWDrm */
+  0x14ad, /* VPMOVSXWDrr */
 /* Table5779 */
-  0x145d, /* VRSQRT28SDrm */
-  0x145e, /* VRSQRT28SDrr */
+  0x14b6, /* VPMOVSXWQrm */
+  0x14b7, /* VPMOVSXWQrr */
 /* Table5781 */
-  0x1280, /* VPMOVUSDBmr */
-  0x1281, /* VPMOVUSDBrr */
+  0x14a2, /* VPMOVSXDQrm */
+  0x14a3, /* VPMOVSXDQrr */
 /* Table5783 */
-  0x1286, /* VPMOVUSQBmr */
-  0x1287, /* VPMOVUSQBrr */
+  0x1512, /* VPMULDQrm */
+  0x1513, /* VPMULDQrr */
 /* Table5785 */
-  0x1283, /* VPMOVUSDWmr */
-  0x1284, /* VPMOVUSDWrr */
+  0x1281, /* VPCMPEQQrm */
+  0x1282, /* VPCMPEQQrr */
 /* Table5787 */
-  0x128c, /* VPMOVUSQWmr */
-  0x128d, /* VPMOVUSQWrr */
+  0x10c9, /* VMOVNTDQArm */
+  0x0, /*  */
 /* Table5789 */
-  0x1289, /* VPMOVUSQDmr */
-  0x128a, /* VPMOVUSQDrr */
+  0x11c7, /* VPACKUSDWrm */
+  0x11c8, /* VPACKUSDWrr */
 /* Table5791 */
-  0x124f, /* VPMOVSDBmr */
-  0x1250, /* VPMOVSDBrr */
+  0xf10, /* VMASKMOVPSrm */
+  0x0, /*  */
 /* Table5793 */
-  0x1255, /* VPMOVSQBmr */
-  0x1256, /* VPMOVSQBrr */
+  0xf0c, /* VMASKMOVPDrm */
+  0x0, /*  */
 /* Table5795 */
-  0x1252, /* VPMOVSDWmr */
-  0x1253, /* VPMOVSDWrr */
+  0xf0f, /* VMASKMOVPSmr */
+  0x0, /*  */
 /* Table5797 */
-  0x125b, /* VPMOVSQWmr */
-  0x125c, /* VPMOVSQWrr */
+  0xf0b, /* VMASKMOVPDmr */
+  0x0, /*  */
 /* Table5799 */
-  0x1258, /* VPMOVSQDmr */
-  0x1259, /* VPMOVSQDrr */
+  0x14e7, /* VPMOVZXBWrm */
+  0x14e8, /* VPMOVZXBWrr */
 /* Table5801 */
-  0x13e0, /* VPTESTNMDZrm */
-  0x13e1, /* VPTESTNMDZrr */
+  0x14d9, /* VPMOVZXBDrm */
+  0x14da, /* VPMOVZXBDrr */
 /* Table5803 */
-  0x123e, /* VPMOVDBmr */
-  0x123f, /* VPMOVDBrr */
+  0x14e3, /* VPMOVZXBQrm */
+  0x14e4, /* VPMOVZXBQrr */
 /* Table5805 */
-  0x1246, /* VPMOVQBmr */
-  0x1247, /* VPMOVQBrr */
+  0x14fb, /* VPMOVZXWDrm */
+  0x14fc, /* VPMOVZXWDrr */
 /* Table5807 */
-  0x1241, /* VPMOVDWmr */
-  0x1242, /* VPMOVDWrr */
+  0x1505, /* VPMOVZXWQrm */
+  0x1506, /* VPMOVZXWQrr */
 /* Table5809 */
-  0x124c, /* VPMOVQWmr */
-  0x124d, /* VPMOVQWrr */
+  0x14f1, /* VPMOVZXDQrm */
+  0x14f2, /* VPMOVZXDQrr */
 /* Table5811 */
-  0x1249, /* VPMOVQDmr */
-  0x124a, /* VPMOVQDrr */
+  0x129d, /* VPCMPGTQrm */
+  0x129e, /* VPCMPGTQrr */
 /* Table5813 */
-  0x0, /*  */
-  0x10af, /* VPBROADCASTMW2Drr */
+  0x1414, /* VPMINSBrm */
+  0x1415, /* VPMINSBrr */
 /* Table5815 */
-  0xc3c, /* VCVTPH2PSZrm */
-  0xc3d, /* VCVTPH2PSZrr */
+  0x1421, /* VPMINSDrm */
+  0x1422, /* VPMINSDrr */
 /* Table5817 */
-  0x1168, /* VPERMPSZrm */
-  0x1169, /* VPERMPSZrr */
+  0x144c, /* VPMINUWrm */
+  0x144d, /* VPMINUWrr */
 /* Table5819 */
-  0xbe6, /* VBROADCASTSSZrm */
-  0xbe7, /* VBROADCASTSSZrr */
+  0x143f, /* VPMINUDrm */
+  0x1440, /* VPMINUDrr */
 /* Table5821 */
-  0x102e, /* VPABSDrm */
-  0x1031, /* VPABSDrr */
+  0x13d8, /* VPMAXSBrm */
+  0x13d9, /* VPMAXSBrr */
 /* Table5823 */
-  0x125f, /* VPMOVSXBDZrm */
-  0x1260, /* VPMOVSXBDZrr */
+  0x13e5, /* VPMAXSDrm */
+  0x13e6, /* VPMAXSDrr */
 /* Table5825 */
-  0x1265, /* VPMOVSXBQZrm */
-  0x1266, /* VPMOVSXBQZrr */
+  0x1410, /* VPMAXUWrm */
+  0x1411, /* VPMAXUWrr */
 /* Table5827 */
-  0x1275, /* VPMOVSXWDZrm */
-  0x1276, /* VPMOVSXWDZrr */
+  0x1403, /* VPMAXUDrm */
+  0x1404, /* VPMAXUDrr */
 /* Table5829 */
-  0x127b, /* VPMOVSXWQZrm */
-  0x127c, /* VPMOVSXWQZrr */
+  0x152b, /* VPMULLDrm */
+  0x152c, /* VPMULLDrr */
 /* Table5831 */
-  0x126f, /* VPMOVSXDQZrm */
-  0x1270, /* VPMOVSXDQZrr */
+  0x1380, /* VPHMINPOSUWrm128 */
+  0x1381, /* VPHMINPOSUWrr128 */
 /* Table5833 */
-  0x13dc, /* VPTESTMDZrm */
-  0x13dd, /* VPTESTMDZrr */
+  0x161f, /* VPSRLVDrm */
+  0x1620, /* VPSRLVDrr */
 /* Table5835 */
-  0x1290, /* VPMOVZXBDZrm */
-  0x1291, /* VPMOVZXBDZrr */
+  0x15f3, /* VPSRAVDrm */
+  0x15f4, /* VPSRAVDrr */
 /* Table5837 */
-  0x1296, /* VPMOVZXBQZrm */
-  0x1297, /* VPMOVZXBQZrr */
+  0x15cb, /* VPSLLVDrm */
+  0x15cc, /* VPSLLVDrr */
 /* Table5839 */
-  0x12a6, /* VPMOVZXWDZrm */
-  0x12a7, /* VPMOVZXWDZrr */
+  0x1253, /* VPBROADCASTDrm */
+  0x1254, /* VPBROADCASTDrr */
 /* Table5841 */
-  0x12ac, /* VPMOVZXWQZrm */
-  0x12ad, /* VPMOVZXWQZrr */
+  0x125f, /* VPBROADCASTQrm */
+  0x1260, /* VPBROADCASTQrr */
 /* Table5843 */
-  0x12a0, /* VPMOVZXDQZrm */
-  0x12a1, /* VPMOVZXDQZrr */
+  0x1249, /* VPBROADCASTBrm */
+  0x124a, /* VPBROADCASTBrr */
 /* Table5845 */
-  0x1136, /* VPERMDZrm */
-  0x1137, /* VPERMDZrr */
+  0x1263, /* VPBROADCASTWrm */
+  0x1264, /* VPBROADCASTWrr */
 /* Table5847 */
-  0x121f, /* VPMINSDZrm */
-  0x1221, /* VPMINSDZrr */
+  0x13d1, /* VPMASKMOVDrm */
+  0x0, /*  */
 /* Table5849 */
-  0x1231, /* VPMINUDZrm */
-  0x1233, /* VPMINUDZrr */
+  0x13d0, /* VPMASKMOVDmr */
+  0x0, /*  */
 /* Table5851 */
-  0x11fb, /* VPMAXSDZrm */
-  0x11fd, /* VPMAXSDZrr */
+  0x1352, /* VPGATHERDDrm */
+  0x0, /*  */
 /* Table5853 */
-  0x120d, /* VPMAXUDZrm */
-  0x120f, /* VPMAXUDZrr */
+  0x1358, /* VPGATHERQDrm */
+  0x0, /*  */
 /* Table5855 */
-  0x12c4, /* VPMULLDZrm */
-  0x12c6, /* VPMULLDZrr */
+  0xed5, /* VGATHERDPSrm */
+  0x0, /*  */
 /* Table5857 */
-  0x13a6, /* VPSRLVDZrm */
-  0x13a7, /* VPSRLVDZrr */
+  0xee3, /* VGATHERQPSrm */
+  0x0, /*  */
 /* Table5859 */
-  0x137a, /* VPSRAVDZrm */
-  0x137b, /* VPSRAVDZrr */
+  0xd86, /* VFMADDSUBPSr132m */
+  0xd88, /* VFMADDSUBPSr132r */
 /* Table5861 */
-  0x1352, /* VPSLLVDZrm */
-  0x1353, /* VPSLLVDZrr */
+  0xdc2, /* VFMSUBADDPSr132m */
+  0xdc4, /* VFMSUBADDPSr132r */
 /* Table5863 */
-  0x141c, /* VRCP14PSZm */
-  0x141d, /* VRCP14PSZr */
+  0xd36, /* VFMADDPSr132m */
+  0xd38, /* VFMADDPSr132r */
 /* Table5865 */
-  0x1451, /* VRSQRT14PSZm */
-  0x1452, /* VRSQRT14PSZr */
+  0xd5a, /* VFMADDSSr132m */
+  0xd5b, /* VFMADDSSr132r */
 /* Table5867 */
-  0x10a8, /* VPBROADCASTDZrm */
-  0x10a9, /* VPBROADCASTDZrr */
+  0xdea, /* VFMSUBPSr132m */
+  0xdec, /* VFMSUBPSr132r */
 /* Table5869 */
-  0x1138, /* VPERMI2Drm */
-  0x1139, /* VPERMI2Drr */
+  0xe0e, /* VFMSUBSSr132m */
+  0xe0f, /* VFMSUBSSr132r */
 /* Table5871 */
-  0x113c, /* VPERMI2PSrm */
-  0x113d, /* VPERMI2PSrr */
+  0xe3a, /* VFNMADDPSr132m */
+  0xe3c, /* VFNMADDPSr132r */
 /* Table5873 */
-  0x0, /*  */
-  0x10ab, /* VPBROADCASTDrZrr */
+  0xe5e, /* VFNMADDSSr132m */
+  0xe5f, /* VFNMADDSSr132r */
 /* Table5875 */
-  0x1170, /* VPERMT2Drm */
-  0x1171, /* VPERMT2Drr */
+  0xe8a, /* VFNMSUBPSr132m */
+  0xe8c, /* VFNMSUBPSr132r */
 /* Table5877 */
-  0x1174, /* VPERMT2PSrm */
-  0x1175, /* VPERMT2PSrr */
+  0xeae, /* VFNMSUBSSr132m */
+  0xeaf, /* VFNMSUBSSr132r */
 /* Table5879 */
-  0xd41, /* VFMADDSUB132PSZm */
-  0x0, /*  */
+  0xd8a, /* VFMADDSUBPSr213m */
+  0xd8c, /* VFMADDSUBPSr213r */
 /* Table5881 */
-  0xd7d, /* VFMSUBADD132PSZm */
-  0x0, /*  */
+  0xdc6, /* VFMSUBADDPSr213m */
+  0xdc8, /* VFMSUBADDPSr213r */
 /* Table5883 */
-  0xcf1, /* VFMADD132PSZm */
-  0x0, /*  */
+  0xd3a, /* VFMADDPSr213m */
+  0xd3c, /* VFMADDPSr213r */
 /* Table5885 */
-  0xd73, /* VFMSUB132PSZm */
-  0x0, /*  */
+  0xd5c, /* VFMADDSSr213m */
+  0xd5d, /* VFMADDSSr213r */
 /* Table5887 */
-  0xdf5, /* VFNMADD132PSZm */
-  0x0, /*  */
+  0xdee, /* VFMSUBPSr213m */
+  0xdf0, /* VFMSUBPSr213r */
 /* Table5889 */
-  0xe45, /* VFNMSUB132PSZm */
-  0x0, /*  */
+  0xe10, /* VFMSUBSSr213m */
+  0xe11, /* VFMSUBSSr213r */
 /* Table5891 */
-  0xd46, /* VFMADDSUB213PSZm */
-  0xd48, /* VFMADDSUB213PSZr */
+  0xe3e, /* VFNMADDPSr213m */
+  0xe40, /* VFNMADDPSr213r */
 /* Table5893 */
-  0xd82, /* VFMSUBADD213PSZm */
-  0xd84, /* VFMSUBADD213PSZr */
+  0xe60, /* VFNMADDSSr213m */
+  0xe61, /* VFNMADDSSr213r */
 /* Table5895 */
-  0xcf6, /* VFMADD213PSZm */
-  0xcf8, /* VFMADD213PSZr */
+  0xe8e, /* VFNMSUBPSr213m */
+  0xe90, /* VFNMSUBPSr213r */
 /* Table5897 */
-  0xd78, /* VFMSUB213PSZm */
-  0xd7a, /* VFMSUB213PSZr */
+  0xeb0, /* VFNMSUBSSr213m */
+  0xeb1, /* VFNMSUBSSr213r */
 /* Table5899 */
-  0xdfa, /* VFNMADD213PSZm */
-  0xdfc, /* VFNMADD213PSZr */
+  0xd8e, /* VFMADDSUBPSr231m */
+  0xd90, /* VFMADDSUBPSr231r */
 /* Table5901 */
-  0xe4a, /* VFNMSUB213PSZm */
-  0xe4c, /* VFNMSUB213PSZr */
+  0xdca, /* VFMSUBADDPSr231m */
+  0xdcc, /* VFMSUBADDPSr231r */
 /* Table5903 */
-  0x111e, /* VPCONFLICTDrm */
-  0x1124, /* VPCONFLICTDrr */
+  0xd3e, /* VFMADDPSr231m */
+  0xd40, /* VFMADDPSr231r */
 /* Table5905 */
-  0x1425, /* VRCP28PSZm */
-  0x1426, /* VRCP28PSZr */
+  0xd5e, /* VFMADDSSr231m */
+  0xd5f, /* VFMADDSSr231r */
 /* Table5907 */
-  0x145a, /* VRSQRT28PSZm */
-  0x145b, /* VRSQRT28PSZr */
+  0xdf2, /* VFMSUBPSr231m */
+  0xdf4, /* VFMSUBPSr231r */
 /* Table5909 */
-  0x13e2, /* VPTESTNMQZrm */
-  0x13e3, /* VPTESTNMQZrr */
+  0xe12, /* VFMSUBSSr231m */
+  0xe13, /* VFMSUBSSr231r */
 /* Table5911 */
-  0x0, /*  */
-  0x10ae, /* VPBROADCASTMB2Qrr */
+  0xe42, /* VFNMADDPSr231m */
+  0xe44, /* VFNMADDPSr231r */
 /* Table5913 */
-  0x1164, /* VPERMPDZrm */
-  0x1165, /* VPERMPDZrr */
+  0xe62, /* VFNMADDSSr231m */
+  0xe63, /* VFNMADDSSr231r */
 /* Table5915 */
-  0xbe2, /* VBROADCASTSDZrm */
-  0xbe3, /* VBROADCASTSDZrr */
+  0xe92, /* VFNMSUBPSr231m */
+  0xe94, /* VFNMSUBPSr231r */
 /* Table5917 */
-  0x1034, /* VPABSQrm */
-  0x1035, /* VPABSQrr */
+  0xeb2, /* VFNMSUBSSr231m */
+  0xeb3, /* VFNMSUBSSr231r */
 /* Table5919 */
-  0x13de, /* VPTESTMQZrm */
-  0x13df, /* VPTESTMQZrr */
+  0xbbe, /* VAESIMCrm */
+  0xbbf, /* VAESIMCrr */
 /* Table5921 */
-  0x12b2, /* VPMULDQZrm */
-  0x12b3, /* VPMULDQZrr */
+  0xbbc, /* VAESENCrm */
+  0xbbd, /* VAESENCrr */
 /* Table5923 */
-  0x10d6, /* VPCMPEQQZrm */
-  0x10d7, /* VPCMPEQQZrr */
+  0xbba, /* VAESENCLASTrm */
+  0xbbb, /* VAESENCLASTrr */
 /* Table5925 */
-  0x116e, /* VPERMQZrm */
-  0x116f, /* VPERMQZrr */
+  0xbb8, /* VAESDECrm */
+  0xbb9, /* VAESDECrr */
 /* Table5927 */
-  0x10f2, /* VPCMPGTQZrm */
-  0x10f3, /* VPCMPGTQZrr */
+  0xbb6, /* VAESDECLASTrm */
+  0xbb7, /* VAESDECLASTrr */
 /* Table5929 */
-  0x1224, /* VPMINSQZrm */
-  0x1226, /* VPMINSQZrr */
+  0xa5c, /* SHLX32rm */
+  0xa5d, /* SHLX32rr */
 /* Table5931 */
-  0x1236, /* VPMINUQZrm */
-  0x1238, /* VPMINUQZrr */
+  0xd0, /* ANDN64rm */
+  0xd1, /* ANDN64rr */
 /* Table5933 */
-  0x1200, /* VPMAXSQZrm */
-  0x1202, /* VPMAXSQZrr */
-/* Table5935 */
-  0x1212, /* VPMAXUQZrm */
-  0x1214, /* VPMAXUQZrr */
-/* Table5937 */
-  0x13ac, /* VPSRLVQZrm */
-  0x13ad, /* VPSRLVQZrr */
-/* Table5939 */
-  0x137e, /* VPSRAVQZrm */
-  0x137f, /* VPSRAVQZrr */
-/* Table5941 */
-  0x1358, /* VPSLLVQZrm */
-  0x1359, /* VPSLLVQZrr */
-/* Table5943 */
-  0x141a, /* VRCP14PDZm */
-  0x141b, /* VRCP14PDZr */
-/* Table5945 */
-  0x144f, /* VRSQRT14PDZm */
-  0x1450, /* VRSQRT14PDZr */
-/* Table5947 */
-  0x10b4, /* VPBROADCASTQZrm */
-  0x10b5, /* VPBROADCASTQZrr */
+  0x0, /*  */
+  0x115, /* BLSR64rm */
+  0x111, /* BLSMSK64rm */
+  0x109, /* BLSI64rm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x116, /* BLSR64rr */
+  0x112, /* BLSMSK64rr */
+  0x10a, /* BLSI64rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
 /* Table5949 */
-  0x113e, /* VPERMI2Qrm */
-  0x113f, /* VPERMI2Qrr */
+  0x159, /* BZHI64rm */
+  0x15a, /* BZHI64rr */
 /* Table5951 */
-  0x113a, /* VPERMI2PDrm */
-  0x113b, /* VPERMI2PDrr */
+  0xe1, /* BEXTR64rm */
+  0xe2, /* BEXTR64rr */
 /* Table5953 */
-  0x0, /*  */
-  0x10b7, /* VPBROADCASTQrZrr */
+  0x7c9, /* PEXT64rm */
+  0x7ca, /* PEXT64rr */
 /* Table5955 */
-  0x1176, /* VPERMT2Qrm */
-  0x1177, /* VPERMT2Qrr */
+  0x9d0, /* SARX64rm */
+  0x9d1, /* SARX64rr */
 /* Table5957 */
-  0x1172, /* VPERMT2PDrm */
-  0x1173, /* VPERMT2PDrr */
+  0x7c5, /* PDEP64rm */
+  0x7c6, /* PDEP64rr */
 /* Table5959 */
-  0xd3f, /* VFMADDSUB132PDZm */
-  0x0, /*  */
+  0x6fa, /* MULX64rm */
+  0x6fb, /* MULX64rr */
 /* Table5961 */
-  0xd7b, /* VFMSUBADD132PDZm */
-  0x0, /*  */
+  0xa86, /* SHRX64rm */
+  0xa87, /* SHRX64rr */
 /* Table5963 */
-  0xcef, /* VFMADD132PDZm */
-  0x0, /*  */
+  0x1625, /* VPSRLVQrm */
+  0x1626, /* VPSRLVQrr */
 /* Table5965 */
-  0xd71, /* VFMSUB132PDZm */
-  0x0, /*  */
+  0x15d1, /* VPSLLVQrm */
+  0x15d2, /* VPSLLVQrr */
 /* Table5967 */
-  0xdf3, /* VFNMADD132PDZm */
+  0x13d5, /* VPMASKMOVQrm */
   0x0, /*  */
 /* Table5969 */
-  0xe43, /* VFNMSUB132PDZm */
+  0x13d4, /* VPMASKMOVQmr */
   0x0, /*  */
 /* Table5971 */
-  0xd43, /* VFMADDSUB213PDZm */
-  0xd45, /* VFMADDSUB213PDZr */
+  0x1355, /* VPGATHERDQrm */
+  0x0, /*  */
 /* Table5973 */
-  0xd7f, /* VFMSUBADD213PDZm */
-  0xd81, /* VFMSUBADD213PDZr */
+  0x135b, /* VPGATHERQQrm */
+  0x0, /*  */
 /* Table5975 */
-  0xcf3, /* VFMADD213PDZm */
-  0xcf5, /* VFMADD213PDZr */
+  0xed2, /* VGATHERDPDrm */
+  0x0, /*  */
 /* Table5977 */
-  0xd75, /* VFMSUB213PDZm */
-  0xd77, /* VFMSUB213PDZr */
+  0xee0, /* VGATHERQPDrm */
+  0x0, /*  */
 /* Table5979 */
-  0xdf7, /* VFNMADD213PDZm */
-  0xdf9, /* VFNMADD213PDZr */
+  0xd72, /* VFMADDSUBPDr132m */
+  0xd74, /* VFMADDSUBPDr132r */
 /* Table5981 */
-  0xe47, /* VFNMSUB213PDZm */
-  0xe49, /* VFNMSUB213PDZr */
+  0xdae, /* VFMSUBADDPDr132m */
+  0xdb0, /* VFMSUBADDPDr132r */
 /* Table5983 */
-  0x1127, /* VPCONFLICTQrm */
-  0x112d, /* VPCONFLICTQrr */
+  0xd22, /* VFMADDPDr132m */
+  0xd24, /* VFMADDPDr132r */
 /* Table5985 */
-  0x1422, /* VRCP28PDZm */
-  0x1423, /* VRCP28PDZr */
+  0xd4b, /* VFMADDSDr132m */
+  0xd4c, /* VFMADDSDr132r */
 /* Table5987 */
-  0x1457, /* VRSQRT28PDZm */
-  0x1458, /* VRSQRT28PDZr */
+  0xdd6, /* VFMSUBPDr132m */
+  0xdd8, /* VFMSUBPDr132r */
 /* Table5989 */
-  0x1094, /* VPBLENDMDZrm */
-  0x1095, /* VPBLENDMDZrr */
+  0xdff, /* VFMSUBSDr132m */
+  0xe00, /* VFMSUBSDr132r */
 /* Table5991 */
-  0xbcc, /* VBLENDMPSZrm */
-  0xbcd, /* VBLENDMPSZrr */
+  0xe26, /* VFNMADDPDr132m */
+  0xe28, /* VFNMADDPDr132r */
 /* Table5993 */
-  0x1182, /* VPGATHERDDZrm */
-  0x0, /*  */
+  0xe4f, /* VFNMADDSDr132m */
+  0xe50, /* VFNMADDSDr132r */
 /* Table5995 */
-  0x1188, /* VPGATHERQDZrm */
-  0x0, /*  */
+  0xe76, /* VFNMSUBPDr132m */
+  0xe78, /* VFNMSUBPDr132r */
 /* Table5997 */
-  0xeb3, /* VGATHERDPSZrm */
-  0x0, /*  */
+  0xe9f, /* VFNMSUBSDr132m */
+  0xea0, /* VFNMSUBSDr132r */
 /* Table5999 */
-  0xeb9, /* VGATHERQPSZrm */
-  0x0, /*  */
+  0xd76, /* VFMADDSUBPDr213m */
+  0xd78, /* VFMADDSUBPDr213r */
 /* Table6001 */
-  0x12f8, /* VPSCATTERDDZmr */
-  0x0, /*  */
+  0xdb2, /* VFMSUBADDPDr213m */
+  0xdb4, /* VFMSUBADDPDr213r */
 /* Table6003 */
-  0x12fa, /* VPSCATTERQDZmr */
-  0x0, /*  */
+  0xd26, /* VFMADDPDr213m */
+  0xd28, /* VFMADDPDr213r */
 /* Table6005 */
-  0x146f, /* VSCATTERDPSZmr */
-  0x0, /*  */
+  0xd4d, /* VFMADDSDr213m */
+  0xd4e, /* VFMADDSDr213r */
 /* Table6007 */
-  0x1471, /* VSCATTERQPSZmr */
-  0x0, /*  */
+  0xdda, /* VFMSUBPDr213m */
+  0xddc, /* VFMSUBPDr213r */
 /* Table6009 */
-  0x1122, /* VPCONFLICTDrmk */
-  0x1125, /* VPCONFLICTDrrk */
+  0xe01, /* VFMSUBSDr213m */
+  0xe02, /* VFMSUBSDr213r */
 /* Table6011 */
-  0x1096, /* VPBLENDMQZrm */
-  0x1097, /* VPBLENDMQZrr */
+  0xe2a, /* VFNMADDPDr213m */
+  0xe2c, /* VFNMADDPDr213r */
 /* Table6013 */
-  0xbca, /* VBLENDMPDZrm */
-  0xbcb, /* VBLENDMPDZrr */
+  0xe51, /* VFNMADDSDr213m */
+  0xe52, /* VFNMADDSDr213r */
 /* Table6015 */
-  0x1185, /* VPGATHERDQZrm */
-  0x0, /*  */
+  0xe7a, /* VFNMSUBPDr213m */
+  0xe7c, /* VFNMSUBPDr213r */
 /* Table6017 */
-  0x118b, /* VPGATHERQQZrm */
-  0x0, /*  */
+  0xea1, /* VFNMSUBSDr213m */
+  0xea2, /* VFNMSUBSDr213r */
 /* Table6019 */
-  0xeb0, /* VGATHERDPDZrm */
-  0x0, /*  */
+  0xd7a, /* VFMADDSUBPDr231m */
+  0xd7c, /* VFMADDSUBPDr231r */
 /* Table6021 */
-  0xeb6, /* VGATHERQPDZrm */
-  0x0, /*  */
+  0xdb6, /* VFMSUBADDPDr231m */
+  0xdb8, /* VFMSUBADDPDr231r */
 /* Table6023 */
-  0x12f9, /* VPSCATTERDQZmr */
-  0x0, /*  */
+  0xd2a, /* VFMADDPDr231m */
+  0xd2c, /* VFMADDPDr231r */
 /* Table6025 */
-  0x12fb, /* VPSCATTERQQZmr */
-  0x0, /*  */
+  0xd4f, /* VFMADDSDr231m */
+  0xd50, /* VFMADDSDr231r */
 /* Table6027 */
-  0x146e, /* VSCATTERDPDZmr */
-  0x0, /*  */
+  0xdde, /* VFMSUBPDr231m */
+  0xde0, /* VFMSUBPDr231r */
 /* Table6029 */
-  0x1470, /* VSCATTERQPDZmr */
-  0x0, /*  */
+  0xe03, /* VFMSUBSDr231m */
+  0xe04, /* VFMSUBSDr231r */
 /* Table6031 */
-  0x112b, /* VPCONFLICTQrmk */
-  0x112e, /* VPCONFLICTQrrk */
+  0xe2e, /* VFNMADDPDr231m */
+  0xe30, /* VFNMADDPDr231r */
 /* Table6033 */
-  0x0, /*  */
-  0x142d, /* VRCP28SSrrb */
+  0xe53, /* VFNMADDSDr231m */
+  0xe54, /* VFNMADDSDr231r */
 /* Table6035 */
-  0x0, /*  */
-  0x1462, /* VRSQRT28SSrrb */
+  0xe7e, /* VFNMSUBPDr231m */
+  0xe80, /* VFNMSUBPDr231r */
 /* Table6037 */
-  0x0, /*  */
-  0x142a, /* VRCP28SDrrb */
+  0xea3, /* VFNMSUBSDr231m */
+  0xea4, /* VFNMSUBSDr231r */
 /* Table6039 */
-  0x0, /*  */
-  0x145f, /* VRSQRT28SDrrb */
+  0xa5e, /* SHLX64rm */
+  0xa5f, /* SHLX64rr */
 /* Table6041 */
-  0x1220, /* VPMINSDZrmb */
-  0x0, /*  */
+  0x158b, /* VPSHUFBYrm */
+  0x158c, /* VPSHUFBYrr */
 /* Table6043 */
-  0x1232, /* VPMINUDZrmb */
-  0x0, /*  */
+  0x137c, /* VPHADDWYrm */
+  0x137d, /* VPHADDWYrr */
 /* Table6045 */
-  0x11fc, /* VPMAXSDZrmb */
-  0x0, /*  */
+  0x1364, /* VPHADDDYrm */
+  0x1365, /* VPHADDDYrr */
 /* Table6047 */
-  0x120e, /* VPMAXUDZrmb */
-  0x0, /*  */
+  0x1369, /* VPHADDSWrm256 */
+  0x136b, /* VPHADDSWrr256 */
 /* Table6049 */
-  0x12c5, /* VPMULLDZrmb */
-  0x0, /*  */
+  0x13c7, /* VPMADDUBSWrm256 */
+  0x13c9, /* VPMADDUBSWrr256 */
 /* Table6051 */
-  0xd42, /* VFMADDSUB132PSZmb */
-  0x0, /*  */
+  0x1390, /* VPHSUBWYrm */
+  0x1391, /* VPHSUBWYrr */
 /* Table6053 */
-  0xd7e, /* VFMSUBADD132PSZmb */
-  0x0, /*  */
+  0x1386, /* VPHSUBDYrm */
+  0x1387, /* VPHSUBDYrr */
 /* Table6055 */
-  0xcf2, /* VFMADD132PSZmb */
-  0x0, /*  */
+  0x138b, /* VPHSUBSWrm256 */
+  0x138d, /* VPHSUBSWrr256 */
 /* Table6057 */
-  0xd74, /* VFMSUB132PSZmb */
-  0x0, /*  */
+  0x159d, /* VPSIGNBYrm */
+  0x159e, /* VPSIGNBYrr */
 /* Table6059 */
-  0xdf6, /* VFNMADD132PSZmb */
-  0x0, /*  */
+  0x15a5, /* VPSIGNWYrm */
+  0x15a6, /* VPSIGNWYrr */
 /* Table6061 */
-  0xe46, /* VFNMSUB132PSZmb */
-  0x0, /*  */
+  0x15a1, /* VPSIGNDYrm */
+  0x15a2, /* VPSIGNDYrr */
 /* Table6063 */
-  0xd47, /* VFMADDSUB213PSZmb */
-  0x0, /*  */
+  0x1515, /* VPMULHRSWrm256 */
+  0x1517, /* VPMULHRSWrr256 */
 /* Table6065 */
-  0xd83, /* VFMSUBADD213PSZmb */
-  0x0, /*  */
+  0x1317, /* VPERMILPSYrm */
+  0x1318, /* VPERMILPSYrr */
 /* Table6067 */
-  0xcf7, /* VFMADD213PSZmb */
-  0x0, /*  */
+  0x130d, /* VPERMILPDYrm */
+  0x130e, /* VPERMILPDYrr */
 /* Table6069 */
-  0xd79, /* VFMSUB213PSZmb */
-  0x0, /*  */
+  0x175a, /* VTESTPSYrm */
+  0x175b, /* VTESTPSYrr */
 /* Table6071 */
-  0xdfb, /* VFNMADD213PSZmb */
-  0x0, /*  */
+  0x1756, /* VTESTPDYrm */
+  0x1757, /* VTESTPDYrr */
 /* Table6073 */
-  0xe4b, /* VFNMSUB213PSZmb */
-  0x0, /*  */
+  0xc4f, /* VCVTPH2PSYrm */
+  0xc50, /* VCVTPH2PSYrr */
 /* Table6075 */
-  0x111f, /* VPCONFLICTDrmb */
-  0x0, /*  */
+  0x1325, /* VPERMPSYrm */
+  0x1326, /* VPERMPSYrr */
 /* Table6077 */
-  0x0, /*  */
-  0x1427, /* VRCP28PSZrb */
+  0x1667, /* VPTESTYrm */
+  0x1668, /* VPTESTYrr */
 /* Table6079 */
-  0x0, /*  */
-  0x145c, /* VRSQRT28PSZrb */
+  0xbf9, /* VBROADCASTSSYrm */
+  0xbfa, /* VBROADCASTSSYrr */
 /* Table6081 */
-  0x1225, /* VPMINSQZrmb */
-  0x0, /*  */
+  0xbf5, /* VBROADCASTSDYrm */
+  0xbf6, /* VBROADCASTSDYrr */
 /* Table6083 */
-  0x1237, /* VPMINUQZrmb */
+  0xbef, /* VBROADCASTF128 */
   0x0, /*  */
 /* Table6085 */
-  0x1201, /* VPMAXSQZrmb */
-  0x0, /*  */
+  0x11a0, /* VPABSBrm256 */
+  0x11a2, /* VPABSBrr256 */
 /* Table6087 */
-  0x1213, /* VPMAXUQZrmb */
-  0x0, /*  */
+  0x11ba, /* VPABSWrm256 */
+  0x11bc, /* VPABSWrr256 */
 /* Table6089 */
-  0xd40, /* VFMADDSUB132PDZmb */
-  0x0, /*  */
+  0x11ad, /* VPABSDrm256 */
+  0x11af, /* VPABSDrr256 */
 /* Table6091 */
-  0xd7c, /* VFMSUBADD132PDZmb */
-  0x0, /*  */
+  0x1496, /* VPMOVSXBWYrm */
+  0x1497, /* VPMOVSXBWYrr */
 /* Table6093 */
-  0xcf0, /* VFMADD132PDZmb */
-  0x0, /*  */
+  0x1482, /* VPMOVSXBDYrm */
+  0x1483, /* VPMOVSXBDYrr */
 /* Table6095 */
-  0xd72, /* VFMSUB132PDZmb */
-  0x0, /*  */
+  0x148c, /* VPMOVSXBQYrm */
+  0x148d, /* VPMOVSXBQYrr */
 /* Table6097 */
-  0xdf4, /* VFNMADD132PDZmb */
-  0x0, /*  */
+  0x14a4, /* VPMOVSXWDYrm */
+  0x14a5, /* VPMOVSXWDYrr */
 /* Table6099 */
-  0xe44, /* VFNMSUB132PDZmb */
-  0x0, /*  */
+  0x14ae, /* VPMOVSXWQYrm */
+  0x14af, /* VPMOVSXWQYrr */
 /* Table6101 */
-  0xd44, /* VFMADDSUB213PDZmb */
-  0x0, /*  */
+  0x149a, /* VPMOVSXDQYrm */
+  0x149b, /* VPMOVSXDQYrr */
 /* Table6103 */
-  0xd80, /* VFMSUBADD213PDZmb */
-  0x0, /*  */
+  0x1507, /* VPMULDQYrm */
+  0x1508, /* VPMULDQYrr */
 /* Table6105 */
-  0xcf4, /* VFMADD213PDZmb */
-  0x0, /*  */
+  0x127d, /* VPCMPEQQYrm */
+  0x127e, /* VPCMPEQQYrr */
 /* Table6107 */
-  0xd76, /* VFMSUB213PDZmb */
+  0x10c7, /* VMOVNTDQAYrm */
   0x0, /*  */
 /* Table6109 */
-  0xdf8, /* VFNMADD213PDZmb */
-  0x0, /*  */
+  0x11c5, /* VPACKUSDWYrm */
+  0x11c6, /* VPACKUSDWYrr */
 /* Table6111 */
-  0xe48, /* VFNMSUB213PDZmb */
+  0xf0e, /* VMASKMOVPSYrm */
   0x0, /*  */
 /* Table6113 */
-  0x1128, /* VPCONFLICTQrmb */
+  0xf0a, /* VMASKMOVPDYrm */
   0x0, /*  */
 /* Table6115 */
+  0xf0d, /* VMASKMOVPSYmr */
   0x0, /*  */
-  0x1424, /* VRCP28PDZrb */
 /* Table6117 */
+  0xf09, /* VMASKMOVPDYmr */
   0x0, /*  */
-  0x1459, /* VRSQRT28PDZrb */
 /* Table6119 */
-  0x1120, /* VPCONFLICTDrmbk */
-  0x0, /*  */
+  0x14e5, /* VPMOVZXBWYrm */
+  0x14e6, /* VPMOVZXBWYrr */
 /* Table6121 */
-  0x1129, /* VPCONFLICTQrmbk */
-  0x0, /*  */
+  0x14d1, /* VPMOVZXBDYrm */
+  0x14d2, /* VPMOVZXBDYrr */
 /* Table6123 */
-  0x1121, /* VPCONFLICTDrmbkz */
-  0x0, /*  */
+  0x14db, /* VPMOVZXBQYrm */
+  0x14dc, /* VPMOVZXBQYrr */
 /* Table6125 */
-  0x112a, /* VPCONFLICTQrmbkz */
-  0x0, /*  */
+  0x14f3, /* VPMOVZXWDYrm */
+  0x14f4, /* VPMOVZXWDYrr */
 /* Table6127 */
-  0x0, /*  */
-  0x127f, /* VPMOVUSDBkrr */
+  0x14fd, /* VPMOVZXWQYrm */
+  0x14fe, /* VPMOVZXWQYrr */
 /* Table6129 */
-  0x0, /*  */
-  0x1285, /* VPMOVUSQBkrr */
+  0x14e9, /* VPMOVZXDQYrm */
+  0x14ea, /* VPMOVZXDQYrr */
 /* Table6131 */
-  0x0, /*  */
-  0x1282, /* VPMOVUSDWkrr */
+  0x12e3, /* VPERMDYrm */
+  0x12e4, /* VPERMDYrr */
 /* Table6133 */
-  0x0, /*  */
-  0x128b, /* VPMOVUSQWkrr */
+  0x1299, /* VPCMPGTQYrm */
+  0x129a, /* VPCMPGTQYrr */
 /* Table6135 */
-  0x0, /*  */
-  0x1288, /* VPMOVUSQDkrr */
+  0x1412, /* VPMINSBYrm */
+  0x1413, /* VPMINSBYrr */
 /* Table6137 */
-  0x0, /*  */
-  0x124e, /* VPMOVSDBkrr */
+  0x1416, /* VPMINSDYrm */
+  0x1417, /* VPMINSDYrr */
 /* Table6139 */
-  0x0, /*  */
-  0x1254, /* VPMOVSQBkrr */
+  0x144a, /* VPMINUWYrm */
+  0x144b, /* VPMINUWYrr */
 /* Table6141 */
-  0x0, /*  */
-  0x1251, /* VPMOVSDWkrr */
+  0x1434, /* VPMINUDYrm */
+  0x1435, /* VPMINUDYrr */
 /* Table6143 */
-  0x0, /*  */
-  0x125a, /* VPMOVSQWkrr */
+  0x13d6, /* VPMAXSBYrm */
+  0x13d7, /* VPMAXSBYrr */
 /* Table6145 */
-  0x0, /*  */
-  0x1257, /* VPMOVSQDkrr */
+  0x13da, /* VPMAXSDYrm */
+  0x13db, /* VPMAXSDYrr */
 /* Table6147 */
-  0x0, /*  */
-  0x123d, /* VPMOVDBkrr */
+  0x140e, /* VPMAXUWYrm */
+  0x140f, /* VPMAXUWYrr */
 /* Table6149 */
-  0x0, /*  */
-  0x1245, /* VPMOVQBkrr */
+  0x13f8, /* VPMAXUDYrm */
+  0x13f9, /* VPMAXUDYrr */
 /* Table6151 */
-  0x0, /*  */
-  0x1240, /* VPMOVDWkrr */
+  0x1520, /* VPMULLDYrm */
+  0x1521, /* VPMULLDYrr */
 /* Table6153 */
-  0x0, /*  */
-  0x124b, /* VPMOVQWkrr */
+  0x161b, /* VPSRLVDYrm */
+  0x161c, /* VPSRLVDYrr */
 /* Table6155 */
-  0x0, /*  */
-  0x1248, /* VPMOVQDkrr */
+  0x15ef, /* VPSRAVDYrm */
+  0x15f0, /* VPSRAVDYrr */
 /* Table6157 */
-  0x10a6, /* VPBROADCASTDZkrm */
-  0x10a7, /* VPBROADCASTDZkrr */
+  0x15c7, /* VPSLLVDYrm */
+  0x15c8, /* VPSLLVDYrr */
 /* Table6159 */
-  0x0, /*  */
-  0x10aa, /* VPBROADCASTDrZkrr */
+  0x124b, /* VPBROADCASTDYrm */
+  0x124c, /* VPBROADCASTDYrr */
 /* Table6161 */
-  0x1123, /* VPCONFLICTDrmkz */
-  0x1126, /* VPCONFLICTDrrkz */
+  0x1257, /* VPBROADCASTQYrm */
+  0x1258, /* VPBROADCASTQYrr */
 /* Table6163 */
-  0x10b2, /* VPBROADCASTQZkrm */
-  0x10b3, /* VPBROADCASTQZkrr */
+  0xbf0, /* VBROADCASTI128 */
+  0x0, /*  */
 /* Table6165 */
-  0x0, /*  */
-  0x10b6, /* VPBROADCASTQrZkrr */
+  0x1247, /* VPBROADCASTBYrm */
+  0x1248, /* VPBROADCASTBYrr */
 /* Table6167 */
-  0x112c, /* VPCONFLICTQrmkz */
-  0x112f, /* VPCONFLICTQrrkz */
+  0x1261, /* VPBROADCASTWYrm */
+  0x1262, /* VPBROADCASTWYrr */
 /* Table6169 */
-  0x5a9, /* MMX_PALIGNR64irm */
-  0x5aa, /* MMX_PALIGNR64irr */
+  0x13cf, /* VPMASKMOVDYrm */
+  0x0, /*  */
 /* Table6171 */
-  0xa2f, /* SHA1RNDS4rmi */
-  0xa30, /* SHA1RNDS4rri */
+  0x13ce, /* VPMASKMOVDYmr */
+  0x0, /*  */
 /* Table6173 */
-  0x992, /* ROUNDPSm */
-  0x993, /* ROUNDPSr */
+  0x1350, /* VPGATHERDDYrm */
+  0x0, /*  */
 /* Table6175 */
-  0x990, /* ROUNDPDm */
-  0x991, /* ROUNDPDr */
+  0x1356, /* VPGATHERQDYrm */
+  0x0, /*  */
 /* Table6177 */
-  0x997, /* ROUNDSSm */
-  0x998, /* ROUNDSSr */
+  0xed3, /* VGATHERDPSYrm */
+  0x0, /*  */
 /* Table6179 */
-  0x994, /* ROUNDSDm */
-  0x995, /* ROUNDSDr */
+  0xee1, /* VGATHERQPSYrm */
+  0x0, /*  */
 /* Table6181 */
-  0x127, /* BLENDPSrmi */
-  0x128, /* BLENDPSrri */
+  0xd87, /* VFMADDSUBPSr132mY */
+  0xd89, /* VFMADDSUBPSr132rY */
 /* Table6183 */
-  0x125, /* BLENDPDrmi */
-  0x126, /* BLENDPDrri */
+  0xdc3, /* VFMSUBADDPSr132mY */
+  0xdc5, /* VFMSUBADDPSr132rY */
 /* Table6185 */
-  0x7a6, /* PBLENDWrmi */
-  0x7a7, /* PBLENDWrri */
+  0xd37, /* VFMADDPSr132mY */
+  0xd39, /* VFMADDPSr132rY */
 /* Table6187 */
-  0x797, /* PALIGNR128rm */
-  0x798, /* PALIGNR128rr */
+  0xdeb, /* VFMSUBPSr132mY */
+  0xded, /* VFMSUBPSr132rY */
 /* Table6189 */
-  0x7d2, /* PEXTRBmr */
-  0x7d3, /* PEXTRBrr */
+  0xe3b, /* VFNMADDPSr132mY */
+  0xe3d, /* VFNMADDPSr132rY */
 /* Table6191 */
-  0x7d8, /* PEXTRWmr */
-  0x7da, /* PEXTRWrr_REV */
+  0xe8b, /* VFNMSUBPSr132mY */
+  0xe8d, /* VFNMSUBPSr132rY */
 /* Table6193 */
-  0x7d4, /* PEXTRDmr */
-  0x7d5, /* PEXTRDrr */
+  0xd8b, /* VFMADDSUBPSr213mY */
+  0xd8d, /* VFMADDSUBPSr213rY */
 /* Table6195 */
-  0x310, /* EXTRACTPSmr */
-  0x311, /* EXTRACTPSrr */
+  0xdc7, /* VFMSUBADDPSr213mY */
+  0xdc9, /* VFMSUBADDPSr213rY */
 /* Table6197 */
-  0x813, /* PINSRBrm */
-  0x814, /* PINSRBrr */
+  0xd3b, /* VFMADDPSr213mY */
+  0xd3d, /* VFMADDPSr213rY */
 /* Table6199 */
-  0x3bf, /* INSERTPSrm */
-  0x3c0, /* INSERTPSrr */
+  0xdef, /* VFMSUBPSr213mY */
+  0xdf1, /* VFMSUBPSr213rY */
 /* Table6201 */
-  0x815, /* PINSRDrm */
-  0x816, /* PINSRDrr */
+  0xe3f, /* VFNMADDPSr213mY */
+  0xe41, /* VFNMADDPSr213rY */
 /* Table6203 */
-  0x304, /* DPPSrmi */
-  0x305, /* DPPSrri */
+  0xe8f, /* VFNMSUBPSr213mY */
+  0xe91, /* VFNMSUBPSr213rY */
 /* Table6205 */
-  0x302, /* DPPDrmi */
-  0x303, /* DPPDrri */
+  0xd8f, /* VFMADDSUBPSr231mY */
+  0xd91, /* VFMADDSUBPSr231rY */
 /* Table6207 */
-  0x6e9, /* MPSADBWrmi */
-  0x6ea, /* MPSADBWrri */
+  0xdcb, /* VFMSUBADDPSr231mY */
+  0xdcd, /* VFMSUBADDPSr231rY */
 /* Table6209 */
-  0x7a8, /* PCLMULQDQrm */
-  0x7a9, /* PCLMULQDQrr */
+  0xd3f, /* VFMADDPSr231mY */
+  0xd41, /* VFMADDPSr231rY */
 /* Table6211 */
-  0x7b8, /* PCMPESTRM128rm */
-  0x7b9, /* PCMPESTRM128rr */
+  0xdf3, /* VFMSUBPSr231mY */
+  0xdf5, /* VFMSUBPSr231rY */
 /* Table6213 */
-  0x7b4, /* PCMPESTRIrm */
-  0x7b5, /* PCMPESTRIrr */
+  0xe43, /* VFNMADDPSr231mY */
+  0xe45, /* VFNMADDPSr231rY */
 /* Table6215 */
-  0x7c8, /* PCMPISTRM128rm */
-  0x7c9, /* PCMPISTRM128rr */
+  0xe93, /* VFNMSUBPSr231mY */
+  0xe95, /* VFNMSUBPSr231rY */
 /* Table6217 */
-  0x7c4, /* PCMPISTRIrm */
-  0x7c5, /* PCMPISTRIrr */
+  0x1621, /* VPSRLVQYrm */
+  0x1622, /* VPSRLVQYrr */
 /* Table6219 */
-  0xa8, /* AESKEYGENASSIST128rm */
-  0xa9, /* AESKEYGENASSIST128rr */
+  0x15cd, /* VPSLLVQYrm */
+  0x15ce, /* VPSLLVQYrr */
 /* Table6221 */
-  0x7d6, /* PEXTRQmr */
-  0x7d7, /* PEXTRQrr */
+  0x13d3, /* VPMASKMOVQYrm */
+  0x0, /*  */
 /* Table6223 */
-  0x817, /* PINSRQrm */
-  0x818, /* PINSRQrr */
+  0x13d2, /* VPMASKMOVQYmr */
+  0x0, /*  */
 /* Table6225 */
-  0x98c, /* RORX32mi */
-  0x98d, /* RORX32ri */
+  0x1353, /* VPGATHERDQYrm */
+  0x0, /*  */
 /* Table6227 */
-  0x1092, /* VPBLENDDrmi */
-  0x1093, /* VPBLENDDrri */
+  0x1359, /* VPGATHERQQYrm */
+  0x0, /*  */
 /* Table6229 */
-  0x115c, /* VPERMILPSmi */
-  0x115d, /* VPERMILPSri */
+  0xed0, /* VGATHERDPDYrm */
+  0x0, /*  */
 /* Table6231 */
-  0x1152, /* VPERMILPDmi */
-  0x1153, /* VPERMILPDri */
+  0xede, /* VGATHERQPDYrm */
+  0x0, /*  */
 /* Table6233 */
-  0x1443, /* VROUNDPSm */
-  0x1444, /* VROUNDPSr */
+  0xd73, /* VFMADDSUBPDr132mY */
+  0xd75, /* VFMADDSUBPDr132rY */
 /* Table6235 */
-  0x1441, /* VROUNDPDm */
-  0x1442, /* VROUNDPDr */
+  0xdaf, /* VFMSUBADDPDr132mY */
+  0xdb1, /* VFMSUBADDPDr132rY */
 /* Table6237 */
-  0x1448, /* VROUNDSSm */
-  0x1449, /* VROUNDSSr */
+  0xd23, /* VFMADDPDr132mY */
+  0xd25, /* VFMADDPDr132rY */
 /* Table6239 */
-  0x1445, /* VROUNDSDm */
-  0x1446, /* VROUNDSDr */
+  0xdd7, /* VFMSUBPDr132mY */
+  0xdd9, /* VFMSUBPDr132rY */
 /* Table6241 */
-  0xbd4, /* VBLENDPSrmi */
-  0xbd5, /* VBLENDPSrri */
+  0xe27, /* VFNMADDPDr132mY */
+  0xe29, /* VFNMADDPDr132rY */
 /* Table6243 */
-  0xbd0, /* VBLENDPDrmi */
-  0xbd1, /* VBLENDPDrri */
+  0xe77, /* VFNMSUBPDr132mY */
+  0xe79, /* VFNMSUBPDr132rY */
 /* Table6245 */
-  0x109e, /* VPBLENDWrmi */
-  0x109f, /* VPBLENDWrri */
+  0xd77, /* VFMADDSUBPDr213mY */
+  0xd79, /* VFMADDSUBPDr213rY */
 /* Table6247 */
-  0x1070, /* VPALIGNR128rm */
-  0x1071, /* VPALIGNR128rr */
+  0xdb3, /* VFMSUBADDPDr213mY */
+  0xdb5, /* VFMSUBADDPDr213rY */
 /* Table6249 */
-  0x1178, /* VPEXTRBmr */
-  0x1179, /* VPEXTRBrr */
+  0xd27, /* VFMADDPDr213mY */
+  0xd29, /* VFMADDPDr213rY */
 /* Table6251 */
-  0x117e, /* VPEXTRWmr */
-  0x1180, /* VPEXTRWrr_REV */
+  0xddb, /* VFMSUBPDr213mY */
+  0xddd, /* VFMSUBPDr213rY */
 /* Table6253 */
-  0x117a, /* VPEXTRDmr */
-  0x117b, /* VPEXTRDrr */
+  0xe2b, /* VFNMADDPDr213mY */
+  0xe2d, /* VFNMADDPDr213rY */
 /* Table6255 */
-  0xceb, /* VEXTRACTPSmr */
-  0xcec, /* VEXTRACTPSrr */
+  0xe7b, /* VFNMSUBPDr213mY */
+  0xe7d, /* VFNMSUBPDr213rY */
 /* Table6257 */
-  0xc51, /* VCVTPS2PHmr */
-  0xc52, /* VCVTPS2PHrr */
+  0xd7b, /* VFMADDSUBPDr231mY */
+  0xd7d, /* VFMADDSUBPDr231rY */
 /* Table6259 */
-  0x11c5, /* VPINSRBrm */
-  0x11c6, /* VPINSRBrr */
+  0xdb7, /* VFMSUBADDPDr231mY */
+  0xdb9, /* VFMSUBADDPDr231rY */
 /* Table6261 */
-  0xed7, /* VINSERTPSrm */
-  0xed8, /* VINSERTPSrr */
+  0xd2b, /* VFMADDPDr231mY */
+  0xd2d, /* VFMADDPDr231rY */
 /* Table6263 */
-  0x11c7, /* VPINSRDrm */
-  0x11c8, /* VPINSRDrr */
+  0xddf, /* VFMSUBPDr231mY */
+  0xde1, /* VFMSUBPDr231rY */
 /* Table6265 */
-  0xcd9, /* VDPPSrmi */
-  0xcda, /* VDPPSrri */
+  0xe2f, /* VFNMADDPDr231mY */
+  0xe31, /* VFNMADDPDr231rY */
 /* Table6267 */
-  0xcd5, /* VDPPDrmi */
-  0xcd6, /* VDPPDrri */
+  0xe7f, /* VFNMSUBPDr231mY */
+  0xe81, /* VFNMSUBPDr231rY */
 /* Table6269 */
-  0xff5, /* VMPSADBWrmi */
-  0xff6, /* VMPSADBWrri */
+  0x16af, /* VRCP14SSrm */
+  0x16b0, /* VRCP14SSrr */
 /* Table6271 */
-  0x10be, /* VPCLMULQDQrm */
-  0x10bf, /* VPCLMULQDQrr */
+  0x16e4, /* VRSQRT14SSrm */
+  0x16e5, /* VRSQRT14SSrr */
 /* Table6273 */
-  0x1146, /* VPERMIL2PSmr */
-  0x114a, /* VPERMIL2PSrr */
+  0xd58, /* VFMADDSSZm */
+  0xd59, /* VFMADDSSZr */
 /* Table6275 */
-  0x1140, /* VPERMIL2PDmr */
-  0x1144, /* VPERMIL2PDrr */
+  0xe0c, /* VFMSUBSSZm */
+  0xe0d, /* VFMSUBSSZr */
 /* Table6277 */
-  0xbdc, /* VBLENDVPSrm */
-  0xbdd, /* VBLENDVPSrr */
+  0xe5c, /* VFNMADDSSZm */
+  0xe5d, /* VFNMADDSSZr */
 /* Table6279 */
-  0xbd8, /* VBLENDVPDrm */
-  0xbd9, /* VBLENDVPDrr */
+  0xeac, /* VFNMSUBSSZm */
+  0xead, /* VFNMSUBSSZr */
 /* Table6281 */
-  0x109a, /* VPBLENDVBrm */
-  0x109b, /* VPBLENDVBrr */
+  0x16ba, /* VRCP28SSrm */
+  0x16bb, /* VRCP28SSrr */
 /* Table6283 */
-  0xd5d, /* VFMADDSUBPS4mr */
-  0xd64, /* VFMADDSUBPS4rr_REV */
+  0x16ef, /* VRSQRT28SSrm */
+  0x16f0, /* VRSQRT28SSrr */
 /* Table6285 */
-  0xd49, /* VFMADDSUBPD4mr */
-  0xd50, /* VFMADDSUBPD4rr_REV */
+  0x16ad, /* VRCP14SDrm */
+  0x16ae, /* VRCP14SDrr */
 /* Table6287 */
-  0xd99, /* VFMSUBADDPS4mr */
-  0xda0, /* VFMSUBADDPS4rr_REV */
+  0x16e2, /* VRSQRT14SDrm */
+  0x16e3, /* VRSQRT14SDrr */
 /* Table6289 */
-  0xd85, /* VFMSUBADDPD4mr */
-  0xd8c, /* VFMSUBADDPD4rr_REV */
+  0xd49, /* VFMADDSDZm */
+  0xd4a, /* VFMADDSDZr */
 /* Table6291 */
-  0x10e4, /* VPCMPESTRM128rm */
-  0x10e5, /* VPCMPESTRM128rr */
+  0xdfd, /* VFMSUBSDZm */
+  0xdfe, /* VFMSUBSDZr */
 /* Table6293 */
-  0x10e0, /* VPCMPESTRIrm */
-  0x10e1, /* VPCMPESTRIrr */
+  0xe4d, /* VFNMADDSDZm */
+  0xe4e, /* VFNMADDSDZr */
 /* Table6295 */
-  0x1100, /* VPCMPISTRM128rm */
-  0x1101, /* VPCMPISTRM128rr */
+  0xe9d, /* VFNMSUBSDZm */
+  0xe9e, /* VFNMSUBSDZr */
 /* Table6297 */
-  0x10fc, /* VPCMPISTRIrm */
-  0x10fd, /* VPCMPISTRIrr */
+  0x16b7, /* VRCP28SDrm */
+  0x16b8, /* VRCP28SDrr */
 /* Table6299 */
-  0xd0d, /* VFMADDPS4mr */
-  0xd14, /* VFMADDPS4rr_REV */
+  0x16ec, /* VRSQRT28SDrm */
+  0x16ed, /* VRSQRT28SDrr */
 /* Table6301 */
-  0xcf9, /* VFMADDPD4mr */
-  0xd00, /* VFMADDPD4rr_REV */
+  0x14b8, /* VPMOVUSDBmr */
+  0x14ba, /* VPMOVUSDBrr */
 /* Table6303 */
-  0xd30, /* VFMADDSS4mr */
-  0xd36, /* VFMADDSS4rr_REV */
+  0x14c2, /* VPMOVUSQBmr */
+  0x14c4, /* VPMOVUSQBrr */
 /* Table6305 */
-  0xd21, /* VFMADDSD4mr */
-  0xd27, /* VFMADDSD4rr_REV */
+  0x14bd, /* VPMOVUSDWmr */
+  0x14bf, /* VPMOVUSDWrr */
 /* Table6307 */
-  0xdc1, /* VFMSUBPS4mr */
-  0xdc8, /* VFMSUBPS4rr_REV */
+  0x14cc, /* VPMOVUSQWmr */
+  0x14ce, /* VPMOVUSQWrr */
 /* Table6309 */
-  0xdad, /* VFMSUBPD4mr */
-  0xdb4, /* VFMSUBPD4rr_REV */
+  0x14c7, /* VPMOVUSQDmr */
+  0x14c9, /* VPMOVUSQDrr */
 /* Table6311 */
-  0xde4, /* VFMSUBSS4mr */
-  0xdea, /* VFMSUBSS4rr_REV */
+  0x1469, /* VPMOVSDBmr */
+  0x146b, /* VPMOVSDBrr */
 /* Table6313 */
-  0xdd5, /* VFMSUBSD4mr */
-  0xddb, /* VFMSUBSD4rr_REV */
+  0x1473, /* VPMOVSQBmr */
+  0x1475, /* VPMOVSQBrr */
 /* Table6315 */
-  0xe11, /* VFNMADDPS4mr */
-  0xe18, /* VFNMADDPS4rr_REV */
+  0x146e, /* VPMOVSDWmr */
+  0x1470, /* VPMOVSDWrr */
 /* Table6317 */
-  0xdfd, /* VFNMADDPD4mr */
-  0xe04, /* VFNMADDPD4rr_REV */
+  0x147d, /* VPMOVSQWmr */
+  0x147f, /* VPMOVSQWrr */
 /* Table6319 */
-  0xe34, /* VFNMADDSS4mr */
-  0xe3a, /* VFNMADDSS4rr_REV */
+  0x1478, /* VPMOVSQDmr */
+  0x147a, /* VPMOVSQDrr */
 /* Table6321 */
-  0xe25, /* VFNMADDSD4mr */
-  0xe2b, /* VFNMADDSD4rr_REV */
+  0x1663, /* VPTESTNMDZrm */
+  0x1664, /* VPTESTNMDZrr */
 /* Table6323 */
-  0xe61, /* VFNMSUBPS4mr */
-  0xe68, /* VFNMSUBPS4rr_REV */
+  0x144e, /* VPMOVDBmr */
+  0x1450, /* VPMOVDBrr */
 /* Table6325 */
-  0xe4d, /* VFNMSUBPD4mr */
-  0xe54, /* VFNMSUBPD4rr_REV */
+  0x145a, /* VPMOVQBmr */
+  0x145c, /* VPMOVQBrr */
 /* Table6327 */
-  0xe84, /* VFNMSUBSS4mr */
-  0xe8a, /* VFNMSUBSS4rr_REV */
+  0x1453, /* VPMOVDWmr */
+  0x1455, /* VPMOVDWrr */
 /* Table6329 */
-  0xe75, /* VFNMSUBSD4mr */
-  0xe7b, /* VFNMSUBSD4rr_REV */
+  0x1464, /* VPMOVQWmr */
+  0x1466, /* VPMOVQWrr */
 /* Table6331 */
-  0xbb3, /* VAESKEYGENASSIST128rm */
-  0xbb4, /* VAESKEYGENASSIST128rr */
+  0x145f, /* VPMOVQDmr */
+  0x1461, /* VPMOVQDrr */
 /* Table6333 */
-  0x98e, /* RORX64mi */
-  0x98f, /* RORX64ri */
+  0x0, /*  */
+  0x1256, /* VPBROADCASTMW2Drr */
 /* Table6335 */
-  0x117c, /* VPEXTRQmr */
-  0x117d, /* VPEXTRQrr */
+  0xc51, /* VCVTPH2PSZrm */
+  0xc52, /* VCVTPH2PSZrr */
 /* Table6337 */
-  0x11c9, /* VPINSRQrm */
-  0x11ca, /* VPINSRQrr */
+  0x1327, /* VPERMPSZrm */
+  0x1328, /* VPERMPSZrr */
 /* Table6339 */
-  0x0, /*  */
-  0x4a3, /* KSHIFTRWri */
+  0xbfb, /* VBROADCASTSSZrm */
+  0xbfc, /* VBROADCASTSSZrr */
 /* Table6341 */
-  0x0, /*  */
-  0x4a2, /* KSHIFTLWri */
+  0x11a3, /* VPABSDZrm */
+  0x11a9, /* VPABSDZrr */
 /* Table6343 */
-  0x1148, /* VPERMIL2PSrm */
-  0x114a, /* VPERMIL2PSrr */
+  0x1484, /* VPMOVSXBDZrm */
+  0x1487, /* VPMOVSXBDZrr */
 /* Table6345 */
-  0x1142, /* VPERMIL2PDrm */
-  0x1144, /* VPERMIL2PDrr */
+  0x148e, /* VPMOVSXBQZrm */
+  0x1491, /* VPMOVSXBQZrr */
 /* Table6347 */
-  0xd5f, /* VFMADDSUBPS4rm */
-  0xd61, /* VFMADDSUBPS4rr */
+  0x14a6, /* VPMOVSXWDZrm */
+  0x14a9, /* VPMOVSXWDZrr */
 /* Table6349 */
-  0xd4b, /* VFMADDSUBPD4rm */
-  0xd4d, /* VFMADDSUBPD4rr */
+  0x14b0, /* VPMOVSXWQZrm */
+  0x14b3, /* VPMOVSXWQZrr */
 /* Table6351 */
-  0xd9b, /* VFMSUBADDPS4rm */
-  0xd9d, /* VFMSUBADDPS4rr */
+  0x149c, /* VPMOVSXDQZrm */
+  0x149f, /* VPMOVSXDQZrr */
 /* Table6353 */
-  0xd87, /* VFMSUBADDPD4rm */
-  0xd89, /* VFMSUBADDPD4rr */
+  0x165f, /* VPTESTMDZrm */
+  0x1660, /* VPTESTMDZrr */
 /* Table6355 */
-  0xd0f, /* VFMADDPS4rm */
-  0xd11, /* VFMADDPS4rr */
+  0x10c8, /* VMOVNTDQAZrm */
+  0x0, /*  */
 /* Table6357 */
-  0xcfb, /* VFMADDPD4rm */
-  0xcfd, /* VFMADDPD4rr */
+  0x14d3, /* VPMOVZXBDZrm */
+  0x14d6, /* VPMOVZXBDZrr */
 /* Table6359 */
-  0xd32, /* VFMADDSS4rm */
-  0xd34, /* VFMADDSS4rr */
+  0x14dd, /* VPMOVZXBQZrm */
+  0x14e0, /* VPMOVZXBQZrr */
 /* Table6361 */
-  0xd23, /* VFMADDSD4rm */
-  0xd25, /* VFMADDSD4rr */
+  0x14f5, /* VPMOVZXWDZrm */
+  0x14f8, /* VPMOVZXWDZrr */
 /* Table6363 */
-  0xdc3, /* VFMSUBPS4rm */
-  0xdc5, /* VFMSUBPS4rr */
+  0x14ff, /* VPMOVZXWQZrm */
+  0x1502, /* VPMOVZXWQZrr */
 /* Table6365 */
-  0xdaf, /* VFMSUBPD4rm */
-  0xdb1, /* VFMSUBPD4rr */
+  0x14eb, /* VPMOVZXDQZrm */
+  0x14ee, /* VPMOVZXDQZrr */
 /* Table6367 */
-  0xde6, /* VFMSUBSS4rm */
-  0xde8, /* VFMSUBSS4rr */
+  0x12e5, /* VPERMDZrm */
+  0x12e6, /* VPERMDZrr */
 /* Table6369 */
-  0xdd7, /* VFMSUBSD4rm */
-  0xdd9, /* VFMSUBSD4rr */
+  0x1418, /* VPMINSDZrm */
+  0x141e, /* VPMINSDZrr */
 /* Table6371 */
-  0xe13, /* VFNMADDPS4rm */
-  0xe15, /* VFNMADDPS4rr */
+  0x1436, /* VPMINUDZrm */
+  0x143c, /* VPMINUDZrr */
 /* Table6373 */
-  0xdff, /* VFNMADDPD4rm */
-  0xe01, /* VFNMADDPD4rr */
+  0x13dc, /* VPMAXSDZrm */
+  0x13e2, /* VPMAXSDZrr */
 /* Table6375 */
-  0xe36, /* VFNMADDSS4rm */
-  0xe38, /* VFNMADDSS4rr */
+  0x13fa, /* VPMAXUDZrm */
+  0x1400, /* VPMAXUDZrr */
 /* Table6377 */
-  0xe27, /* VFNMADDSD4rm */
-  0xe29, /* VFNMADDSD4rr */
+  0x1522, /* VPMULLDZrm */
+  0x1528, /* VPMULLDZrr */
 /* Table6379 */
-  0xe63, /* VFNMSUBPS4rm */
-  0xe65, /* VFNMSUBPS4rr */
+  0x139c, /* VPLZCNTDrm */
+  0x13a2, /* VPLZCNTDrr */
 /* Table6381 */
-  0xe4f, /* VFNMSUBPD4rm */
-  0xe51, /* VFNMSUBPD4rr */
+  0x161d, /* VPSRLVDZrm */
+  0x161e, /* VPSRLVDZrr */
 /* Table6383 */
-  0xe86, /* VFNMSUBSS4rm */
-  0xe88, /* VFNMSUBSS4rr */
+  0x15f1, /* VPSRAVDZrm */
+  0x15f2, /* VPSRAVDZrr */
 /* Table6385 */
-  0xe77, /* VFNMSUBSD4rm */
-  0xe79, /* VFNMSUBSD4rr */
+  0x15c9, /* VPSLLVDZrm */
+  0x15ca, /* VPSLLVDZrr */
 /* Table6387 */
-  0x1090, /* VPBLENDDYrmi */
-  0x1091, /* VPBLENDDYrri */
+  0x16ab, /* VRCP14PSZm */
+  0x16ac, /* VRCP14PSZr */
 /* Table6389 */
-  0x1156, /* VPERMILPSYmi */
-  0x1157, /* VPERMILPSYri */
+  0x16e0, /* VRSQRT14PSZm */
+  0x16e1, /* VRSQRT14PSZr */
 /* Table6391 */
-  0x114c, /* VPERMILPDYmi */
-  0x114d, /* VPERMILPDYri */
+  0x124f, /* VPBROADCASTDZrm */
+  0x1250, /* VPBROADCASTDZrr */
 /* Table6393 */
-  0x1130, /* VPERM2F128rm */
-  0x1131, /* VPERM2F128rr */
+  0xbf2, /* VBROADCASTI32X4rm */
+  0x0, /*  */
 /* Table6395 */
-  0x144d, /* VROUNDYPSm */
-  0x144e, /* VROUNDYPSr */
+  0x12e7, /* VPERMI2Drm */
+  0x12ea, /* VPERMI2Drr */
 /* Table6397 */
-  0x144b, /* VROUNDYPDm */
-  0x144c, /* VROUNDYPDr */
+  0x12f3, /* VPERMI2PSrm */
+  0x12f6, /* VPERMI2PSrr */
 /* Table6399 */
-  0xbd2, /* VBLENDPSYrmi */
-  0xbd3, /* VBLENDPSYrri */
+  0x0, /*  */
+  0x1252, /* VPBROADCASTDrZrr */
 /* Table6401 */
-  0xbce, /* VBLENDPDYrmi */
-  0xbcf, /* VBLENDPDYrri */
+  0x132f, /* VPERMT2Drm */
+  0x1332, /* VPERMT2Drr */
 /* Table6403 */
-  0x109c, /* VPBLENDWYrmi */
-  0x109d, /* VPBLENDWYrri */
+  0x133b, /* VPERMT2PSrm */
+  0x133e, /* VPERMT2PSrr */
 /* Table6405 */
-  0x1072, /* VPALIGNR256rm */
-  0x1073, /* VPALIGNR256rr */
+  0xd62, /* VFMADDSUB132PSZm */
+  0x0, /*  */
 /* Table6407 */
-  0xecb, /* VINSERTF128rm */
-  0xecc, /* VINSERTF128rr */
+  0xd9e, /* VFMSUBADD132PSZm */
+  0x0, /*  */
 /* Table6409 */
-  0xcdf, /* VEXTRACTF128mr */
-  0xce0, /* VEXTRACTF128rr */
+  0xd12, /* VFMADD132PSZm */
+  0x0, /*  */
 /* Table6411 */
-  0xc4d, /* VCVTPS2PHYmr */
-  0xc4e, /* VCVTPS2PHYrr */
+  0xd94, /* VFMSUB132PSZm */
+  0x0, /*  */
 /* Table6413 */
-  0xed1, /* VINSERTI128rm */
-  0xed2, /* VINSERTI128rr */
+  0xe16, /* VFNMADD132PSZm */
+  0x0, /*  */
 /* Table6415 */
-  0xce5, /* VEXTRACTI128mr */
-  0xce6, /* VEXTRACTI128rr */
+  0xe66, /* VFNMSUB132PSZm */
+  0x0, /*  */
 /* Table6417 */
-  0xcd7, /* VDPPSYrmi */
-  0xcd8, /* VDPPSYrri */
+  0xd67, /* VFMADDSUB213PSZm */
+  0xd69, /* VFMADDSUB213PSZr */
 /* Table6419 */
-  0xff3, /* VMPSADBWYrmi */
-  0xff4, /* VMPSADBWYrri */
+  0xda3, /* VFMSUBADD213PSZm */
+  0xda5, /* VFMSUBADD213PSZr */
 /* Table6421 */
-  0x1132, /* VPERM2I128rm */
-  0x1133, /* VPERM2I128rr */
+  0xd17, /* VFMADD213PSZm */
+  0xd19, /* VFMADD213PSZr */
 /* Table6423 */
-  0x1147, /* VPERMIL2PSmrY */
-  0x114b, /* VPERMIL2PSrrY */
+  0xd99, /* VFMSUB213PSZm */
+  0xd9b, /* VFMSUB213PSZr */
 /* Table6425 */
-  0x1141, /* VPERMIL2PDmrY */
-  0x1145, /* VPERMIL2PDrrY */
+  0xe1b, /* VFNMADD213PSZm */
+  0xe1d, /* VFNMADD213PSZr */
 /* Table6427 */
-  0xbda, /* VBLENDVPSYrm */
-  0xbdb, /* VBLENDVPSYrr */
+  0xe6b, /* VFNMSUB213PSZm */
+  0xe6d, /* VFNMSUB213PSZr */
 /* Table6429 */
-  0xbd6, /* VBLENDVPDYrm */
-  0xbd7, /* VBLENDVPDYrr */
+  0x12cd, /* VPCONFLICTDrm */
+  0x12d3, /* VPCONFLICTDrr */
 /* Table6431 */
-  0x1098, /* VPBLENDVBYrm */
-  0x1099, /* VPBLENDVBYrr */
+  0x16b4, /* VRCP28PSZm */
+  0x16b5, /* VRCP28PSZr */
 /* Table6433 */
-  0xd5e, /* VFMADDSUBPS4mrY */
-  0xd63, /* VFMADDSUBPS4rrY_REV */
+  0x16e9, /* VRSQRT28PSZm */
+  0x16ea, /* VRSQRT28PSZr */
 /* Table6435 */
-  0xd4a, /* VFMADDSUBPD4mrY */
-  0xd4f, /* VFMADDSUBPD4rrY_REV */
+  0x1665, /* VPTESTNMQZrm */
+  0x1666, /* VPTESTNMQZrr */
 /* Table6437 */
-  0xd9a, /* VFMSUBADDPS4mrY */
-  0xd9f, /* VFMSUBADDPS4rrY_REV */
+  0x0, /*  */
+  0x1255, /* VPBROADCASTMB2Qrr */
 /* Table6439 */
-  0xd86, /* VFMSUBADDPD4mrY */
-  0xd8b, /* VFMSUBADDPD4rrY_REV */
+  0x1323, /* VPERMPDZrm */
+  0x1324, /* VPERMPDZrr */
 /* Table6441 */
-  0xd0e, /* VFMADDPS4mrY */
-  0xd13, /* VFMADDPS4rrY_REV */
+  0xbf7, /* VBROADCASTSDZrm */
+  0xbf8, /* VBROADCASTSDZrr */
 /* Table6443 */
-  0xcfa, /* VFMADDPD4mrY */
-  0xcff, /* VFMADDPD4rrY_REV */
+  0x11b0, /* VPABSQZrm */
+  0x11b6, /* VPABSQZrr */
 /* Table6445 */
-  0xdc2, /* VFMSUBPS4mrY */
-  0xdc7, /* VFMSUBPS4rrY_REV */
+  0x1661, /* VPTESTMQZrm */
+  0x1662, /* VPTESTMQZrr */
 /* Table6447 */
-  0xdae, /* VFMSUBPD4mrY */
-  0xdb3, /* VFMSUBPD4rrY_REV */
+  0x1509, /* VPMULDQZrm */
+  0x150f, /* VPMULDQZrr */
 /* Table6449 */
-  0xe12, /* VFNMADDPS4mrY */
-  0xe17, /* VFNMADDPS4rrY_REV */
+  0x127f, /* VPCMPEQQZrm */
+  0x1280, /* VPCMPEQQZrr */
 /* Table6451 */
-  0xdfe, /* VFNMADDPD4mrY */
-  0xe03, /* VFNMADDPD4rrY_REV */
+  0x132d, /* VPERMQZrm */
+  0x132e, /* VPERMQZrr */
 /* Table6453 */
-  0xe62, /* VFNMSUBPS4mrY */
-  0xe67, /* VFNMSUBPS4rrY_REV */
+  0x129b, /* VPCMPGTQZrm */
+  0x129c, /* VPCMPGTQZrr */
 /* Table6455 */
-  0xe4e, /* VFNMSUBPD4mrY */
-  0xe53, /* VFNMSUBPD4rrY_REV */
+  0x1423, /* VPMINSQZrm */
+  0x1429, /* VPMINSQZrr */
 /* Table6457 */
-  0x116a, /* VPERMQYmi */
-  0x116b, /* VPERMQYri */
+  0x1441, /* VPMINUQZrm */
+  0x1447, /* VPMINUQZrr */
 /* Table6459 */
-  0x1160, /* VPERMPDYmi */
-  0x1161, /* VPERMPDYri */
+  0x13e7, /* VPMAXSQZrm */
+  0x13ed, /* VPMAXSQZrr */
 /* Table6461 */
-  0x1149, /* VPERMIL2PSrmY */
-  0x114b, /* VPERMIL2PSrrY */
+  0x1405, /* VPMAXUQZrm */
+  0x140b, /* VPMAXUQZrr */
 /* Table6463 */
-  0x1143, /* VPERMIL2PDrmY */
-  0x1145, /* VPERMIL2PDrrY */
+  0x13a5, /* VPLZCNTQrm */
+  0x13ab, /* VPLZCNTQrr */
 /* Table6465 */
-  0xd60, /* VFMADDSUBPS4rmY */
-  0xd62, /* VFMADDSUBPS4rrY */
+  0x1623, /* VPSRLVQZrm */
+  0x1624, /* VPSRLVQZrr */
 /* Table6467 */
-  0xd4c, /* VFMADDSUBPD4rmY */
-  0xd4e, /* VFMADDSUBPD4rrY */
+  0x15f5, /* VPSRAVQZrm */
+  0x15f6, /* VPSRAVQZrr */
 /* Table6469 */
-  0xd9c, /* VFMSUBADDPS4rmY */
-  0xd9e, /* VFMSUBADDPS4rrY */
+  0x15cf, /* VPSLLVQZrm */
+  0x15d0, /* VPSLLVQZrr */
 /* Table6471 */
-  0xd88, /* VFMSUBADDPD4rmY */
-  0xd8a, /* VFMSUBADDPD4rrY */
+  0x16a9, /* VRCP14PDZm */
+  0x16aa, /* VRCP14PDZr */
 /* Table6473 */
-  0xd10, /* VFMADDPS4rmY */
-  0xd12, /* VFMADDPS4rrY */
+  0x16de, /* VRSQRT14PDZm */
+  0x16df, /* VRSQRT14PDZr */
 /* Table6475 */
-  0xcfc, /* VFMADDPD4rmY */
-  0xcfe, /* VFMADDPD4rrY */
+  0x125b, /* VPBROADCASTQZrm */
+  0x125c, /* VPBROADCASTQZrr */
 /* Table6477 */
-  0xdc4, /* VFMSUBPS4rmY */
-  0xdc6, /* VFMSUBPS4rrY */
+  0xbf4, /* VBROADCASTI64X4rm */
+  0x0, /*  */
 /* Table6479 */
-  0xdb0, /* VFMSUBPD4rmY */
-  0xdb2, /* VFMSUBPD4rrY */
+  0x12f9, /* VPERMI2Qrm */
+  0x12fc, /* VPERMI2Qrr */
 /* Table6481 */
-  0xe14, /* VFNMADDPS4rmY */
-  0xe16, /* VFNMADDPS4rrY */
+  0x12ed, /* VPERMI2PDrm */
+  0x12f0, /* VPERMI2PDrr */
 /* Table6483 */
-  0xe00, /* VFNMADDPD4rmY */
-  0xe02, /* VFNMADDPD4rrY */
+  0x0, /*  */
+  0x125e, /* VPBROADCASTQrZrr */
 /* Table6485 */
-  0xe64, /* VFNMSUBPS4rmY */
-  0xe66, /* VFNMSUBPS4rrY */
+  0x1341, /* VPERMT2Qrm */
+  0x1344, /* VPERMT2Qrr */
 /* Table6487 */
-  0xe50, /* VFNMSUBPD4rmY */
-  0xe52, /* VFNMSUBPD4rrY */
+  0x1335, /* VPERMT2PDrm */
+  0x1338, /* VPERMT2PDrr */
 /* Table6489 */
-  0x143f, /* VRNDSCALESSm */
-  0x1440, /* VRNDSCALESSr */
+  0xd60, /* VFMADDSUB132PDZm */
+  0x0, /*  */
 /* Table6491 */
-  0x143d, /* VRNDSCALESDm */
-  0x143e, /* VRNDSCALESDr */
+  0xd9c, /* VFMSUBADD132PDZm */
+  0x0, /*  */
 /* Table6493 */
-  0xced, /* VEXTRACTPSzmr */
-  0xcee, /* VEXTRACTPSzrr */
+  0xd10, /* VFMADD132PDZm */
+  0x0, /*  */
 /* Table6495 */
-  0xed9, /* VINSERTPSzrm */
-  0xeda, /* VINSERTPSzrr */
+  0xd92, /* VFMSUB132PDZm */
+  0x0, /*  */
 /* Table6497 */
-  0xbb5, /* VALIGNDrmi */
-  0xbb6, /* VALIGNDrri */
+  0xe14, /* VFNMADD132PDZm */
+  0x0, /*  */
 /* Table6499 */
-  0x115a, /* VPERMILPSZmi */
-  0x115b, /* VPERMILPSZri */
+  0xe64, /* VFNMSUB132PDZm */
+  0x0, /*  */
 /* Table6501 */
-  0x143b, /* VRNDSCALEPSZm */
-  0x143c, /* VRNDSCALEPSZr */
+  0xd64, /* VFMADDSUB213PDZm */
+  0xd66, /* VFMADDSUB213PDZr */
 /* Table6503 */
-  0xecd, /* VINSERTF32x4rm */
-  0xece, /* VINSERTF32x4rr */
+  0xda0, /* VFMSUBADD213PDZm */
+  0xda2, /* VFMSUBADD213PDZr */
 /* Table6505 */
-  0xce1, /* VEXTRACTF32x4mr */
-  0xce2, /* VEXTRACTF32x4rr */
+  0xd14, /* VFMADD213PDZm */
+  0xd16, /* VFMADD213PDZr */
 /* Table6507 */
-  0xc4f, /* VCVTPS2PHZmr */
-  0xc50, /* VCVTPS2PHZrr */
+  0xd96, /* VFMSUB213PDZm */
+  0xd98, /* VFMSUB213PDZr */
 /* Table6509 */
-  0x1106, /* VPCMPUDZrmi */
-  0x1108, /* VPCMPUDZrri */
+  0xe18, /* VFNMADD213PDZm */
+  0xe1a, /* VFNMADD213PDZr */
 /* Table6511 */
-  0x10c6, /* VPCMPDZrmi */
-  0x10c8, /* VPCMPDZrri */
+  0xe68, /* VFNMSUB213PDZm */
+  0xe6a, /* VFNMSUB213PDZr */
 /* Table6513 */
-  0xed3, /* VINSERTI32x4rm */
-  0xed4, /* VINSERTI32x4rr */
+  0x12d6, /* VPCONFLICTQrm */
+  0x12dc, /* VPCONFLICTQrr */
 /* Table6515 */
-  0xce7, /* VEXTRACTI32x4mr */
-  0xce8, /* VEXTRACTI32x4rr */
+  0x16b1, /* VRCP28PDZm */
+  0x16b2, /* VRCP28PDZr */
 /* Table6517 */
-  0x116c, /* VPERMQZmi */
-  0x116d, /* VPERMQZri */
+  0x16e6, /* VRSQRT28PDZm */
+  0x16e7, /* VRSQRT28PDZr */
 /* Table6519 */
-  0x1162, /* VPERMPDZmi */
-  0x1163, /* VPERMPDZri */
+  0x14b9, /* VPMOVUSDBmrk */
+  0x14bb, /* VPMOVUSDBrrk */
 /* Table6521 */
-  0xbb7, /* VALIGNQrmi */
-  0xbb8, /* VALIGNQrri */
+  0x14c3, /* VPMOVUSQBmrk */
+  0x14c5, /* VPMOVUSQBrrk */
 /* Table6523 */
-  0x1150, /* VPERMILPDZmi */
-  0x1151, /* VPERMILPDZri */
+  0x14be, /* VPMOVUSDWmrk */
+  0x14c0, /* VPMOVUSDWrrk */
 /* Table6525 */
-  0x1439, /* VRNDSCALEPDZm */
-  0x143a, /* VRNDSCALEPDZr */
+  0x14cd, /* VPMOVUSQWmrk */
+  0x14cf, /* VPMOVUSQWrrk */
 /* Table6527 */
-  0xecf, /* VINSERTF64x4rm */
-  0xed0, /* VINSERTF64x4rr */
+  0x14c8, /* VPMOVUSQDmrk */
+  0x14ca, /* VPMOVUSQDrrk */
 /* Table6529 */
-  0xce3, /* VEXTRACTF64x4mr */
-  0xce4, /* VEXTRACTF64x4rr */
+  0x146a, /* VPMOVSDBmrk */
+  0x146c, /* VPMOVSDBrrk */
 /* Table6531 */
-  0x110a, /* VPCMPUQZrmi */
-  0x110c, /* VPCMPUQZrri */
+  0x1474, /* VPMOVSQBmrk */
+  0x1476, /* VPMOVSQBrrk */
 /* Table6533 */
-  0x1102, /* VPCMPQZrmi */
-  0x1104, /* VPCMPQZrri */
+  0x146f, /* VPMOVSDWmrk */
+  0x1471, /* VPMOVSDWrrk */
 /* Table6535 */
-  0xed5, /* VINSERTI64x4rm */
-  0xed6, /* VINSERTI64x4rr */
+  0x147e, /* VPMOVSQWmrk */
+  0x1480, /* VPMOVSQWrrk */
 /* Table6537 */
-  0xce9, /* VEXTRACTI64x4mr */
-  0xcea, /* VEXTRACTI64x4rr */
+  0x1479, /* VPMOVSQDmrk */
+  0x147b, /* VPMOVSQDrrk */
 /* Table6539 */
-  0x11db, /* VPMACSSWWrm */
-  0x11dc, /* VPMACSSWWrr */
+  0x144f, /* VPMOVDBmrk */
+  0x1451, /* VPMOVDBrrk */
 /* Table6541 */
-  0x11d9, /* VPMACSSWDrm */
-  0x11da, /* VPMACSSWDrr */
+  0x145b, /* VPMOVQBmrk */
+  0x145d, /* VPMOVQBrrk */
 /* Table6543 */
-  0x11d7, /* VPMACSSDQLrm */
-  0x11d8, /* VPMACSSDQLrr */
+  0x1454, /* VPMOVDWmrk */
+  0x1456, /* VPMOVDWrrk */
 /* Table6545 */
-  0x11d3, /* VPMACSSDDrm */
-  0x11d4, /* VPMACSSDDrr */
+  0x1465, /* VPMOVQWmrk */
+  0x1467, /* VPMOVQWrrk */
 /* Table6547 */
-  0x11d5, /* VPMACSSDQHrm */
-  0x11d6, /* VPMACSSDQHrr */
+  0x1460, /* VPMOVQDmrk */
+  0x1462, /* VPMOVQDrrk */
 /* Table6549 */
-  0x11df, /* VPMACSWWrm */
-  0x11e0, /* VPMACSWWrr */
+  0x11a7, /* VPABSDZrmk */
+  0x11aa, /* VPABSDZrrk */
 /* Table6551 */
-  0x11dd, /* VPMACSWDrm */
-  0x11de, /* VPMACSWDrr */
+  0x1485, /* VPMOVSXBDZrmk */
+  0x1488, /* VPMOVSXBDZrrk */
 /* Table6553 */
-  0x11d1, /* VPMACSDQLrm */
-  0x11d2, /* VPMACSDQLrr */
+  0x148f, /* VPMOVSXBQZrmk */
+  0x1492, /* VPMOVSXBQZrrk */
 /* Table6555 */
-  0x11cd, /* VPMACSDDrm */
-  0x11ce, /* VPMACSDDrr */
+  0x14a7, /* VPMOVSXWDZrmk */
+  0x14aa, /* VPMOVSXWDZrrk */
 /* Table6557 */
-  0x11cf, /* VPMACSDQHrm */
-  0x11d0, /* VPMACSDQHrr */
+  0x14b1, /* VPMOVSXWQZrmk */
+  0x14b4, /* VPMOVSXWQZrrk */
 /* Table6559 */
-  0x10c0, /* VPCMOVmr */
-  0x10c4, /* VPCMOVrr */
+  0x149d, /* VPMOVSXDQZrmk */
+  0x14a0, /* VPMOVSXDQZrrk */
 /* Table6561 */
-  0x12dd, /* VPPERMmr */
-  0x12df, /* VPPERMrr */
+  0x14d4, /* VPMOVZXBDZrmk */
+  0x14d7, /* VPMOVZXBDZrrk */
 /* Table6563 */
-  0x11e1, /* VPMADCSSWDrm */
-  0x11e2, /* VPMADCSSWDrr */
+  0x14de, /* VPMOVZXBQZrmk */
+  0x14e1, /* VPMOVZXBQZrrk */
 /* Table6565 */
-  0x11e3, /* VPMADCSWDrm */
-  0x11e4, /* VPMADCSWDrr */
+  0x14f6, /* VPMOVZXWDZrmk */
+  0x14f9, /* VPMOVZXWDZrrk */
 /* Table6567 */
-  0x12e0, /* VPROTBmi */
-  0x12e2, /* VPROTBri */
+  0x1500, /* VPMOVZXWQZrmk */
+  0x1503, /* VPMOVZXWQZrrk */
 /* Table6569 */
-  0x12ef, /* VPROTWmi */
-  0x12f1, /* VPROTWri */
+  0x14ec, /* VPMOVZXDQZrmk */
+  0x14ef, /* VPMOVZXDQZrrk */
 /* Table6571 */
-  0x12e5, /* VPROTDmi */
-  0x12e7, /* VPROTDri */
+  0x141c, /* VPMINSDZrmk */
+  0x141f, /* VPMINSDZrrk */
 /* Table6573 */
-  0x12ea, /* VPROTQmi */
-  0x12ec, /* VPROTQri */
+  0x143a, /* VPMINUDZrmk */
+  0x143d, /* VPMINUDZrrk */
 /* Table6575 */
-  0x110e, /* VPCOMBmi */
-  0x110f, /* VPCOMBri */
+  0x13e0, /* VPMAXSDZrmk */
+  0x13e3, /* VPMAXSDZrrk */
 /* Table6577 */
-  0x111c, /* VPCOMWmi */
-  0x111d, /* VPCOMWri */
+  0x13fe, /* VPMAXUDZrmk */
+  0x1401, /* VPMAXUDZrrk */
 /* Table6579 */
-  0x1110, /* VPCOMDmi */
-  0x1111, /* VPCOMDri */
+  0x1526, /* VPMULLDZrmk */
+  0x1529, /* VPMULLDZrrk */
 /* Table6581 */
-  0x1112, /* VPCOMQmi */
-  0x1113, /* VPCOMQri */
+  0x13a0, /* VPLZCNTDrmk */
+  0x13a3, /* VPLZCNTDrrk */
 /* Table6583 */
-  0x1114, /* VPCOMUBmi */
-  0x1115, /* VPCOMUBri */
+  0x123b, /* VPBLENDMDZrm */
+  0x123c, /* VPBLENDMDZrr */
 /* Table6585 */
-  0x111a, /* VPCOMUWmi */
-  0x111b, /* VPCOMUWri */
+  0xbdd, /* VBLENDMPSZrm */
+  0xbde, /* VBLENDMPSZrr */
 /* Table6587 */
-  0x1116, /* VPCOMUDmi */
-  0x1117, /* VPCOMUDri */
+  0x12e8, /* VPERMI2Drmk */
+  0x12eb, /* VPERMI2Drrk */
 /* Table6589 */
-  0x1118, /* VPCOMUQmi */
-  0x1119, /* VPCOMUQri */
+  0x12f4, /* VPERMI2PSrmk */
+  0x12f7, /* VPERMI2PSrrk */
 /* Table6591 */
-  0x10c2, /* VPCMOVrm */
-  0x10c4, /* VPCMOVrr */
+  0x1330, /* VPERMT2Drmk */
+  0x1333, /* VPERMT2Drrk */
 /* Table6593 */
-  0x12de, /* VPPERMrm */
-  0x12df, /* VPPERMrr */
+  0x133c, /* VPERMT2PSrmk */
+  0x133f, /* VPERMT2PSrrk */
 /* Table6595 */
-  0x10c1, /* VPCMOVmrY */
-  0x10c5, /* VPCMOVrrY */
+  0x1351, /* VPGATHERDDZrm */
+  0x0, /*  */
 /* Table6597 */
-  0x10c3, /* VPCMOVrmY */
-  0x10c5, /* VPCMOVrrY */
+  0x1357, /* VPGATHERQDZrm */
+  0x0, /*  */
 /* Table6599 */
+  0xed4, /* VGATHERDPSZrm */
   0x0, /*  */
-  0x111, /* BLCFILL32rm */
-  0x12d, /* BLSFILL32rm */
-  0x121, /* BLCS32rm */
-  0xb6a, /* TZMSK32rm */
-  0x119, /* BLCIC32rm */
-  0x135, /* BLSIC32rm */
-  0xb2c, /* T1MSKC32rm */
+/* Table6601 */
+  0xee2, /* VGATHERQPSZrm */
   0x0, /*  */
-  0x112, /* BLCFILL32rr */
-  0x12e, /* BLSFILL32rr */
-  0x122, /* BLCS32rr */
-  0xb6b, /* TZMSK32rr */
-  0x11a, /* BLCIC32rr */
-  0x136, /* BLSIC32rr */
-  0xb2d, /* T1MSKC32rr */
-/* Table6615 */
+/* Table6603 */
+  0x156f, /* VPSCATTERDDZmr */
   0x0, /*  */
-  0x11d, /* BLCMSK32rm */
+/* Table6605 */
+  0x1571, /* VPSCATTERQDZmr */
+  0x0, /*  */
+/* Table6607 */
+  0x16fe, /* VSCATTERDPSZmr */
+  0x0, /*  */
+/* Table6609 */
+  0x1708, /* VSCATTERQPSZmr */
+  0x0, /*  */
+/* Table6611 */
+  0x12d1, /* VPCONFLICTDrmk */
+  0x12d4, /* VPCONFLICTDrrk */
+/* Table6613 */
+  0x0, /*  */
+  0xed7, /* VGATHERPF0DPSm */
+  0xedb, /* VGATHERPF1DPSm */
+  0x0, /*  */
+  0x0, /*  */
+  0x1700, /* VSCATTERPF0DPSm */
+  0x1704, /* VSCATTERPF1DPSm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x115, /* BLCI32rm */
-  0x0, /*  */
-  0x0, /*  */
-  0x11e, /* BLCMSK32rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x116, /* BLCI32rr */
   0x0, /*  */
-/* Table6631 */
-  0xe97, /* VFRCZPSrm */
-  0xe99, /* VFRCZPSrr */
-/* Table6633 */
-  0xe93, /* VFRCZPDrm */
-  0xe95, /* VFRCZPDrr */
-/* Table6635 */
-  0xe9d, /* VFRCZSSrm */
-  0xe9e, /* VFRCZSSrr */
-/* Table6637 */
-  0xe9b, /* VFRCZSDrm */
-  0xe9c, /* VFRCZSDrr */
-/* Table6639 */
-  0x12e1, /* VPROTBmr */
-  0x12e4, /* VPROTBrr */
-/* Table6641 */
-  0x12f0, /* VPROTWmr */
-  0x12f3, /* VPROTWrr */
-/* Table6643 */
-  0x12e6, /* VPROTDmr */
-  0x12e9, /* VPROTDrr */
+/* Table6629 */
+  0x0, /*  */
+  0xed9, /* VGATHERPF0QPSm */
+  0xedd, /* VGATHERPF1QPSm */
+  0x0, /*  */
+  0x0, /*  */
+  0x1702, /* VSCATTERPF0QPSm */
+  0x1706, /* VSCATTERPF1QPSm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
 /* Table6645 */
-  0x12eb, /* VPROTQmr */
-  0x12ee, /* VPROTQrr */
+  0x11b4, /* VPABSQZrmk */
+  0x11b7, /* VPABSQZrrk */
 /* Table6647 */
-  0x1308, /* VPSHLBmr */
-  0x130a, /* VPSHLBrr */
+  0x150d, /* VPMULDQZrmk */
+  0x1510, /* VPMULDQZrrk */
 /* Table6649 */
-  0x1311, /* VPSHLWmr */
-  0x1313, /* VPSHLWrr */
+  0x1427, /* VPMINSQZrmk */
+  0x142a, /* VPMINSQZrrk */
 /* Table6651 */
-  0x130b, /* VPSHLDmr */
-  0x130d, /* VPSHLDrr */
+  0x1445, /* VPMINUQZrmk */
+  0x1448, /* VPMINUQZrrk */
 /* Table6653 */
-  0x130e, /* VPSHLQmr */
-  0x1310, /* VPSHLQrr */
+  0x13eb, /* VPMAXSQZrmk */
+  0x13ee, /* VPMAXSQZrrk */
 /* Table6655 */
-  0x12fc, /* VPSHABmr */
-  0x12fe, /* VPSHABrr */
+  0x1409, /* VPMAXUQZrmk */
+  0x140c, /* VPMAXUQZrrk */
 /* Table6657 */
-  0x1305, /* VPSHAWmr */
-  0x1307, /* VPSHAWrr */
+  0x13a9, /* VPLZCNTQrmk */
+  0x13ac, /* VPLZCNTQrrk */
 /* Table6659 */
-  0x12ff, /* VPSHADmr */
-  0x1301, /* VPSHADrr */
+  0x123d, /* VPBLENDMQZrm */
+  0x123e, /* VPBLENDMQZrr */
 /* Table6661 */
-  0x1302, /* VPSHAQmr */
-  0x1304, /* VPSHAQrr */
+  0xbdb, /* VBLENDMPDZrm */
+  0xbdc, /* VBLENDMPDZrr */
 /* Table6663 */
-  0x1191, /* VPHADDBWrm */
-  0x1192, /* VPHADDBWrr */
+  0x12fa, /* VPERMI2Qrmk */
+  0x12fd, /* VPERMI2Qrrk */
 /* Table6665 */
-  0x118d, /* VPHADDBDrm */
-  0x118e, /* VPHADDBDrr */
+  0x12ee, /* VPERMI2PDrmk */
+  0x12f1, /* VPERMI2PDrrk */
 /* Table6667 */
-  0x118f, /* VPHADDBQrm */
-  0x1190, /* VPHADDBQrr */
+  0x1342, /* VPERMT2Qrmk */
+  0x1345, /* VPERMT2Qrrk */
 /* Table6669 */
-  0x11a9, /* VPHADDWDrm */
-  0x11aa, /* VPHADDWDrr */
+  0x1336, /* VPERMT2PDrmk */
+  0x1339, /* VPERMT2PDrrk */
 /* Table6671 */
-  0x11ab, /* VPHADDWQrm */
-  0x11ac, /* VPHADDWQrr */
+  0x1354, /* VPGATHERDQZrm */
+  0x0, /*  */
 /* Table6673 */
-  0x1193, /* VPHADDDQrm */
-  0x1194, /* VPHADDDQrr */
+  0x135a, /* VPGATHERQQZrm */
+  0x0, /*  */
 /* Table6675 */
-  0x11a1, /* VPHADDUBWrm */
-  0x11a2, /* VPHADDUBWrr */
+  0xed1, /* VGATHERDPDZrm */
+  0x0, /*  */
 /* Table6677 */
-  0x119d, /* VPHADDUBDrm */
-  0x119e, /* VPHADDUBDrr */
+  0xedf, /* VGATHERQPDZrm */
+  0x0, /*  */
 /* Table6679 */
-  0x119f, /* VPHADDUBQrm */
-  0x11a0, /* VPHADDUBQrr */
+  0x1570, /* VPSCATTERDQZmr */
+  0x0, /*  */
 /* Table6681 */
-  0x11a5, /* VPHADDUWDrm */
-  0x11a6, /* VPHADDUWDrr */
+  0x1572, /* VPSCATTERQQZmr */
+  0x0, /*  */
 /* Table6683 */
-  0x11a7, /* VPHADDUWQrm */
-  0x11a8, /* VPHADDUWQrr */
+  0x16fd, /* VSCATTERDPDZmr */
+  0x0, /*  */
 /* Table6685 */
-  0x11a3, /* VPHADDUDQrm */
-  0x11a4, /* VPHADDUDQrr */
+  0x1707, /* VSCATTERQPDZmr */
+  0x0, /*  */
 /* Table6687 */
-  0x11b3, /* VPHSUBBWrm */
-  0x11b4, /* VPHSUBBWrr */
+  0x12da, /* VPCONFLICTQrmk */
+  0x12dd, /* VPCONFLICTQrrk */
 /* Table6689 */
-  0x11bf, /* VPHSUBWDrm */
-  0x11c0, /* VPHSUBWDrr */
-/* Table6691 */
-  0x11b5, /* VPHSUBDQrm */
-  0x11b6, /* VPHSUBDQrr */
-/* Table6693 */
   0x0, /*  */
-  0x113, /* BLCFILL64rm */
-  0x12f, /* BLSFILL64rm */
-  0x123, /* BLCS64rm */
-  0xb6c, /* TZMSK64rm */
-  0x11b, /* BLCIC64rm */
-  0x137, /* BLSIC64rm */
-  0xb2e, /* T1MSKC64rm */
+  0xed6, /* VGATHERPF0DPDm */
+  0xeda, /* VGATHERPF1DPDm */
   0x0, /*  */
-  0x114, /* BLCFILL64rr */
-  0x130, /* BLSFILL64rr */
-  0x124, /* BLCS64rr */
-  0xb6d, /* TZMSK64rr */
-  0x11c, /* BLCIC64rr */
-  0x138, /* BLSIC64rr */
-  0xb2f, /* T1MSKC64rr */
-/* Table6709 */
   0x0, /*  */
-  0x11f, /* BLCMSK64rm */
+  0x16ff, /* VSCATTERPF0DPDm */
+  0x1703, /* VSCATTERPF1DPDm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x117, /* BLCI64rm */
-  0x0, /*  */
-  0x0, /*  */
-  0x120, /* BLCMSK64rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x118, /* BLCI64rr */
   0x0, /*  */
+/* Table6705 */
+  0x0, /*  */
+  0xed8, /* VGATHERPF0QPDm */
+  0xedc, /* VGATHERPF1QPDm */
+  0x0, /*  */
+  0x0, /*  */
+  0x1701, /* VSCATTERPF0QPDm */
+  0x1705, /* VSCATTERPF1QPDm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+/* Table6721 */
+  0x0, /*  */
+  0x16bc, /* VRCP28SSrrb */
+/* Table6723 */
+  0x0, /*  */
+  0x16f1, /* VRSQRT28SSrrb */
 /* Table6725 */
-  0x12e3, /* VPROTBrm */
-  0x12e4, /* VPROTBrr */
+  0x0, /*  */
+  0x16b9, /* VRCP28SDrrb */
 /* Table6727 */
-  0x12f2, /* VPROTWrm */
-  0x12f3, /* VPROTWrr */
+  0x0, /*  */
+  0x16ee, /* VRSQRT28SDrrb */
 /* Table6729 */
-  0x12e8, /* VPROTDrm */
-  0x12e9, /* VPROTDrr */
+  0x11a4, /* VPABSDZrmb */
+  0x0, /*  */
 /* Table6731 */
-  0x12ed, /* VPROTQrm */
-  0x12ee, /* VPROTQrr */
+  0x1419, /* VPMINSDZrmb */
+  0x0, /*  */
 /* Table6733 */
-  0x1309, /* VPSHLBrm */
-  0x130a, /* VPSHLBrr */
+  0x1437, /* VPMINUDZrmb */
+  0x0, /*  */
 /* Table6735 */
-  0x1312, /* VPSHLWrm */
-  0x1313, /* VPSHLWrr */
+  0x13dd, /* VPMAXSDZrmb */
+  0x0, /*  */
 /* Table6737 */
-  0x130c, /* VPSHLDrm */
-  0x130d, /* VPSHLDrr */
+  0x13fb, /* VPMAXUDZrmb */
+  0x0, /*  */
 /* Table6739 */
-  0x130f, /* VPSHLQrm */
-  0x1310, /* VPSHLQrr */
+  0x1523, /* VPMULLDZrmb */
+  0x0, /*  */
 /* Table6741 */
-  0x12fd, /* VPSHABrm */
-  0x12fe, /* VPSHABrr */
+  0x139d, /* VPLZCNTDrmb */
+  0x0, /*  */
 /* Table6743 */
-  0x1306, /* VPSHAWrm */
-  0x1307, /* VPSHAWrr */
+  0xd63, /* VFMADDSUB132PSZmb */
+  0x0, /*  */
 /* Table6745 */
-  0x1300, /* VPSHADrm */
-  0x1301, /* VPSHADrr */
+  0xd9f, /* VFMSUBADD132PSZmb */
+  0x0, /*  */
 /* Table6747 */
-  0x1303, /* VPSHAQrm */
-  0x1304, /* VPSHAQrr */
+  0xd13, /* VFMADD132PSZmb */
+  0x0, /*  */
 /* Table6749 */
-  0xe98, /* VFRCZPSrmY */
-  0xe9a, /* VFRCZPSrrY */
+  0xd95, /* VFMSUB132PSZmb */
+  0x0, /*  */
 /* Table6751 */
-  0xe94, /* VFRCZPDrmY */
-  0xe96, /* VFRCZPDrrY */
+  0xe17, /* VFNMADD132PSZmb */
+  0x0, /*  */
 /* Table6753 */
-  0x10d, /* BEXTRI32mi */
-  0x10e, /* BEXTRI32ri */
+  0xe67, /* VFNMSUB132PSZmb */
+  0x0, /*  */
 /* Table6755 */
-  0x10f, /* BEXTRI64mi */
-  0x110, /* BEXTRI64ri */
+  0xd68, /* VFMADDSUB213PSZmb */
+  0x0, /*  */
 /* Table6757 */
-  0x882, /* PREFETCH */
+  0xda4, /* VFMSUBADD213PSZmb */
   0x0, /*  */
+/* Table6759 */
+  0xd18, /* VFMADD213PSZmb */
   0x0, /*  */
+/* Table6761 */
+  0xd9a, /* VFMSUB213PSZmb */
   0x0, /*  */
+/* Table6763 */
+  0xe1c, /* VFNMADD213PSZmb */
   0x0, /*  */
+/* Table6765 */
+  0xe6c, /* VFNMSUB213PSZmb */
   0x0, /*  */
+/* Table6767 */
+  0x12ce, /* VPCONFLICTDrmb */
   0x0, /*  */
+/* Table6769 */
   0x0, /*  */
+  0x16b6, /* VRCP28PSZrb */
+/* Table6771 */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+  0x16eb, /* VRSQRT28PSZrb */
 /* Table6773 */
-  0x327, /* FEMMS */
-/* Table6774 */
-  0x811, /* PI2FWrm */
-  0x812, /* PI2FWrr */
-/* Table6776 */
-  0x80f, /* PI2FDrm */
-  0x810, /* PI2FDrr */
-/* Table6778 */
-  0x7dd, /* PF2IWrm */
-  0x7de, /* PF2IWrr */
-/* Table6780 */
-  0x7db, /* PF2IDrm */
-  0x7dc, /* PF2IDrr */
-/* Table6782 */
-  0x7ef, /* PFNACCrm */
-  0x7f0, /* PFNACCrr */
-/* Table6784 */
-  0x7f1, /* PFPNACCrm */
-  0x7f2, /* PFPNACCrr */
-/* Table6786 */
-  0x7e5, /* PFCMPGErm */
-  0x7e6, /* PFCMPGErr */
-/* Table6788 */
-  0x7eb, /* PFMINrm */
-  0x7ec, /* PFMINrr */
-/* Table6790 */
-  0x7f7, /* PFRCPrm */
-  0x7f8, /* PFRCPrr */
-/* Table6792 */
-  0x7fb, /* PFRSQRTrm */
-  0x7fc, /* PFRSQRTrr */
-/* Table6794 */
-  0x7ff, /* PFSUBrm */
-  0x800, /* PFSUBrr */
-/* Table6796 */
-  0x7e1, /* PFADDrm */
-  0x7e2, /* PFADDrr */
-/* Table6798 */
-  0x7e7, /* PFCMPGTrm */
-  0x7e8, /* PFCMPGTrr */
-/* Table6800 */
-  0x7e9, /* PFMAXrm */
-  0x7ea, /* PFMAXrr */
-/* Table6802 */
-  0x7f3, /* PFRCPIT1rm */
-  0x7f4, /* PFRCPIT1rr */
-/* Table6804 */
-  0x7f9, /* PFRSQIT1rm */
-  0x7fa, /* PFRSQIT1rr */
-/* Table6806 */
-  0x7fd, /* PFSUBRrm */
-  0x7fe, /* PFSUBRrr */
-/* Table6808 */
-  0x7df, /* PFACCrm */
-  0x7e0, /* PFACCrr */
-/* Table6810 */
-  0x7e3, /* PFCMPEQrm */
-  0x7e4, /* PFCMPEQrr */
-/* Table6812 */
-  0x7ed, /* PFMULrm */
-  0x7ee, /* PFMULrr */
-/* Table6814 */
-  0x7f5, /* PFRCPIT2rm */
-  0x7f6, /* PFRCPIT2rr */
-/* Table6816 */
-  0x854, /* PMULHRWrm */
-  0x855, /* PMULHRWrr */
-/* Table6818 */
-  0x8c2, /* PSWAPDrm */
-  0x8c3, /* PSWAPDrr */
-/* Table6820 */
-  0x7a0, /* PAVGUSBrm */
-  0x7a1, /* PAVGUSBrr */
+  0x11b1, /* VPABSQZrmb */
+  0x0, /*  */
+/* Table6775 */
+  0x150a, /* VPMULDQZrmb */
+  0x0, /*  */
+/* Table6777 */
+  0x1424, /* VPMINSQZrmb */
+  0x0, /*  */
+/* Table6779 */
+  0x1442, /* VPMINUQZrmb */
+  0x0, /*  */
+/* Table6781 */
+  0x13e8, /* VPMAXSQZrmb */
+  0x0, /*  */
+/* Table6783 */
+  0x1406, /* VPMAXUQZrmb */
+  0x0, /*  */
+/* Table6785 */
+  0x13a6, /* VPLZCNTQrmb */
+  0x0, /*  */
+/* Table6787 */
+  0xd61, /* VFMADDSUB132PDZmb */
+  0x0, /*  */
+/* Table6789 */
+  0xd9d, /* VFMSUBADD132PDZmb */
+  0x0, /*  */
+/* Table6791 */
+  0xd11, /* VFMADD132PDZmb */
+  0x0, /*  */
+/* Table6793 */
+  0xd93, /* VFMSUB132PDZmb */
+  0x0, /*  */
+/* Table6795 */
+  0xe15, /* VFNMADD132PDZmb */
+  0x0, /*  */
+/* Table6797 */
+  0xe65, /* VFNMSUB132PDZmb */
+  0x0, /*  */
+/* Table6799 */
+  0xd65, /* VFMADDSUB213PDZmb */
+  0x0, /*  */
+/* Table6801 */
+  0xda1, /* VFMSUBADD213PDZmb */
+  0x0, /*  */
+/* Table6803 */
+  0xd15, /* VFMADD213PDZmb */
+  0x0, /*  */
+/* Table6805 */
+  0xd97, /* VFMSUB213PDZmb */
+  0x0, /*  */
+/* Table6807 */
+  0xe19, /* VFNMADD213PDZmb */
+  0x0, /*  */
+/* Table6809 */
+  0xe69, /* VFNMSUB213PDZmb */
+  0x0, /*  */
+/* Table6811 */
+  0x12d7, /* VPCONFLICTQrmb */
+  0x0, /*  */
+/* Table6813 */
+  0x0, /*  */
+  0x16b3, /* VRCP28PDZrb */
+/* Table6815 */
+  0x0, /*  */
+  0x16e8, /* VRSQRT28PDZrb */
+/* Table6817 */
+  0x11a5, /* VPABSDZrmbk */
+  0x0, /*  */
+/* Table6819 */
+  0x141a, /* VPMINSDZrmbk */
+  0x0, /*  */
+/* Table6821 */
+  0x1438, /* VPMINUDZrmbk */
+  0x0, /*  */
+/* Table6823 */
+  0x13de, /* VPMAXSDZrmbk */
+  0x0, /*  */
+/* Table6825 */
+  0x13fc, /* VPMAXUDZrmbk */
+  0x0, /*  */
+/* Table6827 */
+  0x1524, /* VPMULLDZrmbk */
+  0x0, /*  */
+/* Table6829 */
+  0x139e, /* VPLZCNTDrmbk */
+  0x0, /*  */
+/* Table6831 */
+  0x12cf, /* VPCONFLICTDrmbk */
+  0x0, /*  */
+/* Table6833 */
+  0x11b2, /* VPABSQZrmbk */
+  0x0, /*  */
+/* Table6835 */
+  0x150b, /* VPMULDQZrmbk */
+  0x0, /*  */
+/* Table6837 */
+  0x1425, /* VPMINSQZrmbk */
+  0x0, /*  */
+/* Table6839 */
+  0x1443, /* VPMINUQZrmbk */
+  0x0, /*  */
+/* Table6841 */
+  0x13e9, /* VPMAXSQZrmbk */
+  0x0, /*  */
+/* Table6843 */
+  0x1407, /* VPMAXUQZrmbk */
+  0x0, /*  */
+/* Table6845 */
+  0x13a7, /* VPLZCNTQrmbk */
+  0x0, /*  */
+/* Table6847 */
+  0x12d8, /* VPCONFLICTQrmbk */
+  0x0, /*  */
+/* Table6849 */
+  0x11a6, /* VPABSDZrmbkz */
+  0x0, /*  */
+/* Table6851 */
+  0x141b, /* VPMINSDZrmbkz */
+  0x0, /*  */
+/* Table6853 */
+  0x1439, /* VPMINUDZrmbkz */
+  0x0, /*  */
+/* Table6855 */
+  0x13df, /* VPMAXSDZrmbkz */
+  0x0, /*  */
+/* Table6857 */
+  0x13fd, /* VPMAXUDZrmbkz */
+  0x0, /*  */
+/* Table6859 */
+  0x1525, /* VPMULLDZrmbkz */
+  0x0, /*  */
+/* Table6861 */
+  0x139f, /* VPLZCNTDrmbkz */
+  0x0, /*  */
+/* Table6863 */
+  0x12d0, /* VPCONFLICTDrmbkz */
+  0x0, /*  */
+/* Table6865 */
+  0x11b3, /* VPABSQZrmbkz */
+  0x0, /*  */
+/* Table6867 */
+  0x150c, /* VPMULDQZrmbkz */
+  0x0, /*  */
+/* Table6869 */
+  0x1426, /* VPMINSQZrmbkz */
+  0x0, /*  */
+/* Table6871 */
+  0x1444, /* VPMINUQZrmbkz */
+  0x0, /*  */
+/* Table6873 */
+  0x13ea, /* VPMAXSQZrmbkz */
+  0x0, /*  */
+/* Table6875 */
+  0x1408, /* VPMAXUQZrmbkz */
+  0x0, /*  */
+/* Table6877 */
+  0x13a8, /* VPLZCNTQrmbkz */
+  0x0, /*  */
+/* Table6879 */
+  0x12d9, /* VPCONFLICTQrmbkz */
+  0x0, /*  */
+/* Table6881 */
+  0x0, /*  */
+  0x14bc, /* VPMOVUSDBrrkz */
+/* Table6883 */
+  0x0, /*  */
+  0x14c6, /* VPMOVUSQBrrkz */
+/* Table6885 */
+  0x0, /*  */
+  0x14c1, /* VPMOVUSDWrrkz */
+/* Table6887 */
+  0x0, /*  */
+  0x14d0, /* VPMOVUSQWrrkz */
+/* Table6889 */
+  0x0, /*  */
+  0x14cb, /* VPMOVUSQDrrkz */
+/* Table6891 */
+  0x0, /*  */
+  0x146d, /* VPMOVSDBrrkz */
+/* Table6893 */
+  0x0, /*  */
+  0x1477, /* VPMOVSQBrrkz */
+/* Table6895 */
+  0x0, /*  */
+  0x1472, /* VPMOVSDWrrkz */
+/* Table6897 */
+  0x0, /*  */
+  0x1481, /* VPMOVSQWrrkz */
+/* Table6899 */
+  0x0, /*  */
+  0x147c, /* VPMOVSQDrrkz */
+/* Table6901 */
+  0x0, /*  */
+  0x1452, /* VPMOVDBrrkz */
+/* Table6903 */
+  0x0, /*  */
+  0x145e, /* VPMOVQBrrkz */
+/* Table6905 */
+  0x0, /*  */
+  0x1457, /* VPMOVDWrrkz */
+/* Table6907 */
+  0x0, /*  */
+  0x1468, /* VPMOVQWrrkz */
+/* Table6909 */
+  0x0, /*  */
+  0x1463, /* VPMOVQDrrkz */
+/* Table6911 */
+  0x11a8, /* VPABSDZrmkz */
+  0x11ab, /* VPABSDZrrkz */
+/* Table6913 */
+  0x1486, /* VPMOVSXBDZrmkz */
+  0x1489, /* VPMOVSXBDZrrkz */
+/* Table6915 */
+  0x1490, /* VPMOVSXBQZrmkz */
+  0x1493, /* VPMOVSXBQZrrkz */
+/* Table6917 */
+  0x14a8, /* VPMOVSXWDZrmkz */
+  0x14ab, /* VPMOVSXWDZrrkz */
+/* Table6919 */
+  0x14b2, /* VPMOVSXWQZrmkz */
+  0x14b5, /* VPMOVSXWQZrrkz */
+/* Table6921 */
+  0x149e, /* VPMOVSXDQZrmkz */
+  0x14a1, /* VPMOVSXDQZrrkz */
+/* Table6923 */
+  0x14d5, /* VPMOVZXBDZrmkz */
+  0x14d8, /* VPMOVZXBDZrrkz */
+/* Table6925 */
+  0x14df, /* VPMOVZXBQZrmkz */
+  0x14e2, /* VPMOVZXBQZrrkz */
+/* Table6927 */
+  0x14f7, /* VPMOVZXWDZrmkz */
+  0x14fa, /* VPMOVZXWDZrrkz */
+/* Table6929 */
+  0x1501, /* VPMOVZXWQZrmkz */
+  0x1504, /* VPMOVZXWQZrrkz */
+/* Table6931 */
+  0x14ed, /* VPMOVZXDQZrmkz */
+  0x14f0, /* VPMOVZXDQZrrkz */
+/* Table6933 */
+  0x141d, /* VPMINSDZrmkz */
+  0x1420, /* VPMINSDZrrkz */
+/* Table6935 */
+  0x143b, /* VPMINUDZrmkz */
+  0x143e, /* VPMINUDZrrkz */
+/* Table6937 */
+  0x13e1, /* VPMAXSDZrmkz */
+  0x13e4, /* VPMAXSDZrrkz */
+/* Table6939 */
+  0x13ff, /* VPMAXUDZrmkz */
+  0x1402, /* VPMAXUDZrrkz */
+/* Table6941 */
+  0x1527, /* VPMULLDZrmkz */
+  0x152a, /* VPMULLDZrrkz */
+/* Table6943 */
+  0x13a1, /* VPLZCNTDrmkz */
+  0x13a4, /* VPLZCNTDrrkz */
+/* Table6945 */
+  0x124d, /* VPBROADCASTDZkrm */
+  0x124e, /* VPBROADCASTDZkrr */
+/* Table6947 */
+  0xbf1, /* VBROADCASTI32X4krm */
+  0x0, /*  */
+/* Table6949 */
+  0x12e9, /* VPERMI2Drmkz */
+  0x12ec, /* VPERMI2Drrkz */
+/* Table6951 */
+  0x12f5, /* VPERMI2PSrmkz */
+  0x12f8, /* VPERMI2PSrrkz */
+/* Table6953 */
+  0x0, /*  */
+  0x1251, /* VPBROADCASTDrZkrr */
+/* Table6955 */
+  0x1331, /* VPERMT2Drmkz */
+  0x1334, /* VPERMT2Drrkz */
+/* Table6957 */
+  0x133d, /* VPERMT2PSrmkz */
+  0x1340, /* VPERMT2PSrrkz */
+/* Table6959 */
+  0x12d2, /* VPCONFLICTDrmkz */
+  0x12d5, /* VPCONFLICTDrrkz */
+/* Table6961 */
+  0x11b5, /* VPABSQZrmkz */
+  0x11b8, /* VPABSQZrrkz */
+/* Table6963 */
+  0x150e, /* VPMULDQZrmkz */
+  0x1511, /* VPMULDQZrrkz */
+/* Table6965 */
+  0x1428, /* VPMINSQZrmkz */
+  0x142b, /* VPMINSQZrrkz */
+/* Table6967 */
+  0x1446, /* VPMINUQZrmkz */
+  0x1449, /* VPMINUQZrrkz */
+/* Table6969 */
+  0x13ec, /* VPMAXSQZrmkz */
+  0x13ef, /* VPMAXSQZrrkz */
+/* Table6971 */
+  0x140a, /* VPMAXUQZrmkz */
+  0x140d, /* VPMAXUQZrrkz */
+/* Table6973 */
+  0x13aa, /* VPLZCNTQrmkz */
+  0x13ad, /* VPLZCNTQrrkz */
+/* Table6975 */
+  0x1259, /* VPBROADCASTQZkrm */
+  0x125a, /* VPBROADCASTQZkrr */
+/* Table6977 */
+  0xbf3, /* VBROADCASTI64X4krm */
+  0x0, /*  */
+/* Table6979 */
+  0x12fb, /* VPERMI2Qrmkz */
+  0x12fe, /* VPERMI2Qrrkz */
+/* Table6981 */
+  0x12ef, /* VPERMI2PDrmkz */
+  0x12f2, /* VPERMI2PDrrkz */
+/* Table6983 */
+  0x0, /*  */
+  0x125d, /* VPBROADCASTQrZkrr */
+/* Table6985 */
+  0x1343, /* VPERMT2Qrmkz */
+  0x1346, /* VPERMT2Qrrkz */
+/* Table6987 */
+  0x1337, /* VPERMT2PDrmkz */
+  0x133a, /* VPERMT2PDrrkz */
+/* Table6989 */
+  0x12db, /* VPCONFLICTQrmkz */
+  0x12de, /* VPCONFLICTQrrkz */
+/* Table6991 */
+  0x5a2, /* MMX_PALIGNR64irm */
+  0x5a3, /* MMX_PALIGNR64irr */
+/* Table6993 */
+  0xa30, /* SHA1RNDS4rmi */
+  0xa31, /* SHA1RNDS4rri */
+/* Table6995 */
+  0x98b, /* ROUNDPSm */
+  0x98c, /* ROUNDPSr */
+/* Table6997 */
+  0x989, /* ROUNDPDm */
+  0x98a, /* ROUNDPDr */
+/* Table6999 */
+  0x990, /* ROUNDSSm */
+  0x991, /* ROUNDSSr */
+/* Table7001 */
+  0x98d, /* ROUNDSDm */
+  0x98e, /* ROUNDSDr */
+/* Table7003 */
+  0xfd, /* BLENDPSrmi */
+  0xfe, /* BLENDPSrri */
+/* Table7005 */
+  0xfb, /* BLENDPDrmi */
+  0xfc, /* BLENDPDrri */
+/* Table7007 */
+  0x79f, /* PBLENDWrmi */
+  0x7a0, /* PBLENDWrri */
+/* Table7009 */
+  0x790, /* PALIGNR128rm */
+  0x791, /* PALIGNR128rr */
+/* Table7011 */
+  0x7cb, /* PEXTRBmr */
+  0x7cc, /* PEXTRBrr */
+/* Table7013 */
+  0x7d1, /* PEXTRWmr */
+  0x7d3, /* PEXTRWrr_REV */
+/* Table7015 */
+  0x7cd, /* PEXTRDmr */
+  0x7ce, /* PEXTRDrr */
+/* Table7017 */
+  0x2e8, /* EXTRACTPSmr */
+  0x2e9, /* EXTRACTPSrr */
+/* Table7019 */
+  0x80c, /* PINSRBrm */
+  0x80d, /* PINSRBrr */
+/* Table7021 */
+  0x394, /* INSERTPSrm */
+  0x395, /* INSERTPSrr */
+/* Table7023 */
+  0x80e, /* PINSRDrm */
+  0x80f, /* PINSRDrr */
+/* Table7025 */
+  0x2da, /* DPPSrmi */
+  0x2db, /* DPPSrri */
+/* Table7027 */
+  0x2d8, /* DPPDrmi */
+  0x2d9, /* DPPDrri */
+/* Table7029 */
+  0x6e2, /* MPSADBWrmi */
+  0x6e3, /* MPSADBWrri */
+/* Table7031 */
+  0x7a1, /* PCLMULQDQrm */
+  0x7a2, /* PCLMULQDQrr */
+/* Table7033 */
+  0x7b1, /* PCMPESTRM128rm */
+  0x7b2, /* PCMPESTRM128rr */
+/* Table7035 */
+  0x7ad, /* PCMPESTRIrm */
+  0x7ae, /* PCMPESTRIrr */
+/* Table7037 */
+  0x7c1, /* PCMPISTRM128rm */
+  0x7c2, /* PCMPISTRM128rr */
+/* Table7039 */
+  0x7bd, /* PCMPISTRIrm */
+  0x7be, /* PCMPISTRIrr */
+/* Table7041 */
+  0xa9, /* AESKEYGENASSIST128rm */
+  0xaa, /* AESKEYGENASSIST128rr */
+/* Table7043 */
+  0x7cf, /* PEXTRQmr */
+  0x7d0, /* PEXTRQrr */
+/* Table7045 */
+  0x810, /* PINSRQrm */
+  0x811, /* PINSRQrr */
+/* Table7047 */
+  0x985, /* RORX32mi */
+  0x986, /* RORX32ri */
+/* Table7049 */
+  0x1239, /* VPBLENDDrmi */
+  0x123a, /* VPBLENDDrri */
+/* Table7051 */
+  0x131b, /* VPERMILPSmi */
+  0x131c, /* VPERMILPSri */
+/* Table7053 */
+  0x1311, /* VPERMILPDmi */
+  0x1312, /* VPERMILPDri */
+/* Table7055 */
+  0x16d2, /* VROUNDPSm */
+  0x16d3, /* VROUNDPSr */
+/* Table7057 */
+  0x16d0, /* VROUNDPDm */
+  0x16d1, /* VROUNDPDr */
+/* Table7059 */
+  0x16d7, /* VROUNDSSm */
+  0x16d8, /* VROUNDSSr */
+/* Table7061 */
+  0x16d4, /* VROUNDSDm */
+  0x16d5, /* VROUNDSDr */
+/* Table7063 */
+  0xbe5, /* VBLENDPSrmi */
+  0xbe6, /* VBLENDPSrri */
+/* Table7065 */
+  0xbe1, /* VBLENDPDrmi */
+  0xbe2, /* VBLENDPDrri */
+/* Table7067 */
+  0x1245, /* VPBLENDWrmi */
+  0x1246, /* VPBLENDWrri */
+/* Table7069 */
+  0x11ff, /* VPALIGNR128rm */
+  0x1200, /* VPALIGNR128rr */
+/* Table7071 */
+  0x1347, /* VPEXTRBmr */
+  0x1348, /* VPEXTRBrr */
+/* Table7073 */
+  0x134d, /* VPEXTRWmr */
+  0x134f, /* VPEXTRWrr_REV */
+/* Table7075 */
+  0x1349, /* VPEXTRDmr */
+  0x134a, /* VPEXTRDrr */
+/* Table7077 */
+  0xd0c, /* VEXTRACTPSmr */
+  0xd0d, /* VEXTRACTPSrr */
+/* Table7079 */
+  0xc66, /* VCVTPS2PHmr */
+  0xc67, /* VCVTPS2PHrr */
+/* Table7081 */
+  0x1394, /* VPINSRBrm */
+  0x1395, /* VPINSRBrr */
+/* Table7083 */
+  0xf00, /* VINSERTPSrm */
+  0xf01, /* VINSERTPSrr */
+/* Table7085 */
+  0x1396, /* VPINSRDrm */
+  0x1397, /* VPINSRDrr */
+/* Table7087 */
+  0xcfa, /* VDPPSrmi */
+  0xcfb, /* VDPPSrri */
+/* Table7089 */
+  0xcf6, /* VDPPDrmi */
+  0xcf7, /* VDPPDrri */
+/* Table7091 */
+  0x115e, /* VMPSADBWrmi */
+  0x115f, /* VMPSADBWrri */
+/* Table7093 */
+  0x1265, /* VPCLMULQDQrm */
+  0x1266, /* VPCLMULQDQrr */
+/* Table7095 */
+  0x1305, /* VPERMIL2PSmr */
+  0x1309, /* VPERMIL2PSrr */
+/* Table7097 */
+  0x12ff, /* VPERMIL2PDmr */
+  0x1303, /* VPERMIL2PDrr */
+/* Table7099 */
+  0xbed, /* VBLENDVPSrm */
+  0xbee, /* VBLENDVPSrr */
+/* Table7101 */
+  0xbe9, /* VBLENDVPDrm */
+  0xbea, /* VBLENDVPDrr */
+/* Table7103 */
+  0x1241, /* VPBLENDVBrm */
+  0x1242, /* VPBLENDVBrr */
+/* Table7105 */
+  0xd7e, /* VFMADDSUBPS4mr */
+  0xd85, /* VFMADDSUBPS4rr_REV */
+/* Table7107 */
+  0xd6a, /* VFMADDSUBPD4mr */
+  0xd71, /* VFMADDSUBPD4rr_REV */
+/* Table7109 */
+  0xdba, /* VFMSUBADDPS4mr */
+  0xdc1, /* VFMSUBADDPS4rr_REV */
+/* Table7111 */
+  0xda6, /* VFMSUBADDPD4mr */
+  0xdad, /* VFMSUBADDPD4rr_REV */
+/* Table7113 */
+  0x128d, /* VPCMPESTRM128rm */
+  0x128e, /* VPCMPESTRM128rr */
+/* Table7115 */
+  0x1289, /* VPCMPESTRIrm */
+  0x128a, /* VPCMPESTRIrr */
+/* Table7117 */
+  0x12a9, /* VPCMPISTRM128rm */
+  0x12aa, /* VPCMPISTRM128rr */
+/* Table7119 */
+  0x12a5, /* VPCMPISTRIrm */
+  0x12a6, /* VPCMPISTRIrr */
+/* Table7121 */
+  0xd2e, /* VFMADDPS4mr */
+  0xd35, /* VFMADDPS4rr_REV */
+/* Table7123 */
+  0xd1a, /* VFMADDPD4mr */
+  0xd21, /* VFMADDPD4rr_REV */
+/* Table7125 */
+  0xd51, /* VFMADDSS4mr */
+  0xd57, /* VFMADDSS4rr_REV */
+/* Table7127 */
+  0xd42, /* VFMADDSD4mr */
+  0xd48, /* VFMADDSD4rr_REV */
+/* Table7129 */
+  0xde2, /* VFMSUBPS4mr */
+  0xde9, /* VFMSUBPS4rr_REV */
+/* Table7131 */
+  0xdce, /* VFMSUBPD4mr */
+  0xdd5, /* VFMSUBPD4rr_REV */
+/* Table7133 */
+  0xe05, /* VFMSUBSS4mr */
+  0xe0b, /* VFMSUBSS4rr_REV */
+/* Table7135 */
+  0xdf6, /* VFMSUBSD4mr */
+  0xdfc, /* VFMSUBSD4rr_REV */
+/* Table7137 */
+  0xe32, /* VFNMADDPS4mr */
+  0xe39, /* VFNMADDPS4rr_REV */
+/* Table7139 */
+  0xe1e, /* VFNMADDPD4mr */
+  0xe25, /* VFNMADDPD4rr_REV */
+/* Table7141 */
+  0xe55, /* VFNMADDSS4mr */
+  0xe5b, /* VFNMADDSS4rr_REV */
+/* Table7143 */
+  0xe46, /* VFNMADDSD4mr */
+  0xe4c, /* VFNMADDSD4rr_REV */
+/* Table7145 */
+  0xe82, /* VFNMSUBPS4mr */
+  0xe89, /* VFNMSUBPS4rr_REV */
+/* Table7147 */
+  0xe6e, /* VFNMSUBPD4mr */
+  0xe75, /* VFNMSUBPD4rr_REV */
+/* Table7149 */
+  0xea5, /* VFNMSUBSS4mr */
+  0xeab, /* VFNMSUBSS4rr_REV */
+/* Table7151 */
+  0xe96, /* VFNMSUBSD4mr */
+  0xe9c, /* VFNMSUBSD4rr_REV */
+/* Table7153 */
+  0xbc0, /* VAESKEYGENASSIST128rm */
+  0xbc1, /* VAESKEYGENASSIST128rr */
+/* Table7155 */
+  0x987, /* RORX64mi */
+  0x988, /* RORX64ri */
+/* Table7157 */
+  0x134b, /* VPEXTRQmr */
+  0x134c, /* VPEXTRQrr */
+/* Table7159 */
+  0x1398, /* VPINSRQrm */
+  0x1399, /* VPINSRQrr */
+/* Table7161 */
+  0x0, /*  */
+  0x495, /* KSHIFTRWri */
+/* Table7163 */
+  0x0, /*  */
+  0x494, /* KSHIFTLWri */
+/* Table7165 */
+  0x1307, /* VPERMIL2PSrm */
+  0x1309, /* VPERMIL2PSrr */
+/* Table7167 */
+  0x1301, /* VPERMIL2PDrm */
+  0x1303, /* VPERMIL2PDrr */
+/* Table7169 */
+  0xd80, /* VFMADDSUBPS4rm */
+  0xd82, /* VFMADDSUBPS4rr */
+/* Table7171 */
+  0xd6c, /* VFMADDSUBPD4rm */
+  0xd6e, /* VFMADDSUBPD4rr */
+/* Table7173 */
+  0xdbc, /* VFMSUBADDPS4rm */
+  0xdbe, /* VFMSUBADDPS4rr */
+/* Table7175 */
+  0xda8, /* VFMSUBADDPD4rm */
+  0xdaa, /* VFMSUBADDPD4rr */
+/* Table7177 */
+  0xd30, /* VFMADDPS4rm */
+  0xd32, /* VFMADDPS4rr */
+/* Table7179 */
+  0xd1c, /* VFMADDPD4rm */
+  0xd1e, /* VFMADDPD4rr */
+/* Table7181 */
+  0xd53, /* VFMADDSS4rm */
+  0xd55, /* VFMADDSS4rr */
+/* Table7183 */
+  0xd44, /* VFMADDSD4rm */
+  0xd46, /* VFMADDSD4rr */
+/* Table7185 */
+  0xde4, /* VFMSUBPS4rm */
+  0xde6, /* VFMSUBPS4rr */
+/* Table7187 */
+  0xdd0, /* VFMSUBPD4rm */
+  0xdd2, /* VFMSUBPD4rr */
+/* Table7189 */
+  0xe07, /* VFMSUBSS4rm */
+  0xe09, /* VFMSUBSS4rr */
+/* Table7191 */
+  0xdf8, /* VFMSUBSD4rm */
+  0xdfa, /* VFMSUBSD4rr */
+/* Table7193 */
+  0xe34, /* VFNMADDPS4rm */
+  0xe36, /* VFNMADDPS4rr */
+/* Table7195 */
+  0xe20, /* VFNMADDPD4rm */
+  0xe22, /* VFNMADDPD4rr */
+/* Table7197 */
+  0xe57, /* VFNMADDSS4rm */
+  0xe59, /* VFNMADDSS4rr */
+/* Table7199 */
+  0xe48, /* VFNMADDSD4rm */
+  0xe4a, /* VFNMADDSD4rr */
+/* Table7201 */
+  0xe84, /* VFNMSUBPS4rm */
+  0xe86, /* VFNMSUBPS4rr */
+/* Table7203 */
+  0xe70, /* VFNMSUBPD4rm */
+  0xe72, /* VFNMSUBPD4rr */
+/* Table7205 */
+  0xea7, /* VFNMSUBSS4rm */
+  0xea9, /* VFNMSUBSS4rr */
+/* Table7207 */
+  0xe98, /* VFNMSUBSD4rm */
+  0xe9a, /* VFNMSUBSD4rr */
+/* Table7209 */
+  0x1237, /* VPBLENDDYrmi */
+  0x1238, /* VPBLENDDYrri */
+/* Table7211 */
+  0x1315, /* VPERMILPSYmi */
+  0x1316, /* VPERMILPSYri */
+/* Table7213 */
+  0x130b, /* VPERMILPDYmi */
+  0x130c, /* VPERMILPDYri */
+/* Table7215 */
+  0x12df, /* VPERM2F128rm */
+  0x12e0, /* VPERM2F128rr */
+/* Table7217 */
+  0x16dc, /* VROUNDYPSm */
+  0x16dd, /* VROUNDYPSr */
+/* Table7219 */
+  0x16da, /* VROUNDYPDm */
+  0x16db, /* VROUNDYPDr */
+/* Table7221 */
+  0xbe3, /* VBLENDPSYrmi */
+  0xbe4, /* VBLENDPSYrri */
+/* Table7223 */
+  0xbdf, /* VBLENDPDYrmi */
+  0xbe0, /* VBLENDPDYrri */
+/* Table7225 */
+  0x1243, /* VPBLENDWYrmi */
+  0x1244, /* VPBLENDWYrri */
+/* Table7227 */
+  0x1201, /* VPALIGNR256rm */
+  0x1202, /* VPALIGNR256rr */
+/* Table7229 */
+  0xef4, /* VINSERTF128rm */
+  0xef5, /* VINSERTF128rr */
+/* Table7231 */
+  0xd00, /* VEXTRACTF128mr */
+  0xd01, /* VEXTRACTF128rr */
+/* Table7233 */
+  0xc62, /* VCVTPS2PHYmr */
+  0xc63, /* VCVTPS2PHYrr */
+/* Table7235 */
+  0xefa, /* VINSERTI128rm */
+  0xefb, /* VINSERTI128rr */
+/* Table7237 */
+  0xd06, /* VEXTRACTI128mr */
+  0xd07, /* VEXTRACTI128rr */
+/* Table7239 */
+  0xcf8, /* VDPPSYrmi */
+  0xcf9, /* VDPPSYrri */
+/* Table7241 */
+  0x115c, /* VMPSADBWYrmi */
+  0x115d, /* VMPSADBWYrri */
+/* Table7243 */
+  0x12e1, /* VPERM2I128rm */
+  0x12e2, /* VPERM2I128rr */
+/* Table7245 */
+  0x1306, /* VPERMIL2PSmrY */
+  0x130a, /* VPERMIL2PSrrY */
+/* Table7247 */
+  0x1300, /* VPERMIL2PDmrY */
+  0x1304, /* VPERMIL2PDrrY */
+/* Table7249 */
+  0xbeb, /* VBLENDVPSYrm */
+  0xbec, /* VBLENDVPSYrr */
+/* Table7251 */
+  0xbe7, /* VBLENDVPDYrm */
+  0xbe8, /* VBLENDVPDYrr */
+/* Table7253 */
+  0x123f, /* VPBLENDVBYrm */
+  0x1240, /* VPBLENDVBYrr */
+/* Table7255 */
+  0xd7f, /* VFMADDSUBPS4mrY */
+  0xd84, /* VFMADDSUBPS4rrY_REV */
+/* Table7257 */
+  0xd6b, /* VFMADDSUBPD4mrY */
+  0xd70, /* VFMADDSUBPD4rrY_REV */
+/* Table7259 */
+  0xdbb, /* VFMSUBADDPS4mrY */
+  0xdc0, /* VFMSUBADDPS4rrY_REV */
+/* Table7261 */
+  0xda7, /* VFMSUBADDPD4mrY */
+  0xdac, /* VFMSUBADDPD4rrY_REV */
+/* Table7263 */
+  0xd2f, /* VFMADDPS4mrY */
+  0xd34, /* VFMADDPS4rrY_REV */
+/* Table7265 */
+  0xd1b, /* VFMADDPD4mrY */
+  0xd20, /* VFMADDPD4rrY_REV */
+/* Table7267 */
+  0xde3, /* VFMSUBPS4mrY */
+  0xde8, /* VFMSUBPS4rrY_REV */
+/* Table7269 */
+  0xdcf, /* VFMSUBPD4mrY */
+  0xdd4, /* VFMSUBPD4rrY_REV */
+/* Table7271 */
+  0xe33, /* VFNMADDPS4mrY */
+  0xe38, /* VFNMADDPS4rrY_REV */
+/* Table7273 */
+  0xe1f, /* VFNMADDPD4mrY */
+  0xe24, /* VFNMADDPD4rrY_REV */
+/* Table7275 */
+  0xe83, /* VFNMSUBPS4mrY */
+  0xe88, /* VFNMSUBPS4rrY_REV */
+/* Table7277 */
+  0xe6f, /* VFNMSUBPD4mrY */
+  0xe74, /* VFNMSUBPD4rrY_REV */
+/* Table7279 */
+  0x1329, /* VPERMQYmi */
+  0x132a, /* VPERMQYri */
+/* Table7281 */
+  0x131f, /* VPERMPDYmi */
+  0x1320, /* VPERMPDYri */
+/* Table7283 */
+  0x1308, /* VPERMIL2PSrmY */
+  0x130a, /* VPERMIL2PSrrY */
+/* Table7285 */
+  0x1302, /* VPERMIL2PDrmY */
+  0x1304, /* VPERMIL2PDrrY */
+/* Table7287 */
+  0xd81, /* VFMADDSUBPS4rmY */
+  0xd83, /* VFMADDSUBPS4rrY */
+/* Table7289 */
+  0xd6d, /* VFMADDSUBPD4rmY */
+  0xd6f, /* VFMADDSUBPD4rrY */
+/* Table7291 */
+  0xdbd, /* VFMSUBADDPS4rmY */
+  0xdbf, /* VFMSUBADDPS4rrY */
+/* Table7293 */
+  0xda9, /* VFMSUBADDPD4rmY */
+  0xdab, /* VFMSUBADDPD4rrY */
+/* Table7295 */
+  0xd31, /* VFMADDPS4rmY */
+  0xd33, /* VFMADDPS4rrY */
+/* Table7297 */
+  0xd1d, /* VFMADDPD4rmY */
+  0xd1f, /* VFMADDPD4rrY */
+/* Table7299 */
+  0xde5, /* VFMSUBPS4rmY */
+  0xde7, /* VFMSUBPS4rrY */
+/* Table7301 */
+  0xdd1, /* VFMSUBPD4rmY */
+  0xdd3, /* VFMSUBPD4rrY */
+/* Table7303 */
+  0xe35, /* VFNMADDPS4rmY */
+  0xe37, /* VFNMADDPS4rrY */
+/* Table7305 */
+  0xe21, /* VFNMADDPD4rmY */
+  0xe23, /* VFNMADDPD4rrY */
+/* Table7307 */
+  0xe85, /* VFNMSUBPS4rmY */
+  0xe87, /* VFNMSUBPS4rrY */
+/* Table7309 */
+  0xe71, /* VFNMSUBPD4rmY */
+  0xe73, /* VFNMSUBPD4rrY */
+/* Table7311 */
+  0x16ce, /* VRNDSCALESSm */
+  0x16cf, /* VRNDSCALESSr */
+/* Table7313 */
+  0x16cc, /* VRNDSCALESDm */
+  0x16cd, /* VRNDSCALESDr */
+/* Table7315 */
+  0xd0e, /* VEXTRACTPSzmr */
+  0xd0f, /* VEXTRACTPSzrr */
+/* Table7317 */
+  0xf02, /* VINSERTPSzrm */
+  0xf03, /* VINSERTPSzrr */
+/* Table7319 */
+  0xbc2, /* VALIGNDrmi */
+  0xbc3, /* VALIGNDrri */
+/* Table7321 */
+  0x1319, /* VPERMILPSZmi */
+  0x131a, /* VPERMILPSZri */
+/* Table7323 */
+  0x16ca, /* VRNDSCALEPSZm */
+  0x16cb, /* VRNDSCALEPSZr */
+/* Table7325 */
+  0xef6, /* VINSERTF32x4rm */
+  0xef7, /* VINSERTF32x4rr */
+/* Table7327 */
+  0xd02, /* VEXTRACTF32x4mr */
+  0xd03, /* VEXTRACTF32x4rr */
+/* Table7329 */
+  0xc64, /* VCVTPS2PHZmr */
+  0xc65, /* VCVTPS2PHZrr */
+/* Table7331 */
+  0x12b1, /* VPCMPUDZrmi */
+  0x12b4, /* VPCMPUDZrri */
+/* Table7333 */
+  0x126d, /* VPCMPDZrmi */
+  0x1270, /* VPCMPDZrri */
+/* Table7335 */
+  0xefc, /* VINSERTI32x4rm */
+  0xefd, /* VINSERTI32x4rr */
+/* Table7337 */
+  0xd08, /* VEXTRACTI32x4mr */
+  0xd09, /* VEXTRACTI32x4rr */
+/* Table7339 */
+  0x132b, /* VPERMQZmi */
+  0x132c, /* VPERMQZri */
+/* Table7341 */
+  0x1321, /* VPERMPDZmi */
+  0x1322, /* VPERMPDZri */
+/* Table7343 */
+  0xbc6, /* VALIGNQrmi */
+  0xbc7, /* VALIGNQrri */
+/* Table7345 */
+  0x130f, /* VPERMILPDZmi */
+  0x1310, /* VPERMILPDZri */
+/* Table7347 */
+  0x16c8, /* VRNDSCALEPDZm */
+  0x16c9, /* VRNDSCALEPDZr */
+/* Table7349 */
+  0xef8, /* VINSERTF64x4rm */
+  0xef9, /* VINSERTF64x4rr */
+/* Table7351 */
+  0xd04, /* VEXTRACTF64x4mr */
+  0xd05, /* VEXTRACTF64x4rr */
+/* Table7353 */
+  0x12b7, /* VPCMPUQZrmi */
+  0x12ba, /* VPCMPUQZrri */
+/* Table7355 */
+  0x12ab, /* VPCMPQZrmi */
+  0x12ae, /* VPCMPQZrri */
+/* Table7357 */
+  0xefe, /* VINSERTI64x4rm */
+  0xeff, /* VINSERTI64x4rr */
+/* Table7359 */
+  0xd0a, /* VEXTRACTI64x4mr */
+  0xd0b, /* VEXTRACTI64x4rr */
+/* Table7361 */
+  0x0, /*  */
+  0xbc4, /* VALIGNDrrik */
+/* Table7363 */
+  0x0, /*  */
+  0xbc8, /* VALIGNQrrik */
+/* Table7365 */
+  0x0, /*  */
+  0xbc5, /* VALIGNDrrikz */
+/* Table7367 */
+  0x0, /*  */
+  0xbc9, /* VALIGNQrrikz */
+/* Table7369 */
+  0x13bc, /* VPMACSSWWrm */
+  0x13bd, /* VPMACSSWWrr */
+/* Table7371 */
+  0x13ba, /* VPMACSSWDrm */
+  0x13bb, /* VPMACSSWDrr */
+/* Table7373 */
+  0x13b8, /* VPMACSSDQLrm */
+  0x13b9, /* VPMACSSDQLrr */
+/* Table7375 */
+  0x13b4, /* VPMACSSDDrm */
+  0x13b5, /* VPMACSSDDrr */
+/* Table7377 */
+  0x13b6, /* VPMACSSDQHrm */
+  0x13b7, /* VPMACSSDQHrr */
+/* Table7379 */
+  0x13c0, /* VPMACSWWrm */
+  0x13c1, /* VPMACSWWrr */
+/* Table7381 */
+  0x13be, /* VPMACSWDrm */
+  0x13bf, /* VPMACSWDrr */
+/* Table7383 */
+  0x13b2, /* VPMACSDQLrm */
+  0x13b3, /* VPMACSDQLrr */
+/* Table7385 */
+  0x13ae, /* VPMACSDDrm */
+  0x13af, /* VPMACSDDrr */
+/* Table7387 */
+  0x13b0, /* VPMACSDQHrm */
+  0x13b1, /* VPMACSDQHrr */
+/* Table7389 */
+  0x1267, /* VPCMOVmr */
+  0x126b, /* VPCMOVrr */
+/* Table7391 */
+  0x1554, /* VPPERMmr */
+  0x1556, /* VPPERMrr */
+/* Table7393 */
+  0x13c2, /* VPMADCSSWDrm */
+  0x13c3, /* VPMADCSSWDrr */
+/* Table7395 */
+  0x13c4, /* VPMADCSWDrm */
+  0x13c5, /* VPMADCSWDrr */
+/* Table7397 */
+  0x1557, /* VPROTBmi */
+  0x1559, /* VPROTBri */
+/* Table7399 */
+  0x1566, /* VPROTWmi */
+  0x1568, /* VPROTWri */
+/* Table7401 */
+  0x155c, /* VPROTDmi */
+  0x155e, /* VPROTDri */
+/* Table7403 */
+  0x1561, /* VPROTQmi */
+  0x1563, /* VPROTQri */
+/* Table7405 */
+  0x12bd, /* VPCOMBmi */
+  0x12be, /* VPCOMBri */
+/* Table7407 */
+  0x12cb, /* VPCOMWmi */
+  0x12cc, /* VPCOMWri */
+/* Table7409 */
+  0x12bf, /* VPCOMDmi */
+  0x12c0, /* VPCOMDri */
+/* Table7411 */
+  0x12c1, /* VPCOMQmi */
+  0x12c2, /* VPCOMQri */
+/* Table7413 */
+  0x12c3, /* VPCOMUBmi */
+  0x12c4, /* VPCOMUBri */
+/* Table7415 */
+  0x12c9, /* VPCOMUWmi */
+  0x12ca, /* VPCOMUWri */
+/* Table7417 */
+  0x12c5, /* VPCOMUDmi */
+  0x12c6, /* VPCOMUDri */
+/* Table7419 */
+  0x12c7, /* VPCOMUQmi */
+  0x12c8, /* VPCOMUQri */
+/* Table7421 */
+  0x1269, /* VPCMOVrm */
+  0x126b, /* VPCMOVrr */
+/* Table7423 */
+  0x1555, /* VPPERMrm */
+  0x1556, /* VPPERMrr */
+/* Table7425 */
+  0x1268, /* VPCMOVmrY */
+  0x126c, /* VPCMOVrrY */
+/* Table7427 */
+  0x126a, /* VPCMOVrmY */
+  0x126c, /* VPCMOVrrY */
+/* Table7429 */
+  0x0, /*  */
+  0xe7, /* BLCFILL32rm */
+  0x103, /* BLSFILL32rm */
+  0xf7, /* BLCS32rm */
+  0xb6b, /* TZMSK32rm */
+  0xef, /* BLCIC32rm */
+  0x10b, /* BLSIC32rm */
+  0xb2d, /* T1MSKC32rm */
+  0x0, /*  */
+  0xe8, /* BLCFILL32rr */
+  0x104, /* BLSFILL32rr */
+  0xf8, /* BLCS32rr */
+  0xb6c, /* TZMSK32rr */
+  0xf0, /* BLCIC32rr */
+  0x10c, /* BLSIC32rr */
+  0xb2e, /* T1MSKC32rr */
+/* Table7445 */
+  0x0, /*  */
+  0xf3, /* BLCMSK32rm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xeb, /* BLCI32rm */
+  0x0, /*  */
+  0x0, /*  */
+  0xf4, /* BLCMSK32rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xec, /* BLCI32rr */
+  0x0, /*  */
+/* Table7461 */
+  0xeb8, /* VFRCZPSrm */
+  0xeba, /* VFRCZPSrr */
+/* Table7463 */
+  0xeb4, /* VFRCZPDrm */
+  0xeb6, /* VFRCZPDrr */
+/* Table7465 */
+  0xebe, /* VFRCZSSrm */
+  0xebf, /* VFRCZSSrr */
+/* Table7467 */
+  0xebc, /* VFRCZSDrm */
+  0xebd, /* VFRCZSDrr */
+/* Table7469 */
+  0x1558, /* VPROTBmr */
+  0x155b, /* VPROTBrr */
+/* Table7471 */
+  0x1567, /* VPROTWmr */
+  0x156a, /* VPROTWrr */
+/* Table7473 */
+  0x155d, /* VPROTDmr */
+  0x1560, /* VPROTDrr */
+/* Table7475 */
+  0x1562, /* VPROTQmr */
+  0x1565, /* VPROTQrr */
+/* Table7477 */
+  0x157f, /* VPSHLBmr */
+  0x1581, /* VPSHLBrr */
+/* Table7479 */
+  0x1588, /* VPSHLWmr */
+  0x158a, /* VPSHLWrr */
+/* Table7481 */
+  0x1582, /* VPSHLDmr */
+  0x1584, /* VPSHLDrr */
+/* Table7483 */
+  0x1585, /* VPSHLQmr */
+  0x1587, /* VPSHLQrr */
+/* Table7485 */
+  0x1573, /* VPSHABmr */
+  0x1575, /* VPSHABrr */
+/* Table7487 */
+  0x157c, /* VPSHAWmr */
+  0x157e, /* VPSHAWrr */
+/* Table7489 */
+  0x1576, /* VPSHADmr */
+  0x1578, /* VPSHADrr */
+/* Table7491 */
+  0x1579, /* VPSHAQmr */
+  0x157b, /* VPSHAQrr */
+/* Table7493 */
+  0x1360, /* VPHADDBWrm */
+  0x1361, /* VPHADDBWrr */
+/* Table7495 */
+  0x135c, /* VPHADDBDrm */
+  0x135d, /* VPHADDBDrr */
+/* Table7497 */
+  0x135e, /* VPHADDBQrm */
+  0x135f, /* VPHADDBQrr */
+/* Table7499 */
+  0x1378, /* VPHADDWDrm */
+  0x1379, /* VPHADDWDrr */
+/* Table7501 */
+  0x137a, /* VPHADDWQrm */
+  0x137b, /* VPHADDWQrr */
+/* Table7503 */
+  0x1362, /* VPHADDDQrm */
+  0x1363, /* VPHADDDQrr */
+/* Table7505 */
+  0x1370, /* VPHADDUBWrm */
+  0x1371, /* VPHADDUBWrr */
+/* Table7507 */
+  0x136c, /* VPHADDUBDrm */
+  0x136d, /* VPHADDUBDrr */
+/* Table7509 */
+  0x136e, /* VPHADDUBQrm */
+  0x136f, /* VPHADDUBQrr */
+/* Table7511 */
+  0x1374, /* VPHADDUWDrm */
+  0x1375, /* VPHADDUWDrr */
+/* Table7513 */
+  0x1376, /* VPHADDUWQrm */
+  0x1377, /* VPHADDUWQrr */
+/* Table7515 */
+  0x1372, /* VPHADDUDQrm */
+  0x1373, /* VPHADDUDQrr */
+/* Table7517 */
+  0x1382, /* VPHSUBBWrm */
+  0x1383, /* VPHSUBBWrr */
+/* Table7519 */
+  0x138e, /* VPHSUBWDrm */
+  0x138f, /* VPHSUBWDrr */
+/* Table7521 */
+  0x1384, /* VPHSUBDQrm */
+  0x1385, /* VPHSUBDQrr */
+/* Table7523 */
+  0x0, /*  */
+  0xe9, /* BLCFILL64rm */
+  0x105, /* BLSFILL64rm */
+  0xf9, /* BLCS64rm */
+  0xb6d, /* TZMSK64rm */
+  0xf1, /* BLCIC64rm */
+  0x10d, /* BLSIC64rm */
+  0xb2f, /* T1MSKC64rm */
+  0x0, /*  */
+  0xea, /* BLCFILL64rr */
+  0x106, /* BLSFILL64rr */
+  0xfa, /* BLCS64rr */
+  0xb6e, /* TZMSK64rr */
+  0xf2, /* BLCIC64rr */
+  0x10e, /* BLSIC64rr */
+  0xb30, /* T1MSKC64rr */
+/* Table7539 */
+  0x0, /*  */
+  0xf5, /* BLCMSK64rm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xed, /* BLCI64rm */
+  0x0, /*  */
+  0x0, /*  */
+  0xf6, /* BLCMSK64rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xee, /* BLCI64rr */
+  0x0, /*  */
+/* Table7555 */
+  0x155a, /* VPROTBrm */
+  0x155b, /* VPROTBrr */
+/* Table7557 */
+  0x1569, /* VPROTWrm */
+  0x156a, /* VPROTWrr */
+/* Table7559 */
+  0x155f, /* VPROTDrm */
+  0x1560, /* VPROTDrr */
+/* Table7561 */
+  0x1564, /* VPROTQrm */
+  0x1565, /* VPROTQrr */
+/* Table7563 */
+  0x1580, /* VPSHLBrm */
+  0x1581, /* VPSHLBrr */
+/* Table7565 */
+  0x1589, /* VPSHLWrm */
+  0x158a, /* VPSHLWrr */
+/* Table7567 */
+  0x1583, /* VPSHLDrm */
+  0x1584, /* VPSHLDrr */
+/* Table7569 */
+  0x1586, /* VPSHLQrm */
+  0x1587, /* VPSHLQrr */
+/* Table7571 */
+  0x1574, /* VPSHABrm */
+  0x1575, /* VPSHABrr */
+/* Table7573 */
+  0x157d, /* VPSHAWrm */
+  0x157e, /* VPSHAWrr */
+/* Table7575 */
+  0x1577, /* VPSHADrm */
+  0x1578, /* VPSHADrr */
+/* Table7577 */
+  0x157a, /* VPSHAQrm */
+  0x157b, /* VPSHAQrr */
+/* Table7579 */
+  0xeb9, /* VFRCZPSrmY */
+  0xebb, /* VFRCZPSrrY */
+/* Table7581 */
+  0xeb5, /* VFRCZPDrmY */
+  0xeb7, /* VFRCZPDrrY */
+/* Table7583 */
+  0xe3, /* BEXTRI32mi */
+  0xe4, /* BEXTRI32ri */
+/* Table7585 */
+  0xe5, /* BEXTRI64mi */
+  0xe6, /* BEXTRI64ri */
+/* Table7587 */
+  0x87b, /* PREFETCH */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+/* Table7603 */
+  0x2ff, /* FEMMS */
+/* Table7604 */
+  0x80a, /* PI2FWrm */
+  0x80b, /* PI2FWrr */
+/* Table7606 */
+  0x808, /* PI2FDrm */
+  0x809, /* PI2FDrr */
+/* Table7608 */
+  0x7d6, /* PF2IWrm */
+  0x7d7, /* PF2IWrr */
+/* Table7610 */
+  0x7d4, /* PF2IDrm */
+  0x7d5, /* PF2IDrr */
+/* Table7612 */
+  0x7e8, /* PFNACCrm */
+  0x7e9, /* PFNACCrr */
+/* Table7614 */
+  0x7ea, /* PFPNACCrm */
+  0x7eb, /* PFPNACCrr */
+/* Table7616 */
+  0x7de, /* PFCMPGErm */
+  0x7df, /* PFCMPGErr */
+/* Table7618 */
+  0x7e4, /* PFMINrm */
+  0x7e5, /* PFMINrr */
+/* Table7620 */
+  0x7f0, /* PFRCPrm */
+  0x7f1, /* PFRCPrr */
+/* Table7622 */
+  0x7f4, /* PFRSQRTrm */
+  0x7f5, /* PFRSQRTrr */
+/* Table7624 */
+  0x7f8, /* PFSUBrm */
+  0x7f9, /* PFSUBrr */
+/* Table7626 */
+  0x7da, /* PFADDrm */
+  0x7db, /* PFADDrr */
+/* Table7628 */
+  0x7e0, /* PFCMPGTrm */
+  0x7e1, /* PFCMPGTrr */
+/* Table7630 */
+  0x7e2, /* PFMAXrm */
+  0x7e3, /* PFMAXrr */
+/* Table7632 */
+  0x7ec, /* PFRCPIT1rm */
+  0x7ed, /* PFRCPIT1rr */
+/* Table7634 */
+  0x7f2, /* PFRSQIT1rm */
+  0x7f3, /* PFRSQIT1rr */
+/* Table7636 */
+  0x7f6, /* PFSUBRrm */
+  0x7f7, /* PFSUBRrr */
+/* Table7638 */
+  0x7d8, /* PFACCrm */
+  0x7d9, /* PFACCrr */
+/* Table7640 */
+  0x7dc, /* PFCMPEQrm */
+  0x7dd, /* PFCMPEQrr */
+/* Table7642 */
+  0x7e6, /* PFMULrm */
+  0x7e7, /* PFMULrr */
+/* Table7644 */
+  0x7ee, /* PFRCPIT2rm */
+  0x7ef, /* PFRCPIT2rr */
+/* Table7646 */
+  0x84d, /* PMULHRWrm */
+  0x84e, /* PMULHRWrr */
+/* Table7648 */
+  0x8bb, /* PSWAPDrm */
+  0x8bc, /* PSWAPDrr */
+/* Table7650 */
+  0x799, /* PAVGUSBrm */
+  0x79a, /* PAVGUSBrr */
   0x0
 };
 
@@ -95342,14 +101210,14 @@
     0 /* EmptyTable */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3926 /* Table3926 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3928 /* Table3928 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -95584,7 +101452,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3930 /* Table3930 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -95654,7 +101522,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3928 /* Table3928 */
+    3932 /* Table3932 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -95764,7 +101632,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3934 /* Table3934 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -95814,7 +101682,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3932 /* Table3932 */
+    3936 /* Table3936 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -95979,42 +101847,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3934 /* Table3934 */
+    3938 /* Table3938 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3936 /* Table3936 */
+    3940 /* Table3940 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3938 /* Table3938 */
+    3942 /* Table3942 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3940 /* Table3940 */
+    3944 /* Table3944 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3942 /* Table3942 */
+    3946 /* Table3946 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3944 /* Table3944 */
+    3948 /* Table3948 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3946 /* Table3946 */
+    3950 /* Table3950 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3948 /* Table3948 */
+    3952 /* Table3952 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -96099,12 +101967,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3950 /* Table3950 */
+    3954 /* Table3954 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3952 /* Table3952 */
+    3956 /* Table3956 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -96114,7 +101982,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3954 /* Table3954 */
+    3958 /* Table3958 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -96129,12 +101997,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3960 /* Table3960 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3962 /* Table3962 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -96237,9 +102105,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3964 /* Table3964 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -96299,12 +102167,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3960 /* Table3960 */
+    3966 /* Table3966 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3962 /* Table3962 */
+    3968 /* Table3968 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -96319,177 +102187,177 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3964 /* Table3964 */
+    3970 /* Table3970 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3966 /* Table3966 */
+    3972 /* Table3972 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3968 /* Table3968 */
+    3974 /* Table3974 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3970 /* Table3970 */
+    3976 /* Table3976 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3972 /* Table3972 */
+    3978 /* Table3978 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3974 /* Table3974 */
+    3980 /* Table3980 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3976 /* Table3976 */
+    3982 /* Table3982 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3978 /* Table3978 */
+    3984 /* Table3984 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3980 /* Table3980 */
+    3986 /* Table3986 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3982 /* Table3982 */
+    3988 /* Table3988 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3984 /* Table3984 */
+    3990 /* Table3990 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3986 /* Table3986 */
+    3992 /* Table3992 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3988 /* Table3988 */
+    3994 /* Table3994 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3990 /* Table3990 */
+    3996 /* Table3996 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3992 /* Table3992 */
+    3998 /* Table3998 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3994 /* Table3994 */
+    4000 /* Table4000 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3996 /* Table3996 */
+    4002 /* Table4002 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3998 /* Table3998 */
+    4004 /* Table4004 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4000 /* Table4000 */
+    4006 /* Table4006 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4002 /* Table4002 */
+    4008 /* Table4008 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4004 /* Table4004 */
+    4010 /* Table4010 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4006 /* Table4006 */
+    4012 /* Table4012 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4008 /* Table4008 */
+    4014 /* Table4014 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4010 /* Table4010 */
+    4016 /* Table4016 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4012 /* Table4012 */
+    4018 /* Table4018 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4014 /* Table4014 */
+    4020 /* Table4020 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4016 /* Table4016 */
+    4022 /* Table4022 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4018 /* Table4018 */
+    4024 /* Table4024 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4020 /* Table4020 */
+    4026 /* Table4026 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4022 /* Table4022 */
+    4028 /* Table4028 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4038 /* Table4038 */
+    4044 /* Table4044 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4054 /* Table4054 */
+    4060 /* Table4060 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4070 /* Table4070 */
+    4076 /* Table4076 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4072 /* Table4072 */
+    4078 /* Table4078 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4074 /* Table4074 */
+    4080 /* Table4080 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -96519,22 +102387,22 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4076 /* Table4076 */
+    4082 /* Table4082 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4078 /* Table4078 */
+    4084 /* Table4084 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4080 /* Table4080 */
+    4086 /* Table4086 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4082 /* Table4082 */
+    4088 /* Table4088 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -96617,24 +102485,24 @@
     0 /* EmptyTable */
    },
    /* 0x90 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4090 /* Table4090 */
    },
    /* 0x91 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4092 /* Table4092 */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4094 /* Table4094 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4096 /* Table4096 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -96869,7 +102737,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4084 /* Table4084 */
+    4098 /* Table4098 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -96879,17 +102747,17 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4086 /* Table4086 */
+    4100 /* Table4100 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4088 /* Table4088 */
+    4102 /* Table4102 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4090 /* Table4090 */
+    4104 /* Table4104 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -96939,162 +102807,162 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4092 /* Table4092 */
+    4106 /* Table4106 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4094 /* Table4094 */
+    4108 /* Table4108 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4096 /* Table4096 */
+    4110 /* Table4110 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4098 /* Table4098 */
+    4112 /* Table4112 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4100 /* Table4100 */
+    4114 /* Table4114 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4102 /* Table4102 */
+    4116 /* Table4116 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4104 /* Table4104 */
+    4118 /* Table4118 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4106 /* Table4106 */
+    4120 /* Table4120 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4108 /* Table4108 */
+    4122 /* Table4122 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4110 /* Table4110 */
+    4124 /* Table4124 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4112 /* Table4112 */
+    4126 /* Table4126 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4114 /* Table4114 */
+    4128 /* Table4128 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4116 /* Table4116 */
+    4130 /* Table4130 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4118 /* Table4118 */
+    4132 /* Table4132 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4120 /* Table4120 */
+    4134 /* Table4134 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4122 /* Table4122 */
+    4136 /* Table4136 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4124 /* Table4124 */
+    4138 /* Table4138 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4126 /* Table4126 */
+    4140 /* Table4140 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4128 /* Table4128 */
+    4142 /* Table4142 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4130 /* Table4130 */
+    4144 /* Table4144 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4132 /* Table4132 */
+    4146 /* Table4146 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4134 /* Table4134 */
+    4148 /* Table4148 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4136 /* Table4136 */
+    4150 /* Table4150 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4138 /* Table4138 */
+    4152 /* Table4152 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4140 /* Table4140 */
+    4154 /* Table4154 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4142 /* Table4142 */
+    4156 /* Table4156 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4144 /* Table4144 */
+    4158 /* Table4158 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4146 /* Table4146 */
+    4160 /* Table4160 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4148 /* Table4148 */
+    4162 /* Table4162 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4150 /* Table4150 */
+    4164 /* Table4164 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4152 /* Table4152 */
+    4166 /* Table4166 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4154 /* Table4154 */
+    4168 /* Table4168 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -97104,72 +102972,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4156 /* Table4156 */
+    4170 /* Table4170 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4158 /* Table4158 */
+    4172 /* Table4172 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4160 /* Table4160 */
+    4174 /* Table4174 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4162 /* Table4162 */
+    4176 /* Table4176 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4164 /* Table4164 */
+    4178 /* Table4178 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4166 /* Table4166 */
+    4180 /* Table4180 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4168 /* Table4168 */
+    4182 /* Table4182 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4170 /* Table4170 */
+    4184 /* Table4184 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4172 /* Table4172 */
+    4186 /* Table4186 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4174 /* Table4174 */
+    4188 /* Table4188 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4176 /* Table4176 */
+    4190 /* Table4190 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4178 /* Table4178 */
+    4192 /* Table4192 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4180 /* Table4180 */
+    4194 /* Table4194 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4182 /* Table4182 */
+    4196 /* Table4196 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -97524,7 +103392,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3779 /* Table3779 */
+    4198 /* Table4198 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -97904,12 +103772,12 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3814 /* Table3814 */
+    4200 /* Table4200 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3816 /* Table3816 */
+    4202 /* Table4202 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
@@ -98679,7 +104547,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4184 /* Table4184 */
+    4204 /* Table4204 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -98689,12 +104557,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4186 /* Table4186 */
+    4206 /* Table4206 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4188 /* Table4188 */
+    4208 /* Table4208 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -99964,7 +105832,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4190 /* Table4190 */
+    4210 /* Table4210 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -99974,12 +105842,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4192 /* Table4192 */
+    4212 /* Table4212 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4194 /* Table4194 */
+    4214 /* Table4214 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -100482,14 +106350,14 @@
     0 /* EmptyTable */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4216 /* Table4216 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4218 /* Table4218 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -100724,7 +106592,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3930 /* Table3930 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -100794,7 +106662,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3928 /* Table3928 */
+    3932 /* Table3932 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -100904,7 +106772,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3934 /* Table3934 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -100954,7 +106822,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3932 /* Table3932 */
+    3936 /* Table3936 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -101119,42 +106987,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3934 /* Table3934 */
+    3938 /* Table3938 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3936 /* Table3936 */
+    3940 /* Table3940 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3938 /* Table3938 */
+    3942 /* Table3942 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3940 /* Table3940 */
+    3944 /* Table3944 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3942 /* Table3942 */
+    3946 /* Table3946 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3944 /* Table3944 */
+    3948 /* Table3948 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3946 /* Table3946 */
+    3950 /* Table3950 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3948 /* Table3948 */
+    3952 /* Table3952 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -101239,12 +107107,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3950 /* Table3950 */
+    3954 /* Table3954 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3952 /* Table3952 */
+    3956 /* Table3956 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -101254,7 +107122,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3954 /* Table3954 */
+    3958 /* Table3958 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -101269,12 +107137,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3960 /* Table3960 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3962 /* Table3962 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -101377,9 +107245,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4220 /* Table4220 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -101439,12 +107307,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3960 /* Table3960 */
+    3966 /* Table3966 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3962 /* Table3962 */
+    3968 /* Table3968 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -101459,177 +107327,177 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3964 /* Table3964 */
+    3970 /* Table3970 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3966 /* Table3966 */
+    3972 /* Table3972 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3968 /* Table3968 */
+    3974 /* Table3974 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3970 /* Table3970 */
+    3976 /* Table3976 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3972 /* Table3972 */
+    3978 /* Table3978 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3974 /* Table3974 */
+    3980 /* Table3980 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3976 /* Table3976 */
+    3982 /* Table3982 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3978 /* Table3978 */
+    3984 /* Table3984 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3980 /* Table3980 */
+    3986 /* Table3986 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3982 /* Table3982 */
+    3988 /* Table3988 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3984 /* Table3984 */
+    3990 /* Table3990 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3986 /* Table3986 */
+    3992 /* Table3992 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3988 /* Table3988 */
+    3994 /* Table3994 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3990 /* Table3990 */
+    3996 /* Table3996 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3992 /* Table3992 */
+    3998 /* Table3998 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3994 /* Table3994 */
+    4000 /* Table4000 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3996 /* Table3996 */
+    4002 /* Table4002 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3998 /* Table3998 */
+    4004 /* Table4004 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4000 /* Table4000 */
+    4006 /* Table4006 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4002 /* Table4002 */
+    4008 /* Table4008 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4004 /* Table4004 */
+    4010 /* Table4010 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4006 /* Table4006 */
+    4012 /* Table4012 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4008 /* Table4008 */
+    4014 /* Table4014 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4010 /* Table4010 */
+    4016 /* Table4016 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4012 /* Table4012 */
+    4018 /* Table4018 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4014 /* Table4014 */
+    4020 /* Table4020 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4196 /* Table4196 */
+    4222 /* Table4222 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4018 /* Table4018 */
+    4024 /* Table4024 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4020 /* Table4020 */
+    4026 /* Table4026 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4022 /* Table4022 */
+    4028 /* Table4028 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4038 /* Table4038 */
+    4044 /* Table4044 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4054 /* Table4054 */
+    4060 /* Table4060 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4070 /* Table4070 */
+    4076 /* Table4076 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4072 /* Table4072 */
+    4078 /* Table4078 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4074 /* Table4074 */
+    4080 /* Table4080 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -101659,22 +107527,22 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4076 /* Table4076 */
+    4082 /* Table4082 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4078 /* Table4078 */
+    4084 /* Table4084 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4198 /* Table4198 */
+    4224 /* Table4224 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4082 /* Table4082 */
+    4088 /* Table4088 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -101757,24 +107625,24 @@
     0 /* EmptyTable */
    },
    /* 0x90 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4226 /* Table4226 */
    },
    /* 0x91 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4228 /* Table4228 */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4094 /* Table4094 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4096 /* Table4096 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -102009,7 +107877,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4084 /* Table4084 */
+    4098 /* Table4098 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -102019,17 +107887,17 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4086 /* Table4086 */
+    4100 /* Table4100 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4088 /* Table4088 */
+    4102 /* Table4102 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4090 /* Table4090 */
+    4104 /* Table4104 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -102079,162 +107947,162 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4092 /* Table4092 */
+    4106 /* Table4106 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4094 /* Table4094 */
+    4108 /* Table4108 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4096 /* Table4096 */
+    4110 /* Table4110 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4098 /* Table4098 */
+    4112 /* Table4112 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4100 /* Table4100 */
+    4114 /* Table4114 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4102 /* Table4102 */
+    4116 /* Table4116 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4104 /* Table4104 */
+    4118 /* Table4118 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4106 /* Table4106 */
+    4120 /* Table4120 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4108 /* Table4108 */
+    4122 /* Table4122 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4110 /* Table4110 */
+    4124 /* Table4124 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4112 /* Table4112 */
+    4126 /* Table4126 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4114 /* Table4114 */
+    4128 /* Table4128 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4116 /* Table4116 */
+    4130 /* Table4130 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4118 /* Table4118 */
+    4132 /* Table4132 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4120 /* Table4120 */
+    4134 /* Table4134 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4122 /* Table4122 */
+    4136 /* Table4136 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4124 /* Table4124 */
+    4138 /* Table4138 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4126 /* Table4126 */
+    4140 /* Table4140 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4128 /* Table4128 */
+    4142 /* Table4142 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4130 /* Table4130 */
+    4144 /* Table4144 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4132 /* Table4132 */
+    4146 /* Table4146 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4134 /* Table4134 */
+    4148 /* Table4148 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4136 /* Table4136 */
+    4150 /* Table4150 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4138 /* Table4138 */
+    4152 /* Table4152 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4140 /* Table4140 */
+    4154 /* Table4154 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4142 /* Table4142 */
+    4156 /* Table4156 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4144 /* Table4144 */
+    4158 /* Table4158 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4146 /* Table4146 */
+    4160 /* Table4160 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4148 /* Table4148 */
+    4162 /* Table4162 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4150 /* Table4150 */
+    4164 /* Table4164 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4152 /* Table4152 */
+    4166 /* Table4166 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4154 /* Table4154 */
+    4168 /* Table4168 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -102244,72 +108112,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4156 /* Table4156 */
+    4170 /* Table4170 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4158 /* Table4158 */
+    4172 /* Table4172 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4160 /* Table4160 */
+    4174 /* Table4174 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4162 /* Table4162 */
+    4176 /* Table4176 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4164 /* Table4164 */
+    4178 /* Table4178 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4166 /* Table4166 */
+    4180 /* Table4180 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4168 /* Table4168 */
+    4182 /* Table4182 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4170 /* Table4170 */
+    4184 /* Table4184 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4172 /* Table4172 */
+    4186 /* Table4186 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4174 /* Table4174 */
+    4188 /* Table4188 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4176 /* Table4176 */
+    4190 /* Table4190 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4178 /* Table4178 */
+    4192 /* Table4192 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4180 /* Table4180 */
+    4194 /* Table4194 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4182 /* Table4182 */
+    4196 /* Table4196 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -102404,12 +108272,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4200 /* Table4200 */
+    4230 /* Table4230 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4202 /* Table4202 */
+    4232 /* Table4232 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -102424,12 +108292,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4204 /* Table4204 */
+    4234 /* Table4234 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4206 /* Table4206 */
+    4236 /* Table4236 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -102524,12 +108392,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4208 /* Table4208 */
+    4238 /* Table4238 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4210 /* Table4210 */
+    4240 /* Table4240 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -102539,7 +108407,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4212 /* Table4212 */
+    4242 /* Table4242 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -102649,12 +108517,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4214 /* Table4214 */
+    4244 /* Table4244 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4216 /* Table4216 */
+    4246 /* Table4246 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -102669,17 +108537,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4218 /* Table4218 */
+    4248 /* Table4248 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4220 /* Table4220 */
+    4250 /* Table4250 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4222 /* Table4222 */
+    4252 /* Table4252 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -102724,82 +108592,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4224 /* Table4224 */
+    4254 /* Table4254 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4226 /* Table4226 */
+    4256 /* Table4256 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4228 /* Table4228 */
+    4258 /* Table4258 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4230 /* Table4230 */
+    4260 /* Table4260 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4232 /* Table4232 */
+    4262 /* Table4262 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4234 /* Table4234 */
+    4264 /* Table4264 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4236 /* Table4236 */
+    4266 /* Table4266 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4238 /* Table4238 */
+    4268 /* Table4268 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4240 /* Table4240 */
+    4270 /* Table4270 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4242 /* Table4242 */
+    4272 /* Table4272 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4244 /* Table4244 */
+    4274 /* Table4274 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4246 /* Table4246 */
+    4276 /* Table4276 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4248 /* Table4248 */
+    4278 /* Table4278 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4250 /* Table4250 */
+    4280 /* Table4280 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4252 /* Table4252 */
+    4282 /* Table4282 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4254 /* Table4254 */
+    4284 /* Table4284 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -102919,7 +108787,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    4256 /* Table4256 */
+    4286 /* Table4286 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -103294,7 +109162,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4257 /* Table4257 */
+    4287 /* Table4287 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -103314,7 +109182,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4259 /* Table4259 */
+    4289 /* Table4289 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -103699,7 +109567,7 @@
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4261 /* Table4261 */
+    4291 /* Table4291 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -103719,7 +109587,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4263 /* Table4263 */
+    4293 /* Table4293 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -104064,7 +109932,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4265 /* Table4265 */
+    4295 /* Table4295 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
@@ -104164,12 +110032,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4267 /* Table4267 */
+    4297 /* Table4297 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4269 /* Table4269 */
+    4299 /* Table4299 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -104244,7 +110112,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4271 /* Table4271 */
+    4301 /* Table4301 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -104759,7 +110627,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4273 /* Table4273 */
+    4303 /* Table4303 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -104984,7 +110852,7 @@
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4275 /* Table4275 */
+    4305 /* Table4305 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -105454,7 +111322,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4277 /* Table4277 */
+    4307 /* Table4307 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -105514,12 +111382,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4279 /* Table4279 */
+    4309 /* Table4309 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4281 /* Table4281 */
+    4311 /* Table4311 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -105864,7 +111732,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3930 /* Table3930 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -105934,7 +111802,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4283 /* Table4283 */
+    4313 /* Table4313 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -106044,7 +111912,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4285 /* Table4285 */
+    4315 /* Table4315 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -106094,7 +111962,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4287 /* Table4287 */
+    4317 /* Table4317 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -106259,12 +112127,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4289 /* Table4289 */
+    4319 /* Table4319 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4291 /* Table4291 */
+    4321 /* Table4321 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -106279,12 +112147,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4293 /* Table4293 */
+    4323 /* Table4323 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4295 /* Table4295 */
+    4325 /* Table4325 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -106379,12 +112247,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4297 /* Table4297 */
+    4327 /* Table4327 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4299 /* Table4299 */
+    4329 /* Table4329 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -106394,7 +112262,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4301 /* Table4301 */
+    4331 /* Table4331 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -106409,12 +112277,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3960 /* Table3960 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3962 /* Table3962 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -106502,14 +112370,14 @@
     0 /* EmptyTable */
    },
    /* 0x41 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4333 /* Table4333 */
    },
    /* 0x42 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4335 /* Table4335 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -106522,19 +112390,19 @@
     0 /* EmptyTable */
    },
    /* 0x45 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4337 /* Table4337 */
    },
    /* 0x46 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4339 /* Table4339 */
    },
    /* 0x47 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4341 /* Table4341 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -106554,7 +112422,7 @@
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4303 /* Table4303 */
+    4343 /* Table4343 */
    },
    /* 0x4c */
    { /* ModRMDecision */
@@ -106579,12 +112447,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4305 /* Table4305 */
+    4345 /* Table4345 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4307 /* Table4307 */
+    4347 /* Table4347 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -106599,132 +112467,132 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4309 /* Table4309 */
+    4349 /* Table4349 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4311 /* Table4311 */
+    4351 /* Table4351 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4313 /* Table4313 */
+    4353 /* Table4353 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4315 /* Table4315 */
+    4355 /* Table4355 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4317 /* Table4317 */
+    4357 /* Table4357 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4319 /* Table4319 */
+    4359 /* Table4359 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4321 /* Table4321 */
+    4361 /* Table4361 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4323 /* Table4323 */
+    4363 /* Table4363 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4325 /* Table4325 */
+    4365 /* Table4365 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4327 /* Table4327 */
+    4367 /* Table4367 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4329 /* Table4329 */
+    4369 /* Table4369 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4331 /* Table4331 */
+    4371 /* Table4371 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4333 /* Table4333 */
+    4373 /* Table4373 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4335 /* Table4335 */
+    4375 /* Table4375 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4337 /* Table4337 */
+    4377 /* Table4377 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4339 /* Table4339 */
+    4379 /* Table4379 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4341 /* Table4341 */
+    4381 /* Table4381 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4343 /* Table4343 */
+    4383 /* Table4383 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4345 /* Table4345 */
+    4385 /* Table4385 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4347 /* Table4347 */
+    4387 /* Table4387 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4349 /* Table4349 */
+    4389 /* Table4389 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4351 /* Table4351 */
+    4391 /* Table4391 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4353 /* Table4353 */
+    4393 /* Table4393 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4355 /* Table4355 */
+    4395 /* Table4395 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4357 /* Table4357 */
+    4397 /* Table4397 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4359 /* Table4359 */
+    4399 /* Table4399 */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -106734,42 +112602,42 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4361 /* Table4361 */
+    4401 /* Table4401 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4363 /* Table4363 */
+    4403 /* Table4403 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4365 /* Table4365 */
+    4405 /* Table4405 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4381 /* Table4381 */
+    4421 /* Table4421 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4397 /* Table4397 */
+    4437 /* Table4437 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4413 /* Table4413 */
+    4453 /* Table4453 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4415 /* Table4415 */
+    4455 /* Table4455 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4417 /* Table4417 */
+    4457 /* Table4457 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -106799,12 +112667,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4419 /* Table4419 */
+    4459 /* Table4459 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4421 /* Table4421 */
+    4461 /* Table4461 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -106814,7 +112682,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4423 /* Table4423 */
+    4463 /* Table4463 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -107149,7 +113017,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4425 /* Table4425 */
+    4465 /* Table4465 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -107169,7 +113037,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4427 /* Table4427 */
+    4467 /* Table4467 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -107219,32 +113087,32 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4429 /* Table4429 */
+    4469 /* Table4469 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4431 /* Table4431 */
+    4471 /* Table4471 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4433 /* Table4433 */
+    4473 /* Table4473 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4435 /* Table4435 */
+    4475 /* Table4475 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4437 /* Table4437 */
+    4477 /* Table4477 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4439 /* Table4439 */
+    4479 /* Table4479 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -107254,127 +113122,127 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4441 /* Table4441 */
+    4481 /* Table4481 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4443 /* Table4443 */
+    4483 /* Table4483 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4445 /* Table4445 */
+    4485 /* Table4485 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4447 /* Table4447 */
+    4487 /* Table4487 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4449 /* Table4449 */
+    4489 /* Table4489 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4451 /* Table4451 */
+    4491 /* Table4491 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4453 /* Table4453 */
+    4493 /* Table4493 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4455 /* Table4455 */
+    4495 /* Table4495 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4457 /* Table4457 */
+    4497 /* Table4497 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4459 /* Table4459 */
+    4499 /* Table4499 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4461 /* Table4461 */
+    4501 /* Table4501 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4463 /* Table4463 */
+    4503 /* Table4503 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4465 /* Table4465 */
+    4505 /* Table4505 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4467 /* Table4467 */
+    4507 /* Table4507 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4469 /* Table4469 */
+    4509 /* Table4509 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4471 /* Table4471 */
+    4511 /* Table4511 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4473 /* Table4473 */
+    4513 /* Table4513 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4475 /* Table4475 */
+    4515 /* Table4515 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4477 /* Table4477 */
+    4517 /* Table4517 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4479 /* Table4479 */
+    4519 /* Table4519 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4481 /* Table4481 */
+    4521 /* Table4521 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4483 /* Table4483 */
+    4523 /* Table4523 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4485 /* Table4485 */
+    4525 /* Table4525 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4487 /* Table4487 */
+    4527 /* Table4527 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4489 /* Table4489 */
+    4529 /* Table4529 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -107384,32 +113252,32 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4491 /* Table4491 */
+    4531 /* Table4531 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4493 /* Table4493 */
+    4533 /* Table4533 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4495 /* Table4495 */
+    4535 /* Table4535 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4497 /* Table4497 */
+    4537 /* Table4537 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4499 /* Table4499 */
+    4539 /* Table4539 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4501 /* Table4501 */
+    4541 /* Table4541 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -107419,37 +113287,37 @@
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4503 /* Table4503 */
+    4543 /* Table4543 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4505 /* Table4505 */
+    4545 /* Table4545 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4507 /* Table4507 */
+    4547 /* Table4547 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4509 /* Table4509 */
+    4549 /* Table4549 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4511 /* Table4511 */
+    4551 /* Table4551 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4513 /* Table4513 */
+    4553 /* Table4553 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4515 /* Table4515 */
+    4555 /* Table4555 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -107544,12 +113412,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4200 /* Table4200 */
+    4230 /* Table4230 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4202 /* Table4202 */
+    4232 /* Table4232 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -107564,12 +113432,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4204 /* Table4204 */
+    4234 /* Table4234 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4206 /* Table4206 */
+    4236 /* Table4236 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -107664,12 +113532,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4208 /* Table4208 */
+    4238 /* Table4238 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4210 /* Table4210 */
+    4240 /* Table4240 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -107679,7 +113547,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4212 /* Table4212 */
+    4242 /* Table4242 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -107789,12 +113657,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4214 /* Table4214 */
+    4557 /* Table4557 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4216 /* Table4216 */
+    4559 /* Table4559 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -107809,17 +113677,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4218 /* Table4218 */
+    4561 /* Table4561 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4220 /* Table4220 */
+    4563 /* Table4563 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4222 /* Table4222 */
+    4565 /* Table4565 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -107864,82 +113732,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4224 /* Table4224 */
+    4254 /* Table4254 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4226 /* Table4226 */
+    4256 /* Table4256 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4228 /* Table4228 */
+    4258 /* Table4258 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4230 /* Table4230 */
+    4260 /* Table4260 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4232 /* Table4232 */
+    4262 /* Table4262 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4234 /* Table4234 */
+    4264 /* Table4264 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4236 /* Table4236 */
+    4266 /* Table4266 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4238 /* Table4238 */
+    4268 /* Table4268 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4240 /* Table4240 */
+    4270 /* Table4270 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4242 /* Table4242 */
+    4272 /* Table4272 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4244 /* Table4244 */
+    4274 /* Table4274 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4246 /* Table4246 */
+    4276 /* Table4276 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4248 /* Table4248 */
+    4278 /* Table4278 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4250 /* Table4250 */
+    4280 /* Table4280 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4252 /* Table4252 */
+    4282 /* Table4282 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4254 /* Table4254 */
+    4284 /* Table4284 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -108059,7 +113927,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    4256 /* Table4256 */
+    4286 /* Table4286 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -108434,7 +114302,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4257 /* Table4257 */
+    4287 /* Table4287 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -108454,7 +114322,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4259 /* Table4259 */
+    4289 /* Table4289 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -108839,7 +114707,7 @@
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4261 /* Table4261 */
+    4291 /* Table4291 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -108859,7 +114727,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4263 /* Table4263 */
+    4293 /* Table4293 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -108959,7 +114827,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4184 /* Table4184 */
+    4204 /* Table4204 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -108969,12 +114837,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4186 /* Table4186 */
+    4206 /* Table4206 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4188 /* Table4188 */
+    4208 /* Table4208 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -109204,7 +115072,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4265 /* Table4265 */
+    4295 /* Table4295 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
@@ -109304,12 +115172,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4267 /* Table4267 */
+    4297 /* Table4297 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4269 /* Table4269 */
+    4299 /* Table4299 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -109384,7 +115252,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4271 /* Table4271 */
+    4301 /* Table4301 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -109899,7 +115767,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4273 /* Table4273 */
+    4303 /* Table4303 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -110124,7 +115992,7 @@
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4275 /* Table4275 */
+    4305 /* Table4305 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -110244,7 +116112,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4190 /* Table4190 */
+    4210 /* Table4210 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -110254,12 +116122,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4192 /* Table4192 */
+    4212 /* Table4212 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4194 /* Table4194 */
+    4214 /* Table4214 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -110594,7 +116462,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4277 /* Table4277 */
+    4307 /* Table4307 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -110654,12 +116522,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4279 /* Table4279 */
+    4309 /* Table4309 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4281 /* Table4281 */
+    4311 /* Table4311 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -111004,7 +116872,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3930 /* Table3930 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -111074,7 +116942,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4283 /* Table4283 */
+    4313 /* Table4313 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -111184,7 +117052,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4285 /* Table4285 */
+    4315 /* Table4315 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -111234,7 +117102,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4287 /* Table4287 */
+    4317 /* Table4317 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -111399,12 +117267,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4289 /* Table4289 */
+    4319 /* Table4319 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4291 /* Table4291 */
+    4321 /* Table4321 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -111419,12 +117287,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4293 /* Table4293 */
+    4323 /* Table4323 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4295 /* Table4295 */
+    4325 /* Table4325 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -111519,12 +117387,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4297 /* Table4297 */
+    4327 /* Table4327 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4299 /* Table4299 */
+    4329 /* Table4329 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -111534,7 +117402,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4301 /* Table4301 */
+    4331 /* Table4331 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -111549,12 +117417,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3960 /* Table3960 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3962 /* Table3962 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -111642,14 +117510,14 @@
     0 /* EmptyTable */
    },
    /* 0x41 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4567 /* Table4567 */
    },
    /* 0x42 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4569 /* Table4569 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -111662,19 +117530,19 @@
     0 /* EmptyTable */
    },
    /* 0x45 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4571 /* Table4571 */
    },
    /* 0x46 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4573 /* Table4573 */
    },
    /* 0x47 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4575 /* Table4575 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -111694,7 +117562,7 @@
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4303 /* Table4303 */
+    4343 /* Table4343 */
    },
    /* 0x4c */
    { /* ModRMDecision */
@@ -111719,12 +117587,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4305 /* Table4305 */
+    4345 /* Table4345 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4307 /* Table4307 */
+    4347 /* Table4347 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -111739,132 +117607,132 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4309 /* Table4309 */
+    4349 /* Table4349 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4311 /* Table4311 */
+    4351 /* Table4351 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4313 /* Table4313 */
+    4353 /* Table4353 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4315 /* Table4315 */
+    4355 /* Table4355 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4317 /* Table4317 */
+    4357 /* Table4357 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4319 /* Table4319 */
+    4359 /* Table4359 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4321 /* Table4321 */
+    4361 /* Table4361 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4323 /* Table4323 */
+    4363 /* Table4363 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4325 /* Table4325 */
+    4365 /* Table4365 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4327 /* Table4327 */
+    4367 /* Table4367 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4329 /* Table4329 */
+    4369 /* Table4369 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4331 /* Table4331 */
+    4371 /* Table4371 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4333 /* Table4333 */
+    4373 /* Table4373 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4335 /* Table4335 */
+    4375 /* Table4375 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4337 /* Table4337 */
+    4377 /* Table4377 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4339 /* Table4339 */
+    4379 /* Table4379 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4341 /* Table4341 */
+    4381 /* Table4381 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4343 /* Table4343 */
+    4383 /* Table4383 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4345 /* Table4345 */
+    4385 /* Table4385 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4347 /* Table4347 */
+    4387 /* Table4387 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4349 /* Table4349 */
+    4389 /* Table4389 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4351 /* Table4351 */
+    4391 /* Table4391 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4353 /* Table4353 */
+    4393 /* Table4393 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4355 /* Table4355 */
+    4395 /* Table4395 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4357 /* Table4357 */
+    4397 /* Table4397 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4359 /* Table4359 */
+    4399 /* Table4399 */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -111874,42 +117742,42 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4361 /* Table4361 */
+    4401 /* Table4401 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4363 /* Table4363 */
+    4403 /* Table4403 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4365 /* Table4365 */
+    4405 /* Table4405 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4381 /* Table4381 */
+    4421 /* Table4421 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4397 /* Table4397 */
+    4437 /* Table4437 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4413 /* Table4413 */
+    4453 /* Table4453 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4415 /* Table4415 */
+    4455 /* Table4455 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4417 /* Table4417 */
+    4457 /* Table4457 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -111939,12 +117807,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4419 /* Table4419 */
+    4459 /* Table4459 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4421 /* Table4421 */
+    4461 /* Table4461 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -111954,7 +117822,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4423 /* Table4423 */
+    4463 /* Table4463 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -112289,7 +118157,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4425 /* Table4425 */
+    4465 /* Table4465 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -112309,7 +118177,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4427 /* Table4427 */
+    4467 /* Table4467 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -112359,32 +118227,32 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4429 /* Table4429 */
+    4469 /* Table4469 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4431 /* Table4431 */
+    4471 /* Table4471 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4433 /* Table4433 */
+    4473 /* Table4473 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4435 /* Table4435 */
+    4475 /* Table4475 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4437 /* Table4437 */
+    4477 /* Table4477 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4439 /* Table4439 */
+    4479 /* Table4479 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -112394,127 +118262,127 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4441 /* Table4441 */
+    4481 /* Table4481 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4443 /* Table4443 */
+    4483 /* Table4483 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4445 /* Table4445 */
+    4485 /* Table4485 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4447 /* Table4447 */
+    4487 /* Table4487 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4449 /* Table4449 */
+    4489 /* Table4489 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4451 /* Table4451 */
+    4491 /* Table4491 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4453 /* Table4453 */
+    4493 /* Table4493 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4455 /* Table4455 */
+    4495 /* Table4495 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4457 /* Table4457 */
+    4497 /* Table4497 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4459 /* Table4459 */
+    4499 /* Table4499 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4461 /* Table4461 */
+    4501 /* Table4501 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4463 /* Table4463 */
+    4503 /* Table4503 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4465 /* Table4465 */
+    4505 /* Table4505 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4467 /* Table4467 */
+    4507 /* Table4507 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4469 /* Table4469 */
+    4509 /* Table4509 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4471 /* Table4471 */
+    4511 /* Table4511 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4473 /* Table4473 */
+    4513 /* Table4513 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4475 /* Table4475 */
+    4515 /* Table4515 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4477 /* Table4477 */
+    4517 /* Table4517 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4479 /* Table4479 */
+    4519 /* Table4519 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4481 /* Table4481 */
+    4521 /* Table4521 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4483 /* Table4483 */
+    4523 /* Table4523 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4485 /* Table4485 */
+    4525 /* Table4525 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4487 /* Table4487 */
+    4527 /* Table4527 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4489 /* Table4489 */
+    4529 /* Table4529 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -112524,32 +118392,32 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4491 /* Table4491 */
+    4531 /* Table4531 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4493 /* Table4493 */
+    4533 /* Table4533 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4495 /* Table4495 */
+    4535 /* Table4535 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4497 /* Table4497 */
+    4537 /* Table4537 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4499 /* Table4499 */
+    4539 /* Table4539 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4501 /* Table4501 */
+    4541 /* Table4541 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -112559,37 +118427,37 @@
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4503 /* Table4503 */
+    4543 /* Table4543 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4505 /* Table4505 */
+    4545 /* Table4545 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4507 /* Table4507 */
+    4547 /* Table4547 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4509 /* Table4509 */
+    4549 /* Table4549 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4511 /* Table4511 */
+    4551 /* Table4551 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4513 /* Table4513 */
+    4553 /* Table4553 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4515 /* Table4515 */
+    4555 /* Table4555 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -112682,19 +118550,19 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4577 /* Table4577 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4579 /* Table4579 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4517 /* Table4517 */
+    4581 /* Table4581 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -112714,7 +118582,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4519 /* Table4519 */
+    4583 /* Table4583 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -112802,14 +118670,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4585 /* Table4585 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4587 /* Table4587 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -112834,12 +118702,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4589 /* Table4589 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4591 /* Table4591 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -113969,12 +119837,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4593 /* Table4593 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4595 /* Table4595 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -114099,7 +119967,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4529 /* Table4529 */
+    4597 /* Table4597 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -114109,12 +119977,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4531 /* Table4531 */
+    4599 /* Table4599 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4533 /* Table4533 */
+    4601 /* Table4601 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -114294,7 +120162,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4603 /* Table4603 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -114329,17 +120197,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4605 /* Table4605 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4607 /* Table4607 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4609 /* Table4609 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -114349,22 +120217,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4611 /* Table4611 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4613 /* Table4613 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4615 /* Table4615 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4617 /* Table4617 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -114442,9 +120310,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4619 /* Table4619 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -114489,12 +120357,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4551 /* Table4551 */
+    4621 /* Table4621 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4553 /* Table4553 */
+    4623 /* Table4623 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -114504,7 +120372,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4555 /* Table4555 */
+    4625 /* Table4625 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -114522,9 +120390,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4627 /* Table4627 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -114859,7 +120727,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4557 /* Table4557 */
+    4629 /* Table4629 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -115384,7 +121252,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4559 /* Table4559 */
+    4631 /* Table4631 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -115394,12 +121262,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4561 /* Table4561 */
+    4633 /* Table4633 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4563 /* Table4563 */
+    4635 /* Table4635 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -115727,9 +121595,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4637 /* Table4637 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -115774,12 +121642,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4565 /* Table4565 */
+    4639 /* Table4639 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4567 /* Table4567 */
+    4641 /* Table4641 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -115789,7 +121657,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4569 /* Table4569 */
+    4643 /* Table4643 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -115807,9 +121675,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4645 /* Table4645 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -117009,12 +122877,12 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4571 /* Table4571 */
+    4647 /* Table4647 */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4649 /* Table4649 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -117089,12 +122957,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4573 /* Table4573 */
+    4651 /* Table4651 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4653 /* Table4653 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -117822,19 +123690,19 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4577 /* Table4577 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4579 /* Table4579 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4517 /* Table4517 */
+    4581 /* Table4581 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -117854,7 +123722,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4519 /* Table4519 */
+    4583 /* Table4583 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -117942,14 +123810,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4585 /* Table4585 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4587 /* Table4587 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -117974,12 +123842,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4589 /* Table4589 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4591 /* Table4591 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -119109,12 +124977,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4593 /* Table4593 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4595 /* Table4595 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -119239,7 +125107,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4575 /* Table4575 */
+    4655 /* Table4655 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -119249,12 +125117,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4577 /* Table4577 */
+    4657 /* Table4657 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4579 /* Table4579 */
+    4659 /* Table4659 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -119434,7 +125302,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4603 /* Table4603 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -119469,17 +125337,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4605 /* Table4605 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4607 /* Table4607 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4609 /* Table4609 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -119489,22 +125357,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4611 /* Table4611 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4613 /* Table4613 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4615 /* Table4615 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4617 /* Table4617 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -119582,9 +125450,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4661 /* Table4661 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -119629,12 +125497,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4581 /* Table4581 */
+    4663 /* Table4663 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4583 /* Table4583 */
+    4665 /* Table4665 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -119644,7 +125512,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4585 /* Table4585 */
+    4667 /* Table4667 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -119659,12 +125527,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4587 /* Table4587 */
+    4669 /* Table4669 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4671 /* Table4671 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -119999,7 +125867,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4557 /* Table4557 */
+    4629 /* Table4629 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -120394,12 +126262,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4589 /* Table4589 */
+    4673 /* Table4673 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4591 /* Table4591 */
+    4675 /* Table4675 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -120524,7 +126392,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4593 /* Table4593 */
+    4677 /* Table4677 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -120534,12 +126402,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4595 /* Table4595 */
+    4679 /* Table4679 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4597 /* Table4597 */
+    4681 /* Table4681 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -120719,7 +126587,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4599 /* Table4599 */
+    4683 /* Table4683 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -120754,17 +126622,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4601 /* Table4601 */
+    4685 /* Table4685 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4603 /* Table4603 */
+    4687 /* Table4687 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4605 /* Table4605 */
+    4689 /* Table4689 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -120774,22 +126642,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4607 /* Table4607 */
+    4691 /* Table4691 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4609 /* Table4609 */
+    4693 /* Table4693 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4611 /* Table4611 */
+    4695 /* Table4695 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4613 /* Table4613 */
+    4697 /* Table4697 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -120867,9 +126735,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4699 /* Table4699 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -120914,12 +126782,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4615 /* Table4615 */
+    4701 /* Table4701 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4617 /* Table4617 */
+    4703 /* Table4703 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -120929,7 +126797,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4619 /* Table4619 */
+    4705 /* Table4705 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -120947,9 +126815,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4707 /* Table4707 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -121284,7 +127152,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4621 /* Table4621 */
+    4709 /* Table4709 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -121677,14 +127545,14 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4711 /* Table4711 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4713 /* Table4713 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -121797,14 +127665,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4715 /* Table4715 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4717 /* Table4717 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -121829,12 +127697,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4623 /* Table4623 */
+    4719 /* Table4719 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4625 /* Table4625 */
+    4721 /* Table4721 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -122149,7 +128017,1292 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4627 /* Table4627 */
+    4723 /* Table4723 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4725 /* Table4725 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4727 /* Table4727 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4729 /* Table4729 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4731 /* Table4731 */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4733 /* Table4733 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4735 /* Table4735 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4737 /* Table4737 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4739 /* Table4739 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6f */
    { /* ModRMDecision */
@@ -122227,9 +129380,9 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4629 /* Table4629 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x7f */
    { /* ModRMDecision */
@@ -122667,9 +129820,3864 @@
     0 /* EmptyTable */
    },
    /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XS */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4631 /* Table4631 */
+    4741 /* Table4741 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4743 /* Table4743 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XD */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4745 /* Table4745 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4747 /* Table4747 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4749 /* Table4749 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4751 /* Table4751 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xd7 */
    { /* ModRMDecision */
@@ -122962,19 +133970,19 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4577 /* Table4577 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4579 /* Table4579 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4517 /* Table4517 */
+    4581 /* Table4581 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -122994,7 +134002,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4519 /* Table4519 */
+    4583 /* Table4583 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -123082,14 +134090,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4585 /* Table4585 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4587 /* Table4587 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -123114,12 +134122,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4589 /* Table4589 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4591 /* Table4591 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -124249,12 +135257,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4593 /* Table4593 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4595 /* Table4595 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -124379,7 +135387,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4529 /* Table4529 */
+    4597 /* Table4597 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -124389,12 +135397,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4531 /* Table4531 */
+    4599 /* Table4599 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4533 /* Table4533 */
+    4601 /* Table4601 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -124574,7 +135582,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4603 /* Table4603 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -124609,17 +135617,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4605 /* Table4605 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4607 /* Table4607 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4609 /* Table4609 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -124629,22 +135637,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4611 /* Table4611 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4613 /* Table4613 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4615 /* Table4615 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4617 /* Table4617 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -124722,9 +135730,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4753 /* Table4753 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -124769,12 +135777,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4551 /* Table4551 */
+    4621 /* Table4621 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4553 /* Table4553 */
+    4623 /* Table4623 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -124784,7 +135792,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4555 /* Table4555 */
+    4625 /* Table4625 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -124802,9 +135810,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4755 /* Table4755 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -125139,7 +136147,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4557 /* Table4557 */
+    4629 /* Table4629 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -125664,7 +136672,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4559 /* Table4559 */
+    4631 /* Table4631 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -125674,12 +136682,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4561 /* Table4561 */
+    4633 /* Table4633 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4563 /* Table4563 */
+    4635 /* Table4635 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -126007,9 +137015,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4757 /* Table4757 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -126054,12 +137062,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4565 /* Table4565 */
+    4639 /* Table4639 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4567 /* Table4567 */
+    4641 /* Table4641 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -126069,7 +137077,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4569 /* Table4569 */
+    4643 /* Table4643 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -126087,9 +137095,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4759 /* Table4759 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -126817,14 +137825,14 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4761 /* Table4761 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4763 /* Table4763 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -126937,14 +137945,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4765 /* Table4765 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4767 /* Table4767 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -127289,12 +138297,12 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4571 /* Table4571 */
+    4647 /* Table4647 */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4769 /* Table4769 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -127369,12 +138377,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4573 /* Table4573 */
+    4651 /* Table4651 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4771 /* Table4771 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -128104,12 +139112,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4633 /* Table4633 */
+    4773 /* Table4773 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4635 /* Table4635 */
+    4775 /* Table4775 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -128124,12 +139132,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4637 /* Table4637 */
+    4777 /* Table4777 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4639 /* Table4639 */
+    4779 /* Table4779 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -128224,12 +139232,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4641 /* Table4641 */
+    4781 /* Table4781 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4643 /* Table4643 */
+    4783 /* Table4783 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -128237,9 +139245,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4785 /* Table4785 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -128429,7 +139437,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4645 /* Table4645 */
+    4787 /* Table4787 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -128464,42 +139472,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4647 /* Table4647 */
+    4789 /* Table4789 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4649 /* Table4649 */
+    4791 /* Table4791 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4651 /* Table4651 */
+    4793 /* Table4793 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4653 /* Table4653 */
+    4795 /* Table4795 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4655 /* Table4655 */
+    4797 /* Table4797 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4657 /* Table4657 */
+    4799 /* Table4799 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4659 /* Table4659 */
+    4801 /* Table4801 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4661 /* Table4661 */
+    4803 /* Table4803 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -128624,12 +139632,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4663 /* Table4663 */
+    4805 /* Table4805 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4665 /* Table4665 */
+    4807 /* Table4807 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -128994,7 +140002,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4667 /* Table4667 */
+    4809 /* Table4809 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -129014,7 +140022,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4669 /* Table4669 */
+    4811 /* Table4811 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -129399,7 +140407,7 @@
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4671 /* Table4671 */
+    4813 /* Table4813 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -129419,7 +140427,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4673 /* Table4673 */
+    4815 /* Table4815 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -129764,7 +140772,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4675 /* Table4675 */
+    4817 /* Table4817 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -129864,7 +140872,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4677 /* Table4677 */
+    4819 /* Table4819 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -129919,7 +140927,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4679 /* Table4679 */
+    4821 /* Table4821 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -129944,7 +140952,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4681 /* Table4681 */
+    4823 /* Table4823 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -130459,7 +141467,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4683 /* Table4683 */
+    4825 /* Table4825 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -131147,9 +142155,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4827 /* Table4827 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -131204,7 +142212,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4685 /* Table4685 */
+    4829 /* Table4829 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -131227,9 +142235,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4831 /* Table4831 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -132284,7 +143292,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4687 /* Table4687 */
+    4833 /* Table4833 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -132334,7 +143342,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4689 /* Table4689 */
+    4835 /* Table4835 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -132369,7 +143377,7 @@
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4691 /* Table4691 */
+    4837 /* Table4837 */
    },
    /* 0x63 */
    { /* ModRMDecision */
@@ -132389,7 +143397,7 @@
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4693 /* Table4693 */
+    4839 /* Table4839 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -132409,7 +143417,7 @@
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4695 /* Table4695 */
+    4841 /* Table4841 */
    },
    /* 0x6b */
    { /* ModRMDecision */
@@ -132434,12 +143442,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4697 /* Table4697 */
+    4843 /* Table4843 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4699 /* Table4699 */
+    4845 /* Table4845 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -132449,7 +143457,7 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4701 /* Table4701 */
+    4847 /* Table4847 */
    },
    /* 0x73 */
    { /* ModRMDecision */
@@ -132469,7 +143477,7 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4717 /* Table4717 */
+    4863 /* Table4863 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -132514,7 +143522,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4719 /* Table4719 */
+    4865 /* Table4865 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -132929,7 +143937,7 @@
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4721 /* Table4721 */
+    4867 /* Table4867 */
    },
    /* 0xd3 */
    { /* ModRMDecision */
@@ -132974,7 +143982,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4723 /* Table4723 */
+    4869 /* Table4869 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -132994,7 +144002,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4725 /* Table4725 */
+    4871 /* Table4871 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -133009,7 +144017,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4727 /* Table4727 */
+    4873 /* Table4873 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -133032,9 +144040,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4875 /* Table4875 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -133054,7 +144062,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4729 /* Table4729 */
+    4877 /* Table4877 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -133074,7 +144082,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4731 /* Table4731 */
+    4879 /* Table4879 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -133089,7 +144097,7 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4733 /* Table4733 */
+    4881 /* Table4881 */
    },
    /* 0xf3 */
    { /* ModRMDecision */
@@ -133129,7 +144137,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4735 /* Table4735 */
+    4883 /* Table4883 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -133149,7 +144157,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4737 /* Table4737 */
+    4885 /* Table4885 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -133764,12 +144772,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4739 /* Table4739 */
+    4887 /* Table4887 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4741 /* Table4741 */
+    4889 /* Table4889 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -135004,7 +146012,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4743 /* Table4743 */
+    4891 /* Table4891 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -135084,7 +146092,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4745 /* Table4745 */
+    4893 /* Table4893 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -136287,9 +147295,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4895 /* Table4895 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -136367,9 +147375,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4897 /* Table4897 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -136884,7 +147892,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4747 /* Table4747 */
+    4899 /* Table4899 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -137099,17 +148107,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4749 /* Table4749 */
+    4901 /* Table4901 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4751 /* Table4751 */
+    4903 /* Table4903 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4753 /* Table4753 */
+    4905 /* Table4905 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -137119,12 +148127,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4755 /* Table4755 */
+    4907 /* Table4907 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4757 /* Table4757 */
+    4909 /* Table4909 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -137219,12 +148227,1297 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4759 /* Table4759 */
+    4911 /* Table4911 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4761 /* Table4761 */
+    4913 /* Table4913 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4915 /* Table4915 */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4917 /* Table4917 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4919 /* Table4919 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4921 /* Table4921 */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4923 /* Table4923 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4925 /* Table4925 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4927 /* Table4927 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4929 /* Table4929 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4931 /* Table4931 */
+   },
+   /* 0x6d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4933 /* Table4933 */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4935 /* Table4935 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    4937 /* Table4937 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    4953 /* Table4953 */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4969 /* Table4969 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4971 /* Table4971 */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4973 /* Table4973 */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4975 /* Table4975 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4977 /* Table4977 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4979 /* Table4979 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4981 /* Table4981 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4983 /* Table4983 */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4985 /* Table4985 */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4987 /* Table4987 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4989 /* Table4989 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4991 /* Table4991 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4993 /* Table4993 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4995 /* Table4995 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4997 /* Table4997 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4999 /* Table4999 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5001 /* Table5001 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5003 /* Table5003 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -137457,19 +149750,19 @@
     0 /* EmptyTable */
    },
    /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4763 /* Table4763 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4765 /* Table4765 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4767 /* Table4767 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -137477,24 +149770,24 @@
     0 /* EmptyTable */
    },
    /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4769 /* Table4769 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4771 /* Table4771 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4773 /* Table4773 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4775 /* Table4775 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -137557,14 +149850,14 @@
     0 /* EmptyTable */
    },
    /* 0x6c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4777 /* Table4777 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4779 /* Table4779 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -137572,9 +149865,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4781 /* Table4781 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -137587,14 +149880,14 @@
     0 /* EmptyTable */
    },
    /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    4783 /* Table4783 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    4799 /* Table4799 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x74 */
    { /* ModRMDecision */
@@ -137652,9 +149945,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4815 /* Table4815 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -137987,9 +150280,9 @@
     0 /* EmptyTable */
    },
    /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4817 /* Table4817 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -138007,9 +150300,9 @@
     0 /* EmptyTable */
    },
    /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4819 /* Table4819 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -138072,14 +150365,14 @@
     0 /* EmptyTable */
    },
    /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4821 /* Table4821 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4823 /* Table4823 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -138112,9 +150405,9 @@
     0 /* EmptyTable */
    },
    /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4825 /* Table4825 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -138132,9 +150425,9 @@
     0 /* EmptyTable */
    },
    /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4827 /* Table4827 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -138147,9 +150440,9 @@
     0 /* EmptyTable */
    },
    /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4829 /* Table4829 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -138167,9 +150460,9 @@
     0 /* EmptyTable */
    },
    /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4831 /* Table4831 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -138192,9 +150485,9 @@
     0 /* EmptyTable */
    },
    /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4833 /* Table4833 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -138212,9 +150505,9 @@
     0 /* EmptyTable */
    },
    /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4835 /* Table4835 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -138232,14 +150525,14 @@
     0 /* EmptyTable */
    },
    /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4837 /* Table4837 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4839 /* Table4839 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -138272,9 +150565,9 @@
     0 /* EmptyTable */
    },
    /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4841 /* Table4841 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -138384,7 +150677,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4843 /* Table4843 */
+    5005 /* Table5005 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -138857,6 +151150,3861 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5007 /* Table5007 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5009 /* Table5009 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5011 /* Table5011 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5013 /* Table5013 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5015 /* Table5015 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5017 /* Table5017 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4997 /* Table4997 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4999 /* Table4999 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5001 /* Table5001 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5003 /* Table5003 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
@@ -139669,7 +155817,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4843 /* Table4843 */
+    5005 /* Table5005 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -140142,6 +156290,3861 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5019 /* Table5019 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5021 /* Table5021 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5023 /* Table5023 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5025 /* Table5025 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5027 /* Table5027 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5029 /* Table5029 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5031 /* Table5031 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5033 /* Table5033 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5035 /* Table5035 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5037 /* Table5037 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5039 /* Table5039 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5041 /* Table5041 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5043 /* Table5043 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5045 /* Table5045 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5047 /* Table5047 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
@@ -140868,7 +160871,7 @@
    }
   }
  }
-,  /* IC_EVEX_W_XD_K */
+,  /* IC_EVEX_L_XS_K */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -140952,9 +160955,9 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4845 /* Table4845 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -141427,6 +161430,3861 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5049 /* Table5049 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5051 /* Table5051 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5053 /* Table5053 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5055 /* Table5055 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5057 /* Table5057 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5059 /* Table5059 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4997 /* Table4997 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4999 /* Table4999 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5001 /* Table5001 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5003 /* Table5003 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
@@ -142239,7 +166097,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4843 /* Table4843 */
+    5005 /* Table5005 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -142712,9 +166570,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5061 /* Table5061 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -142792,10 +166650,2580 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5063 /* Table5063 */
+   },
+   /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
    },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5065 /* Table5065 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5067 /* Table5067 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5069 /* Table5069 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5071 /* Table5071 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5073 /* Table5073 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5075 /* Table5075 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5077 /* Table5077 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5079 /* Table5079 */
+   },
    /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -143524,12 +169952,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4847 /* Table4847 */
+    5081 /* Table5081 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5083 /* Table5083 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -143644,12 +170072,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4849 /* Table4849 */
+    5085 /* Table5085 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5087 /* Table5087 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -143882,14 +170310,14 @@
     0 /* EmptyTable */
    },
    /* 0x58 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5089 /* Table5089 */
    },
    /* 0x59 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5091 /* Table5091 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -143902,24 +170330,24 @@
     0 /* EmptyTable */
    },
    /* 0x5c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5093 /* Table5093 */
    },
    /* 0x5d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5095 /* Table5095 */
    },
    /* 0x5e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5097 /* Table5097 */
    },
    /* 0x5f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5099 /* Table5099 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -145284,7 +171712,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4851 /* Table4851 */
+    5101 /* Table5101 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -145362,10 +171790,1295 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5103 /* Table5103 */
+   },
+   /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
    },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5105 /* Table5105 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5107 /* Table5107 */
+   },
    /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -146567,9 +174280,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5109 /* Table5109 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -146584,7 +174297,7 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4853 /* Table4853 */
+    5111 /* Table5111 */
    },
    /* 0x73 */
    { /* ModRMDecision */
@@ -146647,9 +174360,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5127 /* Table5127 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -147064,7 +174777,7 @@
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4869 /* Table4869 */
+    5129 /* Table5129 */
    },
    /* 0xd3 */
    { /* ModRMDecision */
@@ -147107,9 +174820,9 @@
     0 /* EmptyTable */
    },
    /* 0xdb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5131 /* Table5131 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -147127,9 +174840,9 @@
     0 /* EmptyTable */
    },
    /* 0xdf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5133 /* Table5133 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -147144,7 +174857,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4871 /* Table4871 */
+    5135 /* Table5135 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -147187,9 +174900,9 @@
     0 /* EmptyTable */
    },
    /* 0xeb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5137 /* Table5137 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -147207,9 +174920,9 @@
     0 /* EmptyTable */
    },
    /* 0xef */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5139 /* Table5139 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -147224,7 +174937,7 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4873 /* Table4873 */
+    5141 /* Table5141 */
    },
    /* 0xf3 */
    { /* ModRMDecision */
@@ -147262,9 +174975,9 @@
     0 /* EmptyTable */
    },
    /* 0xfa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5143 /* Table5143 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -147282,9 +174995,9 @@
     0 /* EmptyTable */
    },
    /* 0xfe */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5145 /* Table5145 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -147854,7 +175567,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4875 /* Table4875 */
+    5147 /* Table5147 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -147932,10 +175645,1295 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5149 /* Table5149 */
+   },
+   /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
    },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5151 /* Table5151 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5153 /* Table5153 */
+   },
    /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -148664,12 +177662,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4877 /* Table4877 */
+    5155 /* Table5155 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5157 /* Table5157 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -148784,12 +177782,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4879 /* Table4879 */
+    5159 /* Table5159 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5161 /* Table5161 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -149022,14 +178020,14 @@
     0 /* EmptyTable */
    },
    /* 0x58 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5163 /* Table5163 */
    },
    /* 0x59 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5165 /* Table5165 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -149042,24 +178040,24 @@
     0 /* EmptyTable */
    },
    /* 0x5c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5167 /* Table5167 */
    },
    /* 0x5d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5169 /* Table5169 */
    },
    /* 0x5e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5171 /* Table5171 */
    },
    /* 0x5f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5173 /* Table5173 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -149137,9 +178135,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5175 /* Table5175 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -149154,12 +178152,12 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4881 /* Table4881 */
+    5177 /* Table5177 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4897 /* Table4897 */
+    5193 /* Table5193 */
    },
    /* 0x74 */
    { /* ModRMDecision */
@@ -149217,9 +178215,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5209 /* Table5209 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -149639,12 +178637,12 @@
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4913 /* Table4913 */
+    5211 /* Table5211 */
    },
    /* 0xd4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5213 /* Table5213 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -149677,9 +178675,9 @@
     0 /* EmptyTable */
    },
    /* 0xdb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5215 /* Table5215 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -149697,9 +178695,9 @@
     0 /* EmptyTable */
    },
    /* 0xdf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5217 /* Table5217 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -149714,7 +178712,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4915 /* Table4915 */
+    5219 /* Table5219 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -149757,9 +178755,9 @@
     0 /* EmptyTable */
    },
    /* 0xeb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5221 /* Table5221 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -149777,9 +178775,9 @@
     0 /* EmptyTable */
    },
    /* 0xef */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5223 /* Table5223 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -149799,12 +178797,12 @@
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4917 /* Table4917 */
+    5225 /* Table5225 */
    },
    /* 0xf4 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5227 /* Table5227 */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -149837,9 +178835,9 @@
     0 /* EmptyTable */
    },
    /* 0xfb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5229 /* Table5229 */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -150309,12 +179307,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4919 /* Table4919 */
+    5231 /* Table5231 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4921 /* Table4921 */
+    5233 /* Table5233 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -150324,27 +179322,27 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4923 /* Table4923 */
+    5235 /* Table5235 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4925 /* Table4925 */
+    5237 /* Table5237 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4927 /* Table4927 */
+    5239 /* Table5239 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4929 /* Table4929 */
+    5241 /* Table5241 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4931 /* Table4931 */
+    5243 /* Table5243 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -150474,7 +179472,7 @@
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4933 /* Table4933 */
+    5245 /* Table5245 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -150839,7 +179837,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4935 /* Table4935 */
+    5247 /* Table5247 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -151764,7 +180762,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4937 /* Table4937 */
+    5249 /* Table5249 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -152894,7 +181892,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4939 /* Table4939 */
+    5251 /* Table5251 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -153534,7 +182532,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4941 /* Table4941 */
+    5253 /* Table5253 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -153554,7 +182552,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4943 /* Table4943 */
+    5255 /* Table5255 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -153614,7 +182612,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4945 /* Table4945 */
+    5257 /* Table5257 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -153634,7 +182632,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4947 /* Table4947 */
+    5259 /* Table5259 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -153689,7 +182687,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4949 /* Table4949 */
+    5261 /* Table5261 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -153709,7 +182707,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4951 /* Table4951 */
+    5263 /* Table5263 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -154329,7 +183327,7 @@
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4953 /* Table4953 */
+    5265 /* Table5265 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -156159,7 +185157,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4955 /* Table4955 */
+    5267 /* Table5267 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -156734,17 +185732,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4957 /* Table4957 */
+    5269 /* Table5269 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4959 /* Table4959 */
+    5271 /* Table5271 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4961 /* Table4961 */
+    5273 /* Table5273 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -156754,22 +185752,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4963 /* Table4963 */
+    5275 /* Table5275 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4965 /* Table4965 */
+    5277 /* Table5277 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4967 /* Table4967 */
+    5279 /* Table5279 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4969 /* Table4969 */
+    5281 /* Table5281 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -157264,7 +186262,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4971 /* Table4971 */
+    5283 /* Table5283 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -157354,7 +186352,7 @@
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4973 /* Table4973 */
+    5285 /* Table5285 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -157389,7 +186387,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4975 /* Table4975 */
+    5287 /* Table5287 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -157409,7 +186407,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4977 /* Table4977 */
+    5289 /* Table5289 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -157469,7 +186467,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4979 /* Table4979 */
+    5291 /* Table5291 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -157489,7 +186487,1292 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4981 /* Table4981 */
+    5293 /* Table5293 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5295 /* Table5295 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5297 /* Table5297 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5299 /* Table5299 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5301 /* Table5301 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5303 /* Table5303 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5305 /* Table5305 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5307 /* Table5307 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5309 /* Table5309 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -157547,9 +187830,25709 @@
     0 /* EmptyTable */
    },
    /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4983 /* Table4983 */
+    5311 /* Table5311 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5313 /* Table5313 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5315 /* Table5315 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5317 /* Table5317 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5319 /* Table5319 */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5321 /* Table5321 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5323 /* Table5323 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5325 /* Table5325 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5327 /* Table5327 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5329 /* Table5329 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5331 /* Table5331 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5333 /* Table5333 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5335 /* Table5335 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5337 /* Table5337 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5339 /* Table5339 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5341 /* Table5341 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5343 /* Table5343 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5345 /* Table5345 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5347 /* Table5347 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_KZ_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5349 /* Table5349 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5351 /* Table5351 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5353 /* Table5353 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5355 /* Table5355 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5357 /* Table5357 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5359 /* Table5359 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_KZ_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5361 /* Table5361 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5363 /* Table5363 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5365 /* Table5365 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5367 /* Table5367 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5369 /* Table5369 */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5371 /* Table5371 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_KZ_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5373 /* Table5373 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5375 /* Table5375 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5377 /* Table5377 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5379 /* Table5379 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5381 /* Table5381 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5383 /* Table5383 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5385 /* Table5385 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5387 /* Table5387 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5389 /* Table5389 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5391 /* Table5391 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5393 /* Table5393 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5395 /* Table5395 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5397 /* Table5397 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5399 /* Table5399 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5401 /* Table5401 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5403 /* Table5403 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5405 /* Table5405 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5407 /* Table5407 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5409 /* Table5409 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5411 /* Table5411 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5413 /* Table5413 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5415 /* Table5415 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5417 /* Table5417 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5419 /* Table5419 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5421 /* Table5421 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5423 /* Table5423 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5425 /* Table5425 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5427 /* Table5427 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5429 /* Table5429 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5431 /* Table5431 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5433 /* Table5433 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5435 /* Table5435 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5437 /* Table5437 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5439 /* Table5439 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5441 /* Table5441 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5443 /* Table5443 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5445 /* Table5445 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5447 /* Table5447 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5449 /* Table5449 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5451 /* Table5451 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5453 /* Table5453 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -158134,7 +214117,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4985 /* Table4985 */
+    5455 /* Table5455 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -158858,6 +214841,2576 @@
    }
   }
  }
+,  /* IC_EVEX_L2_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5457 /* Table5457 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5459 /* Table5459 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5461 /* Table5461 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5463 /* Table5463 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5465 /* Table5465 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5467 /* Table5467 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5469 /* Table5469 */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5471 /* Table5471 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
 ,  /* IC_EVEX_L2_W_XS_KZ */
  { /* struct OpcodeDecision */
   {
@@ -159419,7 +217972,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4987 /* Table4987 */
+    5473 /* Table5473 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -160143,7 +218696,2577 @@
    }
   }
  }
-,  /* IC_OF */
+,  /* IC_EVEX_L2_W_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5475 /* Table5475 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5477 /* Table5477 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5479 /* Table5479 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5481 /* Table5481 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5483 /* Table5483 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5485 /* Table5485 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5487 /* Table5487 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5489 /* Table5489 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5491 /* Table5491 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5493 /* Table5493 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5495 /* Table5495 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5497 /* Table5497 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5499 /* Table5499 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5501 /* Table5501 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5503 /* Table5503 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5505 /* Table5505 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5507 /* Table5507 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ },
+ /* IC_OF */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -161430,7 +222553,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerTwoByteOpcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 0, 7, 8, 9, 10, 11, 12, 0, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 0, 0, 0, 0, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 0, 53, 0, 0, 0, 54, 55, 0, 0, 0, 0, 0, 0, 56, 0, 0, 57, 58, 0, 59, 0, 60, 0, 61, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 62, 0, 63, 64, 65, 0, 66, 67, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 68, 0, 0, 0, 69, 0, 0, };
+1, 2, 3, 4, 0, 5, 6, 0, 7, 8, 9, 10, 11, 12, 0, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 0, 77, 78, 79, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 80, 0, 81, 82, 83, 0, 84, 85, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 86, 0, 0, 87, 0, 0, 0, 88, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 89, 0, 0, 90, 0, 0, 0, 91, 92, 93, 94, 95, 0, 96, 97, 98, 99, 100, 101, 102, 0, 103, 104, 105, 106, 107, 108, 109, 0, 110, 111, 112, };
 static const struct OpcodeDecision x86DisassemblerThreeByte38Opcodes[] = {
  /* IC */
  { /* struct OpcodeDecision */
@@ -161438,62 +222561,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -161578,17 +222701,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -162438,32 +223561,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -162638,12 +223761,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5551 /* Table5551 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5553 /* Table5553 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -162723,62 +223846,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -162863,17 +223986,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -163723,32 +224846,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -163923,12 +225046,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5551 /* Table5551 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5553 /* Table5553 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -164008,62 +225131,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5035 /* Table5035 */
+    5555 /* Table5555 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5037 /* Table5037 */
+    5557 /* Table5557 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5039 /* Table5039 */
+    5559 /* Table5559 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5041 /* Table5041 */
+    5561 /* Table5561 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5043 /* Table5043 */
+    5563 /* Table5563 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5045 /* Table5045 */
+    5565 /* Table5565 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5047 /* Table5047 */
+    5567 /* Table5567 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5049 /* Table5049 */
+    5569 /* Table5569 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5051 /* Table5051 */
+    5571 /* Table5571 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5053 /* Table5053 */
+    5573 /* Table5573 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5055 /* Table5055 */
+    5575 /* Table5575 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5057 /* Table5057 */
+    5577 /* Table5577 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -164088,7 +225211,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5059 /* Table5059 */
+    5579 /* Table5579 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -164108,12 +225231,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5061 /* Table5061 */
+    5581 /* Table5581 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5063 /* Table5063 */
+    5583 /* Table5583 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -164123,7 +225246,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5065 /* Table5065 */
+    5585 /* Table5585 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -164148,17 +225271,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5067 /* Table5067 */
+    5587 /* Table5587 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5069 /* Table5069 */
+    5589 /* Table5589 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5071 /* Table5071 */
+    5591 /* Table5591 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -164168,32 +225291,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5073 /* Table5073 */
+    5593 /* Table5593 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5075 /* Table5075 */
+    5595 /* Table5595 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5077 /* Table5077 */
+    5597 /* Table5597 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5079 /* Table5079 */
+    5599 /* Table5599 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5081 /* Table5081 */
+    5601 /* Table5601 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5083 /* Table5083 */
+    5603 /* Table5603 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -164208,22 +225331,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5085 /* Table5085 */
+    5605 /* Table5605 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5087 /* Table5087 */
+    5607 /* Table5607 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5089 /* Table5089 */
+    5609 /* Table5609 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5091 /* Table5091 */
+    5611 /* Table5611 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -164248,32 +225371,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5093 /* Table5093 */
+    5613 /* Table5613 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5095 /* Table5095 */
+    5615 /* Table5615 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5097 /* Table5097 */
+    5617 /* Table5617 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5099 /* Table5099 */
+    5619 /* Table5619 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5101 /* Table5101 */
+    5621 /* Table5621 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5103 /* Table5103 */
+    5623 /* Table5623 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -164283,57 +225406,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5105 /* Table5105 */
+    5625 /* Table5625 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5107 /* Table5107 */
+    5627 /* Table5627 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5109 /* Table5109 */
+    5629 /* Table5629 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5111 /* Table5111 */
+    5631 /* Table5631 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5113 /* Table5113 */
+    5633 /* Table5633 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5115 /* Table5115 */
+    5635 /* Table5635 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5117 /* Table5117 */
+    5637 /* Table5637 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5119 /* Table5119 */
+    5639 /* Table5639 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5121 /* Table5121 */
+    5641 /* Table5641 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5123 /* Table5123 */
+    5643 /* Table5643 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5125 /* Table5125 */
+    5645 /* Table5645 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -164648,17 +225771,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5127 /* Table5127 */
+    5647 /* Table5647 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5129 /* Table5129 */
+    5649 /* Table5649 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5131 /* Table5131 */
+    5651 /* Table5651 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -165008,32 +226131,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -165103,27 +226226,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5133 /* Table5133 */
+    5653 /* Table5653 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5135 /* Table5135 */
+    5655 /* Table5655 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5137 /* Table5137 */
+    5657 /* Table5657 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5139 /* Table5139 */
+    5659 /* Table5659 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5141 /* Table5141 */
+    5661 /* Table5661 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -165208,12 +226331,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5143 /* Table5143 */
+    5663 /* Table5663 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5145 /* Table5145 */
+    5665 /* Table5665 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -165238,7 +226361,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5147 /* Table5147 */
+    5667 /* Table5667 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -165293,62 +226416,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -165433,17 +226556,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -166293,32 +227416,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -166493,12 +227616,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5551 /* Table5551 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5553 /* Table5553 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -166578,62 +227701,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -166718,17 +227841,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -167578,32 +228701,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -167778,12 +228901,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5149 /* Table5149 */
+    5669 /* Table5669 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5151 /* Table5151 */
+    5671 /* Table5671 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -167863,62 +228986,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -168003,17 +229126,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -168863,32 +229986,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -169063,12 +230186,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5551 /* Table5551 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5553 /* Table5553 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -169093,7 +230216,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5153 /* Table5153 */
+    5673 /* Table5673 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -170353,7 +231476,7 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5155 /* Table5155 */
+    5675 /* Table5675 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -170433,62 +231556,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -170573,17 +231696,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -171433,32 +232556,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -171633,12 +232756,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5157 /* Table5157 */
+    5677 /* Table5677 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5159 /* Table5159 */
+    5679 /* Table5679 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -171718,62 +232841,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5035 /* Table5035 */
+    5555 /* Table5555 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5037 /* Table5037 */
+    5557 /* Table5557 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5039 /* Table5039 */
+    5559 /* Table5559 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5041 /* Table5041 */
+    5561 /* Table5561 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5043 /* Table5043 */
+    5563 /* Table5563 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5045 /* Table5045 */
+    5565 /* Table5565 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5047 /* Table5047 */
+    5567 /* Table5567 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5049 /* Table5049 */
+    5569 /* Table5569 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5051 /* Table5051 */
+    5571 /* Table5571 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5053 /* Table5053 */
+    5573 /* Table5573 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5055 /* Table5055 */
+    5575 /* Table5575 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5057 /* Table5057 */
+    5577 /* Table5577 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -171798,7 +232921,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5059 /* Table5059 */
+    5579 /* Table5579 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -171818,12 +232941,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5061 /* Table5061 */
+    5581 /* Table5581 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5063 /* Table5063 */
+    5583 /* Table5583 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -171833,7 +232956,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5065 /* Table5065 */
+    5585 /* Table5585 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -171858,17 +232981,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5067 /* Table5067 */
+    5587 /* Table5587 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5069 /* Table5069 */
+    5589 /* Table5589 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5071 /* Table5071 */
+    5591 /* Table5591 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -171878,32 +233001,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5073 /* Table5073 */
+    5593 /* Table5593 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5075 /* Table5075 */
+    5595 /* Table5595 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5077 /* Table5077 */
+    5597 /* Table5597 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5079 /* Table5079 */
+    5599 /* Table5599 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5081 /* Table5081 */
+    5601 /* Table5601 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5083 /* Table5083 */
+    5603 /* Table5603 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -171918,22 +233041,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5085 /* Table5085 */
+    5605 /* Table5605 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5087 /* Table5087 */
+    5607 /* Table5607 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5089 /* Table5089 */
+    5609 /* Table5609 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5091 /* Table5091 */
+    5611 /* Table5611 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -171958,32 +233081,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5093 /* Table5093 */
+    5613 /* Table5613 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5095 /* Table5095 */
+    5615 /* Table5615 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5097 /* Table5097 */
+    5617 /* Table5617 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5099 /* Table5099 */
+    5619 /* Table5619 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5101 /* Table5101 */
+    5621 /* Table5621 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5103 /* Table5103 */
+    5623 /* Table5623 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -171993,57 +233116,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5105 /* Table5105 */
+    5625 /* Table5625 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5107 /* Table5107 */
+    5627 /* Table5627 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5109 /* Table5109 */
+    5629 /* Table5629 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5111 /* Table5111 */
+    5631 /* Table5631 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5113 /* Table5113 */
+    5633 /* Table5633 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5115 /* Table5115 */
+    5635 /* Table5635 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5117 /* Table5117 */
+    5637 /* Table5637 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5119 /* Table5119 */
+    5639 /* Table5639 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5121 /* Table5121 */
+    5641 /* Table5641 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5123 /* Table5123 */
+    5643 /* Table5643 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5125 /* Table5125 */
+    5645 /* Table5645 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -172358,17 +233481,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5161 /* Table5161 */
+    5681 /* Table5681 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5163 /* Table5163 */
+    5683 /* Table5683 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5165 /* Table5165 */
+    5685 /* Table5685 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -172718,32 +233841,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -172813,27 +233936,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5133 /* Table5133 */
+    5653 /* Table5653 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5135 /* Table5135 */
+    5655 /* Table5655 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5137 /* Table5137 */
+    5657 /* Table5657 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5139 /* Table5139 */
+    5659 /* Table5659 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5141 /* Table5141 */
+    5661 /* Table5661 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -172918,12 +234041,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5143 /* Table5143 */
+    5663 /* Table5663 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5145 /* Table5145 */
+    5665 /* Table5665 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -172948,7 +234071,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5147 /* Table5147 */
+    5667 /* Table5667 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -173003,62 +234126,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -173143,17 +234266,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -174003,32 +235126,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -174203,12 +235326,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5551 /* Table5551 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5553 /* Table5553 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -174288,62 +235411,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -174428,17 +235551,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -175288,32 +236411,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -175488,12 +236611,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5149 /* Table5149 */
+    5669 /* Table5669 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5151 /* Table5151 */
+    5671 /* Table5671 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -175573,62 +236696,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -175713,17 +236836,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -176573,32 +237696,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -176773,12 +237896,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5551 /* Table5551 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5553 /* Table5553 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -176803,7 +237926,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5153 /* Table5153 */
+    5673 /* Table5673 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -178063,7 +239186,7 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5155 /* Table5155 */
+    5675 /* Table5675 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -178143,62 +239266,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -178283,17 +239406,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -179143,32 +240266,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -179343,12 +240466,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5157 /* Table5157 */
+    5677 /* Table5677 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5159 /* Table5159 */
+    5679 /* Table5679 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -179373,7 +240496,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5167 /* Table5167 */
+    5687 /* Table5687 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -179428,62 +240551,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5509 /* Table5509 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5511 /* Table5511 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5513 /* Table5513 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5515 /* Table5515 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5517 /* Table5517 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5519 /* Table5519 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5521 /* Table5521 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5523 /* Table5523 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5525 /* Table5525 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5527 /* Table5527 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5529 /* Table5529 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5531 /* Table5531 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -179568,17 +240691,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5533 /* Table5533 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5535 /* Table5535 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5537 /* Table5537 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -180428,32 +241551,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -180628,12 +241751,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5169 /* Table5169 */
+    5689 /* Table5689 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5171 /* Table5171 */
+    5691 /* Table5691 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -180713,62 +241836,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5035 /* Table5035 */
+    5555 /* Table5555 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5037 /* Table5037 */
+    5557 /* Table5557 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5039 /* Table5039 */
+    5559 /* Table5559 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5041 /* Table5041 */
+    5561 /* Table5561 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5043 /* Table5043 */
+    5563 /* Table5563 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5045 /* Table5045 */
+    5565 /* Table5565 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5047 /* Table5047 */
+    5567 /* Table5567 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5049 /* Table5049 */
+    5569 /* Table5569 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5051 /* Table5051 */
+    5571 /* Table5571 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5053 /* Table5053 */
+    5573 /* Table5573 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5055 /* Table5055 */
+    5575 /* Table5575 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5057 /* Table5057 */
+    5577 /* Table5577 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -180793,7 +241916,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5059 /* Table5059 */
+    5579 /* Table5579 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -180813,12 +241936,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5061 /* Table5061 */
+    5581 /* Table5581 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5063 /* Table5063 */
+    5583 /* Table5583 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -180828,7 +241951,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5065 /* Table5065 */
+    5585 /* Table5585 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -180853,17 +241976,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5067 /* Table5067 */
+    5587 /* Table5587 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5069 /* Table5069 */
+    5589 /* Table5589 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5071 /* Table5071 */
+    5591 /* Table5591 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -180873,32 +241996,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5073 /* Table5073 */
+    5593 /* Table5593 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5075 /* Table5075 */
+    5595 /* Table5595 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5077 /* Table5077 */
+    5597 /* Table5597 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5079 /* Table5079 */
+    5599 /* Table5599 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5081 /* Table5081 */
+    5601 /* Table5601 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5083 /* Table5083 */
+    5603 /* Table5603 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -180913,22 +242036,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5085 /* Table5085 */
+    5605 /* Table5605 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5087 /* Table5087 */
+    5607 /* Table5607 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5089 /* Table5089 */
+    5609 /* Table5609 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5091 /* Table5091 */
+    5611 /* Table5611 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -180953,32 +242076,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5093 /* Table5093 */
+    5613 /* Table5613 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5095 /* Table5095 */
+    5615 /* Table5615 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5097 /* Table5097 */
+    5617 /* Table5617 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5099 /* Table5099 */
+    5619 /* Table5619 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5101 /* Table5101 */
+    5621 /* Table5621 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5103 /* Table5103 */
+    5623 /* Table5623 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -180988,57 +242111,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5105 /* Table5105 */
+    5625 /* Table5625 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5107 /* Table5107 */
+    5627 /* Table5627 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5109 /* Table5109 */
+    5629 /* Table5629 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5111 /* Table5111 */
+    5631 /* Table5631 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5113 /* Table5113 */
+    5633 /* Table5633 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5115 /* Table5115 */
+    5635 /* Table5635 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5117 /* Table5117 */
+    5637 /* Table5637 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5119 /* Table5119 */
+    5639 /* Table5639 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5121 /* Table5121 */
+    5641 /* Table5641 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5123 /* Table5123 */
+    5643 /* Table5643 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5125 /* Table5125 */
+    5645 /* Table5645 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -181353,17 +242476,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5161 /* Table5161 */
+    5681 /* Table5681 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5163 /* Table5163 */
+    5683 /* Table5683 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5165 /* Table5165 */
+    5685 /* Table5685 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -181713,32 +242836,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5539 /* Table5539 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5541 /* Table5541 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5543 /* Table5543 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5545 /* Table5545 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5547 /* Table5547 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5549 /* Table5549 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -181808,27 +242931,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5133 /* Table5133 */
+    5653 /* Table5653 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5135 /* Table5135 */
+    5655 /* Table5655 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5137 /* Table5137 */
+    5657 /* Table5657 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5139 /* Table5139 */
+    5659 /* Table5659 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5141 /* Table5141 */
+    5661 /* Table5661 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -181913,12 +243036,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5157 /* Table5157 */
+    5677 /* Table5677 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5159 /* Table5159 */
+    5679 /* Table5679 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -181943,7 +243066,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5173 /* Table5173 */
+    5693 /* Table5693 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -183208,12 +244331,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5175 /* Table5175 */
+    5695 /* Table5695 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5177 /* Table5177 */
+    5697 /* Table5697 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -183223,7 +244346,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5193 /* Table5193 */
+    5713 /* Table5713 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -183233,7 +244356,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5195 /* Table5195 */
+    5715 /* Table5715 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -184508,7 +245631,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5197 /* Table5197 */
+    5717 /* Table5717 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -184518,7 +245641,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5199 /* Table5199 */
+    5719 /* Table5719 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -185793,17 +246916,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5201 /* Table5201 */
+    5721 /* Table5721 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5203 /* Table5203 */
+    5723 /* Table5723 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5205 /* Table5205 */
+    5725 /* Table5725 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -185853,82 +246976,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5207 /* Table5207 */
+    5727 /* Table5727 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5209 /* Table5209 */
+    5729 /* Table5729 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5211 /* Table5211 */
+    5731 /* Table5731 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5213 /* Table5213 */
+    5733 /* Table5733 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5215 /* Table5215 */
+    5735 /* Table5735 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5217 /* Table5217 */
+    5737 /* Table5737 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5219 /* Table5219 */
+    5739 /* Table5739 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5221 /* Table5221 */
+    5741 /* Table5741 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5223 /* Table5223 */
+    5743 /* Table5743 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5225 /* Table5225 */
+    5745 /* Table5745 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5227 /* Table5227 */
+    5747 /* Table5747 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5229 /* Table5229 */
+    5749 /* Table5749 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5231 /* Table5231 */
+    5751 /* Table5751 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5233 /* Table5233 */
+    5753 /* Table5753 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5235 /* Table5235 */
+    5755 /* Table5755 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5237 /* Table5237 */
+    5757 /* Table5757 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -185948,7 +247071,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5239 /* Table5239 */
+    5759 /* Table5759 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -185968,12 +247091,12 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5241 /* Table5241 */
+    5761 /* Table5761 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5243 /* Table5243 */
+    5763 /* Table5763 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -185993,17 +247116,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5245 /* Table5245 */
+    5765 /* Table5765 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5247 /* Table5247 */
+    5767 /* Table5767 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5249 /* Table5249 */
+    5769 /* Table5769 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -186013,32 +247136,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5251 /* Table5251 */
+    5771 /* Table5771 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5253 /* Table5253 */
+    5773 /* Table5773 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5255 /* Table5255 */
+    5775 /* Table5775 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5257 /* Table5257 */
+    5777 /* Table5777 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5259 /* Table5259 */
+    5779 /* Table5779 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5261 /* Table5261 */
+    5781 /* Table5781 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -186053,72 +247176,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5263 /* Table5263 */
+    5783 /* Table5783 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5265 /* Table5265 */
+    5785 /* Table5785 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5267 /* Table5267 */
+    5787 /* Table5787 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5269 /* Table5269 */
+    5789 /* Table5789 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5271 /* Table5271 */
+    5791 /* Table5791 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5273 /* Table5273 */
+    5793 /* Table5793 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5275 /* Table5275 */
+    5795 /* Table5795 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5277 /* Table5277 */
+    5797 /* Table5797 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5279 /* Table5279 */
+    5799 /* Table5799 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5281 /* Table5281 */
+    5801 /* Table5801 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5283 /* Table5283 */
+    5803 /* Table5803 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5285 /* Table5285 */
+    5805 /* Table5805 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5287 /* Table5287 */
+    5807 /* Table5807 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5289 /* Table5289 */
+    5809 /* Table5809 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -186128,57 +247251,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5291 /* Table5291 */
+    5811 /* Table5811 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5293 /* Table5293 */
+    5813 /* Table5813 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5295 /* Table5295 */
+    5815 /* Table5815 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5297 /* Table5297 */
+    5817 /* Table5817 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5299 /* Table5299 */
+    5819 /* Table5819 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5301 /* Table5301 */
+    5821 /* Table5821 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5303 /* Table5303 */
+    5823 /* Table5823 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5305 /* Table5305 */
+    5825 /* Table5825 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5307 /* Table5307 */
+    5827 /* Table5827 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5309 /* Table5309 */
+    5829 /* Table5829 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5311 /* Table5311 */
+    5831 /* Table5831 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -186198,17 +247321,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5313 /* Table5313 */
+    5833 /* Table5833 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5315 /* Table5315 */
+    5835 /* Table5835 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5317 /* Table5317 */
+    5837 /* Table5837 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -186293,12 +247416,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5319 /* Table5319 */
+    5839 /* Table5839 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5321 /* Table5321 */
+    5841 /* Table5841 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -186453,12 +247576,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5323 /* Table5323 */
+    5843 /* Table5843 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5325 /* Table5325 */
+    5845 /* Table5845 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -186553,7 +247676,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5327 /* Table5327 */
+    5847 /* Table5847 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -186563,7 +247686,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5329 /* Table5329 */
+    5849 /* Table5849 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -186573,22 +247696,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5331 /* Table5331 */
+    5851 /* Table5851 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5333 /* Table5333 */
+    5853 /* Table5853 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5335 /* Table5335 */
+    5855 /* Table5855 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5337 /* Table5337 */
+    5857 /* Table5857 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -186603,52 +247726,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5339 /* Table5339 */
+    5859 /* Table5859 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5341 /* Table5341 */
+    5861 /* Table5861 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5343 /* Table5343 */
+    5863 /* Table5863 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5345 /* Table5345 */
+    5865 /* Table5865 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5347 /* Table5347 */
+    5867 /* Table5867 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5349 /* Table5349 */
+    5869 /* Table5869 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5351 /* Table5351 */
+    5871 /* Table5871 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5353 /* Table5353 */
+    5873 /* Table5873 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5355 /* Table5355 */
+    5875 /* Table5875 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5357 /* Table5357 */
+    5877 /* Table5877 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -186683,52 +247806,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5359 /* Table5359 */
+    5879 /* Table5879 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5361 /* Table5361 */
+    5881 /* Table5881 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5363 /* Table5363 */
+    5883 /* Table5883 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5365 /* Table5365 */
+    5885 /* Table5885 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5367 /* Table5367 */
+    5887 /* Table5887 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5369 /* Table5369 */
+    5889 /* Table5889 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5371 /* Table5371 */
+    5891 /* Table5891 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5373 /* Table5373 */
+    5893 /* Table5893 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5375 /* Table5375 */
+    5895 /* Table5895 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5377 /* Table5377 */
+    5897 /* Table5897 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -186763,52 +247886,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5379 /* Table5379 */
+    5899 /* Table5899 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5381 /* Table5381 */
+    5901 /* Table5901 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5383 /* Table5383 */
+    5903 /* Table5903 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5385 /* Table5385 */
+    5905 /* Table5905 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5387 /* Table5387 */
+    5907 /* Table5907 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5389 /* Table5389 */
+    5909 /* Table5909 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5391 /* Table5391 */
+    5911 /* Table5911 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5393 /* Table5393 */
+    5913 /* Table5913 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5395 /* Table5395 */
+    5915 /* Table5915 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5397 /* Table5397 */
+    5917 /* Table5917 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -186948,27 +248071,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5399 /* Table5399 */
+    5919 /* Table5919 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5401 /* Table5401 */
+    5921 /* Table5921 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5403 /* Table5403 */
+    5923 /* Table5923 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5405 /* Table5405 */
+    5925 /* Table5925 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5407 /* Table5407 */
+    5927 /* Table5927 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -187088,7 +248211,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5409 /* Table5409 */
+    5929 /* Table5929 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -188348,12 +249471,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5411 /* Table5411 */
+    5931 /* Table5931 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5413 /* Table5413 */
+    5933 /* Table5933 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -188363,7 +249486,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5429 /* Table5429 */
+    5949 /* Table5949 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -188373,7 +249496,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5431 /* Table5431 */
+    5951 /* Table5951 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -189648,7 +250771,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5433 /* Table5433 */
+    5953 /* Table5953 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -189658,7 +250781,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5435 /* Table5435 */
+    5955 /* Table5955 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -190933,17 +252056,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5437 /* Table5437 */
+    5957 /* Table5957 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5439 /* Table5439 */
+    5959 /* Table5959 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5441 /* Table5441 */
+    5961 /* Table5961 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -190993,82 +252116,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5207 /* Table5207 */
+    5727 /* Table5727 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5209 /* Table5209 */
+    5729 /* Table5729 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5211 /* Table5211 */
+    5731 /* Table5731 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5213 /* Table5213 */
+    5733 /* Table5733 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5215 /* Table5215 */
+    5735 /* Table5735 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5217 /* Table5217 */
+    5737 /* Table5737 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5219 /* Table5219 */
+    5739 /* Table5739 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5221 /* Table5221 */
+    5741 /* Table5741 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5223 /* Table5223 */
+    5743 /* Table5743 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5225 /* Table5225 */
+    5745 /* Table5745 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5227 /* Table5227 */
+    5747 /* Table5747 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5229 /* Table5229 */
+    5749 /* Table5749 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5231 /* Table5231 */
+    5751 /* Table5751 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5233 /* Table5233 */
+    5753 /* Table5753 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5235 /* Table5235 */
+    5755 /* Table5755 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5237 /* Table5237 */
+    5757 /* Table5757 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -191088,7 +252211,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5239 /* Table5239 */
+    5759 /* Table5759 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -191108,12 +252231,12 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5241 /* Table5241 */
+    5761 /* Table5761 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5243 /* Table5243 */
+    5763 /* Table5763 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -191133,17 +252256,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5245 /* Table5245 */
+    5765 /* Table5765 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5247 /* Table5247 */
+    5767 /* Table5767 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5249 /* Table5249 */
+    5769 /* Table5769 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -191153,32 +252276,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5251 /* Table5251 */
+    5771 /* Table5771 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5253 /* Table5253 */
+    5773 /* Table5773 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5255 /* Table5255 */
+    5775 /* Table5775 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5257 /* Table5257 */
+    5777 /* Table5777 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5259 /* Table5259 */
+    5779 /* Table5779 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5261 /* Table5261 */
+    5781 /* Table5781 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -191193,72 +252316,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5263 /* Table5263 */
+    5783 /* Table5783 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5265 /* Table5265 */
+    5785 /* Table5785 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5267 /* Table5267 */
+    5787 /* Table5787 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5269 /* Table5269 */
+    5789 /* Table5789 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5271 /* Table5271 */
+    5791 /* Table5791 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5273 /* Table5273 */
+    5793 /* Table5793 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5275 /* Table5275 */
+    5795 /* Table5795 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5277 /* Table5277 */
+    5797 /* Table5797 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5279 /* Table5279 */
+    5799 /* Table5799 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5281 /* Table5281 */
+    5801 /* Table5801 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5283 /* Table5283 */
+    5803 /* Table5803 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5285 /* Table5285 */
+    5805 /* Table5805 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5287 /* Table5287 */
+    5807 /* Table5807 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5289 /* Table5289 */
+    5809 /* Table5809 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -191268,57 +252391,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5291 /* Table5291 */
+    5811 /* Table5811 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5293 /* Table5293 */
+    5813 /* Table5813 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5295 /* Table5295 */
+    5815 /* Table5815 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5297 /* Table5297 */
+    5817 /* Table5817 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5299 /* Table5299 */
+    5819 /* Table5819 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5301 /* Table5301 */
+    5821 /* Table5821 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5303 /* Table5303 */
+    5823 /* Table5823 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5305 /* Table5305 */
+    5825 /* Table5825 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5307 /* Table5307 */
+    5827 /* Table5827 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5309 /* Table5309 */
+    5829 /* Table5829 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5311 /* Table5311 */
+    5831 /* Table5831 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -191338,17 +252461,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5443 /* Table5443 */
+    5963 /* Table5963 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5315 /* Table5315 */
+    5835 /* Table5835 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5445 /* Table5445 */
+    5965 /* Table5965 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -191433,12 +252556,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5319 /* Table5319 */
+    5839 /* Table5839 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5321 /* Table5321 */
+    5841 /* Table5841 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -191593,12 +252716,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5323 /* Table5323 */
+    5843 /* Table5843 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5325 /* Table5325 */
+    5845 /* Table5845 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -191693,7 +252816,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5447 /* Table5447 */
+    5967 /* Table5967 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -191703,7 +252826,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5449 /* Table5449 */
+    5969 /* Table5969 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -191713,22 +252836,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5451 /* Table5451 */
+    5971 /* Table5971 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5453 /* Table5453 */
+    5973 /* Table5973 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5455 /* Table5455 */
+    5975 /* Table5975 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5457 /* Table5457 */
+    5977 /* Table5977 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -191743,52 +252866,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5459 /* Table5459 */
+    5979 /* Table5979 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5461 /* Table5461 */
+    5981 /* Table5981 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5463 /* Table5463 */
+    5983 /* Table5983 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5465 /* Table5465 */
+    5985 /* Table5985 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5467 /* Table5467 */
+    5987 /* Table5987 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5469 /* Table5469 */
+    5989 /* Table5989 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5471 /* Table5471 */
+    5991 /* Table5991 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5473 /* Table5473 */
+    5993 /* Table5993 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5475 /* Table5475 */
+    5995 /* Table5995 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5477 /* Table5477 */
+    5997 /* Table5997 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -191823,52 +252946,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5479 /* Table5479 */
+    5999 /* Table5999 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5481 /* Table5481 */
+    6001 /* Table6001 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5483 /* Table5483 */
+    6003 /* Table6003 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5485 /* Table5485 */
+    6005 /* Table6005 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5487 /* Table5487 */
+    6007 /* Table6007 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5489 /* Table5489 */
+    6009 /* Table6009 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5491 /* Table5491 */
+    6011 /* Table6011 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5493 /* Table5493 */
+    6013 /* Table6013 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5495 /* Table5495 */
+    6015 /* Table6015 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5497 /* Table5497 */
+    6017 /* Table6017 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -191903,52 +253026,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5499 /* Table5499 */
+    6019 /* Table6019 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5501 /* Table5501 */
+    6021 /* Table6021 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5503 /* Table5503 */
+    6023 /* Table6023 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5505 /* Table5505 */
+    6025 /* Table6025 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5507 /* Table5507 */
+    6027 /* Table6027 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    6029 /* Table6029 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    6031 /* Table6031 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    6033 /* Table6033 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    6035 /* Table6035 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    6037 /* Table6037 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -192088,27 +253211,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5399 /* Table5399 */
+    5919 /* Table5919 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5401 /* Table5401 */
+    5921 /* Table5921 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5403 /* Table5403 */
+    5923 /* Table5923 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5405 /* Table5405 */
+    5925 /* Table5925 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5407 /* Table5407 */
+    5927 /* Table5927 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -192228,7 +253351,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    6039 /* Table6039 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -192278,82 +253401,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    6041 /* Table6041 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    6043 /* Table6043 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    6045 /* Table6045 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    6047 /* Table6047 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    6049 /* Table6049 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    6051 /* Table6051 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    6053 /* Table6053 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    6055 /* Table6055 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    6057 /* Table6057 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    6059 /* Table6059 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    6061 /* Table6061 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    6063 /* Table6063 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    6065 /* Table6065 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    6067 /* Table6067 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    6069 /* Table6069 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    6071 /* Table6071 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -192373,7 +253496,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    6073 /* Table6073 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -192388,27 +253511,27 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5555 /* Table5555 */
+    6075 /* Table6075 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5557 /* Table5557 */
+    6077 /* Table6077 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5559 /* Table5559 */
+    6079 /* Table6079 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5561 /* Table5561 */
+    6081 /* Table6081 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5563 /* Table5563 */
+    6083 /* Table6083 */
    },
    /* 0x1b */
    { /* ModRMDecision */
@@ -192418,17 +253541,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5565 /* Table5565 */
+    6085 /* Table6085 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5567 /* Table5567 */
+    6087 /* Table6087 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5569 /* Table5569 */
+    6089 /* Table6089 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -192438,32 +253561,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5571 /* Table5571 */
+    6091 /* Table6091 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5573 /* Table5573 */
+    6093 /* Table6093 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5575 /* Table5575 */
+    6095 /* Table6095 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5577 /* Table5577 */
+    6097 /* Table6097 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5579 /* Table5579 */
+    6099 /* Table6099 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5581 /* Table5581 */
+    6101 /* Table6101 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -192478,127 +253601,127 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5583 /* Table5583 */
+    6103 /* Table6103 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5585 /* Table5585 */
+    6105 /* Table6105 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5587 /* Table5587 */
+    6107 /* Table6107 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5589 /* Table5589 */
+    6109 /* Table6109 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5591 /* Table5591 */
+    6111 /* Table6111 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5593 /* Table5593 */
+    6113 /* Table6113 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5595 /* Table5595 */
+    6115 /* Table6115 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5597 /* Table5597 */
+    6117 /* Table6117 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5599 /* Table5599 */
+    6119 /* Table6119 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5601 /* Table5601 */
+    6121 /* Table6121 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5603 /* Table5603 */
+    6123 /* Table6123 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5605 /* Table5605 */
+    6125 /* Table6125 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5607 /* Table5607 */
+    6127 /* Table6127 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5609 /* Table5609 */
+    6129 /* Table6129 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5611 /* Table5611 */
+    6131 /* Table6131 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5613 /* Table5613 */
+    6133 /* Table6133 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5615 /* Table5615 */
+    6135 /* Table6135 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5617 /* Table5617 */
+    6137 /* Table6137 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5619 /* Table5619 */
+    6139 /* Table6139 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5621 /* Table5621 */
+    6141 /* Table6141 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5623 /* Table5623 */
+    6143 /* Table6143 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5625 /* Table5625 */
+    6145 /* Table6145 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5627 /* Table5627 */
+    6147 /* Table6147 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5629 /* Table5629 */
+    6149 /* Table6149 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5631 /* Table5631 */
+    6151 /* Table6151 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -192623,17 +253746,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5633 /* Table5633 */
+    6153 /* Table6153 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5635 /* Table5635 */
+    6155 /* Table6155 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5637 /* Table5637 */
+    6157 /* Table6157 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -192718,17 +253841,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5639 /* Table5639 */
+    6159 /* Table6159 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5641 /* Table5641 */
+    6161 /* Table6161 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5643 /* Table5643 */
+    6163 /* Table6163 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -192878,12 +254001,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5645 /* Table5645 */
+    6165 /* Table6165 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5647 /* Table5647 */
+    6167 /* Table6167 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -192978,7 +254101,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5649 /* Table5649 */
+    6169 /* Table6169 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -192988,7 +254111,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5651 /* Table5651 */
+    6171 /* Table6171 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -192998,22 +254121,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5653 /* Table5653 */
+    6173 /* Table6173 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5655 /* Table5655 */
+    6175 /* Table6175 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5657 /* Table5657 */
+    6177 /* Table6177 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5659 /* Table5659 */
+    6179 /* Table6179 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -193028,52 +254151,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5661 /* Table5661 */
+    6181 /* Table6181 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5663 /* Table5663 */
+    6183 /* Table6183 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5665 /* Table5665 */
+    6185 /* Table6185 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5345 /* Table5345 */
+    5865 /* Table5865 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5667 /* Table5667 */
+    6187 /* Table6187 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5349 /* Table5349 */
+    5869 /* Table5869 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5669 /* Table5669 */
+    6189 /* Table6189 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5353 /* Table5353 */
+    5873 /* Table5873 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5671 /* Table5671 */
+    6191 /* Table6191 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5357 /* Table5357 */
+    5877 /* Table5877 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -193108,52 +254231,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5673 /* Table5673 */
+    6193 /* Table6193 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5675 /* Table5675 */
+    6195 /* Table6195 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5677 /* Table5677 */
+    6197 /* Table6197 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5365 /* Table5365 */
+    5885 /* Table5885 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5679 /* Table5679 */
+    6199 /* Table6199 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5369 /* Table5369 */
+    5889 /* Table5889 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5681 /* Table5681 */
+    6201 /* Table6201 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5373 /* Table5373 */
+    5893 /* Table5893 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5683 /* Table5683 */
+    6203 /* Table6203 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5377 /* Table5377 */
+    5897 /* Table5897 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -193188,52 +254311,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5685 /* Table5685 */
+    6205 /* Table6205 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5687 /* Table5687 */
+    6207 /* Table6207 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5689 /* Table5689 */
+    6209 /* Table6209 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5385 /* Table5385 */
+    5905 /* Table5905 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5691 /* Table5691 */
+    6211 /* Table6211 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5389 /* Table5389 */
+    5909 /* Table5909 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5693 /* Table5693 */
+    6213 /* Table6213 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5393 /* Table5393 */
+    5913 /* Table5913 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5695 /* Table5695 */
+    6215 /* Table6215 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5397 /* Table5397 */
+    5917 /* Table5917 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -193563,82 +254686,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    6041 /* Table6041 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    6043 /* Table6043 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    6045 /* Table6045 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    6047 /* Table6047 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    6049 /* Table6049 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    6051 /* Table6051 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    6053 /* Table6053 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    6055 /* Table6055 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    6057 /* Table6057 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    6059 /* Table6059 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    6061 /* Table6061 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    6063 /* Table6063 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    6065 /* Table6065 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    6067 /* Table6067 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    6069 /* Table6069 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    6071 /* Table6071 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -193658,7 +254781,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    6073 /* Table6073 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -193673,27 +254796,27 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5555 /* Table5555 */
+    6075 /* Table6075 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5557 /* Table5557 */
+    6077 /* Table6077 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5559 /* Table5559 */
+    6079 /* Table6079 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5561 /* Table5561 */
+    6081 /* Table6081 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5563 /* Table5563 */
+    6083 /* Table6083 */
    },
    /* 0x1b */
    { /* ModRMDecision */
@@ -193703,17 +254826,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5565 /* Table5565 */
+    6085 /* Table6085 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5567 /* Table5567 */
+    6087 /* Table6087 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5569 /* Table5569 */
+    6089 /* Table6089 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -193723,32 +254846,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5571 /* Table5571 */
+    6091 /* Table6091 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5573 /* Table5573 */
+    6093 /* Table6093 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5575 /* Table5575 */
+    6095 /* Table6095 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5577 /* Table5577 */
+    6097 /* Table6097 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5579 /* Table5579 */
+    6099 /* Table6099 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5581 /* Table5581 */
+    6101 /* Table6101 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -193763,127 +254886,127 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5583 /* Table5583 */
+    6103 /* Table6103 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5585 /* Table5585 */
+    6105 /* Table6105 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5587 /* Table5587 */
+    6107 /* Table6107 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5589 /* Table5589 */
+    6109 /* Table6109 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5591 /* Table5591 */
+    6111 /* Table6111 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5593 /* Table5593 */
+    6113 /* Table6113 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5595 /* Table5595 */
+    6115 /* Table6115 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5597 /* Table5597 */
+    6117 /* Table6117 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5599 /* Table5599 */
+    6119 /* Table6119 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5601 /* Table5601 */
+    6121 /* Table6121 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5603 /* Table5603 */
+    6123 /* Table6123 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5605 /* Table5605 */
+    6125 /* Table6125 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5607 /* Table5607 */
+    6127 /* Table6127 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5609 /* Table5609 */
+    6129 /* Table6129 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5611 /* Table5611 */
+    6131 /* Table6131 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5613 /* Table5613 */
+    6133 /* Table6133 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5615 /* Table5615 */
+    6135 /* Table6135 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5617 /* Table5617 */
+    6137 /* Table6137 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5619 /* Table5619 */
+    6139 /* Table6139 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5621 /* Table5621 */
+    6141 /* Table6141 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5623 /* Table5623 */
+    6143 /* Table6143 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5625 /* Table5625 */
+    6145 /* Table6145 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5627 /* Table5627 */
+    6147 /* Table6147 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5629 /* Table5629 */
+    6149 /* Table6149 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5631 /* Table5631 */
+    6151 /* Table6151 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -193908,17 +255031,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5697 /* Table5697 */
+    6217 /* Table6217 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5635 /* Table5635 */
+    6155 /* Table6155 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5699 /* Table5699 */
+    6219 /* Table6219 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -194003,17 +255126,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5639 /* Table5639 */
+    6159 /* Table6159 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5641 /* Table5641 */
+    6161 /* Table6161 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5643 /* Table5643 */
+    6163 /* Table6163 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -194163,12 +255286,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5645 /* Table5645 */
+    6165 /* Table6165 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5647 /* Table5647 */
+    6167 /* Table6167 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -194263,7 +255386,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5701 /* Table5701 */
+    6221 /* Table6221 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -194273,7 +255396,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5703 /* Table5703 */
+    6223 /* Table6223 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -194283,22 +255406,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5705 /* Table5705 */
+    6225 /* Table6225 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5707 /* Table5707 */
+    6227 /* Table6227 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5709 /* Table5709 */
+    6229 /* Table6229 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5711 /* Table5711 */
+    6231 /* Table6231 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -194313,52 +255436,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5713 /* Table5713 */
+    6233 /* Table6233 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5715 /* Table5715 */
+    6235 /* Table6235 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5717 /* Table5717 */
+    6237 /* Table6237 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5465 /* Table5465 */
+    5985 /* Table5985 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5719 /* Table5719 */
+    6239 /* Table6239 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5469 /* Table5469 */
+    5989 /* Table5989 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5721 /* Table5721 */
+    6241 /* Table6241 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5473 /* Table5473 */
+    5993 /* Table5993 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5723 /* Table5723 */
+    6243 /* Table6243 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5477 /* Table5477 */
+    5997 /* Table5997 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -194393,52 +255516,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5725 /* Table5725 */
+    6245 /* Table6245 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5727 /* Table5727 */
+    6247 /* Table6247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5729 /* Table5729 */
+    6249 /* Table6249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5485 /* Table5485 */
+    6005 /* Table6005 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5731 /* Table5731 */
+    6251 /* Table6251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5489 /* Table5489 */
+    6009 /* Table6009 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5733 /* Table5733 */
+    6253 /* Table6253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5493 /* Table5493 */
+    6013 /* Table6013 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5735 /* Table5735 */
+    6255 /* Table6255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5497 /* Table5497 */
+    6017 /* Table6017 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -194473,52 +255596,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5737 /* Table5737 */
+    6257 /* Table6257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5739 /* Table5739 */
+    6259 /* Table6259 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5741 /* Table5741 */
+    6261 /* Table6261 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5505 /* Table5505 */
+    6025 /* Table6025 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5743 /* Table5743 */
+    6263 /* Table6263 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    6029 /* Table6029 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5745 /* Table5745 */
+    6265 /* Table6265 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    6033 /* Table6033 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5747 /* Table5747 */
+    6267 /* Table6267 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    6037 /* Table6037 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -195233,7 +256356,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5749 /* Table5749 */
+    6269 /* Table6269 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -195243,7 +256366,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5751 /* Table5751 */
+    6271 /* Table6271 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -195693,7 +256816,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5753 /* Table5753 */
+    6273 /* Table6273 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -195703,7 +256826,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5755 /* Table5755 */
+    6275 /* Table6275 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -195713,7 +256836,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5757 /* Table5757 */
+    6277 /* Table6277 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -195723,7 +256846,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5759 /* Table5759 */
+    6279 /* Table6279 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -195863,7 +256986,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5761 /* Table5761 */
+    6281 /* Table6281 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -195873,7 +256996,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5763 /* Table5763 */
+    6283 /* Table6283 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -196518,7 +257641,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5765 /* Table5765 */
+    6285 /* Table6285 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -196528,7 +257651,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5767 /* Table5767 */
+    6287 /* Table6287 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -196978,7 +258101,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5769 /* Table5769 */
+    6289 /* Table6289 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -196988,7 +258111,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5771 /* Table5771 */
+    6291 /* Table6291 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -196998,7 +258121,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5773 /* Table5773 */
+    6293 /* Table6293 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -197008,7 +258131,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5775 /* Table5775 */
+    6295 /* Table6295 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -197148,7 +258271,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5777 /* Table5777 */
+    6297 /* Table6297 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -197158,7 +258281,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5779 /* Table5779 */
+    6299 /* Table6299 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -197803,7 +258926,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5749 /* Table5749 */
+    6269 /* Table6269 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -197813,7 +258936,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5751 /* Table5751 */
+    6271 /* Table6271 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -198263,7 +259386,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5753 /* Table5753 */
+    6273 /* Table6273 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -198273,7 +259396,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5755 /* Table5755 */
+    6275 /* Table6275 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -198283,7 +259406,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5757 /* Table5757 */
+    6277 /* Table6277 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -198293,7 +259416,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5759 /* Table5759 */
+    6279 /* Table6279 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -198433,7 +259556,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5761 /* Table5761 */
+    6281 /* Table6281 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -198443,7 +259566,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5763 /* Table5763 */
+    6283 /* Table6283 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -198788,27 +259911,27 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5781 /* Table5781 */
+    6301 /* Table6301 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5783 /* Table5783 */
+    6303 /* Table6303 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5785 /* Table5785 */
+    6305 /* Table6305 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5787 /* Table5787 */
+    6307 /* Table6307 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5789 /* Table5789 */
+    6309 /* Table6309 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -198868,27 +259991,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5791 /* Table5791 */
+    6311 /* Table6311 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5793 /* Table5793 */
+    6313 /* Table6313 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5795 /* Table5795 */
+    6315 /* Table6315 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5797 /* Table5797 */
+    6317 /* Table6317 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5799 /* Table5799 */
+    6319 /* Table6319 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -198898,7 +260021,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5801 /* Table5801 */
+    6321 /* Table6321 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -198948,27 +260071,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5803 /* Table5803 */
+    6323 /* Table6323 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5805 /* Table5805 */
+    6325 /* Table6325 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5807 /* Table5807 */
+    6327 /* Table6327 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5809 /* Table5809 */
+    6329 /* Table6329 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5811 /* Table5811 */
+    6331 /* Table6331 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -198993,7 +260116,7 @@
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5813 /* Table5813 */
+    6333 /* Table6333 */
    },
    /* 0x3b */
    { /* ModRMDecision */
@@ -200083,7 +261206,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5815 /* Table5815 */
+    6335 /* Table6335 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -200098,7 +261221,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5817 /* Table5817 */
+    6337 /* Table6337 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -200108,7 +261231,7 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5819 /* Table5819 */
+    6339 /* Table6339 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -200138,7 +261261,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5821 /* Table5821 */
+    6341 /* Table6341 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -200153,27 +261276,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5823 /* Table5823 */
+    6343 /* Table6343 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5825 /* Table5825 */
+    6345 /* Table6345 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5827 /* Table5827 */
+    6347 /* Table6347 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5829 /* Table5829 */
+    6349 /* Table6349 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5831 /* Table5831 */
+    6351 /* Table6351 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -200183,7 +261306,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5833 /* Table5833 */
+    6353 /* Table6353 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -200196,9 +261319,9 @@
     0 /* EmptyTable */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6355 /* Table6355 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -200233,32 +261356,32 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5835 /* Table5835 */
+    6357 /* Table6357 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5837 /* Table5837 */
+    6359 /* Table6359 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5839 /* Table5839 */
+    6361 /* Table6361 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5841 /* Table5841 */
+    6363 /* Table6363 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5843 /* Table5843 */
+    6365 /* Table6365 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5845 /* Table5845 */
+    6367 /* Table6367 */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -200273,7 +261396,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5847 /* Table5847 */
+    6369 /* Table6369 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -200283,7 +261406,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5849 /* Table5849 */
+    6371 /* Table6371 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -200293,7 +261416,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5851 /* Table5851 */
+    6373 /* Table6373 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -200303,12 +261426,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5853 /* Table5853 */
+    6375 /* Table6375 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5855 /* Table5855 */
+    6377 /* Table6377 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -200326,24 +261449,24 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6379 /* Table6379 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5857 /* Table5857 */
+    6381 /* Table6381 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5859 /* Table5859 */
+    6383 /* Table6383 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5861 /* Table5861 */
+    6385 /* Table6385 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -200368,7 +261491,7 @@
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5863 /* Table5863 */
+    6387 /* Table6387 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -200378,7 +261501,7 @@
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5865 /* Table5865 */
+    6389 /* Table6389 */
    },
    /* 0x4f */
    { /* ModRMDecision */
@@ -200428,7 +261551,7 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5867 /* Table5867 */
+    6391 /* Table6391 */
    },
    /* 0x59 */
    { /* ModRMDecision */
@@ -200436,9 +261559,9 @@
     0 /* EmptyTable */
    },
    /* 0x5a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6393 /* Table6393 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -200578,12 +261701,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5869 /* Table5869 */
+    6395 /* Table6395 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5871 /* Table5871 */
+    6397 /* Table6397 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -200608,7 +261731,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5873 /* Table5873 */
+    6399 /* Table6399 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -200618,12 +261741,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5875 /* Table5875 */
+    6401 /* Table6401 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5877 /* Table5877 */
+    6403 /* Table6403 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -200738,17 +261861,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5879 /* Table5879 */
+    6405 /* Table6405 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5881 /* Table5881 */
+    6407 /* Table6407 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5883 /* Table5883 */
+    6409 /* Table6409 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -200758,7 +261881,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5885 /* Table5885 */
+    6411 /* Table6411 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -200768,7 +261891,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5887 /* Table5887 */
+    6413 /* Table6413 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -200778,7 +261901,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5889 /* Table5889 */
+    6415 /* Table6415 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -200818,17 +261941,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5891 /* Table5891 */
+    6417 /* Table6417 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5893 /* Table5893 */
+    6419 /* Table6419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5895 /* Table5895 */
+    6421 /* Table6421 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -200838,7 +261961,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5897 /* Table5897 */
+    6423 /* Table6423 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -200848,7 +261971,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5899 /* Table5899 */
+    6425 /* Table6425 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -200858,7 +261981,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5901 /* Table5901 */
+    6427 /* Table6427 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -200968,7 +262091,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5903 /* Table5903 */
+    6429 /* Table6429 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -200998,7 +262121,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5905 /* Table5905 */
+    6431 /* Table6431 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -201008,7 +262131,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5907 /* Table5907 */
+    6433 /* Table6433 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -201468,7 +262591,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5909 /* Table5909 */
+    6435 /* Table6435 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -201483,7 +262606,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5911 /* Table5911 */
+    6437 /* Table6437 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -202668,7 +263791,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5913 /* Table5913 */
+    6439 /* Table6439 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -202683,7 +263806,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5915 /* Table5915 */
+    6441 /* Table6441 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -202713,7 +263836,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5917 /* Table5917 */
+    6443 /* Table6443 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -202753,17 +263876,17 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5919 /* Table5919 */
+    6445 /* Table6445 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5921 /* Table5921 */
+    6447 /* Table6447 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5923 /* Table5923 */
+    6449 /* Table6449 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -202828,12 +263951,12 @@
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5925 /* Table5925 */
+    6451 /* Table6451 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5927 /* Table5927 */
+    6453 /* Table6453 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -202843,7 +263966,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5929 /* Table5929 */
+    6455 /* Table6455 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -202853,7 +263976,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5931 /* Table5931 */
+    6457 /* Table6457 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -202863,7 +263986,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5933 /* Table5933 */
+    6459 /* Table6459 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -202873,7 +263996,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5935 /* Table5935 */
+    6461 /* Table6461 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -202896,24 +264019,24 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6463 /* Table6463 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5937 /* Table5937 */
+    6465 /* Table6465 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5939 /* Table5939 */
+    6467 /* Table6467 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5941 /* Table5941 */
+    6469 /* Table6469 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -202938,7 +264061,7 @@
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5943 /* Table5943 */
+    6471 /* Table6471 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -202948,7 +264071,7 @@
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5945 /* Table5945 */
+    6473 /* Table6473 */
    },
    /* 0x4f */
    { /* ModRMDecision */
@@ -203003,7 +264126,1292 @@
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5947 /* Table5947 */
+    6475 /* Table6475 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6477 /* Table6477 */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6479 /* Table6479 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6481 /* Table6481 */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6483 /* Table6483 */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6485 /* Table6485 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6487 /* Table6487 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6489 /* Table6489 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6491 /* Table6491 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6493 /* Table6493 */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6495 /* Table6495 */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6497 /* Table6497 */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6499 /* Table6499 */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6501 /* Table6501 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6503 /* Table6503 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6505 /* Table6505 */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6507 /* Table6507 */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6509 /* Table6509 */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6511 /* Table6511 */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6513 /* Table6513 */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6515 /* Table6515 */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6517 /* Table6517 */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_XS_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6519 /* Table6519 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6521 /* Table6521 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6523 /* Table6523 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6525 /* Table6525 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6527 /* Table6527 */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6529 /* Table6529 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6531 /* Table6531 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6533 /* Table6533 */
+   },
+   /* 0x24 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6535 /* Table6535 */
+   },
+   /* 0x25 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6537 /* Table6537 */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6539 /* Table6539 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6541 /* Table6541 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6543 /* Table6543 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6545 /* Table6545 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6547 /* Table6547 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -203146,14 +265554,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5949 /* Table5949 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5951 /* Table5951 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -203176,9 +265584,9 @@
     0 /* EmptyTable */
    },
    /* 0x7c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5953 /* Table5953 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -203186,14 +265594,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5955 /* Table5955 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5957 /* Table5957 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -203306,19 +265714,19 @@
     0 /* EmptyTable */
    },
    /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5959 /* Table5959 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5961 /* Table5961 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5963 /* Table5963 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -203326,9 +265734,9 @@
     0 /* EmptyTable */
    },
    /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5965 /* Table5965 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -203336,9 +265744,9 @@
     0 /* EmptyTable */
    },
    /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5967 /* Table5967 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -203346,9 +265754,9 @@
     0 /* EmptyTable */
    },
    /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5969 /* Table5969 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -203386,19 +265794,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5971 /* Table5971 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5973 /* Table5973 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5975 /* Table5975 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -203406,9 +265814,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5977 /* Table5977 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -203416,9 +265824,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5979 /* Table5979 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -203426,9 +265834,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5981 /* Table5981 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -203536,9 +265944,9 @@
     0 /* EmptyTable */
    },
    /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5983 /* Table5983 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -203566,9 +265974,9 @@
     0 /* EmptyTable */
    },
    /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5985 /* Table5985 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -203576,9 +265984,9 @@
     0 /* EmptyTable */
    },
    /* 0xcc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5987 /* Table5987 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -203991,9 +266399,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6549 /* Table6549 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -204006,29 +266414,29 @@
     0 /* EmptyTable */
    },
    /* 0x21 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6551 /* Table6551 */
    },
    /* 0x22 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6553 /* Table6553 */
    },
    /* 0x23 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6555 /* Table6555 */
    },
    /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6557 /* Table6557 */
    },
    /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6559 /* Table6559 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -204086,29 +266494,29 @@
     0 /* EmptyTable */
    },
    /* 0x31 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6561 /* Table6561 */
    },
    /* 0x32 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6563 /* Table6563 */
    },
    /* 0x33 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6565 /* Table6565 */
    },
    /* 0x34 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6567 /* Table6567 */
    },
    /* 0x35 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6569 /* Table6569 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -204126,9 +266534,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6571 /* Table6571 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -204136,9 +266544,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6573 /* Table6573 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -204146,9 +266554,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6575 /* Table6575 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -204156,14 +266564,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6577 /* Table6577 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6579 /* Table6579 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -204181,9 +266589,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6581 /* Table6581 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -204343,12 +266751,12 @@
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5989 /* Table5989 */
+    6583 /* Table6583 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5991 /* Table5991 */
+    6585 /* Table6585 */
    },
    /* 0x66 */
    { /* ModRMDecision */
@@ -204431,14 +266839,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6587 /* Table6587 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6589 /* Table6589 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -204471,14 +266879,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6591 /* Table6591 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6593 /* Table6593 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -204563,22 +266971,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5993 /* Table5993 */
+    6595 /* Table6595 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5995 /* Table5995 */
+    6597 /* Table6597 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5997 /* Table5997 */
+    6599 /* Table6599 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5999 /* Table5999 */
+    6601 /* Table6601 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -204643,22 +267051,22 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6001 /* Table6001 */
+    6603 /* Table6603 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6003 /* Table6003 */
+    6605 /* Table6605 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6005 /* Table6005 */
+    6607 /* Table6607 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6007 /* Table6007 */
+    6609 /* Table6609 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -204823,7 +267231,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6009 /* Table6009 */
+    6611 /* Table6611 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -204831,14 +267239,14 @@
     0 /* EmptyTable */
    },
    /* 0xc6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6613 /* Table6613 */
    },
    /* 0xc7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6629 /* Table6629 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -205281,9 +267689,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6645 /* Table6645 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -205326,9 +267734,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6647 /* Table6647 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -205411,9 +267819,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6649 /* Table6649 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -205421,9 +267829,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6651 /* Table6651 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -205431,9 +267839,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6653 /* Table6653 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -205441,9 +267849,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6655 /* Table6655 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -205466,9 +267874,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6657 /* Table6657 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -205628,12 +268036,12 @@
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6011 /* Table6011 */
+    6659 /* Table6659 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6013 /* Table6013 */
+    6661 /* Table6661 */
    },
    /* 0x66 */
    { /* ModRMDecision */
@@ -205716,14 +268124,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6663 /* Table6663 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6665 /* Table6665 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -205756,14 +268164,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6667 /* Table6667 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6669 /* Table6669 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -205848,22 +268256,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6015 /* Table6015 */
+    6671 /* Table6671 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6017 /* Table6017 */
+    6673 /* Table6673 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6019 /* Table6019 */
+    6675 /* Table6675 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6021 /* Table6021 */
+    6677 /* Table6677 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -205928,22 +268336,22 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6023 /* Table6023 */
+    6679 /* Table6679 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6025 /* Table6025 */
+    6681 /* Table6681 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6027 /* Table6027 */
+    6683 /* Table6683 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6029 /* Table6029 */
+    6685 /* Table6685 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -206108,7 +268516,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6031 /* Table6031 */
+    6687 /* Table6687 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -206116,14 +268524,14 @@
     0 /* EmptyTable */
    },
    /* 0xc6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6689 /* Table6689 */
    },
    /* 0xc7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6705 /* Table6705 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -207428,7 +269836,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6033 /* Table6033 */
+    6721 /* Table6721 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -207438,7 +269846,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6035 /* Table6035 */
+    6723 /* Table6723 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -208713,7 +271121,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6037 /* Table6037 */
+    6725 /* Table6725 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -208723,7 +271131,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6039 /* Table6039 */
+    6727 /* Table6727 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -209131,9 +271539,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6729 /* Table6729 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -209268,7 +271676,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6041 /* Table6041 */
+    6731 /* Table6731 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -209278,7 +271686,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6043 /* Table6043 */
+    6733 /* Table6733 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -209288,7 +271696,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6045 /* Table6045 */
+    6735 /* Table6735 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -209298,12 +271706,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6047 /* Table6047 */
+    6737 /* Table6737 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6049 /* Table6049 */
+    6739 /* Table6739 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -209321,9 +271729,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6741 /* Table6741 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -209733,17 +272141,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6051 /* Table6051 */
+    6743 /* Table6743 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6053 /* Table6053 */
+    6745 /* Table6745 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6055 /* Table6055 */
+    6747 /* Table6747 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -209753,7 +272161,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6057 /* Table6057 */
+    6749 /* Table6749 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -209763,7 +272171,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6059 /* Table6059 */
+    6751 /* Table6751 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -209773,7 +272181,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6061 /* Table6061 */
+    6753 /* Table6753 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -209813,17 +272221,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6063 /* Table6063 */
+    6755 /* Table6755 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6065 /* Table6065 */
+    6757 /* Table6757 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6067 /* Table6067 */
+    6759 /* Table6759 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -209833,7 +272241,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6069 /* Table6069 */
+    6761 /* Table6761 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -209843,7 +272251,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6071 /* Table6071 */
+    6763 /* Table6763 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -209853,7 +272261,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6073 /* Table6073 */
+    6765 /* Table6765 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -209963,7 +272371,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6075 /* Table6075 */
+    6767 /* Table6767 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -209993,7 +272401,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6077 /* Table6077 */
+    6769 /* Table6769 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -210003,7 +272411,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6079 /* Table6079 */
+    6771 /* Table6771 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -210421,9 +272829,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6773 /* Table6773 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -210466,9 +272874,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6775 /* Table6775 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -210553,7 +272961,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6081 /* Table6081 */
+    6777 /* Table6777 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -210563,7 +272971,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6083 /* Table6083 */
+    6779 /* Table6779 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -210573,7 +272981,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6085 /* Table6085 */
+    6781 /* Table6781 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -210583,7 +272991,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6087 /* Table6087 */
+    6783 /* Table6783 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -210606,9 +273014,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6785 /* Table6785 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -211018,17 +273426,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6089 /* Table6089 */
+    6787 /* Table6787 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6091 /* Table6091 */
+    6789 /* Table6789 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6093 /* Table6093 */
+    6791 /* Table6791 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -211038,7 +273446,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6095 /* Table6095 */
+    6793 /* Table6793 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -211048,7 +273456,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6097 /* Table6097 */
+    6795 /* Table6795 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -211058,7 +273466,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6099 /* Table6099 */
+    6797 /* Table6797 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -211098,17 +273506,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6101 /* Table6101 */
+    6799 /* Table6799 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6103 /* Table6103 */
+    6801 /* Table6801 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6105 /* Table6105 */
+    6803 /* Table6803 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -211118,7 +273526,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6107 /* Table6107 */
+    6805 /* Table6805 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -211128,7 +273536,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6109 /* Table6109 */
+    6807 /* Table6807 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -211138,7 +273546,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6111 /* Table6111 */
+    6809 /* Table6809 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -211248,7 +273656,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6113 /* Table6113 */
+    6811 /* Table6811 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -211278,7 +273686,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6115 /* Table6115 */
+    6813 /* Table6813 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -211288,7 +273696,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6117 /* Table6117 */
+    6815 /* Table6815 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -211701,9 +274109,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6817 /* Table6817 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -211836,9 +274244,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6819 /* Table6819 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -211846,9 +274254,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6821 /* Table6821 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -211856,9 +274264,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6823 /* Table6823 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -211866,14 +274274,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6825 /* Table6825 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6827 /* Table6827 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -211891,9 +274299,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6829 /* Table6829 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -212533,7 +274941,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6119 /* Table6119 */
+    6831 /* Table6831 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -212991,9 +275399,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6833 /* Table6833 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -213036,9 +275444,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6835 /* Table6835 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -213121,9 +275529,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6837 /* Table6837 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -213131,9 +275539,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6839 /* Table6839 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -213141,9 +275549,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6841 /* Table6841 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -213151,9 +275559,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6843 /* Table6843 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -213176,9 +275584,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6845 /* Table6845 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -213818,7 +276226,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6121 /* Table6121 */
+    6847 /* Table6847 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -214271,9 +276679,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6849 /* Table6849 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -214406,9 +276814,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6851 /* Table6851 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -214416,9 +276824,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6853 /* Table6853 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -214426,9 +276834,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6855 /* Table6855 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -214436,14 +276844,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6857 /* Table6857 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6859 /* Table6859 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -214461,9 +276869,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6861 /* Table6861 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -215103,7 +277511,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6123 /* Table6123 */
+    6863 /* Table6863 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -215561,9 +277969,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6865 /* Table6865 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -215606,9 +278014,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6867 /* Table6867 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -215691,9 +278099,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6869 /* Table6869 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -215701,9 +278109,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6871 /* Table6871 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -215711,9 +278119,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6873 /* Table6873 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -215721,9 +278129,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6875 /* Table6875 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -215746,9 +278154,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6877 /* Table6877 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -216388,7 +278796,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6125 /* Table6125 */
+    6879 /* Table6879 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -216778,27 +279186,27 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6127 /* Table6127 */
+    6881 /* Table6881 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6129 /* Table6129 */
+    6883 /* Table6883 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6131 /* Table6131 */
+    6885 /* Table6885 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6133 /* Table6133 */
+    6887 /* Table6887 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6135 /* Table6135 */
+    6889 /* Table6889 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -216858,27 +279266,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6137 /* Table6137 */
+    6891 /* Table6891 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6139 /* Table6139 */
+    6893 /* Table6893 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6141 /* Table6141 */
+    6895 /* Table6895 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6143 /* Table6143 */
+    6897 /* Table6897 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6145 /* Table6145 */
+    6899 /* Table6899 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -216938,27 +279346,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6147 /* Table6147 */
+    6901 /* Table6901 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6149 /* Table6149 */
+    6903 /* Table6903 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6151 /* Table6151 */
+    6905 /* Table6905 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6153 /* Table6153 */
+    6907 /* Table6907 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6155 /* Table6155 */
+    6909 /* Table6909 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -218126,9 +280534,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6911 /* Table6911 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -218141,29 +280549,29 @@
     0 /* EmptyTable */
    },
    /* 0x21 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6913 /* Table6913 */
    },
    /* 0x22 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6915 /* Table6915 */
    },
    /* 0x23 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6917 /* Table6917 */
    },
    /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6919 /* Table6919 */
    },
    /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6921 /* Table6921 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -218221,29 +280629,29 @@
     0 /* EmptyTable */
    },
    /* 0x31 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6923 /* Table6923 */
    },
    /* 0x32 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6925 /* Table6925 */
    },
    /* 0x33 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6927 /* Table6927 */
    },
    /* 0x34 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6929 /* Table6929 */
    },
    /* 0x35 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6931 /* Table6931 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -218261,9 +280669,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6933 /* Table6933 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -218271,9 +280679,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6935 /* Table6935 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -218281,9 +280689,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6937 /* Table6937 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -218291,14 +280699,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6939 /* Table6939 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6941 /* Table6941 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -218316,9 +280724,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6943 /* Table6943 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -218418,7 +280826,7 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6157 /* Table6157 */
+    6945 /* Table6945 */
    },
    /* 0x59 */
    { /* ModRMDecision */
@@ -218426,9 +280834,9 @@
     0 /* EmptyTable */
    },
    /* 0x5a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6947 /* Table6947 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -218566,14 +280974,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6949 /* Table6949 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6951 /* Table6951 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -218598,7 +281006,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6159 /* Table6159 */
+    6953 /* Table6953 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -218606,14 +281014,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6955 /* Table6955 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6957 /* Table6957 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -218958,7 +281366,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6161 /* Table6161 */
+    6959 /* Table6959 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -219416,9 +281824,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6961 /* Table6961 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -219461,9 +281869,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6963 /* Table6963 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -219546,9 +281954,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6965 /* Table6965 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -219556,9 +281964,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6967 /* Table6967 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -219566,9 +281974,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6969 /* Table6969 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -219576,9 +281984,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6971 /* Table6971 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -219601,9 +282009,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6973 /* Table6973 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -219708,7 +282116,7 @@
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6163 /* Table6163 */
+    6975 /* Table6975 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -219716,9 +282124,9 @@
     0 /* EmptyTable */
    },
    /* 0x5b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6977 /* Table6977 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -219851,14 +282259,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6979 /* Table6979 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6981 /* Table6981 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -219883,7 +282291,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6165 /* Table6165 */
+    6983 /* Table6983 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -219891,14 +282299,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6985 /* Table6985 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6987 /* Table6987 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -220243,7 +282651,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6167 /* Table6167 */
+    6989 /* Table6989 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -220541,8 +282949,8 @@
     0 /* EmptyTable */
    }
   }
- }
-, /* IC_OF */
+ },
+ /* IC_OF */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -221829,7 +284237,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerThreeByte38Opcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 7, 0, 8, 9, 10, 11, 12, 13, 0, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 0, 0, 0, 25, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 28, 0, 0, 0, 0, 0, 0, 0, 29, 0, 30, 0, 31, 0, 32, 0, 33, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 34, 0, 0, 0, 35, 0, 0, 0, 36, 0, 0, 0, 37, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 38, 0, 0, 0, 39, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 40, 0, 0, 0, 41, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 42, 0, 0, 0, 43, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 44, 0, 45, 0, 0, 0, 46, };
+1, 2, 3, 4, 0, 5, 6, 7, 0, 8, 9, 10, 11, 12, 13, 0, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 0, 0, 0, 25, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 28, 0, 0, 0, 0, 0, 0, 0, 29, 0, 30, 0, 31, 0, 32, 0, 33, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 34, 0, 35, 0, 0, 0, 36, 0, 0, 0, 37, 0, 0, 0, 38, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 39, 0, 0, 0, 40, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 41, 0, 0, 0, 42, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 43, 0, 0, 0, 44, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 45, 0, 46, 0, 0, 0, 47, };
 static const struct OpcodeDecision x86DisassemblerThreeByte3AOpcodes[] = {
  /* IC */
  { /* struct OpcodeDecision */
@@ -221912,7 +284320,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -222857,7 +285265,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -223197,7 +285605,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -224142,7 +286550,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -224447,42 +286855,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6173 /* Table6173 */
+    6995 /* Table6995 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6175 /* Table6175 */
+    6997 /* Table6997 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6177 /* Table6177 */
+    6999 /* Table6999 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6179 /* Table6179 */
+    7001 /* Table7001 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6181 /* Table6181 */
+    7003 /* Table7003 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6183 /* Table6183 */
+    7005 /* Table7005 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6185 /* Table6185 */
+    7007 /* Table7007 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6187 /* Table6187 */
+    7009 /* Table7009 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -224507,22 +286915,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6189 /* Table6189 */
+    7011 /* Table7011 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6191 /* Table6191 */
+    7013 /* Table7013 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6193 /* Table6193 */
+    7015 /* Table7015 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6195 /* Table6195 */
+    7017 /* Table7017 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -224567,17 +286975,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6197 /* Table6197 */
+    7019 /* Table7019 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6199 /* Table6199 */
+    7021 /* Table7021 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6201 /* Table6201 */
+    7023 /* Table7023 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -224727,17 +287135,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6203 /* Table6203 */
+    7025 /* Table7025 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6205 /* Table6205 */
+    7027 /* Table7027 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6207 /* Table6207 */
+    7029 /* Table7029 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -224747,7 +287155,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6209 /* Table6209 */
+    7031 /* Table7031 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -224887,22 +287295,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6211 /* Table6211 */
+    7033 /* Table7033 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6213 /* Table6213 */
+    7035 /* Table7035 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6215 /* Table6215 */
+    7037 /* Table7037 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6217 /* Table6217 */
+    7039 /* Table7039 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -225427,7 +287835,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -225522,7 +287930,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6219 /* Table6219 */
+    7041 /* Table7041 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -225767,7 +288175,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -226712,7 +289120,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -227052,7 +289460,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -227997,7 +290405,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -228337,7 +290745,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -229282,7 +291690,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -229622,7 +292030,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -230567,7 +292975,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -230872,42 +293280,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6173 /* Table6173 */
+    6995 /* Table6995 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6175 /* Table6175 */
+    6997 /* Table6997 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6177 /* Table6177 */
+    6999 /* Table6999 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6179 /* Table6179 */
+    7001 /* Table7001 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6181 /* Table6181 */
+    7003 /* Table7003 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6183 /* Table6183 */
+    7005 /* Table7005 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6185 /* Table6185 */
+    7007 /* Table7007 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6187 /* Table6187 */
+    7009 /* Table7009 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -230932,22 +293340,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6189 /* Table6189 */
+    7011 /* Table7011 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6191 /* Table6191 */
+    7013 /* Table7013 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6193 /* Table6193 */
+    7015 /* Table7015 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6195 /* Table6195 */
+    7017 /* Table7017 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -230992,17 +293400,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6197 /* Table6197 */
+    7019 /* Table7019 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6199 /* Table6199 */
+    7021 /* Table7021 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6201 /* Table6201 */
+    7023 /* Table7023 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -231152,17 +293560,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6203 /* Table6203 */
+    7025 /* Table7025 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6205 /* Table6205 */
+    7027 /* Table7027 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6207 /* Table6207 */
+    7029 /* Table7029 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -231172,7 +293580,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6209 /* Table6209 */
+    7031 /* Table7031 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -231312,22 +293720,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6211 /* Table6211 */
+    7033 /* Table7033 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6213 /* Table6213 */
+    7035 /* Table7035 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6215 /* Table6215 */
+    7037 /* Table7037 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6217 /* Table6217 */
+    7039 /* Table7039 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -231852,7 +294260,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -231947,7 +294355,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6219 /* Table6219 */
+    7041 /* Table7041 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -232192,7 +294600,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -233137,7 +295545,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -233477,7 +295885,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -234422,7 +296830,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -234762,7 +297170,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -235707,7 +298115,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -236047,7 +298455,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -236992,7 +299400,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -237332,7 +299740,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    6991 /* Table6991 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -238277,7 +300685,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -238582,42 +300990,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6173 /* Table6173 */
+    6995 /* Table6995 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6175 /* Table6175 */
+    6997 /* Table6997 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6177 /* Table6177 */
+    6999 /* Table6999 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6179 /* Table6179 */
+    7001 /* Table7001 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6181 /* Table6181 */
+    7003 /* Table7003 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6183 /* Table6183 */
+    7005 /* Table7005 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6185 /* Table6185 */
+    7007 /* Table7007 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6187 /* Table6187 */
+    7009 /* Table7009 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -238642,22 +301050,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6189 /* Table6189 */
+    7011 /* Table7011 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6191 /* Table6191 */
+    7013 /* Table7013 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6221 /* Table6221 */
+    7043 /* Table7043 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6195 /* Table6195 */
+    7017 /* Table7017 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -238702,17 +301110,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6197 /* Table6197 */
+    7019 /* Table7019 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6199 /* Table6199 */
+    7021 /* Table7021 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6223 /* Table6223 */
+    7045 /* Table7045 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -238862,17 +301270,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6203 /* Table6203 */
+    7025 /* Table7025 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6205 /* Table6205 */
+    7027 /* Table7027 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6207 /* Table6207 */
+    7029 /* Table7029 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -238882,7 +301290,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6209 /* Table6209 */
+    7031 /* Table7031 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -239022,22 +301430,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6211 /* Table6211 */
+    7033 /* Table7033 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6213 /* Table6213 */
+    7035 /* Table7035 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6215 /* Table6215 */
+    7037 /* Table7037 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6217 /* Table6217 */
+    7039 /* Table7039 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -239562,7 +301970,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    6993 /* Table6993 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -239657,7 +302065,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6219 /* Table6219 */
+    7041 /* Table7041 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -241027,7 +303435,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6225 /* Table6225 */
+    7047 /* Table7047 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -241122,7 +303530,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6227 /* Table6227 */
+    7049 /* Table7049 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -241132,12 +303540,12 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6229 /* Table6229 */
+    7051 /* Table7051 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6231 /* Table6231 */
+    7053 /* Table7053 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -241152,42 +303560,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6233 /* Table6233 */
+    7055 /* Table7055 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6235 /* Table6235 */
+    7057 /* Table7057 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7059 /* Table7059 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7061 /* Table7061 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6241 /* Table6241 */
+    7063 /* Table7063 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6243 /* Table6243 */
+    7065 /* Table7065 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6245 /* Table6245 */
+    7067 /* Table7067 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6247 /* Table6247 */
+    7069 /* Table7069 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -241212,22 +303620,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6249 /* Table6249 */
+    7071 /* Table7071 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6251 /* Table6251 */
+    7073 /* Table7073 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6253 /* Table6253 */
+    7075 /* Table7075 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6255 /* Table6255 */
+    7077 /* Table7077 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -241257,7 +303665,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6257 /* Table6257 */
+    7079 /* Table7079 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -241272,17 +303680,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6259 /* Table6259 */
+    7081 /* Table7081 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6261 /* Table6261 */
+    7083 /* Table7083 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6263 /* Table6263 */
+    7085 /* Table7085 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -241432,17 +303840,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6265 /* Table6265 */
+    7087 /* Table7087 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6267 /* Table6267 */
+    7089 /* Table7089 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6269 /* Table6269 */
+    7091 /* Table7091 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -241452,7 +303860,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6271 /* Table6271 */
+    7093 /* Table7093 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -241472,27 +303880,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6273 /* Table6273 */
+    7095 /* Table7095 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6275 /* Table6275 */
+    7097 /* Table7097 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6277 /* Table6277 */
+    7099 /* Table7099 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6279 /* Table6279 */
+    7101 /* Table7101 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6281 /* Table6281 */
+    7103 /* Table7103 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -241572,42 +303980,42 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6283 /* Table6283 */
+    7105 /* Table7105 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6285 /* Table6285 */
+    7107 /* Table7107 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6287 /* Table6287 */
+    7109 /* Table7109 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6289 /* Table6289 */
+    7111 /* Table7111 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6291 /* Table6291 */
+    7113 /* Table7113 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6293 /* Table6293 */
+    7115 /* Table7115 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6295 /* Table6295 */
+    7117 /* Table7117 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6297 /* Table6297 */
+    7119 /* Table7119 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -241632,42 +304040,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6299 /* Table6299 */
+    7121 /* Table7121 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6301 /* Table6301 */
+    7123 /* Table7123 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6303 /* Table6303 */
+    7125 /* Table7125 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6305 /* Table6305 */
+    7127 /* Table7127 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6307 /* Table6307 */
+    7129 /* Table7129 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6309 /* Table6309 */
+    7131 /* Table7131 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6311 /* Table6311 */
+    7133 /* Table7133 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6313 /* Table6313 */
+    7135 /* Table7135 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -241712,42 +304120,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6315 /* Table6315 */
+    7137 /* Table7137 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6317 /* Table6317 */
+    7139 /* Table7139 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6319 /* Table6319 */
+    7141 /* Table7141 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6321 /* Table6321 */
+    7143 /* Table7143 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6323 /* Table6323 */
+    7145 /* Table7145 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6325 /* Table6325 */
+    7147 /* Table7147 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6327 /* Table6327 */
+    7149 /* Table7149 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6329 /* Table6329 */
+    7151 /* Table7151 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -242227,7 +304635,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6331 /* Table6331 */
+    7153 /* Table7153 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -243597,7 +306005,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6333 /* Table6333 */
+    7155 /* Table7155 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -243692,7 +306100,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6227 /* Table6227 */
+    7049 /* Table7049 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -243702,12 +306110,12 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6229 /* Table6229 */
+    7051 /* Table7051 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6231 /* Table6231 */
+    7053 /* Table7053 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -243722,42 +306130,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6233 /* Table6233 */
+    7055 /* Table7055 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6235 /* Table6235 */
+    7057 /* Table7057 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7059 /* Table7059 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7061 /* Table7061 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6241 /* Table6241 */
+    7063 /* Table7063 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6243 /* Table6243 */
+    7065 /* Table7065 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6245 /* Table6245 */
+    7067 /* Table7067 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6247 /* Table6247 */
+    7069 /* Table7069 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -243782,22 +306190,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6249 /* Table6249 */
+    7071 /* Table7071 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6251 /* Table6251 */
+    7073 /* Table7073 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6335 /* Table6335 */
+    7157 /* Table7157 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6255 /* Table6255 */
+    7077 /* Table7077 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -243827,7 +306235,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6257 /* Table6257 */
+    7079 /* Table7079 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -243842,17 +306250,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6259 /* Table6259 */
+    7081 /* Table7081 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6261 /* Table6261 */
+    7083 /* Table7083 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6337 /* Table6337 */
+    7159 /* Table7159 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -243922,7 +306330,7 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6339 /* Table6339 */
+    7161 /* Table7161 */
    },
    /* 0x31 */
    { /* ModRMDecision */
@@ -243932,7 +306340,7 @@
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6341 /* Table6341 */
+    7163 /* Table7163 */
    },
    /* 0x33 */
    { /* ModRMDecision */
@@ -244002,17 +306410,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6265 /* Table6265 */
+    7087 /* Table7087 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6267 /* Table6267 */
+    7089 /* Table7089 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6269 /* Table6269 */
+    7091 /* Table7091 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -244022,7 +306430,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6271 /* Table6271 */
+    7093 /* Table7093 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -244042,27 +306450,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6343 /* Table6343 */
+    7165 /* Table7165 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6345 /* Table6345 */
+    7167 /* Table7167 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6277 /* Table6277 */
+    7099 /* Table7099 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6279 /* Table6279 */
+    7101 /* Table7101 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6281 /* Table6281 */
+    7103 /* Table7103 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -244142,42 +306550,42 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6347 /* Table6347 */
+    7169 /* Table7169 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6349 /* Table6349 */
+    7171 /* Table7171 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6351 /* Table6351 */
+    7173 /* Table7173 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6353 /* Table6353 */
+    7175 /* Table7175 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6291 /* Table6291 */
+    7113 /* Table7113 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6293 /* Table6293 */
+    7115 /* Table7115 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6295 /* Table6295 */
+    7117 /* Table7117 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6297 /* Table6297 */
+    7119 /* Table7119 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -244202,42 +306610,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6355 /* Table6355 */
+    7177 /* Table7177 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6357 /* Table6357 */
+    7179 /* Table7179 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6359 /* Table6359 */
+    7181 /* Table7181 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6361 /* Table6361 */
+    7183 /* Table7183 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6363 /* Table6363 */
+    7185 /* Table7185 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6365 /* Table6365 */
+    7187 /* Table7187 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6367 /* Table6367 */
+    7189 /* Table7189 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6369 /* Table6369 */
+    7191 /* Table7191 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -244282,42 +306690,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6371 /* Table6371 */
+    7193 /* Table7193 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6373 /* Table6373 */
+    7195 /* Table7195 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6375 /* Table6375 */
+    7197 /* Table7197 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6377 /* Table6377 */
+    7199 /* Table7199 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6379 /* Table6379 */
+    7201 /* Table7201 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6381 /* Table6381 */
+    7203 /* Table7203 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6383 /* Table6383 */
+    7205 /* Table7205 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6385 /* Table6385 */
+    7207 /* Table7207 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -244797,7 +307205,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6331 /* Table6331 */
+    7153 /* Table7153 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -244977,7 +307385,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6387 /* Table6387 */
+    7209 /* Table7209 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -244987,17 +307395,17 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6389 /* Table6389 */
+    7211 /* Table7211 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6391 /* Table6391 */
+    7213 /* Table7213 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6393 /* Table6393 */
+    7215 /* Table7215 */
    },
    /* 0x07 */
    { /* ModRMDecision */
@@ -245007,42 +307415,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6395 /* Table6395 */
+    7217 /* Table7217 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6397 /* Table6397 */
+    7219 /* Table7219 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7059 /* Table7059 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7061 /* Table7061 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6399 /* Table6399 */
+    7221 /* Table7221 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6401 /* Table6401 */
+    7223 /* Table7223 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6403 /* Table6403 */
+    7225 /* Table7225 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6405 /* Table6405 */
+    7227 /* Table7227 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -245087,12 +307495,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6407 /* Table6407 */
+    7229 /* Table7229 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6409 /* Table6409 */
+    7231 /* Table7231 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -245112,7 +307520,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6411 /* Table6411 */
+    7233 /* Table7233 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -245247,12 +307655,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6413 /* Table6413 */
+    7235 /* Table7235 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6415 /* Table6415 */
+    7237 /* Table7237 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -245287,7 +307695,7 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6417 /* Table6417 */
+    7239 /* Table7239 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -245297,7 +307705,7 @@
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6419 /* Table6419 */
+    7241 /* Table7241 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -245317,7 +307725,7 @@
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6421 /* Table6421 */
+    7243 /* Table7243 */
    },
    /* 0x47 */
    { /* ModRMDecision */
@@ -245327,27 +307735,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6423 /* Table6423 */
+    7245 /* Table7245 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6425 /* Table6425 */
+    7247 /* Table7247 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6427 /* Table6427 */
+    7249 /* Table7249 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6429 /* Table6429 */
+    7251 /* Table7251 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6431 /* Table6431 */
+    7253 /* Table7253 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -245427,22 +307835,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6433 /* Table6433 */
+    7255 /* Table7255 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6435 /* Table6435 */
+    7257 /* Table7257 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6437 /* Table6437 */
+    7259 /* Table7259 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6439 /* Table6439 */
+    7261 /* Table7261 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -245487,42 +307895,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6441 /* Table6441 */
+    7263 /* Table7263 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6443 /* Table6443 */
+    7265 /* Table7265 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6303 /* Table6303 */
+    7125 /* Table7125 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6305 /* Table6305 */
+    7127 /* Table7127 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6445 /* Table6445 */
+    7267 /* Table7267 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6447 /* Table6447 */
+    7269 /* Table7269 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6311 /* Table6311 */
+    7133 /* Table7133 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6313 /* Table6313 */
+    7135 /* Table7135 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -245567,42 +307975,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6449 /* Table6449 */
+    7271 /* Table7271 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6451 /* Table6451 */
+    7273 /* Table7273 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6319 /* Table6319 */
+    7141 /* Table7141 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6321 /* Table6321 */
+    7143 /* Table7143 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6453 /* Table6453 */
+    7275 /* Table7275 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6455 /* Table6455 */
+    7277 /* Table7277 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6327 /* Table6327 */
+    7149 /* Table7149 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6329 /* Table6329 */
+    7151 /* Table7151 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -246252,17 +308660,17 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6457 /* Table6457 */
+    7279 /* Table7279 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6459 /* Table6459 */
+    7281 /* Table7281 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6387 /* Table6387 */
+    7209 /* Table7209 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -246272,17 +308680,17 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6389 /* Table6389 */
+    7211 /* Table7211 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6391 /* Table6391 */
+    7213 /* Table7213 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6393 /* Table6393 */
+    7215 /* Table7215 */
    },
    /* 0x07 */
    { /* ModRMDecision */
@@ -246292,42 +308700,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6395 /* Table6395 */
+    7217 /* Table7217 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6397 /* Table6397 */
+    7219 /* Table7219 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7059 /* Table7059 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7061 /* Table7061 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6399 /* Table6399 */
+    7221 /* Table7221 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6401 /* Table6401 */
+    7223 /* Table7223 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6403 /* Table6403 */
+    7225 /* Table7225 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6405 /* Table6405 */
+    7227 /* Table7227 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -246372,12 +308780,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6407 /* Table6407 */
+    7229 /* Table7229 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6409 /* Table6409 */
+    7231 /* Table7231 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -246397,7 +308805,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6411 /* Table6411 */
+    7233 /* Table7233 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -246532,12 +308940,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6413 /* Table6413 */
+    7235 /* Table7235 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6415 /* Table6415 */
+    7237 /* Table7237 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -246572,7 +308980,7 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6417 /* Table6417 */
+    7239 /* Table7239 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -246582,7 +308990,7 @@
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6419 /* Table6419 */
+    7241 /* Table7241 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -246602,7 +309010,7 @@
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6421 /* Table6421 */
+    7243 /* Table7243 */
    },
    /* 0x47 */
    { /* ModRMDecision */
@@ -246612,27 +309020,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6461 /* Table6461 */
+    7283 /* Table7283 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6463 /* Table6463 */
+    7285 /* Table7285 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6427 /* Table6427 */
+    7249 /* Table7249 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6429 /* Table6429 */
+    7251 /* Table7251 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6431 /* Table6431 */
+    7253 /* Table7253 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -246712,22 +309120,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6465 /* Table6465 */
+    7287 /* Table7287 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6467 /* Table6467 */
+    7289 /* Table7289 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6469 /* Table6469 */
+    7291 /* Table7291 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6471 /* Table6471 */
+    7293 /* Table7293 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -246772,42 +309180,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6473 /* Table6473 */
+    7295 /* Table7295 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6475 /* Table6475 */
+    7297 /* Table7297 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6359 /* Table6359 */
+    7181 /* Table7181 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6361 /* Table6361 */
+    7183 /* Table7183 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6477 /* Table6477 */
+    7299 /* Table7299 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6479 /* Table6479 */
+    7301 /* Table7301 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6367 /* Table6367 */
+    7189 /* Table7189 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6369 /* Table6369 */
+    7191 /* Table7191 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -246852,42 +309260,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6481 /* Table6481 */
+    7303 /* Table7303 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6483 /* Table6483 */
+    7305 /* Table7305 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6375 /* Table6375 */
+    7197 /* Table7197 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6377 /* Table6377 */
+    7199 /* Table7199 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6485 /* Table6485 */
+    7307 /* Table7307 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6487 /* Table6487 */
+    7309 /* Table7309 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6383 /* Table6383 */
+    7205 /* Table7205 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6385 /* Table6385 */
+    7207 /* Table7207 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -247587,12 +309995,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6489 /* Table6489 */
+    7311 /* Table7311 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6491 /* Table6491 */
+    7313 /* Table7313 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -247652,7 +310060,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6493 /* Table6493 */
+    7315 /* Table7315 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -247702,7 +310110,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6495 /* Table6495 */
+    7317 /* Table7317 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -248872,12 +311280,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6489 /* Table6489 */
+    7311 /* Table7311 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6491 /* Table6491 */
+    7313 /* Table7313 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -248937,7 +311345,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6493 /* Table6493 */
+    7315 /* Table7315 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -248987,7 +311395,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6495 /* Table6495 */
+    7317 /* Table7317 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -250157,12 +312565,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6489 /* Table6489 */
+    7311 /* Table7311 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6491 /* Table6491 */
+    7313 /* Table7313 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -250222,7 +312630,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6493 /* Table6493 */
+    7315 /* Table7315 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -250272,7 +312680,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6495 /* Table6495 */
+    7317 /* Table7317 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -251407,12 +313815,12 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6497 /* Table6497 */
+    7319 /* Table7319 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6499 /* Table6499 */
+    7321 /* Table7321 */
    },
    /* 0x05 */
    { /* ModRMDecision */
@@ -251432,7 +313840,7 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6501 /* Table6501 */
+    7323 /* Table7323 */
    },
    /* 0x09 */
    { /* ModRMDecision */
@@ -251512,12 +313920,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6503 /* Table6503 */
+    7325 /* Table7325 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6505 /* Table6505 */
+    7327 /* Table7327 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -251537,17 +313945,17 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6507 /* Table6507 */
+    7329 /* Table7329 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6509 /* Table6509 */
+    7331 /* Table7331 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6511 /* Table6511 */
+    7333 /* Table7333 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -251672,12 +314080,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6513 /* Table6513 */
+    7335 /* Table7335 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6515 /* Table6515 */
+    7337 /* Table7337 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -252677,12 +315085,12 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6517 /* Table6517 */
+    7339 /* Table7339 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6519 /* Table6519 */
+    7341 /* Table7341 */
    },
    /* 0x02 */
    { /* ModRMDecision */
@@ -252692,7 +315100,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6521 /* Table6521 */
+    7343 /* Table7343 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -252702,7 +315110,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6523 /* Table6523 */
+    7345 /* Table7345 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -252722,7 +315130,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6525 /* Table6525 */
+    7347 /* Table7347 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -252807,12 +315215,12 @@
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6527 /* Table6527 */
+    7349 /* Table7349 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6529 /* Table6529 */
+    7351 /* Table7351 */
    },
    /* 0x1c */
    { /* ModRMDecision */
@@ -252827,12 +315235,12 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6531 /* Table6531 */
+    7353 /* Table7353 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6533 /* Table6533 */
+    7355 /* Table7355 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -252967,12 +315375,12 @@
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6535 /* Table6535 */
+    7357 /* Table7357 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6537 /* Table6537 */
+    7359 /* Table7359 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -253956,7 +316364,5147 @@
    }
   }
  }
-,  /* IC_OF */
+,  /* IC_EVEX_L2_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7361 /* Table7361 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7363 /* Table7363 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7365 /* Table7365 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7367 /* Table7367 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ },
+ /* IC_OF */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -255243,7 +322791,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerThreeByte3AOpcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 0, 0, 7, 8, 9, 10, 11, 0, 0, 12, 13, 14, 0, 0, 15, 16, 0, 0, 17, 18, 0, 0, 0, 19, 0, 0, 0, 20, 0, 0, 0, 21, 0, 0, 0, 22, 0, 0, 0, 0, 0, 0, 0, 23, 0, 0, 0, 24, 0, 0, 0, 25, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, };
+1, 2, 3, 4, 0, 5, 6, 0, 0, 7, 8, 9, 10, 11, 0, 0, 12, 13, 14, 0, 0, 15, 16, 0, 0, 17, 18, 0, 0, 0, 19, 0, 0, 0, 20, 0, 0, 0, 21, 0, 0, 0, 22, 0, 0, 0, 0, 0, 0, 0, 23, 0, 0, 0, 24, 0, 0, 0, 25, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 28, 0, 0, 0, 29, };
 static const struct OpcodeDecision x86DisassemblerXOP8Opcodes[] = {
  /* IC_VEX */
  { /* struct OpcodeDecision */
@@ -255916,17 +323464,17 @@
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6539 /* Table6539 */
+    7369 /* Table7369 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6541 /* Table6541 */
+    7371 /* Table7371 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6543 /* Table6543 */
+    7373 /* Table7373 */
    },
    /* 0x88 */
    { /* ModRMDecision */
@@ -255961,12 +323509,12 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6545 /* Table6545 */
+    7375 /* Table7375 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6547 /* Table6547 */
+    7377 /* Table7377 */
    },
    /* 0x90 */
    { /* ModRMDecision */
@@ -255996,17 +323544,17 @@
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6549 /* Table6549 */
+    7379 /* Table7379 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6551 /* Table6551 */
+    7381 /* Table7381 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6553 /* Table6553 */
+    7383 /* Table7383 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -256041,12 +323589,12 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6555 /* Table6555 */
+    7385 /* Table7385 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6557 /* Table6557 */
+    7387 /* Table7387 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -256061,12 +323609,12 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6559 /* Table6559 */
+    7389 /* Table7389 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6561 /* Table6561 */
+    7391 /* Table7391 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -256081,7 +323629,7 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6563 /* Table6563 */
+    7393 /* Table7393 */
    },
    /* 0xa7 */
    { /* ModRMDecision */
@@ -256161,7 +323709,7 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6565 /* Table6565 */
+    7395 /* Table7395 */
    },
    /* 0xb7 */
    { /* ModRMDecision */
@@ -256211,22 +323759,22 @@
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6567 /* Table6567 */
+    7397 /* Table7397 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6569 /* Table6569 */
+    7399 /* Table7399 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6571 /* Table6571 */
+    7401 /* Table7401 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6573 /* Table6573 */
+    7403 /* Table7403 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -256271,22 +323819,22 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6575 /* Table6575 */
+    7405 /* Table7405 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6577 /* Table6577 */
+    7407 /* Table7407 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6579 /* Table6579 */
+    7409 /* Table7409 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6581 /* Table6581 */
+    7411 /* Table7411 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -256431,22 +323979,22 @@
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6583 /* Table6583 */
+    7413 /* Table7413 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6585 /* Table6585 */
+    7415 /* Table7415 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6587 /* Table6587 */
+    7417 /* Table7417 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6589 /* Table6589 */
+    7419 /* Table7419 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -257201,17 +324749,17 @@
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6539 /* Table6539 */
+    7369 /* Table7369 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6541 /* Table6541 */
+    7371 /* Table7371 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6543 /* Table6543 */
+    7373 /* Table7373 */
    },
    /* 0x88 */
    { /* ModRMDecision */
@@ -257246,12 +324794,12 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6545 /* Table6545 */
+    7375 /* Table7375 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6547 /* Table6547 */
+    7377 /* Table7377 */
    },
    /* 0x90 */
    { /* ModRMDecision */
@@ -257281,17 +324829,17 @@
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6549 /* Table6549 */
+    7379 /* Table7379 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6551 /* Table6551 */
+    7381 /* Table7381 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6553 /* Table6553 */
+    7383 /* Table7383 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -257326,12 +324874,12 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6555 /* Table6555 */
+    7385 /* Table7385 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6557 /* Table6557 */
+    7387 /* Table7387 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -257346,12 +324894,12 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6591 /* Table6591 */
+    7421 /* Table7421 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6593 /* Table6593 */
+    7423 /* Table7423 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -257366,7 +324914,7 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6563 /* Table6563 */
+    7393 /* Table7393 */
    },
    /* 0xa7 */
    { /* ModRMDecision */
@@ -257446,7 +324994,7 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6565 /* Table6565 */
+    7395 /* Table7395 */
    },
    /* 0xb7 */
    { /* ModRMDecision */
@@ -257496,22 +325044,22 @@
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6567 /* Table6567 */
+    7397 /* Table7397 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6569 /* Table6569 */
+    7399 /* Table7399 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6571 /* Table6571 */
+    7401 /* Table7401 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6573 /* Table6573 */
+    7403 /* Table7403 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -257556,22 +325104,22 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6575 /* Table6575 */
+    7405 /* Table7405 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6577 /* Table6577 */
+    7407 /* Table7407 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6579 /* Table6579 */
+    7409 /* Table7409 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6581 /* Table6581 */
+    7411 /* Table7411 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -257716,22 +325264,22 @@
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6583 /* Table6583 */
+    7413 /* Table7413 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6585 /* Table6585 */
+    7415 /* Table7415 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6587 /* Table6587 */
+    7417 /* Table7417 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6589 /* Table6589 */
+    7419 /* Table7419 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -258631,7 +326179,7 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6595 /* Table6595 */
+    7425 /* Table7425 */
    },
    /* 0xa3 */
    { /* ModRMDecision */
@@ -259916,7 +327464,7 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6597 /* Table6597 */
+    7427 /* Table7427 */
    },
    /* 0xa3 */
    { /* ModRMDecision */
@@ -261685,12 +329233,12 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6599 /* Table6599 */
+    7429 /* Table7429 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6615 /* Table6615 */
+    7445 /* Table7445 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -262320,22 +329868,22 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6631 /* Table6631 */
+    7461 /* Table7461 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6633 /* Table6633 */
+    7463 /* Table7463 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6635 /* Table6635 */
+    7465 /* Table7465 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6637 /* Table6637 */
+    7467 /* Table7467 */
    },
    /* 0x84 */
    { /* ModRMDecision */
@@ -262400,62 +329948,62 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6639 /* Table6639 */
+    7469 /* Table7469 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6641 /* Table6641 */
+    7471 /* Table7471 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6643 /* Table6643 */
+    7473 /* Table7473 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6645 /* Table6645 */
+    7475 /* Table7475 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6647 /* Table6647 */
+    7477 /* Table7477 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6649 /* Table6649 */
+    7479 /* Table7479 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6651 /* Table6651 */
+    7481 /* Table7481 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6653 /* Table6653 */
+    7483 /* Table7483 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6655 /* Table6655 */
+    7485 /* Table7485 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6657 /* Table6657 */
+    7487 /* Table7487 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6659 /* Table6659 */
+    7489 /* Table7489 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6661 /* Table6661 */
+    7491 /* Table7491 */
    },
    /* 0x9c */
    { /* ModRMDecision */
@@ -262645,17 +330193,17 @@
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6663 /* Table6663 */
+    7493 /* Table7493 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6665 /* Table6665 */
+    7495 /* Table7495 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6667 /* Table6667 */
+    7497 /* Table7497 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -262670,12 +330218,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6669 /* Table6669 */
+    7499 /* Table7499 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6671 /* Table6671 */
+    7501 /* Table7501 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -262695,7 +330243,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6673 /* Table6673 */
+    7503 /* Table7503 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -262725,17 +330273,17 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6675 /* Table6675 */
+    7505 /* Table7505 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6677 /* Table6677 */
+    7507 /* Table7507 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6679 /* Table6679 */
+    7509 /* Table7509 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -262750,12 +330298,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6681 /* Table6681 */
+    7511 /* Table7511 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6683 /* Table6683 */
+    7513 /* Table7513 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -262775,7 +330323,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6685 /* Table6685 */
+    7515 /* Table7515 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -262805,17 +330353,17 @@
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6687 /* Table6687 */
+    7517 /* Table7517 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6689 /* Table6689 */
+    7519 /* Table7519 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6691 /* Table6691 */
+    7521 /* Table7521 */
    },
    /* 0xe4 */
    { /* ModRMDecision */
@@ -262970,12 +330518,12 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6693 /* Table6693 */
+    7523 /* Table7523 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6709 /* Table6709 */
+    7539 /* Table7539 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -263605,22 +331153,22 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6631 /* Table6631 */
+    7461 /* Table7461 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6633 /* Table6633 */
+    7463 /* Table7463 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6635 /* Table6635 */
+    7465 /* Table7465 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6637 /* Table6637 */
+    7467 /* Table7467 */
    },
    /* 0x84 */
    { /* ModRMDecision */
@@ -263685,62 +331233,62 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6725 /* Table6725 */
+    7555 /* Table7555 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6727 /* Table6727 */
+    7557 /* Table7557 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6729 /* Table6729 */
+    7559 /* Table7559 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6731 /* Table6731 */
+    7561 /* Table7561 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6733 /* Table6733 */
+    7563 /* Table7563 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6735 /* Table6735 */
+    7565 /* Table7565 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6737 /* Table6737 */
+    7567 /* Table7567 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6739 /* Table6739 */
+    7569 /* Table7569 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6741 /* Table6741 */
+    7571 /* Table7571 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6743 /* Table6743 */
+    7573 /* Table7573 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6745 /* Table6745 */
+    7575 /* Table7575 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6747 /* Table6747 */
+    7577 /* Table7577 */
    },
    /* 0x9c */
    { /* ModRMDecision */
@@ -263930,17 +331478,17 @@
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6663 /* Table6663 */
+    7493 /* Table7493 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6665 /* Table6665 */
+    7495 /* Table7495 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6667 /* Table6667 */
+    7497 /* Table7497 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -263955,12 +331503,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6669 /* Table6669 */
+    7499 /* Table7499 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6671 /* Table6671 */
+    7501 /* Table7501 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -263980,7 +331528,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6673 /* Table6673 */
+    7503 /* Table7503 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -264010,17 +331558,17 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6675 /* Table6675 */
+    7505 /* Table7505 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6677 /* Table6677 */
+    7507 /* Table7507 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6679 /* Table6679 */
+    7509 /* Table7509 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -264035,12 +331583,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6681 /* Table6681 */
+    7511 /* Table7511 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6683 /* Table6683 */
+    7513 /* Table7513 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -264060,7 +331608,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6685 /* Table6685 */
+    7515 /* Table7515 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -264090,17 +331638,17 @@
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6687 /* Table6687 */
+    7517 /* Table7517 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6689 /* Table6689 */
+    7519 /* Table7519 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6691 /* Table6691 */
+    7521 /* Table7521 */
    },
    /* 0xe4 */
    { /* ModRMDecision */
@@ -264890,12 +332438,12 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6749 /* Table6749 */
+    7579 /* Table7579 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6751 /* Table6751 */
+    7581 /* Table7581 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -266175,12 +333723,12 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6749 /* Table6749 */
+    7579 /* Table7579 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6751 /* Table6751 */
+    7581 /* Table7581 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -268189,7 +335737,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6753 /* Table6753 */
+    7583 /* Table7583 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -269474,7 +337022,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6755 /* Table6755 */
+    7585 /* Table7585 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -272033,12 +339581,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -273318,12 +340866,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -274603,12 +342151,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -275888,12 +343436,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -277168,12 +344716,12 @@
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6774 /* Table6774 */
+    7604 /* Table7604 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6776 /* Table6776 */
+    7606 /* Table7606 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -277248,12 +344796,12 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6778 /* Table6778 */
+    7608 /* Table7608 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6780 /* Table6780 */
+    7610 /* Table7610 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -277798,7 +345346,7 @@
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6782 /* Table6782 */
+    7612 /* Table7612 */
    },
    /* 0x8b */
    { /* ModRMDecision */
@@ -277818,7 +345366,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6784 /* Table6784 */
+    7614 /* Table7614 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -277828,7 +345376,7 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6786 /* Table6786 */
+    7616 /* Table7616 */
    },
    /* 0x91 */
    { /* ModRMDecision */
@@ -277848,7 +345396,7 @@
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6788 /* Table6788 */
+    7618 /* Table7618 */
    },
    /* 0x95 */
    { /* ModRMDecision */
@@ -277858,12 +345406,12 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6790 /* Table6790 */
+    7620 /* Table7620 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6792 /* Table6792 */
+    7622 /* Table7622 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -277878,7 +345426,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6794 /* Table6794 */
+    7624 /* Table7624 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -277898,7 +345446,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6796 /* Table6796 */
+    7626 /* Table7626 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -277908,7 +345456,7 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6798 /* Table6798 */
+    7628 /* Table7628 */
    },
    /* 0xa1 */
    { /* ModRMDecision */
@@ -277928,7 +345476,7 @@
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6800 /* Table6800 */
+    7630 /* Table7630 */
    },
    /* 0xa5 */
    { /* ModRMDecision */
@@ -277938,12 +345486,12 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6802 /* Table6802 */
+    7632 /* Table7632 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6804 /* Table6804 */
+    7634 /* Table7634 */
    },
    /* 0xa8 */
    { /* ModRMDecision */
@@ -277958,7 +345506,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6806 /* Table6806 */
+    7636 /* Table7636 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -277978,7 +345526,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6808 /* Table6808 */
+    7638 /* Table7638 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -277988,7 +345536,7 @@
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6810 /* Table6810 */
+    7640 /* Table7640 */
    },
    /* 0xb1 */
    { /* ModRMDecision */
@@ -278008,7 +345556,7 @@
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6812 /* Table6812 */
+    7642 /* Table7642 */
    },
    /* 0xb5 */
    { /* ModRMDecision */
@@ -278018,12 +345566,12 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6814 /* Table6814 */
+    7644 /* Table7644 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6816 /* Table6816 */
+    7646 /* Table7646 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -278043,7 +345591,7 @@
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6818 /* Table6818 */
+    7648 /* Table7648 */
    },
    /* 0xbc */
    { /* ModRMDecision */
@@ -278063,7 +345611,7 @@
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6820 /* Table6820 */
+    7650 /* Table7650 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -278458,12 +346006,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -279743,12 +347291,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -281028,12 +348576,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -282313,12 +349861,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -283598,12 +351146,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -284883,12 +352431,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -286168,12 +353716,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -287453,12 +355001,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -288738,12 +356286,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -290023,12 +357571,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7587 /* Table7587 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7603 /* Table7603 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -292526,4 +360074,3 @@
 static const uint8_t index_x86DisassemblerT3DNOWOpcodes[] = {
 1, 2, 3, 4, 5, 6, 7, 0, 0, 8, 9, 10, 11, 12, 0, 0, 13, 14, 15, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, };
 
-
diff --git a/arch/X86/X86GenDisassemblerTables_reduce.inc b/arch/X86/X86GenDisassemblerTables_reduce.inc
index 56b58c8..028520e 100644
--- a/arch/X86/X86GenDisassemblerTables_reduce.inc
+++ b/arch/X86/X86GenDisassemblerTables_reduce.inc
@@ -1295,13 +1295,14 @@
   }
 };
 
-static const struct OperandSpecifier x86OperandSets[][5] = {
+static const struct OperandSpecifier x86OperandSets[][6] = {
   { /* 0 */
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 1 */
     { ENCODING_IB, TYPE_IMM8 },
@@ -1309,6 +1310,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 2 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -1316,6 +1318,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 3 */
     { ENCODING_RM, TYPE_Mv },
@@ -1323,6 +1326,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 4 */
     { ENCODING_RM, TYPE_Mv },
@@ -1330,6 +1334,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 5 */
     { ENCODING_RM, TYPE_Mv },
@@ -1337,6 +1342,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 6 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1344,6 +1350,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 7 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1351,6 +1358,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 8 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1358,6 +1366,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 9 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1365,6 +1374,7 @@
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 10 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1372,6 +1382,7 @@
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 11 */
     { ENCODING_RM, TYPE_Mv },
@@ -1379,6 +1390,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 12 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1386,6 +1398,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 13 */
     { ENCODING_ID, TYPE_IMM64 },
@@ -1393,6 +1406,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 14 */
     { ENCODING_RM, TYPE_Mv },
@@ -1400,6 +1414,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 15 */
     { ENCODING_RM, TYPE_Mv },
@@ -1407,6 +1422,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 16 */
     { ENCODING_RM, TYPE_Mv },
@@ -1414,6 +1430,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 17 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1421,6 +1438,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 18 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1428,6 +1446,7 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 19 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1435,6 +1454,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 20 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1442,6 +1462,7 @@
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 21 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1449,6 +1470,7 @@
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 22 */
     { ENCODING_RM, TYPE_M8 },
@@ -1456,6 +1478,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 23 */
     { ENCODING_RM, TYPE_M8 },
@@ -1463,6 +1486,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 24 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1470,6 +1494,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 25 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1477,6 +1502,7 @@
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 26 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1484,6 +1510,7 @@
     { ENCODING_REG, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 27 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1491,6 +1518,7 @@
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 28 */
     { ENCODING_REG, TYPE_R32 },
@@ -1498,6 +1526,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 29 */
     { ENCODING_REG, TYPE_R32 },
@@ -1505,6 +1534,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 30 */
     { ENCODING_REG, TYPE_R64 },
@@ -1512,6 +1542,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 31 */
     { ENCODING_REG, TYPE_R64 },
@@ -1519,6 +1550,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 32 */
     { ENCODING_REG, TYPE_R32 },
@@ -1526,6 +1558,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 33 */
     { ENCODING_REG, TYPE_R32 },
@@ -1533,6 +1566,7 @@
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 34 */
     { ENCODING_REG, TYPE_R64 },
@@ -1540,6 +1574,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 35 */
     { ENCODING_REG, TYPE_R64 },
@@ -1547,6 +1582,7 @@
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 36 */
     { ENCODING_RM, TYPE_Mv },
@@ -1554,6 +1590,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 37 */
     { ENCODING_RM, TYPE_R16 },
@@ -1561,6 +1598,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 38 */
     { ENCODING_REG, TYPE_R32 },
@@ -1568,6 +1606,7 @@
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 39 */
     { ENCODING_REG, TYPE_R32 },
@@ -1575,6 +1614,7 @@
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 40 */
     { ENCODING_REG, TYPE_R64 },
@@ -1582,6 +1622,7 @@
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 41 */
     { ENCODING_REG, TYPE_R64 },
@@ -1589,6 +1630,7 @@
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 42 */
     { ENCODING_REG, TYPE_R32 },
@@ -1596,6 +1638,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 43 */
     { ENCODING_REG, TYPE_R32 },
@@ -1603,6 +1646,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 44 */
     { ENCODING_REG, TYPE_R64 },
@@ -1610,6 +1654,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 45 */
     { ENCODING_REG, TYPE_R64 },
@@ -1617,6 +1662,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 46 */
     { ENCODING_VVVV, TYPE_R32 },
@@ -1624,6 +1670,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 47 */
     { ENCODING_VVVV, TYPE_R32 },
@@ -1631,6 +1678,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 48 */
     { ENCODING_VVVV, TYPE_R64 },
@@ -1638,6 +1686,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 49 */
     { ENCODING_VVVV, TYPE_R64 },
@@ -1645,6 +1694,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 50 */
     { ENCODING_REG, TYPE_Rv },
@@ -1652,6 +1702,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 51 */
     { ENCODING_REG, TYPE_Rv },
@@ -1659,6 +1710,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 52 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1666,6 +1718,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 53 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1673,6 +1726,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 54 */
     { ENCODING_RM, TYPE_Rv },
@@ -1680,6 +1734,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 55 */
     { ENCODING_RM, TYPE_Rv },
@@ -1687,6 +1742,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 56 */
     { ENCODING_RM, TYPE_Rv },
@@ -1694,6 +1750,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 57 */
     { ENCODING_RM, TYPE_R64 },
@@ -1701,6 +1758,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 58 */
     { ENCODING_RM, TYPE_R64 },
@@ -1708,6 +1766,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 59 */
     { ENCODING_RM, TYPE_Mv },
@@ -1715,6 +1774,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 60 */
     { ENCODING_RM, TYPE_Rv },
@@ -1722,6 +1782,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 61 */
     { ENCODING_ID, TYPE_REL64 },
@@ -1729,6 +1790,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 62 */
     { ENCODING_RM, TYPE_R64 },
@@ -1736,6 +1798,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 63 */
     { ENCODING_IW, TYPE_REL16 },
@@ -1743,6 +1806,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 64 */
     { ENCODING_ID, TYPE_REL32 },
@@ -1750,6 +1814,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 65 */
     { ENCODING_RM, TYPE_Rv },
@@ -1757,6 +1822,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 66 */
     { ENCODING_RM, TYPE_R64 },
@@ -1764,6 +1830,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 67 */
     { ENCODING_RM, TYPE_R8 },
@@ -1771,6 +1838,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 68 */
     { ENCODING_REG, TYPE_R8 },
@@ -1778,6 +1846,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 69 */
     { ENCODING_RM, TYPE_R8 },
@@ -1785,6 +1854,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 70 */
     { ENCODING_REG, TYPE_R8 },
@@ -1792,10 +1862,12 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 71 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
-    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_DI, TYPE_DSTIDX8 },
+    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1806,6 +1878,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 73 */
     { ENCODING_DI, TYPE_DSTIDX64 },
@@ -1813,10 +1886,12 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 74 */
-    { ENCODING_DI, TYPE_DSTIDX8 },
-    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1827,6 +1902,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 76 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1834,6 +1910,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 77 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1841,6 +1918,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 78 */
     { ENCODING_RM, TYPE_M8 },
@@ -1848,6 +1926,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 79 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1855,6 +1934,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 80 */
     { ENCODING_RM, TYPE_R8 },
@@ -1862,6 +1942,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 81 */
     { ENCODING_IW, TYPE_IMM16 },
@@ -1869,6 +1950,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 82 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -1876,6 +1958,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 83 */
     { ENCODING_RM, TYPE_M1616 },
@@ -1883,6 +1966,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 84 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -1890,6 +1974,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 85 */
     { ENCODING_RM, TYPE_M1632 },
@@ -1897,6 +1982,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 86 */
     { ENCODING_RM, TYPE_M1664 },
@@ -1904,6 +1990,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 87 */
     { ENCODING_REG, TYPE_Rv },
@@ -1911,6 +1998,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 88 */
     { ENCODING_REG, TYPE_Rv },
@@ -1918,6 +2006,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 89 */
     { ENCODING_REG, TYPE_Rv },
@@ -1925,6 +2014,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 90 */
     { ENCODING_REG, TYPE_Rv },
@@ -1932,6 +2022,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 91 */
     { ENCODING_REG, TYPE_Rv },
@@ -1939,6 +2030,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 92 */
     { ENCODING_REG, TYPE_Rv },
@@ -1946,6 +2038,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 93 */
     { ENCODING_REG, TYPE_R64 },
@@ -1953,6 +2046,7 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 94 */
     { ENCODING_REG, TYPE_R64 },
@@ -1960,9 +2054,11 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 95 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_DI, TYPE_DSTIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1974,9 +2070,11 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 97 */
-    { ENCODING_DI, TYPE_DSTIDX8 },
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1988,6 +2086,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 99 */
     { ENCODING_REG, TYPE_R64 },
@@ -1995,6 +2094,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 100 */
     { ENCODING_IB, TYPE_REL8 },
@@ -2002,6 +2102,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 101 */
     { ENCODING_Iv, TYPE_RELv },
@@ -2009,6 +2110,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 102 */
     { ENCODING_REG, TYPE_R64 },
@@ -2016,6 +2118,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 103 */
     { ENCODING_REG, TYPE_Rv },
@@ -2023,6 +2126,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 104 */
     { ENCODING_REG, TYPE_Rv },
@@ -2030,6 +2134,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 105 */
     { ENCODING_REG, TYPE_Rv },
@@ -2037,6 +2142,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 106 */
     { ENCODING_REG, TYPE_R64 },
@@ -2044,6 +2150,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 107 */
     { ENCODING_REG, TYPE_R64 },
@@ -2051,6 +2158,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 108 */
     { ENCODING_RM, TYPE_R16 },
@@ -2058,6 +2166,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 109 */
     { ENCODING_SI, TYPE_SRCIDX8 },
@@ -2065,6 +2174,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 110 */
     { ENCODING_SI, TYPE_SRCIDX32 },
@@ -2072,6 +2182,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 111 */
     { ENCODING_SI, TYPE_SRCIDX64 },
@@ -2079,6 +2190,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 112 */
     { ENCODING_SI, TYPE_SRCIDX16 },
@@ -2086,6 +2198,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 113 */
     { ENCODING_IW, TYPE_IMM16 },
@@ -2093,6 +2206,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 114 */
     { ENCODING_Ia, TYPE_MOFFS16 },
@@ -2100,6 +2214,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 115 */
     { ENCODING_RM, TYPE_Mv },
@@ -2107,6 +2222,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 116 */
     { ENCODING_Rv, TYPE_Rv },
@@ -2114,6 +2230,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 117 */
     { ENCODING_RM, TYPE_Rv },
@@ -2121,6 +2238,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 118 */
     { ENCODING_REG, TYPE_SEGMENTREG },
@@ -2128,6 +2246,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 119 */
     { ENCODING_REG, TYPE_SEGMENTREG },
@@ -2135,6 +2254,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 120 */
     { ENCODING_Ia, TYPE_MOFFS32 },
@@ -2142,6 +2262,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 121 */
     { ENCODING_REG, TYPE_CONTROLREG },
@@ -2149,6 +2270,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 122 */
     { ENCODING_REG, TYPE_DEBUGREG },
@@ -2156,6 +2278,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 123 */
     { ENCODING_RM, TYPE_R32 },
@@ -2163,6 +2286,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 124 */
     { ENCODING_RM, TYPE_R32 },
@@ -2170,6 +2294,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 125 */
     { ENCODING_Ia, TYPE_MOFFS64 },
@@ -2177,6 +2302,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 126 */
     { ENCODING_Ia, TYPE_MOFFS8 },
@@ -2184,6 +2310,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 127 */
     { ENCODING_REG, TYPE_CONTROLREG },
@@ -2191,6 +2318,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 128 */
     { ENCODING_REG, TYPE_DEBUGREG },
@@ -2198,6 +2326,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 129 */
     { ENCODING_RM, TYPE_R64 },
@@ -2205,6 +2334,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 130 */
     { ENCODING_RM, TYPE_R64 },
@@ -2212,6 +2342,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 131 */
     { ENCODING_RO, TYPE_R64 },
@@ -2219,6 +2350,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 132 */
     { ENCODING_RM, TYPE_R64 },
@@ -2226,6 +2358,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 133 */
     { ENCODING_REG, TYPE_SEGMENTREG },
@@ -2233,6 +2366,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 134 */
     { ENCODING_RB, TYPE_R8 },
@@ -2240,6 +2374,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 135 */
     { ENCODING_REG, TYPE_Rv },
@@ -2247,6 +2382,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 136 */
     { ENCODING_REG, TYPE_Rv },
@@ -2254,6 +2390,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 137 */
     { ENCODING_REG, TYPE_Rv },
@@ -2261,6 +2398,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 138 */
     { ENCODING_REG, TYPE_R64 },
@@ -2268,6 +2406,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 139 */
     { ENCODING_REG, TYPE_R64 },
@@ -2275,6 +2414,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 140 */
     { ENCODING_REG, TYPE_R64 },
@@ -2282,6 +2422,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 141 */
     { ENCODING_Rv, TYPE_Rv },
@@ -2289,6 +2430,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 142 */
     { ENCODING_RO, TYPE_R64 },
@@ -2296,6 +2438,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 143 */
     { ENCODING_IB, TYPE_IMMv },
@@ -2303,6 +2446,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 144 */
     { ENCODING_IB, TYPE_IMM32 },
@@ -2310,6 +2454,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 145 */
     { ENCODING_IB, TYPE_IMM64 },
@@ -2317,6 +2462,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 146 */
     { ENCODING_RM, TYPE_Mv },
@@ -2324,6 +2470,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 147 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2331,6 +2478,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 148 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2338,6 +2486,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 149 */
     { ENCODING_RM, TYPE_R32 },
@@ -2345,6 +2494,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 150 */
     { ENCODING_REG, TYPE_R32 },
@@ -2352,6 +2502,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 151 */
     { ENCODING_REG, TYPE_R32 },
@@ -2359,6 +2510,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 152 */
     { ENCODING_REG, TYPE_R64 },
@@ -2366,6 +2518,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 153 */
     { ENCODING_REG, TYPE_R64 },
@@ -2373,6 +2526,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 154 */
     { ENCODING_DI, TYPE_DSTIDX64 },
@@ -2380,6 +2534,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 155 */
     { ENCODING_RM, TYPE_Mv },
@@ -2387,6 +2542,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 156 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2394,6 +2550,7 @@
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 157 */
     { ENCODING_RM, TYPE_Mv },
@@ -2401,6 +2558,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 158 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -2408,6 +2566,7 @@
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 159 */
     { ENCODING_RM, TYPE_Mv },
@@ -2415,6 +2574,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 160 */
     { ENCODING_RM, TYPE_R32 },
@@ -2422,6 +2582,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 161 */
     { ENCODING_RM, TYPE_M512 },
@@ -2429,10 +2590,11 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
 };
 
-static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[1709] = {
+static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[1675] = {
   { /* 0 */
     0,
     /*  */
@@ -2511,23 +2673,23 @@
   },
   { /* 19 */
     0,
-    /* AAA */
+    /*  */
   },
   { /* 20 */
-    1,
-    /* AAD8i8 */
+    0,
+    /* AAA */
   },
   { /* 21 */
     1,
-    /* AAM8i8 */
+    /* AAD8i8 */
   },
   { /* 22 */
-    0,
-    /* AAS */
+    1,
+    /* AAM8i8 */
   },
   { /* 23 */
     0,
-    /*  */
+    /* AAS */
   },
   { /* 24 */
     0,
@@ -2542,337 +2704,337 @@
     /*  */
   },
   { /* 27 */
+    0,
+    /*  */
+  },
+  { /* 28 */
     2,
     /* ADC16i16 */
   },
-  { /* 28 */
+  { /* 29 */
     3,
     /* ADC16mi */
   },
-  { /* 29 */
+  { /* 30 */
     4,
     /* ADC16mi8 */
   },
-  { /* 30 */
+  { /* 31 */
     5,
     /* ADC16mr */
   },
-  { /* 31 */
+  { /* 32 */
     6,
     /* ADC16ri */
   },
-  { /* 32 */
+  { /* 33 */
     7,
     /* ADC16ri8 */
   },
-  { /* 33 */
+  { /* 34 */
     8,
     /* ADC16rm */
   },
-  { /* 34 */
+  { /* 35 */
     9,
     /* ADC16rr */
   },
-  { /* 35 */
+  { /* 36 */
     10,
     /* ADC16rr_REV */
   },
-  { /* 36 */
+  { /* 37 */
     2,
     /* ADC32i32 */
   },
-  { /* 37 */
+  { /* 38 */
     3,
     /* ADC32mi */
   },
-  { /* 38 */
+  { /* 39 */
     11,
     /* ADC32mi8 */
   },
-  { /* 39 */
+  { /* 40 */
     5,
     /* ADC32mr */
   },
-  { /* 40 */
+  { /* 41 */
     6,
     /* ADC32ri */
   },
-  { /* 41 */
+  { /* 42 */
     12,
     /* ADC32ri8 */
   },
-  { /* 42 */
+  { /* 43 */
     8,
     /* ADC32rm */
   },
-  { /* 43 */
+  { /* 44 */
     9,
     /* ADC32rr */
   },
-  { /* 44 */
+  { /* 45 */
     10,
     /* ADC32rr_REV */
   },
-  { /* 45 */
+  { /* 46 */
     13,
     /* ADC64i32 */
   },
-  { /* 46 */
+  { /* 47 */
     14,
     /* ADC64mi32 */
   },
-  { /* 47 */
+  { /* 48 */
     15,
     /* ADC64mi8 */
   },
-  { /* 48 */
+  { /* 49 */
     16,
     /* ADC64mr */
   },
-  { /* 49 */
+  { /* 50 */
     17,
     /* ADC64ri32 */
   },
-  { /* 50 */
+  { /* 51 */
     18,
     /* ADC64ri8 */
   },
-  { /* 51 */
+  { /* 52 */
     19,
     /* ADC64rm */
   },
-  { /* 52 */
+  { /* 53 */
     20,
     /* ADC64rr */
   },
-  { /* 53 */
+  { /* 54 */
     21,
     /* ADC64rr_REV */
   },
-  { /* 54 */
+  { /* 55 */
     1,
     /* ADC8i8 */
   },
-  { /* 55 */
+  { /* 56 */
     22,
     /* ADC8mi */
   },
-  { /* 56 */
+  { /* 57 */
     23,
     /* ADC8mr */
   },
-  { /* 57 */
+  { /* 58 */
     24,
     /* ADC8ri */
   },
-  { /* 58 */
+  { /* 59 */
     25,
     /* ADC8rm */
   },
-  { /* 59 */
+  { /* 60 */
     26,
     /* ADC8rr */
   },
-  { /* 60 */
+  { /* 61 */
     27,
     /* ADC8rr_REV */
   },
-  { /* 61 */
+  { /* 62 */
     28,
     /* ADCX32rm */
   },
-  { /* 62 */
+  { /* 63 */
     29,
     /* ADCX32rr */
   },
-  { /* 63 */
+  { /* 64 */
     30,
     /* ADCX64rm */
   },
-  { /* 64 */
+  { /* 65 */
     31,
     /* ADCX64rr */
   },
-  { /* 65 */
+  { /* 66 */
     2,
     /* ADD16i16 */
   },
-  { /* 66 */
+  { /* 67 */
     3,
     /* ADD16mi */
   },
-  { /* 67 */
+  { /* 68 */
     4,
     /* ADD16mi8 */
   },
-  { /* 68 */
+  { /* 69 */
     5,
     /* ADD16mr */
   },
-  { /* 69 */
+  { /* 70 */
     6,
     /* ADD16ri */
   },
-  { /* 70 */
+  { /* 71 */
     7,
     /* ADD16ri8 */
   },
-  { /* 71 */
-    0,
-    /*  */
-  },
   { /* 72 */
     0,
     /*  */
   },
   { /* 73 */
+    0,
+    /*  */
+  },
+  { /* 74 */
     8,
     /* ADD16rm */
   },
-  { /* 74 */
+  { /* 75 */
     9,
     /* ADD16rr */
   },
-  { /* 75 */
+  { /* 76 */
     0,
     /*  */
   },
-  { /* 76 */
+  { /* 77 */
     10,
     /* ADD16rr_REV */
   },
-  { /* 77 */
+  { /* 78 */
     2,
     /* ADD32i32 */
   },
-  { /* 78 */
+  { /* 79 */
     3,
     /* ADD32mi */
   },
-  { /* 79 */
+  { /* 80 */
     11,
     /* ADD32mi8 */
   },
-  { /* 80 */
+  { /* 81 */
     5,
     /* ADD32mr */
   },
-  { /* 81 */
+  { /* 82 */
     6,
     /* ADD32ri */
   },
-  { /* 82 */
+  { /* 83 */
     12,
     /* ADD32ri8 */
   },
-  { /* 83 */
-    0,
-    /*  */
-  },
   { /* 84 */
     0,
     /*  */
   },
   { /* 85 */
+    0,
+    /*  */
+  },
+  { /* 86 */
     8,
     /* ADD32rm */
   },
-  { /* 86 */
+  { /* 87 */
     9,
     /* ADD32rr */
   },
-  { /* 87 */
+  { /* 88 */
     0,
     /*  */
   },
-  { /* 88 */
+  { /* 89 */
     10,
     /* ADD32rr_REV */
   },
-  { /* 89 */
+  { /* 90 */
     13,
     /* ADD64i32 */
   },
-  { /* 90 */
+  { /* 91 */
     14,
     /* ADD64mi32 */
   },
-  { /* 91 */
+  { /* 92 */
     15,
     /* ADD64mi8 */
   },
-  { /* 92 */
+  { /* 93 */
     16,
     /* ADD64mr */
   },
-  { /* 93 */
+  { /* 94 */
     17,
     /* ADD64ri32 */
   },
-  { /* 94 */
+  { /* 95 */
     0,
     /*  */
   },
-  { /* 95 */
+  { /* 96 */
     18,
     /* ADD64ri8 */
   },
-  { /* 96 */
+  { /* 97 */
     0,
     /*  */
   },
-  { /* 97 */
+  { /* 98 */
     19,
     /* ADD64rm */
   },
-  { /* 98 */
+  { /* 99 */
     20,
     /* ADD64rr */
   },
-  { /* 99 */
+  { /* 100 */
     0,
     /*  */
   },
-  { /* 100 */
+  { /* 101 */
     21,
     /* ADD64rr_REV */
   },
-  { /* 101 */
+  { /* 102 */
     1,
     /* ADD8i8 */
   },
-  { /* 102 */
+  { /* 103 */
     22,
     /* ADD8mi */
   },
-  { /* 103 */
+  { /* 104 */
     23,
     /* ADD8mr */
   },
-  { /* 104 */
+  { /* 105 */
     24,
     /* ADD8ri */
   },
-  { /* 105 */
+  { /* 106 */
     24,
     /* ADD8ri8 */
   },
-  { /* 106 */
+  { /* 107 */
     25,
     /* ADD8rm */
   },
-  { /* 107 */
+  { /* 108 */
     26,
     /* ADD8rr */
   },
-  { /* 108 */
+  { /* 109 */
     27,
     /* ADD8rr_REV */
   },
-  { /* 109 */
-    0,
-    /*  */
-  },
   { /* 110 */
     0,
     /*  */
@@ -2886,2337 +3048,2337 @@
     /*  */
   },
   { /* 113 */
+    0,
+    /*  */
+  },
+  { /* 114 */
     28,
     /* ADOX32rm */
   },
-  { /* 114 */
+  { /* 115 */
     29,
     /* ADOX32rr */
   },
-  { /* 115 */
+  { /* 116 */
     30,
     /* ADOX64rm */
   },
-  { /* 116 */
+  { /* 117 */
     31,
     /* ADOX64rr */
   },
-  { /* 117 */
+  { /* 118 */
     2,
     /* AND16i16 */
   },
-  { /* 118 */
+  { /* 119 */
     3,
     /* AND16mi */
   },
-  { /* 119 */
+  { /* 120 */
     4,
     /* AND16mi8 */
   },
-  { /* 120 */
+  { /* 121 */
     5,
     /* AND16mr */
   },
-  { /* 121 */
+  { /* 122 */
     6,
     /* AND16ri */
   },
-  { /* 122 */
+  { /* 123 */
     7,
     /* AND16ri8 */
   },
-  { /* 123 */
+  { /* 124 */
     8,
     /* AND16rm */
   },
-  { /* 124 */
+  { /* 125 */
     9,
     /* AND16rr */
   },
-  { /* 125 */
+  { /* 126 */
     10,
     /* AND16rr_REV */
   },
-  { /* 126 */
+  { /* 127 */
     2,
     /* AND32i32 */
   },
-  { /* 127 */
+  { /* 128 */
     3,
     /* AND32mi */
   },
-  { /* 128 */
+  { /* 129 */
     11,
     /* AND32mi8 */
   },
-  { /* 129 */
+  { /* 130 */
     5,
     /* AND32mr */
   },
-  { /* 130 */
+  { /* 131 */
     6,
     /* AND32ri */
   },
-  { /* 131 */
+  { /* 132 */
     12,
     /* AND32ri8 */
   },
-  { /* 132 */
+  { /* 133 */
     8,
     /* AND32rm */
   },
-  { /* 133 */
+  { /* 134 */
     9,
     /* AND32rr */
   },
-  { /* 134 */
+  { /* 135 */
     10,
     /* AND32rr_REV */
   },
-  { /* 135 */
+  { /* 136 */
     13,
     /* AND64i32 */
   },
-  { /* 136 */
+  { /* 137 */
     14,
     /* AND64mi32 */
   },
-  { /* 137 */
+  { /* 138 */
     15,
     /* AND64mi8 */
   },
-  { /* 138 */
+  { /* 139 */
     16,
     /* AND64mr */
   },
-  { /* 139 */
+  { /* 140 */
     17,
     /* AND64ri32 */
   },
-  { /* 140 */
+  { /* 141 */
     18,
     /* AND64ri8 */
   },
-  { /* 141 */
+  { /* 142 */
     19,
     /* AND64rm */
   },
-  { /* 142 */
+  { /* 143 */
     20,
     /* AND64rr */
   },
-  { /* 143 */
+  { /* 144 */
     21,
     /* AND64rr_REV */
   },
-  { /* 144 */
+  { /* 145 */
     1,
     /* AND8i8 */
   },
-  { /* 145 */
+  { /* 146 */
     22,
     /* AND8mi */
   },
-  { /* 146 */
+  { /* 147 */
     23,
     /* AND8mr */
   },
-  { /* 147 */
+  { /* 148 */
     24,
     /* AND8ri */
   },
-  { /* 148 */
+  { /* 149 */
     24,
     /* AND8ri8 */
   },
-  { /* 149 */
+  { /* 150 */
     25,
     /* AND8rm */
   },
-  { /* 150 */
+  { /* 151 */
     26,
     /* AND8rr */
   },
-  { /* 151 */
+  { /* 152 */
     27,
     /* AND8rr_REV */
   },
-  { /* 152 */
+  { /* 153 */
     32,
     /* ANDN32rm */
   },
-  { /* 153 */
+  { /* 154 */
     33,
     /* ANDN32rr */
   },
-  { /* 154 */
+  { /* 155 */
     34,
     /* ANDN64rm */
   },
-  { /* 155 */
+  { /* 156 */
     35,
     /* ANDN64rr */
   },
-  { /* 156 */
+  { /* 157 */
     36,
     /* ARPL16mr */
   },
-  { /* 157 */
+  { /* 158 */
     37,
     /* ARPL16rr */
   },
-  { /* 158 */
-    0,
-    /*  */
-  },
   { /* 159 */
-    0,
-    /*  */
-  },
-  { /* 160 */
-    0,
-    /*  */
-  },
-  { /* 161 */
-    0,
-    /*  */
-  },
-  { /* 162 */
-    0,
-    /*  */
-  },
-  { /* 163 */
-    0,
-    /*  */
-  },
-  { /* 164 */
-    0,
-    /*  */
-  },
-  { /* 165 */
-    0,
-    /*  */
-  },
-  { /* 166 */
-    0,
-    /*  */
-  },
-  { /* 167 */
-    0,
-    /*  */
-  },
-  { /* 168 */
-    0,
-    /*  */
-  },
-  { /* 169 */
-    0,
-    /*  */
-  },
-  { /* 170 */
-    0,
-    /*  */
-  },
-  { /* 171 */
-    0,
-    /*  */
-  },
-  { /* 172 */
-    0,
-    /*  */
-  },
-  { /* 173 */
-    0,
-    /*  */
-  },
-  { /* 174 */
-    0,
-    /*  */
-  },
-  { /* 175 */
-    0,
-    /*  */
-  },
-  { /* 176 */
-    0,
-    /*  */
-  },
-  { /* 177 */
-    0,
-    /*  */
-  },
-  { /* 178 */
-    0,
-    /*  */
-  },
-  { /* 179 */
-    0,
-    /*  */
-  },
-  { /* 180 */
-    0,
-    /*  */
-  },
-  { /* 181 */
-    0,
-    /*  */
-  },
-  { /* 182 */
-    0,
-    /*  */
-  },
-  { /* 183 */
-    0,
-    /*  */
-  },
-  { /* 184 */
-    0,
-    /*  */
-  },
-  { /* 185 */
-    0,
-    /*  */
-  },
-  { /* 186 */
-    0,
-    /*  */
-  },
-  { /* 187 */
-    0,
-    /*  */
-  },
-  { /* 188 */
-    0,
-    /*  */
-  },
-  { /* 189 */
-    0,
-    /*  */
-  },
-  { /* 190 */
-    0,
-    /*  */
-  },
-  { /* 191 */
-    0,
-    /*  */
-  },
-  { /* 192 */
-    0,
-    /*  */
-  },
-  { /* 193 */
-    0,
-    /*  */
-  },
-  { /* 194 */
-    0,
-    /*  */
-  },
-  { /* 195 */
-    0,
-    /*  */
-  },
-  { /* 196 */
-    0,
-    /*  */
-  },
-  { /* 197 */
-    0,
-    /*  */
-  },
-  { /* 198 */
-    0,
-    /*  */
-  },
-  { /* 199 */
-    0,
-    /*  */
-  },
-  { /* 200 */
-    0,
-    /*  */
-  },
-  { /* 201 */
     38,
     /* BEXTR32rm */
   },
-  { /* 202 */
+  { /* 160 */
     39,
     /* BEXTR32rr */
   },
-  { /* 203 */
+  { /* 161 */
     40,
     /* BEXTR64rm */
   },
-  { /* 204 */
+  { /* 162 */
     41,
     /* BEXTR64rr */
   },
-  { /* 205 */
+  { /* 163 */
     42,
     /* BEXTRI32mi */
   },
-  { /* 206 */
+  { /* 164 */
     43,
     /* BEXTRI32ri */
   },
-  { /* 207 */
+  { /* 165 */
     44,
     /* BEXTRI64mi */
   },
-  { /* 208 */
+  { /* 166 */
     45,
     /* BEXTRI64ri */
   },
-  { /* 209 */
+  { /* 167 */
     46,
     /* BLCFILL32rm */
   },
-  { /* 210 */
+  { /* 168 */
     47,
     /* BLCFILL32rr */
   },
-  { /* 211 */
+  { /* 169 */
     48,
     /* BLCFILL64rm */
   },
-  { /* 212 */
+  { /* 170 */
     49,
     /* BLCFILL64rr */
   },
-  { /* 213 */
+  { /* 171 */
     46,
     /* BLCI32rm */
   },
-  { /* 214 */
+  { /* 172 */
     47,
     /* BLCI32rr */
   },
-  { /* 215 */
+  { /* 173 */
     48,
     /* BLCI64rm */
   },
-  { /* 216 */
+  { /* 174 */
     49,
     /* BLCI64rr */
   },
-  { /* 217 */
+  { /* 175 */
     46,
     /* BLCIC32rm */
   },
-  { /* 218 */
+  { /* 176 */
     47,
     /* BLCIC32rr */
   },
-  { /* 219 */
+  { /* 177 */
     48,
     /* BLCIC64rm */
   },
-  { /* 220 */
+  { /* 178 */
     49,
     /* BLCIC64rr */
   },
-  { /* 221 */
+  { /* 179 */
     46,
     /* BLCMSK32rm */
   },
-  { /* 222 */
+  { /* 180 */
     47,
     /* BLCMSK32rr */
   },
-  { /* 223 */
+  { /* 181 */
     48,
     /* BLCMSK64rm */
   },
-  { /* 224 */
+  { /* 182 */
     49,
     /* BLCMSK64rr */
   },
-  { /* 225 */
+  { /* 183 */
     46,
     /* BLCS32rm */
   },
-  { /* 226 */
+  { /* 184 */
     47,
     /* BLCS32rr */
   },
-  { /* 227 */
+  { /* 185 */
     48,
     /* BLCS64rm */
   },
-  { /* 228 */
+  { /* 186 */
     49,
     /* BLCS64rr */
   },
-  { /* 229 */
+  { /* 187 */
     46,
     /* BLSFILL32rm */
   },
-  { /* 230 */
+  { /* 188 */
     47,
     /* BLSFILL32rr */
   },
-  { /* 231 */
+  { /* 189 */
     48,
     /* BLSFILL64rm */
   },
-  { /* 232 */
+  { /* 190 */
     49,
     /* BLSFILL64rr */
   },
-  { /* 233 */
+  { /* 191 */
     46,
     /* BLSI32rm */
   },
-  { /* 234 */
+  { /* 192 */
     47,
     /* BLSI32rr */
   },
-  { /* 235 */
+  { /* 193 */
     48,
     /* BLSI64rm */
   },
-  { /* 236 */
+  { /* 194 */
     49,
     /* BLSI64rr */
   },
-  { /* 237 */
+  { /* 195 */
     46,
     /* BLSIC32rm */
   },
-  { /* 238 */
+  { /* 196 */
     47,
     /* BLSIC32rr */
   },
-  { /* 239 */
+  { /* 197 */
     48,
     /* BLSIC64rm */
   },
-  { /* 240 */
+  { /* 198 */
     49,
     /* BLSIC64rr */
   },
-  { /* 241 */
+  { /* 199 */
     46,
     /* BLSMSK32rm */
   },
-  { /* 242 */
+  { /* 200 */
     47,
     /* BLSMSK32rr */
   },
-  { /* 243 */
+  { /* 201 */
     48,
     /* BLSMSK64rm */
   },
-  { /* 244 */
+  { /* 202 */
     49,
     /* BLSMSK64rr */
   },
-  { /* 245 */
+  { /* 203 */
     46,
     /* BLSR32rm */
   },
-  { /* 246 */
+  { /* 204 */
     47,
     /* BLSR32rr */
   },
-  { /* 247 */
+  { /* 205 */
     48,
     /* BLSR64rm */
   },
-  { /* 248 */
+  { /* 206 */
     49,
     /* BLSR64rr */
   },
-  { /* 249 */
+  { /* 207 */
     50,
     /* BOUNDS16rm */
   },
-  { /* 250 */
+  { /* 208 */
     50,
     /* BOUNDS32rm */
   },
-  { /* 251 */
+  { /* 209 */
     50,
     /* BSF16rm */
   },
-  { /* 252 */
+  { /* 210 */
     51,
     /* BSF16rr */
   },
-  { /* 253 */
+  { /* 211 */
     50,
     /* BSF32rm */
   },
-  { /* 254 */
+  { /* 212 */
     51,
     /* BSF32rr */
   },
-  { /* 255 */
+  { /* 213 */
     30,
     /* BSF64rm */
   },
-  { /* 256 */
+  { /* 214 */
     31,
     /* BSF64rr */
   },
-  { /* 257 */
+  { /* 215 */
     50,
     /* BSR16rm */
   },
-  { /* 258 */
+  { /* 216 */
     51,
     /* BSR16rr */
   },
-  { /* 259 */
+  { /* 217 */
     50,
     /* BSR32rm */
   },
-  { /* 260 */
+  { /* 218 */
     51,
     /* BSR32rr */
   },
-  { /* 261 */
+  { /* 219 */
     30,
     /* BSR64rm */
   },
-  { /* 262 */
+  { /* 220 */
     31,
     /* BSR64rr */
   },
-  { /* 263 */
+  { /* 221 */
     52,
     /* BSWAP32r */
   },
-  { /* 264 */
+  { /* 222 */
     53,
     /* BSWAP64r */
   },
-  { /* 265 */
+  { /* 223 */
     4,
     /* BT16mi8 */
   },
-  { /* 266 */
+  { /* 224 */
     5,
     /* BT16mr */
   },
-  { /* 267 */
+  { /* 225 */
     54,
     /* BT16ri8 */
   },
-  { /* 268 */
+  { /* 226 */
     55,
     /* BT16rr */
   },
-  { /* 269 */
+  { /* 227 */
     11,
     /* BT32mi8 */
   },
-  { /* 270 */
+  { /* 228 */
     5,
     /* BT32mr */
   },
-  { /* 271 */
+  { /* 229 */
     56,
     /* BT32ri8 */
   },
-  { /* 272 */
+  { /* 230 */
     55,
     /* BT32rr */
   },
-  { /* 273 */
+  { /* 231 */
     15,
     /* BT64mi8 */
   },
-  { /* 274 */
+  { /* 232 */
     16,
     /* BT64mr */
   },
-  { /* 275 */
+  { /* 233 */
     57,
     /* BT64ri8 */
   },
-  { /* 276 */
+  { /* 234 */
     58,
     /* BT64rr */
   },
-  { /* 277 */
+  { /* 235 */
     4,
     /* BTC16mi8 */
   },
-  { /* 278 */
+  { /* 236 */
     5,
     /* BTC16mr */
   },
-  { /* 279 */
+  { /* 237 */
     54,
     /* BTC16ri8 */
   },
-  { /* 280 */
+  { /* 238 */
     55,
     /* BTC16rr */
   },
-  { /* 281 */
+  { /* 239 */
     11,
     /* BTC32mi8 */
   },
-  { /* 282 */
+  { /* 240 */
     5,
     /* BTC32mr */
   },
-  { /* 283 */
+  { /* 241 */
     56,
     /* BTC32ri8 */
   },
-  { /* 284 */
+  { /* 242 */
     55,
     /* BTC32rr */
   },
-  { /* 285 */
+  { /* 243 */
     15,
     /* BTC64mi8 */
   },
-  { /* 286 */
+  { /* 244 */
     16,
     /* BTC64mr */
   },
-  { /* 287 */
+  { /* 245 */
     57,
     /* BTC64ri8 */
   },
-  { /* 288 */
+  { /* 246 */
     58,
     /* BTC64rr */
   },
-  { /* 289 */
+  { /* 247 */
     4,
     /* BTR16mi8 */
   },
-  { /* 290 */
+  { /* 248 */
     5,
     /* BTR16mr */
   },
-  { /* 291 */
+  { /* 249 */
     54,
     /* BTR16ri8 */
   },
-  { /* 292 */
+  { /* 250 */
     55,
     /* BTR16rr */
   },
-  { /* 293 */
+  { /* 251 */
     11,
     /* BTR32mi8 */
   },
-  { /* 294 */
+  { /* 252 */
     5,
     /* BTR32mr */
   },
-  { /* 295 */
+  { /* 253 */
     56,
     /* BTR32ri8 */
   },
-  { /* 296 */
+  { /* 254 */
     55,
     /* BTR32rr */
   },
-  { /* 297 */
+  { /* 255 */
     15,
     /* BTR64mi8 */
   },
-  { /* 298 */
+  { /* 256 */
     16,
     /* BTR64mr */
   },
-  { /* 299 */
+  { /* 257 */
     57,
     /* BTR64ri8 */
   },
-  { /* 300 */
+  { /* 258 */
     58,
     /* BTR64rr */
   },
-  { /* 301 */
+  { /* 259 */
     4,
     /* BTS16mi8 */
   },
-  { /* 302 */
+  { /* 260 */
     5,
     /* BTS16mr */
   },
-  { /* 303 */
+  { /* 261 */
     54,
     /* BTS16ri8 */
   },
-  { /* 304 */
+  { /* 262 */
     55,
     /* BTS16rr */
   },
-  { /* 305 */
+  { /* 263 */
     11,
     /* BTS32mi8 */
   },
-  { /* 306 */
+  { /* 264 */
     5,
     /* BTS32mr */
   },
-  { /* 307 */
+  { /* 265 */
     56,
     /* BTS32ri8 */
   },
-  { /* 308 */
+  { /* 266 */
     55,
     /* BTS32rr */
   },
-  { /* 309 */
+  { /* 267 */
     15,
     /* BTS64mi8 */
   },
-  { /* 310 */
+  { /* 268 */
     16,
     /* BTS64mr */
   },
-  { /* 311 */
+  { /* 269 */
     57,
     /* BTS64ri8 */
   },
-  { /* 312 */
+  { /* 270 */
     58,
     /* BTS64rr */
   },
-  { /* 313 */
+  { /* 271 */
     38,
     /* BZHI32rm */
   },
-  { /* 314 */
+  { /* 272 */
     39,
     /* BZHI32rr */
   },
-  { /* 315 */
+  { /* 273 */
     40,
     /* BZHI64rm */
   },
-  { /* 316 */
+  { /* 274 */
     41,
     /* BZHI64rr */
   },
-  { /* 317 */
+  { /* 275 */
     59,
     /* CALL16m */
   },
-  { /* 318 */
+  { /* 276 */
     60,
     /* CALL16r */
   },
-  { /* 319 */
+  { /* 277 */
     59,
     /* CALL32m */
   },
-  { /* 320 */
+  { /* 278 */
     60,
     /* CALL32r */
   },
-  { /* 321 */
+  { /* 279 */
     59,
     /* CALL64m */
   },
-  { /* 322 */
+  { /* 280 */
     61,
     /* CALL64pcrel32 */
   },
-  { /* 323 */
+  { /* 281 */
     62,
     /* CALL64r */
   },
-  { /* 324 */
+  { /* 282 */
     63,
     /* CALLpcrel16 */
   },
-  { /* 325 */
+  { /* 283 */
     64,
     /* CALLpcrel32 */
   },
-  { /* 326 */
+  { /* 284 */
     0,
     /* CBW */
   },
-  { /* 327 */
+  { /* 285 */
     0,
     /* CDQ */
   },
-  { /* 328 */
+  { /* 286 */
     0,
     /* CDQE */
   },
-  { /* 329 */
+  { /* 287 */
     0,
     /* CLAC */
   },
-  { /* 330 */
+  { /* 288 */
     0,
     /* CLC */
   },
-  { /* 331 */
+  { /* 289 */
     0,
     /* CLD */
   },
-  { /* 332 */
+  { /* 290 */
     0,
     /* CLGI */
   },
-  { /* 333 */
+  { /* 291 */
     0,
     /* CLI */
   },
-  { /* 334 */
+  { /* 292 */
     0,
     /* CLTS */
   },
-  { /* 335 */
+  { /* 293 */
     0,
     /* CMC */
   },
-  { /* 336 */
+  { /* 294 */
     8,
     /* CMOVA16rm */
   },
-  { /* 337 */
+  { /* 295 */
     10,
     /* CMOVA16rr */
   },
-  { /* 338 */
+  { /* 296 */
     8,
     /* CMOVA32rm */
   },
-  { /* 339 */
+  { /* 297 */
     10,
     /* CMOVA32rr */
   },
-  { /* 340 */
+  { /* 298 */
     19,
     /* CMOVA64rm */
   },
-  { /* 341 */
+  { /* 299 */
     21,
     /* CMOVA64rr */
   },
-  { /* 342 */
+  { /* 300 */
     8,
     /* CMOVAE16rm */
   },
-  { /* 343 */
+  { /* 301 */
     10,
     /* CMOVAE16rr */
   },
-  { /* 344 */
+  { /* 302 */
     8,
     /* CMOVAE32rm */
   },
-  { /* 345 */
+  { /* 303 */
     10,
     /* CMOVAE32rr */
   },
-  { /* 346 */
+  { /* 304 */
     19,
     /* CMOVAE64rm */
   },
-  { /* 347 */
+  { /* 305 */
     21,
     /* CMOVAE64rr */
   },
-  { /* 348 */
+  { /* 306 */
     8,
     /* CMOVB16rm */
   },
-  { /* 349 */
+  { /* 307 */
     10,
     /* CMOVB16rr */
   },
-  { /* 350 */
+  { /* 308 */
     8,
     /* CMOVB32rm */
   },
-  { /* 351 */
+  { /* 309 */
     10,
     /* CMOVB32rr */
   },
-  { /* 352 */
+  { /* 310 */
     19,
     /* CMOVB64rm */
   },
-  { /* 353 */
+  { /* 311 */
     21,
     /* CMOVB64rr */
   },
-  { /* 354 */
+  { /* 312 */
     8,
     /* CMOVBE16rm */
   },
-  { /* 355 */
+  { /* 313 */
     10,
     /* CMOVBE16rr */
   },
-  { /* 356 */
+  { /* 314 */
     8,
     /* CMOVBE32rm */
   },
-  { /* 357 */
+  { /* 315 */
     10,
     /* CMOVBE32rr */
   },
-  { /* 358 */
+  { /* 316 */
     19,
     /* CMOVBE64rm */
   },
-  { /* 359 */
+  { /* 317 */
     21,
     /* CMOVBE64rr */
   },
-  { /* 360 */
+  { /* 318 */
     8,
     /* CMOVE16rm */
   },
-  { /* 361 */
+  { /* 319 */
     10,
     /* CMOVE16rr */
   },
-  { /* 362 */
+  { /* 320 */
     8,
     /* CMOVE32rm */
   },
-  { /* 363 */
+  { /* 321 */
     10,
     /* CMOVE32rr */
   },
-  { /* 364 */
+  { /* 322 */
     19,
     /* CMOVE64rm */
   },
-  { /* 365 */
+  { /* 323 */
     21,
     /* CMOVE64rr */
   },
-  { /* 366 */
+  { /* 324 */
     8,
     /* CMOVG16rm */
   },
-  { /* 367 */
+  { /* 325 */
     10,
     /* CMOVG16rr */
   },
-  { /* 368 */
+  { /* 326 */
     8,
     /* CMOVG32rm */
   },
-  { /* 369 */
+  { /* 327 */
     10,
     /* CMOVG32rr */
   },
-  { /* 370 */
+  { /* 328 */
     19,
     /* CMOVG64rm */
   },
-  { /* 371 */
+  { /* 329 */
     21,
     /* CMOVG64rr */
   },
-  { /* 372 */
+  { /* 330 */
     8,
     /* CMOVGE16rm */
   },
-  { /* 373 */
+  { /* 331 */
     10,
     /* CMOVGE16rr */
   },
-  { /* 374 */
+  { /* 332 */
     8,
     /* CMOVGE32rm */
   },
-  { /* 375 */
+  { /* 333 */
     10,
     /* CMOVGE32rr */
   },
-  { /* 376 */
+  { /* 334 */
     19,
     /* CMOVGE64rm */
   },
-  { /* 377 */
+  { /* 335 */
     21,
     /* CMOVGE64rr */
   },
-  { /* 378 */
+  { /* 336 */
     8,
     /* CMOVL16rm */
   },
-  { /* 379 */
+  { /* 337 */
     10,
     /* CMOVL16rr */
   },
-  { /* 380 */
+  { /* 338 */
     8,
     /* CMOVL32rm */
   },
-  { /* 381 */
+  { /* 339 */
     10,
     /* CMOVL32rr */
   },
-  { /* 382 */
+  { /* 340 */
     19,
     /* CMOVL64rm */
   },
-  { /* 383 */
+  { /* 341 */
     21,
     /* CMOVL64rr */
   },
-  { /* 384 */
+  { /* 342 */
     8,
     /* CMOVLE16rm */
   },
-  { /* 385 */
+  { /* 343 */
     10,
     /* CMOVLE16rr */
   },
-  { /* 386 */
+  { /* 344 */
     8,
     /* CMOVLE32rm */
   },
-  { /* 387 */
+  { /* 345 */
     10,
     /* CMOVLE32rr */
   },
-  { /* 388 */
+  { /* 346 */
     19,
     /* CMOVLE64rm */
   },
-  { /* 389 */
+  { /* 347 */
     21,
     /* CMOVLE64rr */
   },
-  { /* 390 */
+  { /* 348 */
     8,
     /* CMOVNE16rm */
   },
-  { /* 391 */
+  { /* 349 */
     10,
     /* CMOVNE16rr */
   },
-  { /* 392 */
+  { /* 350 */
     8,
     /* CMOVNE32rm */
   },
-  { /* 393 */
+  { /* 351 */
     10,
     /* CMOVNE32rr */
   },
-  { /* 394 */
+  { /* 352 */
     19,
     /* CMOVNE64rm */
   },
-  { /* 395 */
+  { /* 353 */
     21,
     /* CMOVNE64rr */
   },
-  { /* 396 */
+  { /* 354 */
     8,
     /* CMOVNO16rm */
   },
-  { /* 397 */
+  { /* 355 */
     10,
     /* CMOVNO16rr */
   },
-  { /* 398 */
+  { /* 356 */
     8,
     /* CMOVNO32rm */
   },
-  { /* 399 */
+  { /* 357 */
     10,
     /* CMOVNO32rr */
   },
-  { /* 400 */
+  { /* 358 */
     19,
     /* CMOVNO64rm */
   },
-  { /* 401 */
+  { /* 359 */
     21,
     /* CMOVNO64rr */
   },
-  { /* 402 */
+  { /* 360 */
     8,
     /* CMOVNP16rm */
   },
-  { /* 403 */
+  { /* 361 */
     10,
     /* CMOVNP16rr */
   },
-  { /* 404 */
+  { /* 362 */
     8,
     /* CMOVNP32rm */
   },
-  { /* 405 */
+  { /* 363 */
     10,
     /* CMOVNP32rr */
   },
-  { /* 406 */
+  { /* 364 */
     19,
     /* CMOVNP64rm */
   },
-  { /* 407 */
+  { /* 365 */
     21,
     /* CMOVNP64rr */
   },
-  { /* 408 */
+  { /* 366 */
     8,
     /* CMOVNS16rm */
   },
-  { /* 409 */
+  { /* 367 */
     10,
     /* CMOVNS16rr */
   },
-  { /* 410 */
+  { /* 368 */
     8,
     /* CMOVNS32rm */
   },
-  { /* 411 */
+  { /* 369 */
     10,
     /* CMOVNS32rr */
   },
-  { /* 412 */
+  { /* 370 */
     19,
     /* CMOVNS64rm */
   },
-  { /* 413 */
+  { /* 371 */
     21,
     /* CMOVNS64rr */
   },
-  { /* 414 */
+  { /* 372 */
     8,
     /* CMOVO16rm */
   },
-  { /* 415 */
+  { /* 373 */
     10,
     /* CMOVO16rr */
   },
-  { /* 416 */
+  { /* 374 */
     8,
     /* CMOVO32rm */
   },
-  { /* 417 */
+  { /* 375 */
     10,
     /* CMOVO32rr */
   },
-  { /* 418 */
+  { /* 376 */
     19,
     /* CMOVO64rm */
   },
-  { /* 419 */
+  { /* 377 */
     21,
     /* CMOVO64rr */
   },
-  { /* 420 */
+  { /* 378 */
     8,
     /* CMOVP16rm */
   },
-  { /* 421 */
+  { /* 379 */
     10,
     /* CMOVP16rr */
   },
-  { /* 422 */
+  { /* 380 */
     8,
     /* CMOVP32rm */
   },
-  { /* 423 */
+  { /* 381 */
     10,
     /* CMOVP32rr */
   },
-  { /* 424 */
+  { /* 382 */
     19,
     /* CMOVP64rm */
   },
-  { /* 425 */
+  { /* 383 */
     21,
     /* CMOVP64rr */
   },
-  { /* 426 */
+  { /* 384 */
     8,
     /* CMOVS16rm */
   },
-  { /* 427 */
+  { /* 385 */
     10,
     /* CMOVS16rr */
   },
-  { /* 428 */
+  { /* 386 */
     8,
     /* CMOVS32rm */
   },
-  { /* 429 */
+  { /* 387 */
     10,
     /* CMOVS32rr */
   },
-  { /* 430 */
+  { /* 388 */
     19,
     /* CMOVS64rm */
   },
-  { /* 431 */
+  { /* 389 */
     21,
     /* CMOVS64rr */
   },
-  { /* 432 */
+  { /* 390 */
     0,
     /*  */
   },
-  { /* 433 */
+  { /* 391 */
     0,
     /*  */
   },
-  { /* 434 */
+  { /* 392 */
     0,
     /*  */
   },
-  { /* 435 */
+  { /* 393 */
     0,
     /*  */
   },
-  { /* 436 */
+  { /* 394 */
     0,
     /*  */
   },
-  { /* 437 */
+  { /* 395 */
     0,
     /*  */
   },
-  { /* 438 */
+  { /* 396 */
     0,
     /*  */
   },
-  { /* 439 */
+  { /* 397 */
     0,
     /*  */
   },
-  { /* 440 */
+  { /* 398 */
     0,
     /*  */
   },
-  { /* 441 */
+  { /* 399 */
     0,
     /*  */
   },
-  { /* 442 */
+  { /* 400 */
     0,
     /*  */
   },
-  { /* 443 */
+  { /* 401 */
     0,
     /*  */
   },
-  { /* 444 */
+  { /* 402 */
     0,
     /*  */
   },
-  { /* 445 */
+  { /* 403 */
     0,
     /*  */
   },
-  { /* 446 */
+  { /* 404 */
     0,
     /*  */
   },
-  { /* 447 */
+  { /* 405 */
     0,
     /*  */
   },
-  { /* 448 */
+  { /* 406 */
     0,
     /*  */
   },
-  { /* 449 */
+  { /* 407 */
     2,
     /* CMP16i16 */
   },
-  { /* 450 */
+  { /* 408 */
     3,
     /* CMP16mi */
   },
-  { /* 451 */
+  { /* 409 */
     4,
     /* CMP16mi8 */
   },
-  { /* 452 */
+  { /* 410 */
     5,
     /* CMP16mr */
   },
-  { /* 453 */
+  { /* 411 */
     65,
     /* CMP16ri */
   },
-  { /* 454 */
+  { /* 412 */
     54,
     /* CMP16ri8 */
   },
-  { /* 455 */
+  { /* 413 */
     50,
     /* CMP16rm */
   },
-  { /* 456 */
+  { /* 414 */
     55,
     /* CMP16rr */
   },
-  { /* 457 */
+  { /* 415 */
     51,
     /* CMP16rr_REV */
   },
-  { /* 458 */
+  { /* 416 */
     2,
     /* CMP32i32 */
   },
-  { /* 459 */
+  { /* 417 */
     3,
     /* CMP32mi */
   },
-  { /* 460 */
+  { /* 418 */
     11,
     /* CMP32mi8 */
   },
-  { /* 461 */
+  { /* 419 */
     5,
     /* CMP32mr */
   },
-  { /* 462 */
+  { /* 420 */
     65,
     /* CMP32ri */
   },
-  { /* 463 */
+  { /* 421 */
     56,
     /* CMP32ri8 */
   },
-  { /* 464 */
+  { /* 422 */
     50,
     /* CMP32rm */
   },
-  { /* 465 */
+  { /* 423 */
     55,
     /* CMP32rr */
   },
-  { /* 466 */
+  { /* 424 */
     51,
     /* CMP32rr_REV */
   },
-  { /* 467 */
+  { /* 425 */
     13,
     /* CMP64i32 */
   },
-  { /* 468 */
+  { /* 426 */
     14,
     /* CMP64mi32 */
   },
-  { /* 469 */
+  { /* 427 */
     15,
     /* CMP64mi8 */
   },
-  { /* 470 */
+  { /* 428 */
     16,
     /* CMP64mr */
   },
-  { /* 471 */
+  { /* 429 */
     66,
     /* CMP64ri32 */
   },
-  { /* 472 */
+  { /* 430 */
     57,
     /* CMP64ri8 */
   },
-  { /* 473 */
+  { /* 431 */
     30,
     /* CMP64rm */
   },
-  { /* 474 */
+  { /* 432 */
     58,
     /* CMP64rr */
   },
-  { /* 475 */
+  { /* 433 */
     31,
     /* CMP64rr_REV */
   },
-  { /* 476 */
+  { /* 434 */
     1,
     /* CMP8i8 */
   },
-  { /* 477 */
+  { /* 435 */
     22,
     /* CMP8mi */
   },
-  { /* 478 */
+  { /* 436 */
     23,
     /* CMP8mr */
   },
-  { /* 479 */
+  { /* 437 */
     67,
     /* CMP8ri */
   },
-  { /* 480 */
+  { /* 438 */
     68,
     /* CMP8rm */
   },
-  { /* 481 */
+  { /* 439 */
     69,
     /* CMP8rr */
   },
-  { /* 482 */
+  { /* 440 */
     70,
     /* CMP8rr_REV */
   },
-  { /* 483 */
+  { /* 441 */
     71,
-    /* CMPS16 */
+    /* CMPSB */
   },
-  { /* 484 */
+  { /* 442 */
     72,
-    /* CMPS32 */
+    /* CMPSL */
   },
-  { /* 485 */
+  { /* 443 */
     73,
-    /* CMPS64 */
+    /* CMPSQ */
   },
-  { /* 486 */
+  { /* 444 */
     74,
-    /* CMPS8 */
+    /* CMPSW */
   },
-  { /* 487 */
+  { /* 445 */
     75,
     /* CMPXCHG16B */
   },
-  { /* 488 */
+  { /* 446 */
     5,
     /* CMPXCHG16rm */
   },
-  { /* 489 */
+  { /* 447 */
     55,
     /* CMPXCHG16rr */
   },
-  { /* 490 */
+  { /* 448 */
     5,
     /* CMPXCHG32rm */
   },
-  { /* 491 */
+  { /* 449 */
     55,
     /* CMPXCHG32rr */
   },
-  { /* 492 */
+  { /* 450 */
     16,
     /* CMPXCHG64rm */
   },
-  { /* 493 */
+  { /* 451 */
     58,
     /* CMPXCHG64rr */
   },
-  { /* 494 */
+  { /* 452 */
     59,
     /* CMPXCHG8B */
   },
-  { /* 495 */
+  { /* 453 */
     23,
     /* CMPXCHG8rm */
   },
-  { /* 496 */
+  { /* 454 */
     69,
     /* CMPXCHG8rr */
   },
-  { /* 497 */
+  { /* 455 */
     0,
     /* CPUID32 */
   },
-  { /* 498 */
+  { /* 456 */
     0,
     /* CPUID64 */
   },
-  { /* 499 */
+  { /* 457 */
     0,
     /* CQO */
   },
-  { /* 500 */
+  { /* 458 */
     0,
     /* CS_PREFIX */
   },
-  { /* 501 */
+  { /* 459 */
     0,
     /* CWD */
   },
-  { /* 502 */
+  { /* 460 */
     0,
     /* CWDE */
   },
-  { /* 503 */
+  { /* 461 */
     0,
     /* DAA */
   },
-  { /* 504 */
+  { /* 462 */
     0,
     /* DAS */
   },
-  { /* 505 */
+  { /* 463 */
     0,
     /* DATA16_PREFIX */
   },
-  { /* 506 */
+  { /* 464 */
     59,
     /* DEC16m */
   },
-  { /* 507 */
+  { /* 465 */
     52,
     /* DEC16r */
   },
-  { /* 508 */
+  { /* 466 */
     76,
     /* DEC32_16r */
   },
-  { /* 509 */
+  { /* 467 */
     76,
     /* DEC32_32r */
   },
-  { /* 510 */
+  { /* 468 */
     59,
     /* DEC32m */
   },
-  { /* 511 */
+  { /* 469 */
     52,
     /* DEC32r */
   },
-  { /* 512 */
+  { /* 470 */
     59,
     /* DEC64_16m */
   },
-  { /* 513 */
+  { /* 471 */
     76,
     /* DEC64_16r */
   },
-  { /* 514 */
+  { /* 472 */
     59,
     /* DEC64_32m */
   },
-  { /* 515 */
+  { /* 473 */
     76,
     /* DEC64_32r */
   },
-  { /* 516 */
+  { /* 474 */
     59,
     /* DEC64m */
   },
-  { /* 517 */
+  { /* 475 */
     77,
     /* DEC64r */
   },
-  { /* 518 */
+  { /* 476 */
     78,
     /* DEC8m */
   },
-  { /* 519 */
+  { /* 477 */
     79,
     /* DEC8r */
   },
-  { /* 520 */
+  { /* 478 */
     59,
     /* DIV16m */
   },
-  { /* 521 */
+  { /* 479 */
     60,
     /* DIV16r */
   },
-  { /* 522 */
+  { /* 480 */
     59,
     /* DIV32m */
   },
-  { /* 523 */
+  { /* 481 */
     60,
     /* DIV32r */
   },
-  { /* 524 */
+  { /* 482 */
     59,
     /* DIV64m */
   },
-  { /* 525 */
+  { /* 483 */
     62,
     /* DIV64r */
   },
-  { /* 526 */
+  { /* 484 */
     78,
     /* DIV8m */
   },
-  { /* 527 */
+  { /* 485 */
     80,
     /* DIV8r */
   },
-  { /* 528 */
+  { /* 486 */
     0,
     /* DS_PREFIX */
   },
-  { /* 529 */
+  { /* 487 */
     0,
     /*  */
   },
-  { /* 530 */
+  { /* 488 */
     0,
     /*  */
   },
-  { /* 531 */
+  { /* 489 */
     0,
     /*  */
   },
-  { /* 532 */
+  { /* 490 */
     0,
     /*  */
   },
-  { /* 533 */
+  { /* 491 */
     0,
     /*  */
   },
-  { /* 534 */
+  { /* 492 */
     0,
     /*  */
   },
-  { /* 535 */
+  { /* 493 */
     0,
     /*  */
   },
-  { /* 536 */
+  { /* 494 */
     81,
     /* ENTER */
   },
-  { /* 537 */
+  { /* 495 */
     0,
     /* ES_PREFIX */
   },
-  { /* 538 */
+  { /* 496 */
     82,
     /* FARCALL16i */
   },
-  { /* 539 */
+  { /* 497 */
     83,
     /* FARCALL16m */
   },
-  { /* 540 */
+  { /* 498 */
     84,
     /* FARCALL32i */
   },
-  { /* 541 */
+  { /* 499 */
     85,
     /* FARCALL32m */
   },
-  { /* 542 */
+  { /* 500 */
     86,
     /* FARCALL64 */
   },
-  { /* 543 */
+  { /* 501 */
     82,
     /* FARJMP16i */
   },
-  { /* 544 */
+  { /* 502 */
     83,
     /* FARJMP16m */
   },
-  { /* 545 */
+  { /* 503 */
     84,
     /* FARJMP32i */
   },
-  { /* 546 */
+  { /* 504 */
     85,
     /* FARJMP32m */
   },
-  { /* 547 */
+  { /* 505 */
     86,
     /* FARJMP64 */
   },
-  { /* 548 */
+  { /* 506 */
     0,
     /* FSETPM */
   },
-  { /* 549 */
+  { /* 507 */
     0,
     /* FS_PREFIX */
   },
-  { /* 550 */
+  { /* 508 */
     0,
     /* GETSEC */
   },
-  { /* 551 */
+  { /* 509 */
     0,
     /* GS_PREFIX */
   },
-  { /* 552 */
+  { /* 510 */
     0,
     /* HLT */
   },
-  { /* 553 */
+  { /* 511 */
     59,
     /* IDIV16m */
   },
-  { /* 554 */
+  { /* 512 */
     60,
     /* IDIV16r */
   },
-  { /* 555 */
+  { /* 513 */
     59,
     /* IDIV32m */
   },
-  { /* 556 */
+  { /* 514 */
     60,
     /* IDIV32r */
   },
-  { /* 557 */
+  { /* 515 */
     59,
     /* IDIV64m */
   },
-  { /* 558 */
+  { /* 516 */
     62,
     /* IDIV64r */
   },
-  { /* 559 */
+  { /* 517 */
     78,
     /* IDIV8m */
   },
-  { /* 560 */
+  { /* 518 */
     80,
     /* IDIV8r */
   },
-  { /* 561 */
+  { /* 519 */
     59,
     /* IMUL16m */
   },
-  { /* 562 */
+  { /* 520 */
     60,
     /* IMUL16r */
   },
-  { /* 563 */
+  { /* 521 */
     8,
     /* IMUL16rm */
   },
-  { /* 564 */
+  { /* 522 */
     87,
     /* IMUL16rmi */
   },
-  { /* 565 */
+  { /* 523 */
     88,
     /* IMUL16rmi8 */
   },
-  { /* 566 */
+  { /* 524 */
     10,
     /* IMUL16rr */
   },
-  { /* 567 */
+  { /* 525 */
     89,
     /* IMUL16rri */
   },
-  { /* 568 */
+  { /* 526 */
     90,
     /* IMUL16rri8 */
   },
-  { /* 569 */
+  { /* 527 */
     59,
     /* IMUL32m */
   },
-  { /* 570 */
+  { /* 528 */
     60,
     /* IMUL32r */
   },
-  { /* 571 */
+  { /* 529 */
     8,
     /* IMUL32rm */
   },
-  { /* 572 */
+  { /* 530 */
     87,
     /* IMUL32rmi */
   },
-  { /* 573 */
+  { /* 531 */
     91,
     /* IMUL32rmi8 */
   },
-  { /* 574 */
+  { /* 532 */
     10,
     /* IMUL32rr */
   },
-  { /* 575 */
+  { /* 533 */
     89,
     /* IMUL32rri */
   },
-  { /* 576 */
+  { /* 534 */
     92,
     /* IMUL32rri8 */
   },
-  { /* 577 */
+  { /* 535 */
     59,
     /* IMUL64m */
   },
-  { /* 578 */
+  { /* 536 */
     62,
     /* IMUL64r */
   },
-  { /* 579 */
+  { /* 537 */
     19,
     /* IMUL64rm */
   },
-  { /* 580 */
+  { /* 538 */
     44,
     /* IMUL64rmi32 */
   },
-  { /* 581 */
+  { /* 539 */
     93,
     /* IMUL64rmi8 */
   },
-  { /* 582 */
+  { /* 540 */
     21,
     /* IMUL64rr */
   },
-  { /* 583 */
+  { /* 541 */
     45,
     /* IMUL64rri32 */
   },
-  { /* 584 */
+  { /* 542 */
     94,
     /* IMUL64rri8 */
   },
-  { /* 585 */
+  { /* 543 */
     78,
     /* IMUL8m */
   },
-  { /* 586 */
+  { /* 544 */
     80,
     /* IMUL8r */
   },
-  { /* 587 */
-    95,
-    /* IN16 */
-  },
-  { /* 588 */
+  { /* 545 */
     1,
     /* IN16ri */
   },
-  { /* 589 */
+  { /* 546 */
     0,
     /* IN16rr */
   },
-  { /* 590 */
-    96,
-    /* IN32 */
-  },
-  { /* 591 */
+  { /* 547 */
     1,
     /* IN32ri */
   },
-  { /* 592 */
+  { /* 548 */
     0,
     /* IN32rr */
   },
-  { /* 593 */
-    97,
-    /* IN8 */
-  },
-  { /* 594 */
+  { /* 549 */
     1,
     /* IN8ri */
   },
-  { /* 595 */
+  { /* 550 */
     0,
     /* IN8rr */
   },
-  { /* 596 */
+  { /* 551 */
     59,
     /* INC16m */
   },
-  { /* 597 */
+  { /* 552 */
     52,
     /* INC16r */
   },
-  { /* 598 */
+  { /* 553 */
     76,
     /* INC32_16r */
   },
-  { /* 599 */
+  { /* 554 */
     76,
     /* INC32_32r */
   },
-  { /* 600 */
+  { /* 555 */
     59,
     /* INC32m */
   },
-  { /* 601 */
+  { /* 556 */
     52,
     /* INC32r */
   },
-  { /* 602 */
+  { /* 557 */
     59,
     /* INC64_16m */
   },
-  { /* 603 */
+  { /* 558 */
     76,
     /* INC64_16r */
   },
-  { /* 604 */
+  { /* 559 */
     59,
     /* INC64_32m */
   },
-  { /* 605 */
+  { /* 560 */
     76,
     /* INC64_32r */
   },
-  { /* 606 */
+  { /* 561 */
     59,
     /* INC64m */
   },
-  { /* 607 */
+  { /* 562 */
     77,
     /* INC64r */
   },
-  { /* 608 */
+  { /* 563 */
     78,
     /* INC8m */
   },
-  { /* 609 */
+  { /* 564 */
     79,
     /* INC8r */
   },
-  { /* 610 */
+  { /* 565 */
+    95,
+    /* INSB */
+  },
+  { /* 566 */
+    96,
+    /* INSL */
+  },
+  { /* 567 */
+    97,
+    /* INSW */
+  },
+  { /* 568 */
     1,
     /* INT */
   },
-  { /* 611 */
+  { /* 569 */
     0,
     /* INT1 */
   },
-  { /* 612 */
+  { /* 570 */
     0,
     /* INT3 */
   },
-  { /* 613 */
+  { /* 571 */
     0,
     /* INTO */
   },
-  { /* 614 */
+  { /* 572 */
     0,
     /* INVD */
   },
-  { /* 615 */
+  { /* 573 */
     98,
     /* INVEPT32 */
   },
-  { /* 616 */
+  { /* 574 */
     99,
     /* INVEPT64 */
   },
-  { /* 617 */
+  { /* 575 */
     78,
     /* INVLPG */
   },
-  { /* 618 */
+  { /* 576 */
     0,
     /* INVLPGA32 */
   },
-  { /* 619 */
+  { /* 577 */
     0,
     /* INVLPGA64 */
   },
-  { /* 620 */
+  { /* 578 */
     98,
     /* INVPCID32 */
   },
-  { /* 621 */
+  { /* 579 */
     99,
     /* INVPCID64 */
   },
-  { /* 622 */
+  { /* 580 */
     98,
     /* INVVPID32 */
   },
-  { /* 623 */
+  { /* 581 */
     99,
     /* INVVPID64 */
   },
-  { /* 624 */
+  { /* 582 */
     0,
     /* IRET16 */
   },
-  { /* 625 */
+  { /* 583 */
     0,
     /* IRET32 */
   },
-  { /* 626 */
+  { /* 584 */
     0,
     /* IRET64 */
   },
-  { /* 627 */
+  { /* 585 */
     0,
     /*  */
   },
-  { /* 628 */
+  { /* 586 */
     100,
     /* JAE_1 */
   },
-  { /* 629 */
+  { /* 587 */
     101,
     /* JAE_2 */
   },
-  { /* 630 */
+  { /* 588 */
     101,
     /* JAE_4 */
   },
-  { /* 631 */
+  { /* 589 */
     100,
     /* JA_1 */
   },
-  { /* 632 */
+  { /* 590 */
     101,
     /* JA_2 */
   },
-  { /* 633 */
+  { /* 591 */
     101,
     /* JA_4 */
   },
-  { /* 634 */
+  { /* 592 */
     100,
     /* JBE_1 */
   },
-  { /* 635 */
+  { /* 593 */
     101,
     /* JBE_2 */
   },
-  { /* 636 */
+  { /* 594 */
     101,
     /* JBE_4 */
   },
-  { /* 637 */
+  { /* 595 */
     100,
     /* JB_1 */
   },
-  { /* 638 */
+  { /* 596 */
     101,
     /* JB_2 */
   },
-  { /* 639 */
+  { /* 597 */
     101,
     /* JB_4 */
   },
-  { /* 640 */
+  { /* 598 */
     100,
     /* JCXZ */
   },
-  { /* 641 */
+  { /* 599 */
     100,
     /* JECXZ_32 */
   },
-  { /* 642 */
+  { /* 600 */
     100,
     /* JECXZ_64 */
   },
-  { /* 643 */
+  { /* 601 */
     100,
     /* JE_1 */
   },
-  { /* 644 */
+  { /* 602 */
     101,
     /* JE_2 */
   },
-  { /* 645 */
+  { /* 603 */
     101,
     /* JE_4 */
   },
-  { /* 646 */
+  { /* 604 */
     100,
     /* JGE_1 */
   },
-  { /* 647 */
+  { /* 605 */
     101,
     /* JGE_2 */
   },
-  { /* 648 */
+  { /* 606 */
     101,
     /* JGE_4 */
   },
-  { /* 649 */
+  { /* 607 */
     100,
     /* JG_1 */
   },
-  { /* 650 */
+  { /* 608 */
     101,
     /* JG_2 */
   },
-  { /* 651 */
+  { /* 609 */
     101,
     /* JG_4 */
   },
-  { /* 652 */
+  { /* 610 */
     100,
     /* JLE_1 */
   },
-  { /* 653 */
+  { /* 611 */
     101,
     /* JLE_2 */
   },
-  { /* 654 */
+  { /* 612 */
     101,
     /* JLE_4 */
   },
-  { /* 655 */
+  { /* 613 */
     100,
     /* JL_1 */
   },
-  { /* 656 */
+  { /* 614 */
     101,
     /* JL_2 */
   },
-  { /* 657 */
+  { /* 615 */
     101,
     /* JL_4 */
   },
-  { /* 658 */
+  { /* 616 */
     59,
     /* JMP16m */
   },
-  { /* 659 */
+  { /* 617 */
     60,
     /* JMP16r */
   },
-  { /* 660 */
+  { /* 618 */
     59,
     /* JMP32m */
   },
-  { /* 661 */
+  { /* 619 */
     60,
     /* JMP32r */
   },
-  { /* 662 */
+  { /* 620 */
     59,
     /* JMP64m */
   },
-  { /* 663 */
+  { /* 621 */
     62,
     /* JMP64r */
   },
-  { /* 664 */
+  { /* 622 */
     100,
     /* JMP_1 */
   },
-  { /* 665 */
+  { /* 623 */
     101,
     /* JMP_2 */
   },
-  { /* 666 */
+  { /* 624 */
     101,
     /* JMP_4 */
   },
-  { /* 667 */
+  { /* 625 */
     100,
     /* JNE_1 */
   },
-  { /* 668 */
+  { /* 626 */
     101,
     /* JNE_2 */
   },
-  { /* 669 */
+  { /* 627 */
     101,
     /* JNE_4 */
   },
-  { /* 670 */
+  { /* 628 */
     100,
     /* JNO_1 */
   },
-  { /* 671 */
+  { /* 629 */
     101,
     /* JNO_2 */
   },
-  { /* 672 */
+  { /* 630 */
     101,
     /* JNO_4 */
   },
-  { /* 673 */
+  { /* 631 */
     100,
     /* JNP_1 */
   },
-  { /* 674 */
+  { /* 632 */
     101,
     /* JNP_2 */
   },
-  { /* 675 */
+  { /* 633 */
     101,
     /* JNP_4 */
   },
-  { /* 676 */
+  { /* 634 */
     100,
     /* JNS_1 */
   },
-  { /* 677 */
+  { /* 635 */
     101,
     /* JNS_2 */
   },
-  { /* 678 */
+  { /* 636 */
     101,
     /* JNS_4 */
   },
-  { /* 679 */
+  { /* 637 */
     100,
     /* JO_1 */
   },
-  { /* 680 */
+  { /* 638 */
     101,
     /* JO_2 */
   },
-  { /* 681 */
+  { /* 639 */
     101,
     /* JO_4 */
   },
-  { /* 682 */
+  { /* 640 */
     100,
     /* JP_1 */
   },
-  { /* 683 */
+  { /* 641 */
     101,
     /* JP_2 */
   },
-  { /* 684 */
+  { /* 642 */
     101,
     /* JP_4 */
   },
-  { /* 685 */
+  { /* 643 */
     100,
     /* JRCXZ */
   },
-  { /* 686 */
+  { /* 644 */
     100,
     /* JS_1 */
   },
-  { /* 687 */
+  { /* 645 */
     101,
     /* JS_2 */
   },
-  { /* 688 */
+  { /* 646 */
     101,
     /* JS_4 */
   },
-  { /* 689 */
+  { /* 647 */
     0,
     /* LAHF */
   },
-  { /* 690 */
+  { /* 648 */
     50,
     /* LAR16rm */
   },
-  { /* 691 */
+  { /* 649 */
     51,
     /* LAR16rr */
   },
-  { /* 692 */
+  { /* 650 */
     50,
     /* LAR32rm */
   },
-  { /* 693 */
+  { /* 651 */
     51,
     /* LAR32rr */
   },
-  { /* 694 */
+  { /* 652 */
     30,
     /* LAR64rm */
   },
-  { /* 695 */
+  { /* 653 */
     102,
     /* LAR64rr */
   },
+  { /* 654 */
+    0,
+    /*  */
+  },
+  { /* 655 */
+    0,
+    /*  */
+  },
+  { /* 656 */
+    0,
+    /*  */
+  },
+  { /* 657 */
+    0,
+    /*  */
+  },
+  { /* 658 */
+    0,
+    /*  */
+  },
+  { /* 659 */
+    0,
+    /*  */
+  },
+  { /* 660 */
+    103,
+    /* LDS16rm */
+  },
+  { /* 661 */
+    104,
+    /* LDS32rm */
+  },
+  { /* 662 */
+    50,
+    /* LEA16r */
+  },
+  { /* 663 */
+    50,
+    /* LEA32r */
+  },
+  { /* 664 */
+    105,
+    /* LEA64_32r */
+  },
+  { /* 665 */
+    106,
+    /* LEA64r */
+  },
+  { /* 666 */
+    0,
+    /* LEAVE */
+  },
+  { /* 667 */
+    0,
+    /* LEAVE64 */
+  },
+  { /* 668 */
+    103,
+    /* LES16rm */
+  },
+  { /* 669 */
+    104,
+    /* LES32rm */
+  },
+  { /* 670 */
+    103,
+    /* LFS16rm */
+  },
+  { /* 671 */
+    104,
+    /* LFS32rm */
+  },
+  { /* 672 */
+    107,
+    /* LFS64rm */
+  },
+  { /* 673 */
+    85,
+    /* LGDT16m */
+  },
+  { /* 674 */
+    85,
+    /* LGDT32m */
+  },
+  { /* 675 */
+    86,
+    /* LGDT64m */
+  },
+  { /* 676 */
+    103,
+    /* LGS16rm */
+  },
+  { /* 677 */
+    104,
+    /* LGS32rm */
+  },
+  { /* 678 */
+    107,
+    /* LGS64rm */
+  },
+  { /* 679 */
+    85,
+    /* LIDT16m */
+  },
+  { /* 680 */
+    85,
+    /* LIDT32m */
+  },
+  { /* 681 */
+    86,
+    /* LIDT64m */
+  },
+  { /* 682 */
+    59,
+    /* LLDT16m */
+  },
+  { /* 683 */
+    108,
+    /* LLDT16r */
+  },
+  { /* 684 */
+    59,
+    /* LMSW16m */
+  },
+  { /* 685 */
+    108,
+    /* LMSW16r */
+  },
+  { /* 686 */
+    0,
+    /*  */
+  },
+  { /* 687 */
+    0,
+    /*  */
+  },
+  { /* 688 */
+    0,
+    /*  */
+  },
+  { /* 689 */
+    0,
+    /*  */
+  },
+  { /* 690 */
+    0,
+    /*  */
+  },
+  { /* 691 */
+    0,
+    /*  */
+  },
+  { /* 692 */
+    0,
+    /*  */
+  },
+  { /* 693 */
+    0,
+    /*  */
+  },
+  { /* 694 */
+    0,
+    /*  */
+  },
+  { /* 695 */
+    0,
+    /*  */
+  },
   { /* 696 */
     0,
     /*  */
@@ -5242,108 +5404,108 @@
     /*  */
   },
   { /* 702 */
-    103,
-    /* LDS16rm */
+    0,
+    /*  */
   },
   { /* 703 */
-    104,
-    /* LDS32rm */
+    0,
+    /*  */
   },
   { /* 704 */
-    50,
-    /* LEA16r */
+    0,
+    /*  */
   },
   { /* 705 */
-    50,
-    /* LEA32r */
+    0,
+    /*  */
   },
   { /* 706 */
-    105,
-    /* LEA64_32r */
+    0,
+    /*  */
   },
   { /* 707 */
-    106,
-    /* LEA64r */
+    0,
+    /*  */
   },
   { /* 708 */
     0,
-    /* LEAVE */
+    /*  */
   },
   { /* 709 */
     0,
-    /* LEAVE64 */
+    /*  */
   },
   { /* 710 */
-    103,
-    /* LES16rm */
+    0,
+    /*  */
   },
   { /* 711 */
-    104,
-    /* LES32rm */
+    0,
+    /*  */
   },
   { /* 712 */
-    103,
-    /* LFS16rm */
+    0,
+    /*  */
   },
   { /* 713 */
-    104,
-    /* LFS32rm */
+    0,
+    /*  */
   },
   { /* 714 */
-    107,
-    /* LFS64rm */
+    0,
+    /*  */
   },
   { /* 715 */
-    85,
-    /* LGDT16m */
+    0,
+    /*  */
   },
   { /* 716 */
-    85,
-    /* LGDT32m */
+    0,
+    /*  */
   },
   { /* 717 */
-    86,
-    /* LGDT64m */
+    0,
+    /*  */
   },
   { /* 718 */
-    103,
-    /* LGS16rm */
+    0,
+    /*  */
   },
   { /* 719 */
-    104,
-    /* LGS32rm */
+    0,
+    /*  */
   },
   { /* 720 */
-    107,
-    /* LGS64rm */
+    0,
+    /*  */
   },
   { /* 721 */
-    85,
-    /* LIDT16m */
+    0,
+    /*  */
   },
   { /* 722 */
-    85,
-    /* LIDT32m */
+    0,
+    /*  */
   },
   { /* 723 */
-    86,
-    /* LIDT64m */
+    0,
+    /*  */
   },
   { /* 724 */
-    59,
-    /* LLDT16m */
+    0,
+    /*  */
   },
   { /* 725 */
-    108,
-    /* LLDT16r */
+    0,
+    /*  */
   },
   { /* 726 */
-    59,
-    /* LMSW16m */
+    0,
+    /*  */
   },
   { /* 727 */
-    108,
-    /* LMSW16r */
+    0,
+    /* LOCK_PREFIX */
   },
   { /* 728 */
     0,
@@ -5434,100 +5596,100 @@
     /*  */
   },
   { /* 750 */
-    0,
-    /*  */
+    109,
+    /* LODSB */
   },
   { /* 751 */
-    0,
-    /*  */
+    110,
+    /* LODSL */
   },
   { /* 752 */
-    0,
-    /*  */
+    111,
+    /* LODSQ */
   },
   { /* 753 */
-    0,
-    /*  */
+    112,
+    /* LODSW */
   },
   { /* 754 */
-    0,
-    /*  */
+    100,
+    /* LOOP */
   },
   { /* 755 */
-    0,
-    /*  */
+    100,
+    /* LOOPE */
   },
   { /* 756 */
-    0,
-    /*  */
+    100,
+    /* LOOPNE */
   },
   { /* 757 */
-    0,
-    /*  */
+    113,
+    /* LRETIL */
   },
   { /* 758 */
-    0,
-    /*  */
+    113,
+    /* LRETIQ */
   },
   { /* 759 */
-    0,
-    /*  */
+    2,
+    /* LRETIW */
   },
   { /* 760 */
     0,
-    /*  */
+    /* LRETL */
   },
   { /* 761 */
     0,
-    /*  */
+    /* LRETQ */
   },
   { /* 762 */
     0,
-    /*  */
+    /* LRETW */
   },
   { /* 763 */
-    0,
-    /*  */
+    50,
+    /* LSL16rm */
   },
   { /* 764 */
-    0,
-    /*  */
+    51,
+    /* LSL16rr */
   },
   { /* 765 */
-    0,
-    /*  */
+    50,
+    /* LSL32rm */
   },
   { /* 766 */
-    0,
-    /*  */
+    51,
+    /* LSL32rr */
   },
   { /* 767 */
-    0,
-    /*  */
+    30,
+    /* LSL64rm */
   },
   { /* 768 */
-    0,
-    /*  */
+    31,
+    /* LSL64rr */
   },
   { /* 769 */
-    0,
-    /* LOCK_PREFIX */
+    103,
+    /* LSS16rm */
   },
   { /* 770 */
-    0,
-    /*  */
+    104,
+    /* LSS32rm */
   },
   { /* 771 */
-    0,
-    /*  */
+    107,
+    /* LSS64rm */
   },
   { /* 772 */
-    0,
-    /*  */
+    59,
+    /* LTRm */
   },
   { /* 773 */
-    0,
-    /*  */
+    108,
+    /* LTRr */
   },
   { /* 774 */
     0,
@@ -5546,32 +5708,32 @@
     /*  */
   },
   { /* 778 */
-    0,
-    /*  */
+    50,
+    /* LZCNT16rm */
   },
   { /* 779 */
-    0,
-    /*  */
+    51,
+    /* LZCNT16rr */
   },
   { /* 780 */
-    0,
-    /*  */
+    50,
+    /* LZCNT32rm */
   },
   { /* 781 */
-    0,
-    /*  */
+    51,
+    /* LZCNT32rr */
   },
   { /* 782 */
-    0,
-    /*  */
+    30,
+    /* LZCNT64rm */
   },
   { /* 783 */
-    0,
-    /*  */
+    31,
+    /* LZCNT64rr */
   },
   { /* 784 */
     0,
-    /*  */
+    /* MONTMUL */
   },
   { /* 785 */
     0,
@@ -5582,3036 +5744,3036 @@
     /*  */
   },
   { /* 787 */
-    0,
-    /*  */
-  },
-  { /* 788 */
-    0,
-    /*  */
-  },
-  { /* 789 */
-    0,
-    /*  */
-  },
-  { /* 790 */
-    0,
-    /*  */
-  },
-  { /* 791 */
-    0,
-    /*  */
-  },
-  { /* 792 */
-    109,
-    /* LODSB */
-  },
-  { /* 793 */
-    110,
-    /* LODSL */
-  },
-  { /* 794 */
-    111,
-    /* LODSQ */
-  },
-  { /* 795 */
-    112,
-    /* LODSW */
-  },
-  { /* 796 */
-    100,
-    /* LOOP */
-  },
-  { /* 797 */
-    100,
-    /* LOOPE */
-  },
-  { /* 798 */
-    100,
-    /* LOOPNE */
-  },
-  { /* 799 */
-    113,
-    /* LRETIL */
-  },
-  { /* 800 */
-    113,
-    /* LRETIQ */
-  },
-  { /* 801 */
-    2,
-    /* LRETIW */
-  },
-  { /* 802 */
-    0,
-    /* LRETL */
-  },
-  { /* 803 */
-    0,
-    /* LRETQ */
-  },
-  { /* 804 */
-    0,
-    /* LRETW */
-  },
-  { /* 805 */
-    50,
-    /* LSL16rm */
-  },
-  { /* 806 */
-    51,
-    /* LSL16rr */
-  },
-  { /* 807 */
-    50,
-    /* LSL32rm */
-  },
-  { /* 808 */
-    51,
-    /* LSL32rr */
-  },
-  { /* 809 */
-    30,
-    /* LSL64rm */
-  },
-  { /* 810 */
-    31,
-    /* LSL64rr */
-  },
-  { /* 811 */
-    103,
-    /* LSS16rm */
-  },
-  { /* 812 */
-    104,
-    /* LSS32rm */
-  },
-  { /* 813 */
-    107,
-    /* LSS64rm */
-  },
-  { /* 814 */
-    59,
-    /* LTRm */
-  },
-  { /* 815 */
-    108,
-    /* LTRr */
-  },
-  { /* 816 */
-    0,
-    /*  */
-  },
-  { /* 817 */
-    0,
-    /*  */
-  },
-  { /* 818 */
-    0,
-    /*  */
-  },
-  { /* 819 */
-    0,
-    /*  */
-  },
-  { /* 820 */
-    50,
-    /* LZCNT16rm */
-  },
-  { /* 821 */
-    51,
-    /* LZCNT16rr */
-  },
-  { /* 822 */
-    50,
-    /* LZCNT32rm */
-  },
-  { /* 823 */
-    51,
-    /* LZCNT32rr */
-  },
-  { /* 824 */
-    30,
-    /* LZCNT64rm */
-  },
-  { /* 825 */
-    31,
-    /* LZCNT64rr */
-  },
-  { /* 826 */
-    0,
-    /* MONTMUL */
-  },
-  { /* 827 */
-    0,
-    /*  */
-  },
-  { /* 828 */
-    0,
-    /*  */
-  },
-  { /* 829 */
     114,
     /* MOV16ao16 */
   },
-  { /* 830 */
+  { /* 788 */
     114,
     /* MOV16ao16_16 */
   },
-  { /* 831 */
+  { /* 789 */
     3,
     /* MOV16mi */
   },
-  { /* 832 */
+  { /* 790 */
     5,
     /* MOV16mr */
   },
-  { /* 833 */
+  { /* 791 */
     115,
     /* MOV16ms */
   },
-  { /* 834 */
+  { /* 792 */
     114,
     /* MOV16o16a */
   },
-  { /* 835 */
+  { /* 793 */
     114,
     /* MOV16o16a_16 */
   },
-  { /* 836 */
+  { /* 794 */
     116,
     /* MOV16ri */
   },
-  { /* 837 */
+  { /* 795 */
     65,
     /* MOV16ri_alt */
   },
-  { /* 838 */
+  { /* 796 */
     50,
     /* MOV16rm */
   },
-  { /* 839 */
+  { /* 797 */
     55,
     /* MOV16rr */
   },
-  { /* 840 */
+  { /* 798 */
     51,
     /* MOV16rr_REV */
   },
-  { /* 841 */
+  { /* 799 */
     117,
     /* MOV16rs */
   },
-  { /* 842 */
+  { /* 800 */
     118,
     /* MOV16sm */
   },
-  { /* 843 */
+  { /* 801 */
     119,
     /* MOV16sr */
   },
-  { /* 844 */
+  { /* 802 */
     120,
     /* MOV32ao32 */
   },
-  { /* 845 */
+  { /* 803 */
     120,
     /* MOV32ao32_16 */
   },
-  { /* 846 */
+  { /* 804 */
     121,
     /* MOV32cr */
   },
-  { /* 847 */
+  { /* 805 */
     122,
     /* MOV32dr */
   },
-  { /* 848 */
+  { /* 806 */
     3,
     /* MOV32mi */
   },
-  { /* 849 */
+  { /* 807 */
     5,
     /* MOV32mr */
   },
-  { /* 850 */
+  { /* 808 */
     115,
     /* MOV32ms */
   },
-  { /* 851 */
+  { /* 809 */
     120,
     /* MOV32o32a */
   },
-  { /* 852 */
+  { /* 810 */
     120,
     /* MOV32o32a_16 */
   },
-  { /* 853 */
+  { /* 811 */
     0,
     /*  */
   },
-  { /* 854 */
+  { /* 812 */
     123,
     /* MOV32rc */
   },
-  { /* 855 */
+  { /* 813 */
     124,
     /* MOV32rd */
   },
-  { /* 856 */
+  { /* 814 */
     116,
     /* MOV32ri */
   },
-  { /* 857 */
+  { /* 815 */
     0,
     /*  */
   },
-  { /* 858 */
+  { /* 816 */
     65,
     /* MOV32ri_alt */
   },
-  { /* 859 */
+  { /* 817 */
     50,
     /* MOV32rm */
   },
-  { /* 860 */
+  { /* 818 */
     55,
     /* MOV32rr */
   },
-  { /* 861 */
+  { /* 819 */
     51,
     /* MOV32rr_REV */
   },
-  { /* 862 */
+  { /* 820 */
     117,
     /* MOV32rs */
   },
-  { /* 863 */
+  { /* 821 */
     118,
     /* MOV32sm */
   },
-  { /* 864 */
+  { /* 822 */
     119,
     /* MOV32sr */
   },
-  { /* 865 */
+  { /* 823 */
     114,
     /* MOV64ao16 */
   },
-  { /* 866 */
+  { /* 824 */
     120,
     /* MOV64ao32 */
   },
-  { /* 867 */
+  { /* 825 */
     125,
     /* MOV64ao64 */
   },
-  { /* 868 */
+  { /* 826 */
     126,
     /* MOV64ao8 */
   },
-  { /* 869 */
+  { /* 827 */
     127,
     /* MOV64cr */
   },
-  { /* 870 */
+  { /* 828 */
     128,
     /* MOV64dr */
   },
-  { /* 871 */
+  { /* 829 */
     14,
     /* MOV64mi32 */
   },
-  { /* 872 */
+  { /* 830 */
     16,
     /* MOV64mr */
   },
-  { /* 873 */
+  { /* 831 */
     115,
     /* MOV64ms */
   },
-  { /* 874 */
+  { /* 832 */
     114,
     /* MOV64o16a */
   },
-  { /* 875 */
+  { /* 833 */
     120,
     /* MOV64o32a */
   },
-  { /* 876 */
+  { /* 834 */
     125,
     /* MOV64o64a */
   },
-  { /* 877 */
+  { /* 835 */
     126,
     /* MOV64o8a */
   },
-  { /* 878 */
+  { /* 836 */
     129,
     /* MOV64rc */
   },
-  { /* 879 */
+  { /* 837 */
     130,
     /* MOV64rd */
   },
-  { /* 880 */
+  { /* 838 */
     131,
     /* MOV64ri */
   },
-  { /* 881 */
+  { /* 839 */
     66,
     /* MOV64ri32 */
   },
-  { /* 882 */
+  { /* 840 */
     30,
     /* MOV64rm */
   },
-  { /* 883 */
+  { /* 841 */
     58,
     /* MOV64rr */
   },
-  { /* 884 */
+  { /* 842 */
     31,
     /* MOV64rr_REV */
   },
-  { /* 885 */
+  { /* 843 */
     132,
     /* MOV64rs */
   },
-  { /* 886 */
+  { /* 844 */
     118,
     /* MOV64sm */
   },
-  { /* 887 */
+  { /* 845 */
     133,
     /* MOV64sr */
   },
-  { /* 888 */
+  { /* 846 */
     126,
     /* MOV8ao8 */
   },
-  { /* 889 */
+  { /* 847 */
     126,
     /* MOV8ao8_16 */
   },
-  { /* 890 */
+  { /* 848 */
     22,
     /* MOV8mi */
   },
-  { /* 891 */
+  { /* 849 */
     23,
     /* MOV8mr */
   },
-  { /* 892 */
+  { /* 850 */
     0,
     /*  */
   },
-  { /* 893 */
+  { /* 851 */
     126,
     /* MOV8o8a */
   },
-  { /* 894 */
+  { /* 852 */
     126,
     /* MOV8o8a_16 */
   },
-  { /* 895 */
+  { /* 853 */
     134,
     /* MOV8ri */
   },
-  { /* 896 */
+  { /* 854 */
     67,
     /* MOV8ri_alt */
   },
-  { /* 897 */
+  { /* 855 */
     68,
     /* MOV8rm */
   },
-  { /* 898 */
+  { /* 856 */
     0,
     /*  */
   },
-  { /* 899 */
+  { /* 857 */
     69,
     /* MOV8rr */
   },
-  { /* 900 */
+  { /* 858 */
     0,
     /*  */
   },
-  { /* 901 */
+  { /* 859 */
     70,
     /* MOV8rr_REV */
   },
-  { /* 902 */
+  { /* 860 */
     5,
     /* MOVBE16mr */
   },
-  { /* 903 */
+  { /* 861 */
     50,
     /* MOVBE16rm */
   },
-  { /* 904 */
+  { /* 862 */
     5,
     /* MOVBE32mr */
   },
-  { /* 905 */
+  { /* 863 */
     50,
     /* MOVBE32rm */
   },
-  { /* 906 */
+  { /* 864 */
     16,
     /* MOVBE64mr */
   },
-  { /* 907 */
+  { /* 865 */
     30,
     /* MOVBE64rm */
   },
-  { /* 908 */
+  { /* 866 */
     0,
     /*  */
   },
-  { /* 909 */
-    74,
+  { /* 867 */
+    71,
     /* MOVSB */
   },
-  { /* 910 */
+  { /* 868 */
     72,
     /* MOVSL */
   },
-  { /* 911 */
+  { /* 869 */
     73,
     /* MOVSQ */
   },
-  { /* 912 */
-    71,
+  { /* 870 */
+    74,
     /* MOVSW */
   },
-  { /* 913 */
+  { /* 871 */
     135,
     /* MOVSX16rm8 */
   },
-  { /* 914 */
+  { /* 872 */
     136,
     /* MOVSX16rr8 */
   },
-  { /* 915 */
+  { /* 873 */
     50,
     /* MOVSX32rm16 */
   },
-  { /* 916 */
+  { /* 874 */
     135,
     /* MOVSX32rm8 */
   },
-  { /* 917 */
+  { /* 875 */
     137,
     /* MOVSX32rr16 */
   },
-  { /* 918 */
+  { /* 876 */
     136,
     /* MOVSX32rr8 */
   },
-  { /* 919 */
+  { /* 877 */
     30,
     /* MOVSX64rm16 */
   },
-  { /* 920 */
+  { /* 878 */
     30,
     /* MOVSX64rm32 */
   },
-  { /* 921 */
+  { /* 879 */
     138,
     /* MOVSX64rm8 */
   },
-  { /* 922 */
+  { /* 880 */
     139,
     /* MOVSX64rr16 */
   },
-  { /* 923 */
+  { /* 881 */
     102,
     /* MOVSX64rr32 */
   },
-  { /* 924 */
+  { /* 882 */
     140,
     /* MOVSX64rr8 */
   },
-  { /* 925 */
+  { /* 883 */
     135,
     /* MOVZX16rm8 */
   },
-  { /* 926 */
+  { /* 884 */
     136,
     /* MOVZX16rr8 */
   },
-  { /* 927 */
+  { /* 885 */
     0,
     /*  */
   },
-  { /* 928 */
+  { /* 886 */
     0,
     /*  */
   },
-  { /* 929 */
+  { /* 887 */
     50,
     /* MOVZX32rm16 */
   },
-  { /* 930 */
+  { /* 888 */
     135,
     /* MOVZX32rm8 */
   },
-  { /* 931 */
+  { /* 889 */
     137,
     /* MOVZX32rr16 */
   },
-  { /* 932 */
+  { /* 890 */
     136,
     /* MOVZX32rr8 */
   },
-  { /* 933 */
+  { /* 891 */
     30,
     /* MOVZX64rm16_Q */
   },
-  { /* 934 */
+  { /* 892 */
     138,
     /* MOVZX64rm8_Q */
   },
-  { /* 935 */
+  { /* 893 */
     139,
     /* MOVZX64rr16_Q */
   },
-  { /* 936 */
+  { /* 894 */
     140,
     /* MOVZX64rr8_Q */
   },
-  { /* 937 */
+  { /* 895 */
     59,
     /* MUL16m */
   },
-  { /* 938 */
+  { /* 896 */
     60,
     /* MUL16r */
   },
-  { /* 939 */
+  { /* 897 */
     59,
     /* MUL32m */
   },
-  { /* 940 */
+  { /* 898 */
     60,
     /* MUL32r */
   },
-  { /* 941 */
+  { /* 899 */
     59,
     /* MUL64m */
   },
-  { /* 942 */
+  { /* 900 */
     62,
     /* MUL64r */
   },
-  { /* 943 */
+  { /* 901 */
     78,
     /* MUL8m */
   },
-  { /* 944 */
+  { /* 902 */
     80,
     /* MUL8r */
   },
-  { /* 945 */
+  { /* 903 */
     32,
     /* MULX32rm */
   },
-  { /* 946 */
+  { /* 904 */
     33,
     /* MULX32rr */
   },
-  { /* 947 */
+  { /* 905 */
     34,
     /* MULX64rm */
   },
-  { /* 948 */
+  { /* 906 */
     35,
     /* MULX64rr */
   },
-  { /* 949 */
+  { /* 907 */
     59,
     /* NEG16m */
   },
-  { /* 950 */
+  { /* 908 */
     76,
     /* NEG16r */
   },
-  { /* 951 */
+  { /* 909 */
     59,
     /* NEG32m */
   },
-  { /* 952 */
+  { /* 910 */
     76,
     /* NEG32r */
   },
-  { /* 953 */
+  { /* 911 */
     59,
     /* NEG64m */
   },
-  { /* 954 */
+  { /* 912 */
     77,
     /* NEG64r */
   },
-  { /* 955 */
+  { /* 913 */
     78,
     /* NEG8m */
   },
-  { /* 956 */
+  { /* 914 */
     79,
     /* NEG8r */
   },
-  { /* 957 */
+  { /* 915 */
     0,
     /* NOOP */
   },
-  { /* 958 */
+  { /* 916 */
     59,
     /* NOOP18_16m4 */
   },
-  { /* 959 */
+  { /* 917 */
     59,
     /* NOOP18_16m5 */
   },
-  { /* 960 */
+  { /* 918 */
     59,
     /* NOOP18_16m6 */
   },
-  { /* 961 */
+  { /* 919 */
     59,
     /* NOOP18_16m7 */
   },
-  { /* 962 */
+  { /* 920 */
     60,
     /* NOOP18_16r4 */
   },
-  { /* 963 */
+  { /* 921 */
     60,
     /* NOOP18_16r5 */
   },
-  { /* 964 */
+  { /* 922 */
     60,
     /* NOOP18_16r6 */
   },
-  { /* 965 */
+  { /* 923 */
     60,
     /* NOOP18_16r7 */
   },
-  { /* 966 */
+  { /* 924 */
     59,
     /* NOOP18_m4 */
   },
-  { /* 967 */
+  { /* 925 */
     59,
     /* NOOP18_m5 */
   },
-  { /* 968 */
+  { /* 926 */
     59,
     /* NOOP18_m6 */
   },
-  { /* 969 */
+  { /* 927 */
     59,
     /* NOOP18_m7 */
   },
-  { /* 970 */
+  { /* 928 */
     60,
     /* NOOP18_r4 */
   },
-  { /* 971 */
+  { /* 929 */
     60,
     /* NOOP18_r5 */
   },
-  { /* 972 */
+  { /* 930 */
     60,
     /* NOOP18_r6 */
   },
-  { /* 973 */
+  { /* 931 */
     60,
     /* NOOP18_r7 */
   },
-  { /* 974 */
+  { /* 932 */
     59,
     /* NOOPL */
   },
-  { /* 975 */
+  { /* 933 */
     59,
     /* NOOPL_19 */
   },
-  { /* 976 */
+  { /* 934 */
     59,
     /* NOOPL_1a */
   },
-  { /* 977 */
+  { /* 935 */
     59,
     /* NOOPL_1b */
   },
-  { /* 978 */
+  { /* 936 */
     59,
     /* NOOPL_1c */
   },
-  { /* 979 */
+  { /* 937 */
     59,
     /* NOOPL_1d */
   },
-  { /* 980 */
+  { /* 938 */
     59,
     /* NOOPL_1e */
   },
-  { /* 981 */
+  { /* 939 */
     59,
     /* NOOPW */
   },
-  { /* 982 */
+  { /* 940 */
     59,
     /* NOOPW_19 */
   },
-  { /* 983 */
+  { /* 941 */
     59,
     /* NOOPW_1a */
   },
-  { /* 984 */
+  { /* 942 */
     59,
     /* NOOPW_1b */
   },
-  { /* 985 */
+  { /* 943 */
     59,
     /* NOOPW_1c */
   },
-  { /* 986 */
+  { /* 944 */
     59,
     /* NOOPW_1d */
   },
-  { /* 987 */
+  { /* 945 */
     59,
     /* NOOPW_1e */
   },
-  { /* 988 */
+  { /* 946 */
     59,
     /* NOT16m */
   },
-  { /* 989 */
+  { /* 947 */
     76,
     /* NOT16r */
   },
-  { /* 990 */
+  { /* 948 */
     59,
     /* NOT32m */
   },
-  { /* 991 */
+  { /* 949 */
     76,
     /* NOT32r */
   },
-  { /* 992 */
+  { /* 950 */
     59,
     /* NOT64m */
   },
-  { /* 993 */
+  { /* 951 */
     77,
     /* NOT64r */
   },
-  { /* 994 */
+  { /* 952 */
     78,
     /* NOT8m */
   },
-  { /* 995 */
+  { /* 953 */
     79,
     /* NOT8r */
   },
-  { /* 996 */
+  { /* 954 */
     2,
     /* OR16i16 */
   },
-  { /* 997 */
+  { /* 955 */
     3,
     /* OR16mi */
   },
-  { /* 998 */
+  { /* 956 */
     4,
     /* OR16mi8 */
   },
-  { /* 999 */
+  { /* 957 */
     5,
     /* OR16mr */
   },
-  { /* 1000 */
+  { /* 958 */
     6,
     /* OR16ri */
   },
-  { /* 1001 */
+  { /* 959 */
     7,
     /* OR16ri8 */
   },
-  { /* 1002 */
+  { /* 960 */
     8,
     /* OR16rm */
   },
-  { /* 1003 */
+  { /* 961 */
     9,
     /* OR16rr */
   },
-  { /* 1004 */
+  { /* 962 */
     10,
     /* OR16rr_REV */
   },
-  { /* 1005 */
+  { /* 963 */
     2,
     /* OR32i32 */
   },
-  { /* 1006 */
+  { /* 964 */
     3,
     /* OR32mi */
   },
-  { /* 1007 */
+  { /* 965 */
     11,
     /* OR32mi8 */
   },
-  { /* 1008 */
+  { /* 966 */
     5,
     /* OR32mr */
   },
-  { /* 1009 */
+  { /* 967 */
     0,
     /*  */
   },
-  { /* 1010 */
+  { /* 968 */
     6,
     /* OR32ri */
   },
-  { /* 1011 */
+  { /* 969 */
     12,
     /* OR32ri8 */
   },
-  { /* 1012 */
+  { /* 970 */
     8,
     /* OR32rm */
   },
-  { /* 1013 */
+  { /* 971 */
     9,
     /* OR32rr */
   },
-  { /* 1014 */
+  { /* 972 */
     10,
     /* OR32rr_REV */
   },
-  { /* 1015 */
+  { /* 973 */
     13,
     /* OR64i32 */
   },
-  { /* 1016 */
+  { /* 974 */
     14,
     /* OR64mi32 */
   },
-  { /* 1017 */
+  { /* 975 */
     15,
     /* OR64mi8 */
   },
-  { /* 1018 */
+  { /* 976 */
     16,
     /* OR64mr */
   },
-  { /* 1019 */
+  { /* 977 */
     17,
     /* OR64ri32 */
   },
-  { /* 1020 */
+  { /* 978 */
     18,
     /* OR64ri8 */
   },
-  { /* 1021 */
+  { /* 979 */
     19,
     /* OR64rm */
   },
-  { /* 1022 */
+  { /* 980 */
     20,
     /* OR64rr */
   },
-  { /* 1023 */
+  { /* 981 */
     21,
     /* OR64rr_REV */
   },
-  { /* 1024 */
+  { /* 982 */
     1,
     /* OR8i8 */
   },
-  { /* 1025 */
+  { /* 983 */
     22,
     /* OR8mi */
   },
-  { /* 1026 */
+  { /* 984 */
     23,
     /* OR8mr */
   },
-  { /* 1027 */
+  { /* 985 */
     24,
     /* OR8ri */
   },
-  { /* 1028 */
+  { /* 986 */
     24,
     /* OR8ri8 */
   },
-  { /* 1029 */
+  { /* 987 */
     25,
     /* OR8rm */
   },
-  { /* 1030 */
+  { /* 988 */
     26,
     /* OR8rr */
   },
-  { /* 1031 */
+  { /* 989 */
     27,
     /* OR8rr_REV */
   },
-  { /* 1032 */
+  { /* 990 */
     1,
     /* OUT16ir */
   },
-  { /* 1033 */
+  { /* 991 */
     0,
     /* OUT16rr */
   },
-  { /* 1034 */
+  { /* 992 */
     1,
     /* OUT32ir */
   },
-  { /* 1035 */
+  { /* 993 */
     0,
     /* OUT32rr */
   },
-  { /* 1036 */
+  { /* 994 */
     1,
     /* OUT8ir */
   },
-  { /* 1037 */
+  { /* 995 */
     0,
     /* OUT8rr */
   },
-  { /* 1038 */
+  { /* 996 */
     109,
     /* OUTSB */
   },
-  { /* 1039 */
+  { /* 997 */
     110,
     /* OUTSL */
   },
-  { /* 1040 */
+  { /* 998 */
     112,
     /* OUTSW */
   },
-  { /* 1041 */
+  { /* 999 */
     32,
     /* PDEP32rm */
   },
-  { /* 1042 */
+  { /* 1000 */
     33,
     /* PDEP32rr */
   },
-  { /* 1043 */
+  { /* 1001 */
     34,
     /* PDEP64rm */
   },
-  { /* 1044 */
+  { /* 1002 */
     35,
     /* PDEP64rr */
   },
-  { /* 1045 */
+  { /* 1003 */
     32,
     /* PEXT32rm */
   },
-  { /* 1046 */
+  { /* 1004 */
     33,
     /* PEXT32rr */
   },
-  { /* 1047 */
+  { /* 1005 */
     34,
     /* PEXT64rm */
   },
-  { /* 1048 */
+  { /* 1006 */
     35,
     /* PEXT64rr */
   },
-  { /* 1049 */
+  { /* 1007 */
     141,
     /* POP16r */
   },
-  { /* 1050 */
+  { /* 1008 */
     59,
     /* POP16rmm */
   },
-  { /* 1051 */
+  { /* 1009 */
     60,
     /* POP16rmr */
   },
-  { /* 1052 */
+  { /* 1010 */
     141,
     /* POP32r */
   },
-  { /* 1053 */
+  { /* 1011 */
     59,
     /* POP32rmm */
   },
-  { /* 1054 */
+  { /* 1012 */
     60,
     /* POP32rmr */
   },
-  { /* 1055 */
+  { /* 1013 */
     142,
     /* POP64r */
   },
-  { /* 1056 */
+  { /* 1014 */
     59,
     /* POP64rmm */
   },
-  { /* 1057 */
+  { /* 1015 */
     62,
     /* POP64rmr */
   },
-  { /* 1058 */
+  { /* 1016 */
     0,
     /* POPA16 */
   },
-  { /* 1059 */
+  { /* 1017 */
     0,
     /* POPA32 */
   },
-  { /* 1060 */
+  { /* 1018 */
     0,
     /* POPDS16 */
   },
-  { /* 1061 */
+  { /* 1019 */
     0,
     /* POPDS32 */
   },
-  { /* 1062 */
+  { /* 1020 */
     0,
     /* POPES16 */
   },
-  { /* 1063 */
+  { /* 1021 */
     0,
     /* POPES32 */
   },
-  { /* 1064 */
+  { /* 1022 */
     0,
     /* POPF16 */
   },
-  { /* 1065 */
+  { /* 1023 */
     0,
     /* POPF32 */
   },
-  { /* 1066 */
+  { /* 1024 */
     0,
     /* POPF64 */
   },
-  { /* 1067 */
+  { /* 1025 */
     0,
     /* POPFS16 */
   },
-  { /* 1068 */
+  { /* 1026 */
     0,
     /* POPFS32 */
   },
-  { /* 1069 */
+  { /* 1027 */
     0,
     /* POPFS64 */
   },
-  { /* 1070 */
+  { /* 1028 */
     0,
     /* POPGS16 */
   },
-  { /* 1071 */
+  { /* 1029 */
     0,
     /* POPGS32 */
   },
-  { /* 1072 */
+  { /* 1030 */
     0,
     /* POPGS64 */
   },
-  { /* 1073 */
+  { /* 1031 */
     0,
     /* POPSS16 */
   },
-  { /* 1074 */
+  { /* 1032 */
     0,
     /* POPSS32 */
   },
-  { /* 1075 */
+  { /* 1033 */
     143,
     /* PUSH16i8 */
   },
-  { /* 1076 */
+  { /* 1034 */
     141,
     /* PUSH16r */
   },
-  { /* 1077 */
+  { /* 1035 */
     59,
     /* PUSH16rmm */
   },
-  { /* 1078 */
+  { /* 1036 */
     60,
     /* PUSH16rmr */
   },
-  { /* 1079 */
+  { /* 1037 */
     144,
     /* PUSH32i8 */
   },
-  { /* 1080 */
+  { /* 1038 */
     141,
     /* PUSH32r */
   },
-  { /* 1081 */
+  { /* 1039 */
     59,
     /* PUSH32rmm */
   },
-  { /* 1082 */
+  { /* 1040 */
     60,
     /* PUSH32rmr */
   },
-  { /* 1083 */
+  { /* 1041 */
     2,
     /* PUSH64i16 */
   },
-  { /* 1084 */
+  { /* 1042 */
     13,
     /* PUSH64i32 */
   },
-  { /* 1085 */
+  { /* 1043 */
     145,
     /* PUSH64i8 */
   },
-  { /* 1086 */
+  { /* 1044 */
     142,
     /* PUSH64r */
   },
-  { /* 1087 */
+  { /* 1045 */
     59,
     /* PUSH64rmm */
   },
-  { /* 1088 */
+  { /* 1046 */
     62,
     /* PUSH64rmr */
   },
-  { /* 1089 */
+  { /* 1047 */
     0,
     /* PUSHA16 */
   },
-  { /* 1090 */
+  { /* 1048 */
     0,
     /* PUSHA32 */
   },
-  { /* 1091 */
+  { /* 1049 */
     0,
     /* PUSHCS16 */
   },
-  { /* 1092 */
+  { /* 1050 */
     0,
     /* PUSHCS32 */
   },
-  { /* 1093 */
+  { /* 1051 */
     0,
     /* PUSHDS16 */
   },
-  { /* 1094 */
+  { /* 1052 */
     0,
     /* PUSHDS32 */
   },
-  { /* 1095 */
+  { /* 1053 */
     0,
     /* PUSHES16 */
   },
-  { /* 1096 */
+  { /* 1054 */
     0,
     /* PUSHES32 */
   },
-  { /* 1097 */
+  { /* 1055 */
     0,
     /* PUSHF16 */
   },
-  { /* 1098 */
+  { /* 1056 */
     0,
     /* PUSHF32 */
   },
-  { /* 1099 */
+  { /* 1057 */
     0,
     /* PUSHF64 */
   },
-  { /* 1100 */
+  { /* 1058 */
     0,
     /* PUSHFS16 */
   },
-  { /* 1101 */
+  { /* 1059 */
     0,
     /* PUSHFS32 */
   },
-  { /* 1102 */
+  { /* 1060 */
     0,
     /* PUSHFS64 */
   },
-  { /* 1103 */
+  { /* 1061 */
     0,
     /* PUSHGS16 */
   },
-  { /* 1104 */
+  { /* 1062 */
     0,
     /* PUSHGS32 */
   },
-  { /* 1105 */
+  { /* 1063 */
     0,
     /* PUSHGS64 */
   },
-  { /* 1106 */
+  { /* 1064 */
     0,
     /* PUSHSS16 */
   },
-  { /* 1107 */
+  { /* 1065 */
     0,
     /* PUSHSS32 */
   },
-  { /* 1108 */
+  { /* 1066 */
     2,
     /* PUSHi16 */
   },
-  { /* 1109 */
+  { /* 1067 */
     2,
     /* PUSHi32 */
   },
-  { /* 1110 */
+  { /* 1068 */
     59,
     /* RCL16m1 */
   },
-  { /* 1111 */
+  { /* 1069 */
     59,
     /* RCL16mCL */
   },
-  { /* 1112 */
+  { /* 1070 */
     146,
     /* RCL16mi */
   },
-  { /* 1113 */
+  { /* 1071 */
     76,
     /* RCL16r1 */
   },
-  { /* 1114 */
+  { /* 1072 */
     76,
     /* RCL16rCL */
   },
-  { /* 1115 */
+  { /* 1073 */
     147,
     /* RCL16ri */
   },
-  { /* 1116 */
+  { /* 1074 */
     59,
     /* RCL32m1 */
   },
-  { /* 1117 */
+  { /* 1075 */
     59,
     /* RCL32mCL */
   },
-  { /* 1118 */
+  { /* 1076 */
     146,
     /* RCL32mi */
   },
-  { /* 1119 */
+  { /* 1077 */
     76,
     /* RCL32r1 */
   },
-  { /* 1120 */
+  { /* 1078 */
     76,
     /* RCL32rCL */
   },
-  { /* 1121 */
+  { /* 1079 */
     147,
     /* RCL32ri */
   },
-  { /* 1122 */
+  { /* 1080 */
     59,
     /* RCL64m1 */
   },
-  { /* 1123 */
+  { /* 1081 */
     59,
     /* RCL64mCL */
   },
-  { /* 1124 */
+  { /* 1082 */
     146,
     /* RCL64mi */
   },
-  { /* 1125 */
+  { /* 1083 */
     77,
     /* RCL64r1 */
   },
-  { /* 1126 */
+  { /* 1084 */
     77,
     /* RCL64rCL */
   },
-  { /* 1127 */
+  { /* 1085 */
     148,
     /* RCL64ri */
   },
-  { /* 1128 */
+  { /* 1086 */
     78,
     /* RCL8m1 */
   },
-  { /* 1129 */
+  { /* 1087 */
     78,
     /* RCL8mCL */
   },
-  { /* 1130 */
+  { /* 1088 */
     22,
     /* RCL8mi */
   },
-  { /* 1131 */
+  { /* 1089 */
     79,
     /* RCL8r1 */
   },
-  { /* 1132 */
+  { /* 1090 */
     79,
     /* RCL8rCL */
   },
-  { /* 1133 */
+  { /* 1091 */
     24,
     /* RCL8ri */
   },
-  { /* 1134 */
+  { /* 1092 */
     59,
     /* RCR16m1 */
   },
-  { /* 1135 */
+  { /* 1093 */
     59,
     /* RCR16mCL */
   },
-  { /* 1136 */
+  { /* 1094 */
     146,
     /* RCR16mi */
   },
-  { /* 1137 */
+  { /* 1095 */
     76,
     /* RCR16r1 */
   },
-  { /* 1138 */
+  { /* 1096 */
     76,
     /* RCR16rCL */
   },
-  { /* 1139 */
+  { /* 1097 */
     147,
     /* RCR16ri */
   },
-  { /* 1140 */
+  { /* 1098 */
     59,
     /* RCR32m1 */
   },
-  { /* 1141 */
+  { /* 1099 */
     59,
     /* RCR32mCL */
   },
-  { /* 1142 */
+  { /* 1100 */
     146,
     /* RCR32mi */
   },
-  { /* 1143 */
+  { /* 1101 */
     76,
     /* RCR32r1 */
   },
-  { /* 1144 */
+  { /* 1102 */
     76,
     /* RCR32rCL */
   },
-  { /* 1145 */
+  { /* 1103 */
     147,
     /* RCR32ri */
   },
-  { /* 1146 */
+  { /* 1104 */
     59,
     /* RCR64m1 */
   },
-  { /* 1147 */
+  { /* 1105 */
     59,
     /* RCR64mCL */
   },
-  { /* 1148 */
+  { /* 1106 */
     146,
     /* RCR64mi */
   },
-  { /* 1149 */
+  { /* 1107 */
     77,
     /* RCR64r1 */
   },
-  { /* 1150 */
+  { /* 1108 */
     77,
     /* RCR64rCL */
   },
-  { /* 1151 */
+  { /* 1109 */
     148,
     /* RCR64ri */
   },
-  { /* 1152 */
+  { /* 1110 */
     78,
     /* RCR8m1 */
   },
-  { /* 1153 */
+  { /* 1111 */
     78,
     /* RCR8mCL */
   },
-  { /* 1154 */
+  { /* 1112 */
     22,
     /* RCR8mi */
   },
-  { /* 1155 */
+  { /* 1113 */
     79,
     /* RCR8r1 */
   },
-  { /* 1156 */
+  { /* 1114 */
     79,
     /* RCR8rCL */
   },
-  { /* 1157 */
+  { /* 1115 */
     24,
     /* RCR8ri */
   },
-  { /* 1158 */
+  { /* 1116 */
     149,
     /* RDFSBASE */
   },
-  { /* 1159 */
+  { /* 1117 */
     62,
     /* RDFSBASE64 */
   },
-  { /* 1160 */
+  { /* 1118 */
     149,
     /* RDGSBASE */
   },
-  { /* 1161 */
+  { /* 1119 */
     62,
     /* RDGSBASE64 */
   },
-  { /* 1162 */
+  { /* 1120 */
     0,
     /* RDMSR */
   },
-  { /* 1163 */
+  { /* 1121 */
     0,
     /* RDPMC */
   },
-  { /* 1164 */
+  { /* 1122 */
     60,
     /* RDRAND16r */
   },
-  { /* 1165 */
+  { /* 1123 */
     60,
     /* RDRAND32r */
   },
-  { /* 1166 */
+  { /* 1124 */
     62,
     /* RDRAND64r */
   },
-  { /* 1167 */
+  { /* 1125 */
     60,
     /* RDSEED16r */
   },
-  { /* 1168 */
+  { /* 1126 */
     60,
     /* RDSEED32r */
   },
-  { /* 1169 */
+  { /* 1127 */
     62,
     /* RDSEED64r */
   },
-  { /* 1170 */
+  { /* 1128 */
     0,
     /* RDTSC */
   },
-  { /* 1171 */
+  { /* 1129 */
     0,
     /* RDTSCP */
   },
-  { /* 1172 */
+  { /* 1130 */
     0,
     /*  */
   },
-  { /* 1173 */
+  { /* 1131 */
     0,
     /*  */
   },
-  { /* 1174 */
+  { /* 1132 */
     0,
     /*  */
   },
-  { /* 1175 */
+  { /* 1133 */
     0,
     /*  */
   },
-  { /* 1176 */
+  { /* 1134 */
     0,
     /* REPNE_PREFIX */
   },
-  { /* 1177 */
+  { /* 1135 */
     0,
     /*  */
   },
-  { /* 1178 */
+  { /* 1136 */
     0,
     /*  */
   },
-  { /* 1179 */
+  { /* 1137 */
     0,
     /*  */
   },
-  { /* 1180 */
+  { /* 1138 */
     0,
     /*  */
   },
-  { /* 1181 */
+  { /* 1139 */
     0,
     /*  */
   },
-  { /* 1182 */
+  { /* 1140 */
     0,
     /*  */
   },
-  { /* 1183 */
+  { /* 1141 */
     0,
     /*  */
   },
-  { /* 1184 */
+  { /* 1142 */
     0,
     /* REP_PREFIX */
   },
-  { /* 1185 */
+  { /* 1143 */
     0,
     /*  */
   },
-  { /* 1186 */
+  { /* 1144 */
     0,
     /*  */
   },
-  { /* 1187 */
+  { /* 1145 */
     0,
     /*  */
   },
-  { /* 1188 */
+  { /* 1146 */
     0,
     /*  */
   },
-  { /* 1189 */
+  { /* 1147 */
     0,
     /*  */
   },
-  { /* 1190 */
+  { /* 1148 */
     0,
     /*  */
   },
-  { /* 1191 */
+  { /* 1149 */
     0,
     /*  */
   },
-  { /* 1192 */
+  { /* 1150 */
     113,
     /* RETIL */
   },
-  { /* 1193 */
+  { /* 1151 */
     113,
     /* RETIQ */
   },
-  { /* 1194 */
+  { /* 1152 */
     2,
     /* RETIW */
   },
-  { /* 1195 */
+  { /* 1153 */
     0,
     /* RETL */
   },
-  { /* 1196 */
+  { /* 1154 */
     0,
     /* RETQ */
   },
-  { /* 1197 */
+  { /* 1155 */
     0,
     /* RETW */
   },
-  { /* 1198 */
+  { /* 1156 */
     0,
     /* REX64_PREFIX */
   },
-  { /* 1199 */
+  { /* 1157 */
     59,
     /* ROL16m1 */
   },
-  { /* 1200 */
+  { /* 1158 */
     59,
     /* ROL16mCL */
   },
-  { /* 1201 */
+  { /* 1159 */
     146,
     /* ROL16mi */
   },
-  { /* 1202 */
+  { /* 1160 */
     76,
     /* ROL16r1 */
   },
-  { /* 1203 */
+  { /* 1161 */
     76,
     /* ROL16rCL */
   },
-  { /* 1204 */
+  { /* 1162 */
     147,
     /* ROL16ri */
   },
-  { /* 1205 */
+  { /* 1163 */
     59,
     /* ROL32m1 */
   },
-  { /* 1206 */
+  { /* 1164 */
     59,
     /* ROL32mCL */
   },
-  { /* 1207 */
+  { /* 1165 */
     146,
     /* ROL32mi */
   },
-  { /* 1208 */
+  { /* 1166 */
     76,
     /* ROL32r1 */
   },
-  { /* 1209 */
+  { /* 1167 */
     76,
     /* ROL32rCL */
   },
-  { /* 1210 */
+  { /* 1168 */
     147,
     /* ROL32ri */
   },
-  { /* 1211 */
+  { /* 1169 */
     59,
     /* ROL64m1 */
   },
-  { /* 1212 */
+  { /* 1170 */
     59,
     /* ROL64mCL */
   },
-  { /* 1213 */
+  { /* 1171 */
     146,
     /* ROL64mi */
   },
-  { /* 1214 */
+  { /* 1172 */
     77,
     /* ROL64r1 */
   },
-  { /* 1215 */
+  { /* 1173 */
     77,
     /* ROL64rCL */
   },
-  { /* 1216 */
+  { /* 1174 */
     148,
     /* ROL64ri */
   },
-  { /* 1217 */
+  { /* 1175 */
     78,
     /* ROL8m1 */
   },
-  { /* 1218 */
+  { /* 1176 */
     78,
     /* ROL8mCL */
   },
-  { /* 1219 */
+  { /* 1177 */
     22,
     /* ROL8mi */
   },
-  { /* 1220 */
+  { /* 1178 */
     79,
     /* ROL8r1 */
   },
-  { /* 1221 */
+  { /* 1179 */
     79,
     /* ROL8rCL */
   },
-  { /* 1222 */
+  { /* 1180 */
     24,
     /* ROL8ri */
   },
-  { /* 1223 */
+  { /* 1181 */
     59,
     /* ROR16m1 */
   },
-  { /* 1224 */
+  { /* 1182 */
     59,
     /* ROR16mCL */
   },
-  { /* 1225 */
+  { /* 1183 */
     146,
     /* ROR16mi */
   },
-  { /* 1226 */
+  { /* 1184 */
     76,
     /* ROR16r1 */
   },
-  { /* 1227 */
+  { /* 1185 */
     76,
     /* ROR16rCL */
   },
-  { /* 1228 */
+  { /* 1186 */
     147,
     /* ROR16ri */
   },
-  { /* 1229 */
+  { /* 1187 */
     59,
     /* ROR32m1 */
   },
-  { /* 1230 */
+  { /* 1188 */
     59,
     /* ROR32mCL */
   },
-  { /* 1231 */
+  { /* 1189 */
     146,
     /* ROR32mi */
   },
-  { /* 1232 */
+  { /* 1190 */
     76,
     /* ROR32r1 */
   },
-  { /* 1233 */
+  { /* 1191 */
     76,
     /* ROR32rCL */
   },
-  { /* 1234 */
+  { /* 1192 */
     147,
     /* ROR32ri */
   },
-  { /* 1235 */
+  { /* 1193 */
     59,
     /* ROR64m1 */
   },
-  { /* 1236 */
+  { /* 1194 */
     59,
     /* ROR64mCL */
   },
-  { /* 1237 */
+  { /* 1195 */
     146,
     /* ROR64mi */
   },
-  { /* 1238 */
+  { /* 1196 */
     77,
     /* ROR64r1 */
   },
-  { /* 1239 */
+  { /* 1197 */
     77,
     /* ROR64rCL */
   },
-  { /* 1240 */
+  { /* 1198 */
     148,
     /* ROR64ri */
   },
-  { /* 1241 */
+  { /* 1199 */
     78,
     /* ROR8m1 */
   },
-  { /* 1242 */
+  { /* 1200 */
     78,
     /* ROR8mCL */
   },
-  { /* 1243 */
+  { /* 1201 */
     22,
     /* ROR8mi */
   },
-  { /* 1244 */
+  { /* 1202 */
     79,
     /* ROR8r1 */
   },
-  { /* 1245 */
+  { /* 1203 */
     79,
     /* ROR8rCL */
   },
-  { /* 1246 */
+  { /* 1204 */
     24,
     /* ROR8ri */
   },
-  { /* 1247 */
+  { /* 1205 */
     150,
     /* RORX32mi */
   },
-  { /* 1248 */
+  { /* 1206 */
     151,
     /* RORX32ri */
   },
-  { /* 1249 */
+  { /* 1207 */
     152,
     /* RORX64mi */
   },
-  { /* 1250 */
+  { /* 1208 */
     153,
     /* RORX64ri */
   },
-  { /* 1251 */
+  { /* 1209 */
     0,
     /* RSM */
   },
-  { /* 1252 */
+  { /* 1210 */
     0,
     /* SAHF */
   },
-  { /* 1253 */
+  { /* 1211 */
     59,
     /* SAL16m1 */
   },
-  { /* 1254 */
+  { /* 1212 */
     59,
     /* SAL16mCL */
   },
-  { /* 1255 */
+  { /* 1213 */
     146,
     /* SAL16mi */
   },
-  { /* 1256 */
+  { /* 1214 */
     76,
     /* SAL16r1 */
   },
-  { /* 1257 */
+  { /* 1215 */
     76,
     /* SAL16rCL */
   },
-  { /* 1258 */
+  { /* 1216 */
     147,
     /* SAL16ri */
   },
-  { /* 1259 */
+  { /* 1217 */
     59,
     /* SAL32m1 */
   },
-  { /* 1260 */
+  { /* 1218 */
     59,
     /* SAL32mCL */
   },
-  { /* 1261 */
+  { /* 1219 */
     146,
     /* SAL32mi */
   },
-  { /* 1262 */
+  { /* 1220 */
     76,
     /* SAL32r1 */
   },
-  { /* 1263 */
+  { /* 1221 */
     76,
     /* SAL32rCL */
   },
-  { /* 1264 */
+  { /* 1222 */
     147,
     /* SAL32ri */
   },
-  { /* 1265 */
+  { /* 1223 */
     59,
     /* SAL64m1 */
   },
-  { /* 1266 */
+  { /* 1224 */
     59,
     /* SAL64mCL */
   },
-  { /* 1267 */
+  { /* 1225 */
     146,
     /* SAL64mi */
   },
-  { /* 1268 */
+  { /* 1226 */
     77,
     /* SAL64r1 */
   },
-  { /* 1269 */
+  { /* 1227 */
     77,
     /* SAL64rCL */
   },
-  { /* 1270 */
+  { /* 1228 */
     148,
     /* SAL64ri */
   },
-  { /* 1271 */
+  { /* 1229 */
     78,
     /* SAL8m1 */
   },
-  { /* 1272 */
+  { /* 1230 */
     78,
     /* SAL8mCL */
   },
-  { /* 1273 */
+  { /* 1231 */
     22,
     /* SAL8mi */
   },
-  { /* 1274 */
+  { /* 1232 */
     79,
     /* SAL8r1 */
   },
-  { /* 1275 */
+  { /* 1233 */
     79,
     /* SAL8rCL */
   },
-  { /* 1276 */
+  { /* 1234 */
     24,
     /* SAL8ri */
   },
-  { /* 1277 */
+  { /* 1235 */
     0,
     /* SALC */
   },
-  { /* 1278 */
+  { /* 1236 */
     59,
     /* SAR16m1 */
   },
-  { /* 1279 */
+  { /* 1237 */
     59,
     /* SAR16mCL */
   },
-  { /* 1280 */
+  { /* 1238 */
     146,
     /* SAR16mi */
   },
-  { /* 1281 */
+  { /* 1239 */
     76,
     /* SAR16r1 */
   },
-  { /* 1282 */
+  { /* 1240 */
     76,
     /* SAR16rCL */
   },
-  { /* 1283 */
+  { /* 1241 */
     147,
     /* SAR16ri */
   },
-  { /* 1284 */
+  { /* 1242 */
     59,
     /* SAR32m1 */
   },
-  { /* 1285 */
+  { /* 1243 */
     59,
     /* SAR32mCL */
   },
-  { /* 1286 */
+  { /* 1244 */
     146,
     /* SAR32mi */
   },
-  { /* 1287 */
+  { /* 1245 */
     76,
     /* SAR32r1 */
   },
-  { /* 1288 */
+  { /* 1246 */
     76,
     /* SAR32rCL */
   },
-  { /* 1289 */
+  { /* 1247 */
     147,
     /* SAR32ri */
   },
-  { /* 1290 */
+  { /* 1248 */
     59,
     /* SAR64m1 */
   },
-  { /* 1291 */
+  { /* 1249 */
     59,
     /* SAR64mCL */
   },
-  { /* 1292 */
+  { /* 1250 */
     146,
     /* SAR64mi */
   },
-  { /* 1293 */
+  { /* 1251 */
     77,
     /* SAR64r1 */
   },
-  { /* 1294 */
+  { /* 1252 */
     77,
     /* SAR64rCL */
   },
-  { /* 1295 */
+  { /* 1253 */
     148,
     /* SAR64ri */
   },
-  { /* 1296 */
+  { /* 1254 */
     78,
     /* SAR8m1 */
   },
-  { /* 1297 */
+  { /* 1255 */
     78,
     /* SAR8mCL */
   },
-  { /* 1298 */
+  { /* 1256 */
     22,
     /* SAR8mi */
   },
-  { /* 1299 */
+  { /* 1257 */
     79,
     /* SAR8r1 */
   },
-  { /* 1300 */
+  { /* 1258 */
     79,
     /* SAR8rCL */
   },
-  { /* 1301 */
+  { /* 1259 */
     24,
     /* SAR8ri */
   },
-  { /* 1302 */
+  { /* 1260 */
     38,
     /* SARX32rm */
   },
-  { /* 1303 */
+  { /* 1261 */
     39,
     /* SARX32rr */
   },
-  { /* 1304 */
+  { /* 1262 */
     40,
     /* SARX64rm */
   },
-  { /* 1305 */
+  { /* 1263 */
     41,
     /* SARX64rr */
   },
-  { /* 1306 */
+  { /* 1264 */
     2,
     /* SBB16i16 */
   },
-  { /* 1307 */
+  { /* 1265 */
     3,
     /* SBB16mi */
   },
-  { /* 1308 */
+  { /* 1266 */
     4,
     /* SBB16mi8 */
   },
-  { /* 1309 */
+  { /* 1267 */
     5,
     /* SBB16mr */
   },
-  { /* 1310 */
+  { /* 1268 */
     6,
     /* SBB16ri */
   },
-  { /* 1311 */
+  { /* 1269 */
     7,
     /* SBB16ri8 */
   },
-  { /* 1312 */
+  { /* 1270 */
     8,
     /* SBB16rm */
   },
-  { /* 1313 */
+  { /* 1271 */
     9,
     /* SBB16rr */
   },
-  { /* 1314 */
+  { /* 1272 */
     10,
     /* SBB16rr_REV */
   },
-  { /* 1315 */
+  { /* 1273 */
     2,
     /* SBB32i32 */
   },
-  { /* 1316 */
+  { /* 1274 */
     3,
     /* SBB32mi */
   },
-  { /* 1317 */
+  { /* 1275 */
     11,
     /* SBB32mi8 */
   },
-  { /* 1318 */
+  { /* 1276 */
     5,
     /* SBB32mr */
   },
-  { /* 1319 */
+  { /* 1277 */
     6,
     /* SBB32ri */
   },
-  { /* 1320 */
+  { /* 1278 */
     12,
     /* SBB32ri8 */
   },
-  { /* 1321 */
+  { /* 1279 */
     8,
     /* SBB32rm */
   },
-  { /* 1322 */
+  { /* 1280 */
     9,
     /* SBB32rr */
   },
-  { /* 1323 */
+  { /* 1281 */
     10,
     /* SBB32rr_REV */
   },
-  { /* 1324 */
+  { /* 1282 */
     13,
     /* SBB64i32 */
   },
-  { /* 1325 */
+  { /* 1283 */
     14,
     /* SBB64mi32 */
   },
-  { /* 1326 */
+  { /* 1284 */
     15,
     /* SBB64mi8 */
   },
-  { /* 1327 */
+  { /* 1285 */
     16,
     /* SBB64mr */
   },
-  { /* 1328 */
+  { /* 1286 */
     17,
     /* SBB64ri32 */
   },
-  { /* 1329 */
+  { /* 1287 */
     18,
     /* SBB64ri8 */
   },
-  { /* 1330 */
+  { /* 1288 */
     19,
     /* SBB64rm */
   },
-  { /* 1331 */
+  { /* 1289 */
     20,
     /* SBB64rr */
   },
-  { /* 1332 */
+  { /* 1290 */
     21,
     /* SBB64rr_REV */
   },
-  { /* 1333 */
+  { /* 1291 */
     1,
     /* SBB8i8 */
   },
-  { /* 1334 */
+  { /* 1292 */
     22,
     /* SBB8mi */
   },
-  { /* 1335 */
+  { /* 1293 */
     23,
     /* SBB8mr */
   },
-  { /* 1336 */
+  { /* 1294 */
     24,
     /* SBB8ri */
   },
-  { /* 1337 */
+  { /* 1295 */
     25,
     /* SBB8rm */
   },
-  { /* 1338 */
+  { /* 1296 */
     26,
     /* SBB8rr */
   },
-  { /* 1339 */
+  { /* 1297 */
     27,
     /* SBB8rr_REV */
   },
-  { /* 1340 */
+  { /* 1298 */
     95,
-    /* SCAS16 */
+    /* SCASB */
   },
-  { /* 1341 */
+  { /* 1299 */
     96,
-    /* SCAS32 */
+    /* SCASL */
   },
-  { /* 1342 */
+  { /* 1300 */
     154,
-    /* SCAS64 */
+    /* SCASQ */
   },
-  { /* 1343 */
+  { /* 1301 */
     97,
-    /* SCAS8 */
+    /* SCASW */
   },
-  { /* 1344 */
+  { /* 1302 */
     0,
     /*  */
   },
-  { /* 1345 */
+  { /* 1303 */
     0,
     /*  */
   },
-  { /* 1346 */
+  { /* 1304 */
+    0,
+    /*  */
+  },
+  { /* 1305 */
+    0,
+    /*  */
+  },
+  { /* 1306 */
+    0,
+    /*  */
+  },
+  { /* 1307 */
+    0,
+    /*  */
+  },
+  { /* 1308 */
+    0,
+    /*  */
+  },
+  { /* 1309 */
+    0,
+    /*  */
+  },
+  { /* 1310 */
+    0,
+    /*  */
+  },
+  { /* 1311 */
+    0,
+    /*  */
+  },
+  { /* 1312 */
     78,
     /* SETAEm */
   },
-  { /* 1347 */
+  { /* 1313 */
     80,
     /* SETAEr */
   },
-  { /* 1348 */
+  { /* 1314 */
     78,
     /* SETAm */
   },
-  { /* 1349 */
+  { /* 1315 */
     80,
     /* SETAr */
   },
-  { /* 1350 */
+  { /* 1316 */
     78,
     /* SETBEm */
   },
-  { /* 1351 */
+  { /* 1317 */
     80,
     /* SETBEr */
   },
-  { /* 1352 */
+  { /* 1318 */
     0,
     /*  */
   },
-  { /* 1353 */
+  { /* 1319 */
     0,
     /*  */
   },
-  { /* 1354 */
+  { /* 1320 */
     0,
     /*  */
   },
-  { /* 1355 */
+  { /* 1321 */
     0,
     /*  */
   },
-  { /* 1356 */
+  { /* 1322 */
     78,
     /* SETBm */
   },
-  { /* 1357 */
+  { /* 1323 */
     80,
     /* SETBr */
   },
-  { /* 1358 */
+  { /* 1324 */
     78,
     /* SETEm */
   },
-  { /* 1359 */
+  { /* 1325 */
     80,
     /* SETEr */
   },
-  { /* 1360 */
+  { /* 1326 */
     78,
     /* SETGEm */
   },
-  { /* 1361 */
+  { /* 1327 */
     80,
     /* SETGEr */
   },
-  { /* 1362 */
+  { /* 1328 */
     78,
     /* SETGm */
   },
-  { /* 1363 */
+  { /* 1329 */
     80,
     /* SETGr */
   },
-  { /* 1364 */
+  { /* 1330 */
     78,
     /* SETLEm */
   },
-  { /* 1365 */
+  { /* 1331 */
     80,
     /* SETLEr */
   },
-  { /* 1366 */
+  { /* 1332 */
     78,
     /* SETLm */
   },
-  { /* 1367 */
+  { /* 1333 */
     80,
     /* SETLr */
   },
-  { /* 1368 */
+  { /* 1334 */
     78,
     /* SETNEm */
   },
-  { /* 1369 */
+  { /* 1335 */
     80,
     /* SETNEr */
   },
-  { /* 1370 */
+  { /* 1336 */
     78,
     /* SETNOm */
   },
-  { /* 1371 */
+  { /* 1337 */
     80,
     /* SETNOr */
   },
-  { /* 1372 */
+  { /* 1338 */
     78,
     /* SETNPm */
   },
-  { /* 1373 */
+  { /* 1339 */
     80,
     /* SETNPr */
   },
-  { /* 1374 */
+  { /* 1340 */
     78,
     /* SETNSm */
   },
-  { /* 1375 */
+  { /* 1341 */
     80,
     /* SETNSr */
   },
-  { /* 1376 */
+  { /* 1342 */
     78,
     /* SETOm */
   },
-  { /* 1377 */
+  { /* 1343 */
     80,
     /* SETOr */
   },
-  { /* 1378 */
+  { /* 1344 */
     78,
     /* SETPm */
   },
-  { /* 1379 */
+  { /* 1345 */
     80,
     /* SETPr */
   },
-  { /* 1380 */
+  { /* 1346 */
     78,
     /* SETSm */
   },
-  { /* 1381 */
+  { /* 1347 */
     80,
     /* SETSr */
   },
-  { /* 1382 */
+  { /* 1348 */
     85,
     /* SGDT16m */
   },
-  { /* 1383 */
+  { /* 1349 */
     85,
     /* SGDT32m */
   },
-  { /* 1384 */
+  { /* 1350 */
     86,
     /* SGDT64m */
   },
-  { /* 1385 */
+  { /* 1351 */
     59,
     /* SHL16m1 */
   },
-  { /* 1386 */
+  { /* 1352 */
     59,
     /* SHL16mCL */
   },
-  { /* 1387 */
+  { /* 1353 */
     146,
     /* SHL16mi */
   },
-  { /* 1388 */
+  { /* 1354 */
     76,
     /* SHL16r1 */
   },
-  { /* 1389 */
+  { /* 1355 */
     76,
     /* SHL16rCL */
   },
-  { /* 1390 */
+  { /* 1356 */
     147,
     /* SHL16ri */
   },
-  { /* 1391 */
+  { /* 1357 */
     59,
     /* SHL32m1 */
   },
-  { /* 1392 */
+  { /* 1358 */
     59,
     /* SHL32mCL */
   },
-  { /* 1393 */
+  { /* 1359 */
     146,
     /* SHL32mi */
   },
-  { /* 1394 */
+  { /* 1360 */
     76,
     /* SHL32r1 */
   },
-  { /* 1395 */
+  { /* 1361 */
     76,
     /* SHL32rCL */
   },
-  { /* 1396 */
+  { /* 1362 */
     147,
     /* SHL32ri */
   },
-  { /* 1397 */
+  { /* 1363 */
     59,
     /* SHL64m1 */
   },
-  { /* 1398 */
+  { /* 1364 */
     59,
     /* SHL64mCL */
   },
-  { /* 1399 */
+  { /* 1365 */
     146,
     /* SHL64mi */
   },
-  { /* 1400 */
+  { /* 1366 */
     77,
     /* SHL64r1 */
   },
-  { /* 1401 */
+  { /* 1367 */
     77,
     /* SHL64rCL */
   },
-  { /* 1402 */
+  { /* 1368 */
     148,
     /* SHL64ri */
   },
-  { /* 1403 */
+  { /* 1369 */
     78,
     /* SHL8m1 */
   },
-  { /* 1404 */
+  { /* 1370 */
     78,
     /* SHL8mCL */
   },
-  { /* 1405 */
+  { /* 1371 */
     22,
     /* SHL8mi */
   },
-  { /* 1406 */
+  { /* 1372 */
     79,
     /* SHL8r1 */
   },
-  { /* 1407 */
+  { /* 1373 */
     79,
     /* SHL8rCL */
   },
-  { /* 1408 */
+  { /* 1374 */
     24,
     /* SHL8ri */
   },
-  { /* 1409 */
+  { /* 1375 */
     5,
     /* SHLD16mrCL */
   },
-  { /* 1410 */
+  { /* 1376 */
     155,
     /* SHLD16mri8 */
   },
-  { /* 1411 */
+  { /* 1377 */
     9,
     /* SHLD16rrCL */
   },
-  { /* 1412 */
+  { /* 1378 */
     156,
     /* SHLD16rri8 */
   },
-  { /* 1413 */
+  { /* 1379 */
     5,
     /* SHLD32mrCL */
   },
-  { /* 1414 */
+  { /* 1380 */
     155,
     /* SHLD32mri8 */
   },
-  { /* 1415 */
+  { /* 1381 */
     9,
     /* SHLD32rrCL */
   },
-  { /* 1416 */
+  { /* 1382 */
     156,
     /* SHLD32rri8 */
   },
-  { /* 1417 */
+  { /* 1383 */
     16,
     /* SHLD64mrCL */
   },
-  { /* 1418 */
+  { /* 1384 */
     157,
     /* SHLD64mri8 */
   },
-  { /* 1419 */
+  { /* 1385 */
     20,
     /* SHLD64rrCL */
   },
-  { /* 1420 */
+  { /* 1386 */
     158,
     /* SHLD64rri8 */
   },
-  { /* 1421 */
+  { /* 1387 */
     38,
     /* SHLX32rm */
   },
-  { /* 1422 */
+  { /* 1388 */
     39,
     /* SHLX32rr */
   },
-  { /* 1423 */
+  { /* 1389 */
     40,
     /* SHLX64rm */
   },
-  { /* 1424 */
+  { /* 1390 */
     41,
     /* SHLX64rr */
   },
-  { /* 1425 */
+  { /* 1391 */
     59,
     /* SHR16m1 */
   },
-  { /* 1426 */
+  { /* 1392 */
     59,
     /* SHR16mCL */
   },
-  { /* 1427 */
+  { /* 1393 */
     146,
     /* SHR16mi */
   },
-  { /* 1428 */
+  { /* 1394 */
     76,
     /* SHR16r1 */
   },
-  { /* 1429 */
+  { /* 1395 */
     76,
     /* SHR16rCL */
   },
-  { /* 1430 */
+  { /* 1396 */
     147,
     /* SHR16ri */
   },
-  { /* 1431 */
+  { /* 1397 */
     59,
     /* SHR32m1 */
   },
-  { /* 1432 */
+  { /* 1398 */
     59,
     /* SHR32mCL */
   },
-  { /* 1433 */
+  { /* 1399 */
     146,
     /* SHR32mi */
   },
-  { /* 1434 */
+  { /* 1400 */
     76,
     /* SHR32r1 */
   },
-  { /* 1435 */
+  { /* 1401 */
     76,
     /* SHR32rCL */
   },
-  { /* 1436 */
+  { /* 1402 */
     147,
     /* SHR32ri */
   },
-  { /* 1437 */
+  { /* 1403 */
     59,
     /* SHR64m1 */
   },
-  { /* 1438 */
+  { /* 1404 */
     59,
     /* SHR64mCL */
   },
-  { /* 1439 */
+  { /* 1405 */
     146,
     /* SHR64mi */
   },
-  { /* 1440 */
+  { /* 1406 */
     77,
     /* SHR64r1 */
   },
-  { /* 1441 */
+  { /* 1407 */
     77,
     /* SHR64rCL */
   },
-  { /* 1442 */
+  { /* 1408 */
     148,
     /* SHR64ri */
   },
-  { /* 1443 */
+  { /* 1409 */
     78,
     /* SHR8m1 */
   },
-  { /* 1444 */
+  { /* 1410 */
     78,
     /* SHR8mCL */
   },
-  { /* 1445 */
+  { /* 1411 */
     22,
     /* SHR8mi */
   },
-  { /* 1446 */
+  { /* 1412 */
     79,
     /* SHR8r1 */
   },
-  { /* 1447 */
+  { /* 1413 */
     79,
     /* SHR8rCL */
   },
-  { /* 1448 */
+  { /* 1414 */
     24,
     /* SHR8ri */
   },
-  { /* 1449 */
+  { /* 1415 */
     5,
     /* SHRD16mrCL */
   },
-  { /* 1450 */
+  { /* 1416 */
     155,
     /* SHRD16mri8 */
   },
-  { /* 1451 */
+  { /* 1417 */
     9,
     /* SHRD16rrCL */
   },
-  { /* 1452 */
+  { /* 1418 */
     156,
     /* SHRD16rri8 */
   },
-  { /* 1453 */
+  { /* 1419 */
     5,
     /* SHRD32mrCL */
   },
-  { /* 1454 */
+  { /* 1420 */
     155,
     /* SHRD32mri8 */
   },
-  { /* 1455 */
+  { /* 1421 */
     9,
     /* SHRD32rrCL */
   },
-  { /* 1456 */
+  { /* 1422 */
     156,
     /* SHRD32rri8 */
   },
-  { /* 1457 */
+  { /* 1423 */
     16,
     /* SHRD64mrCL */
   },
-  { /* 1458 */
+  { /* 1424 */
     157,
     /* SHRD64mri8 */
   },
-  { /* 1459 */
+  { /* 1425 */
     20,
     /* SHRD64rrCL */
   },
-  { /* 1460 */
+  { /* 1426 */
     158,
     /* SHRD64rri8 */
   },
-  { /* 1461 */
+  { /* 1427 */
     38,
     /* SHRX32rm */
   },
-  { /* 1462 */
+  { /* 1428 */
     39,
     /* SHRX32rr */
   },
-  { /* 1463 */
+  { /* 1429 */
     40,
     /* SHRX64rm */
   },
-  { /* 1464 */
+  { /* 1430 */
     41,
     /* SHRX64rr */
   },
-  { /* 1465 */
+  { /* 1431 */
     85,
     /* SIDT16m */
   },
-  { /* 1466 */
+  { /* 1432 */
     85,
     /* SIDT32m */
   },
-  { /* 1467 */
+  { /* 1433 */
     86,
     /* SIDT64m */
   },
-  { /* 1468 */
+  { /* 1434 */
     0,
     /* SKINIT */
   },
-  { /* 1469 */
+  { /* 1435 */
     59,
     /* SLDT16m */
   },
-  { /* 1470 */
+  { /* 1436 */
     60,
     /* SLDT16r */
   },
-  { /* 1471 */
+  { /* 1437 */
     60,
     /* SLDT32r */
   },
-  { /* 1472 */
+  { /* 1438 */
     59,
     /* SLDT64m */
   },
-  { /* 1473 */
+  { /* 1439 */
     62,
     /* SLDT64r */
   },
-  { /* 1474 */
+  { /* 1440 */
     59,
     /* SMSW16m */
   },
-  { /* 1475 */
+  { /* 1441 */
     60,
     /* SMSW16r */
   },
-  { /* 1476 */
+  { /* 1442 */
     60,
     /* SMSW32r */
   },
-  { /* 1477 */
+  { /* 1443 */
     62,
     /* SMSW64r */
   },
-  { /* 1478 */
+  { /* 1444 */
     0,
     /* SS_PREFIX */
   },
-  { /* 1479 */
+  { /* 1445 */
     0,
     /* STAC */
   },
-  { /* 1480 */
+  { /* 1446 */
     0,
     /* STC */
   },
-  { /* 1481 */
+  { /* 1447 */
     0,
     /* STD */
   },
-  { /* 1482 */
+  { /* 1448 */
     0,
     /* STGI */
   },
-  { /* 1483 */
+  { /* 1449 */
     0,
     /* STI */
   },
-  { /* 1484 */
-    97,
+  { /* 1450 */
+    95,
     /* STOSB */
   },
-  { /* 1485 */
+  { /* 1451 */
     96,
     /* STOSL */
   },
-  { /* 1486 */
+  { /* 1452 */
     154,
     /* STOSQ */
   },
-  { /* 1487 */
-    95,
+  { /* 1453 */
+    97,
     /* STOSW */
   },
-  { /* 1488 */
+  { /* 1454 */
     60,
     /* STR16r */
   },
-  { /* 1489 */
+  { /* 1455 */
     60,
     /* STR32r */
   },
-  { /* 1490 */
+  { /* 1456 */
     62,
     /* STR64r */
   },
-  { /* 1491 */
+  { /* 1457 */
     59,
     /* STRm */
   },
-  { /* 1492 */
+  { /* 1458 */
     2,
     /* SUB16i16 */
   },
-  { /* 1493 */
+  { /* 1459 */
     3,
     /* SUB16mi */
   },
-  { /* 1494 */
+  { /* 1460 */
     4,
     /* SUB16mi8 */
   },
-  { /* 1495 */
+  { /* 1461 */
     5,
     /* SUB16mr */
   },
-  { /* 1496 */
+  { /* 1462 */
     6,
     /* SUB16ri */
   },
-  { /* 1497 */
+  { /* 1463 */
     7,
     /* SUB16ri8 */
   },
-  { /* 1498 */
+  { /* 1464 */
     8,
     /* SUB16rm */
   },
-  { /* 1499 */
+  { /* 1465 */
     9,
     /* SUB16rr */
   },
-  { /* 1500 */
+  { /* 1466 */
     10,
     /* SUB16rr_REV */
   },
-  { /* 1501 */
+  { /* 1467 */
     2,
     /* SUB32i32 */
   },
-  { /* 1502 */
+  { /* 1468 */
     3,
     /* SUB32mi */
   },
-  { /* 1503 */
+  { /* 1469 */
     11,
     /* SUB32mi8 */
   },
-  { /* 1504 */
+  { /* 1470 */
     5,
     /* SUB32mr */
   },
-  { /* 1505 */
+  { /* 1471 */
     6,
     /* SUB32ri */
   },
-  { /* 1506 */
+  { /* 1472 */
     12,
     /* SUB32ri8 */
   },
-  { /* 1507 */
+  { /* 1473 */
     8,
     /* SUB32rm */
   },
-  { /* 1508 */
+  { /* 1474 */
     9,
     /* SUB32rr */
   },
-  { /* 1509 */
+  { /* 1475 */
     10,
     /* SUB32rr_REV */
   },
-  { /* 1510 */
+  { /* 1476 */
     13,
     /* SUB64i32 */
   },
-  { /* 1511 */
+  { /* 1477 */
     14,
     /* SUB64mi32 */
   },
-  { /* 1512 */
+  { /* 1478 */
     15,
     /* SUB64mi8 */
   },
-  { /* 1513 */
+  { /* 1479 */
     16,
     /* SUB64mr */
   },
-  { /* 1514 */
+  { /* 1480 */
     17,
     /* SUB64ri32 */
   },
-  { /* 1515 */
+  { /* 1481 */
     18,
     /* SUB64ri8 */
   },
-  { /* 1516 */
+  { /* 1482 */
     19,
     /* SUB64rm */
   },
-  { /* 1517 */
+  { /* 1483 */
     20,
     /* SUB64rr */
   },
-  { /* 1518 */
+  { /* 1484 */
     21,
     /* SUB64rr_REV */
   },
-  { /* 1519 */
+  { /* 1485 */
     1,
     /* SUB8i8 */
   },
-  { /* 1520 */
+  { /* 1486 */
     22,
     /* SUB8mi */
   },
-  { /* 1521 */
+  { /* 1487 */
     23,
     /* SUB8mr */
   },
-  { /* 1522 */
+  { /* 1488 */
     24,
     /* SUB8ri */
   },
-  { /* 1523 */
+  { /* 1489 */
     24,
     /* SUB8ri8 */
   },
-  { /* 1524 */
+  { /* 1490 */
     25,
     /* SUB8rm */
   },
-  { /* 1525 */
+  { /* 1491 */
     26,
     /* SUB8rr */
   },
-  { /* 1526 */
+  { /* 1492 */
     27,
     /* SUB8rr_REV */
   },
-  { /* 1527 */
+  { /* 1493 */
     0,
     /* SWAPGS */
   },
-  { /* 1528 */
+  { /* 1494 */
     0,
     /* SYSCALL */
   },
-  { /* 1529 */
+  { /* 1495 */
     0,
     /* SYSENTER */
   },
-  { /* 1530 */
+  { /* 1496 */
     0,
     /* SYSEXIT */
   },
-  { /* 1531 */
+  { /* 1497 */
     0,
     /* SYSEXIT64 */
   },
-  { /* 1532 */
+  { /* 1498 */
     0,
     /* SYSRET */
   },
-  { /* 1533 */
+  { /* 1499 */
     0,
     /* SYSRET64 */
   },
-  { /* 1534 */
+  { /* 1500 */
     46,
     /* T1MSKC32rm */
   },
-  { /* 1535 */
+  { /* 1501 */
     47,
     /* T1MSKC32rr */
   },
-  { /* 1536 */
+  { /* 1502 */
     48,
     /* T1MSKC64rm */
   },
-  { /* 1537 */
+  { /* 1503 */
     49,
     /* T1MSKC64rr */
   },
-  { /* 1538 */
+  { /* 1504 */
     0,
     /*  */
   },
+  { /* 1505 */
+    0,
+    /*  */
+  },
+  { /* 1506 */
+    0,
+    /*  */
+  },
+  { /* 1507 */
+    0,
+    /*  */
+  },
+  { /* 1508 */
+    0,
+    /*  */
+  },
+  { /* 1509 */
+    0,
+    /*  */
+  },
+  { /* 1510 */
+    0,
+    /*  */
+  },
+  { /* 1511 */
+    0,
+    /*  */
+  },
+  { /* 1512 */
+    0,
+    /*  */
+  },
+  { /* 1513 */
+    0,
+    /*  */
+  },
+  { /* 1514 */
+    0,
+    /*  */
+  },
+  { /* 1515 */
+    0,
+    /*  */
+  },
+  { /* 1516 */
+    2,
+    /* TEST16i16 */
+  },
+  { /* 1517 */
+    3,
+    /* TEST16mi */
+  },
+  { /* 1518 */
+    3,
+    /* TEST16mi_alt */
+  },
+  { /* 1519 */
+    65,
+    /* TEST16ri */
+  },
+  { /* 1520 */
+    65,
+    /* TEST16ri_alt */
+  },
+  { /* 1521 */
+    5,
+    /* TEST16rm */
+  },
+  { /* 1522 */
+    51,
+    /* TEST16rr */
+  },
+  { /* 1523 */
+    2,
+    /* TEST32i32 */
+  },
+  { /* 1524 */
+    3,
+    /* TEST32mi */
+  },
+  { /* 1525 */
+    3,
+    /* TEST32mi_alt */
+  },
+  { /* 1526 */
+    65,
+    /* TEST32ri */
+  },
+  { /* 1527 */
+    65,
+    /* TEST32ri_alt */
+  },
+  { /* 1528 */
+    5,
+    /* TEST32rm */
+  },
+  { /* 1529 */
+    51,
+    /* TEST32rr */
+  },
+  { /* 1530 */
+    13,
+    /* TEST64i32 */
+  },
+  { /* 1531 */
+    14,
+    /* TEST64mi32 */
+  },
+  { /* 1532 */
+    14,
+    /* TEST64mi32_alt */
+  },
+  { /* 1533 */
+    66,
+    /* TEST64ri32 */
+  },
+  { /* 1534 */
+    66,
+    /* TEST64ri32_alt */
+  },
+  { /* 1535 */
+    16,
+    /* TEST64rm */
+  },
+  { /* 1536 */
+    31,
+    /* TEST64rr */
+  },
+  { /* 1537 */
+    1,
+    /* TEST8i8 */
+  },
+  { /* 1538 */
+    22,
+    /* TEST8mi */
+  },
   { /* 1539 */
-    0,
-    /*  */
+    22,
+    /* TEST8mi_alt */
   },
   { /* 1540 */
-    0,
-    /*  */
+    67,
+    /* TEST8ri */
   },
   { /* 1541 */
     0,
     /*  */
   },
   { /* 1542 */
-    0,
-    /*  */
+    67,
+    /* TEST8ri_alt */
   },
   { /* 1543 */
-    0,
-    /*  */
+    23,
+    /* TEST8rm */
   },
   { /* 1544 */
-    0,
-    /*  */
+    70,
+    /* TEST8rr */
   },
   { /* 1545 */
     0,
@@ -8634,638 +8796,502 @@
     /*  */
   },
   { /* 1550 */
-    2,
-    /* TEST16i16 */
+    0,
+    /*  */
   },
   { /* 1551 */
-    3,
-    /* TEST16mi */
-  },
-  { /* 1552 */
-    3,
-    /* TEST16mi_alt */
-  },
-  { /* 1553 */
-    65,
-    /* TEST16ri */
-  },
-  { /* 1554 */
-    65,
-    /* TEST16ri_alt */
-  },
-  { /* 1555 */
-    50,
-    /* TEST16rm */
-  },
-  { /* 1556 */
-    51,
-    /* TEST16rr */
-  },
-  { /* 1557 */
-    2,
-    /* TEST32i32 */
-  },
-  { /* 1558 */
-    3,
-    /* TEST32mi */
-  },
-  { /* 1559 */
-    3,
-    /* TEST32mi_alt */
-  },
-  { /* 1560 */
-    65,
-    /* TEST32ri */
-  },
-  { /* 1561 */
-    65,
-    /* TEST32ri_alt */
-  },
-  { /* 1562 */
-    50,
-    /* TEST32rm */
-  },
-  { /* 1563 */
-    51,
-    /* TEST32rr */
-  },
-  { /* 1564 */
-    13,
-    /* TEST64i32 */
-  },
-  { /* 1565 */
-    14,
-    /* TEST64mi32 */
-  },
-  { /* 1566 */
-    14,
-    /* TEST64mi32_alt */
-  },
-  { /* 1567 */
-    66,
-    /* TEST64ri32 */
-  },
-  { /* 1568 */
-    66,
-    /* TEST64ri32_alt */
-  },
-  { /* 1569 */
-    30,
-    /* TEST64rm */
-  },
-  { /* 1570 */
-    31,
-    /* TEST64rr */
-  },
-  { /* 1571 */
-    1,
-    /* TEST8i8 */
-  },
-  { /* 1572 */
-    22,
-    /* TEST8mi */
-  },
-  { /* 1573 */
-    22,
-    /* TEST8mi_alt */
-  },
-  { /* 1574 */
-    67,
-    /* TEST8ri */
-  },
-  { /* 1575 */
-    0,
-    /*  */
-  },
-  { /* 1576 */
-    67,
-    /* TEST8ri_alt */
-  },
-  { /* 1577 */
-    68,
-    /* TEST8rm */
-  },
-  { /* 1578 */
-    70,
-    /* TEST8rr */
-  },
-  { /* 1579 */
-    0,
-    /*  */
-  },
-  { /* 1580 */
-    0,
-    /*  */
-  },
-  { /* 1581 */
-    0,
-    /*  */
-  },
-  { /* 1582 */
-    0,
-    /*  */
-  },
-  { /* 1583 */
-    0,
-    /*  */
-  },
-  { /* 1584 */
-    0,
-    /*  */
-  },
-  { /* 1585 */
     0,
     /* TRAP */
   },
-  { /* 1586 */
+  { /* 1552 */
     50,
     /* TZCNT16rm */
   },
-  { /* 1587 */
+  { /* 1553 */
     51,
     /* TZCNT16rr */
   },
-  { /* 1588 */
+  { /* 1554 */
     50,
     /* TZCNT32rm */
   },
-  { /* 1589 */
+  { /* 1555 */
     51,
     /* TZCNT32rr */
   },
-  { /* 1590 */
+  { /* 1556 */
     30,
     /* TZCNT64rm */
   },
-  { /* 1591 */
+  { /* 1557 */
     31,
     /* TZCNT64rr */
   },
-  { /* 1592 */
+  { /* 1558 */
     46,
     /* TZMSK32rm */
   },
-  { /* 1593 */
+  { /* 1559 */
     47,
     /* TZMSK32rr */
   },
-  { /* 1594 */
+  { /* 1560 */
     48,
     /* TZMSK64rm */
   },
-  { /* 1595 */
+  { /* 1561 */
     49,
     /* TZMSK64rr */
   },
-  { /* 1596 */
+  { /* 1562 */
     0,
     /* UD2B */
   },
+  { /* 1563 */
+    0,
+    /*  */
+  },
+  { /* 1564 */
+    0,
+    /*  */
+  },
+  { /* 1565 */
+    59,
+    /* VERRm */
+  },
+  { /* 1566 */
+    108,
+    /* VERRr */
+  },
+  { /* 1567 */
+    59,
+    /* VERWm */
+  },
+  { /* 1568 */
+    108,
+    /* VERWr */
+  },
+  { /* 1569 */
+    0,
+    /* VMCALL */
+  },
+  { /* 1570 */
+    59,
+    /* VMCLEARm */
+  },
+  { /* 1571 */
+    0,
+    /* VMFUNC */
+  },
+  { /* 1572 */
+    0,
+    /* VMLAUNCH */
+  },
+  { /* 1573 */
+    0,
+    /* VMLOAD32 */
+  },
+  { /* 1574 */
+    0,
+    /* VMLOAD64 */
+  },
+  { /* 1575 */
+    0,
+    /* VMMCALL */
+  },
+  { /* 1576 */
+    59,
+    /* VMPTRLDm */
+  },
+  { /* 1577 */
+    59,
+    /* VMPTRSTm */
+  },
+  { /* 1578 */
+    159,
+    /* VMREAD32rm */
+  },
+  { /* 1579 */
+    160,
+    /* VMREAD32rr */
+  },
+  { /* 1580 */
+    16,
+    /* VMREAD64rm */
+  },
+  { /* 1581 */
+    58,
+    /* VMREAD64rr */
+  },
+  { /* 1582 */
+    0,
+    /* VMRESUME */
+  },
+  { /* 1583 */
+    0,
+    /* VMRUN32 */
+  },
+  { /* 1584 */
+    0,
+    /* VMRUN64 */
+  },
+  { /* 1585 */
+    0,
+    /* VMSAVE32 */
+  },
+  { /* 1586 */
+    0,
+    /* VMSAVE64 */
+  },
+  { /* 1587 */
+    28,
+    /* VMWRITE32rm */
+  },
+  { /* 1588 */
+    29,
+    /* VMWRITE32rr */
+  },
+  { /* 1589 */
+    30,
+    /* VMWRITE64rm */
+  },
+  { /* 1590 */
+    31,
+    /* VMWRITE64rr */
+  },
+  { /* 1591 */
+    0,
+    /* VMXOFF */
+  },
+  { /* 1592 */
+    59,
+    /* VMXON */
+  },
+  { /* 1593 */
+    0,
+    /*  */
+  },
+  { /* 1594 */
+    0,
+    /* WBINVD */
+  },
+  { /* 1595 */
+    0,
+    /*  */
+  },
+  { /* 1596 */
+    0,
+    /*  */
+  },
   { /* 1597 */
     0,
     /*  */
   },
   { /* 1598 */
-    0,
-    /*  */
-  },
-  { /* 1599 */
-    59,
-    /* VERRm */
-  },
-  { /* 1600 */
-    108,
-    /* VERRr */
-  },
-  { /* 1601 */
-    59,
-    /* VERWm */
-  },
-  { /* 1602 */
-    108,
-    /* VERWr */
-  },
-  { /* 1603 */
-    0,
-    /* VMCALL */
-  },
-  { /* 1604 */
-    59,
-    /* VMCLEARm */
-  },
-  { /* 1605 */
-    0,
-    /* VMFUNC */
-  },
-  { /* 1606 */
-    0,
-    /* VMLAUNCH */
-  },
-  { /* 1607 */
-    0,
-    /* VMLOAD32 */
-  },
-  { /* 1608 */
-    0,
-    /* VMLOAD64 */
-  },
-  { /* 1609 */
-    0,
-    /* VMMCALL */
-  },
-  { /* 1610 */
-    59,
-    /* VMPTRLDm */
-  },
-  { /* 1611 */
-    59,
-    /* VMPTRSTm */
-  },
-  { /* 1612 */
-    159,
-    /* VMREAD32rm */
-  },
-  { /* 1613 */
-    160,
-    /* VMREAD32rr */
-  },
-  { /* 1614 */
-    16,
-    /* VMREAD64rm */
-  },
-  { /* 1615 */
-    58,
-    /* VMREAD64rr */
-  },
-  { /* 1616 */
-    0,
-    /* VMRESUME */
-  },
-  { /* 1617 */
-    0,
-    /* VMRUN32 */
-  },
-  { /* 1618 */
-    0,
-    /* VMRUN64 */
-  },
-  { /* 1619 */
-    0,
-    /* VMSAVE32 */
-  },
-  { /* 1620 */
-    0,
-    /* VMSAVE64 */
-  },
-  { /* 1621 */
-    28,
-    /* VMWRITE32rm */
-  },
-  { /* 1622 */
-    29,
-    /* VMWRITE32rr */
-  },
-  { /* 1623 */
-    30,
-    /* VMWRITE64rm */
-  },
-  { /* 1624 */
-    31,
-    /* VMWRITE64rr */
-  },
-  { /* 1625 */
-    0,
-    /* VMXOFF */
-  },
-  { /* 1626 */
-    59,
-    /* VMXON */
-  },
-  { /* 1627 */
-    0,
-    /*  */
-  },
-  { /* 1628 */
-    0,
-    /* WBINVD */
-  },
-  { /* 1629 */
-    0,
-    /*  */
-  },
-  { /* 1630 */
-    0,
-    /*  */
-  },
-  { /* 1631 */
-    0,
-    /*  */
-  },
-  { /* 1632 */
     149,
     /* WRFSBASE */
   },
-  { /* 1633 */
+  { /* 1599 */
     62,
     /* WRFSBASE64 */
   },
-  { /* 1634 */
+  { /* 1600 */
     149,
     /* WRGSBASE */
   },
-  { /* 1635 */
+  { /* 1601 */
     62,
     /* WRGSBASE64 */
   },
-  { /* 1636 */
+  { /* 1602 */
     0,
     /* WRMSR */
   },
-  { /* 1637 */
+  { /* 1603 */
     5,
     /* XADD16rm */
   },
-  { /* 1638 */
+  { /* 1604 */
     55,
     /* XADD16rr */
   },
-  { /* 1639 */
+  { /* 1605 */
     5,
     /* XADD32rm */
   },
-  { /* 1640 */
+  { /* 1606 */
     55,
     /* XADD32rr */
   },
-  { /* 1641 */
+  { /* 1607 */
     16,
     /* XADD64rm */
   },
-  { /* 1642 */
+  { /* 1608 */
     58,
     /* XADD64rr */
   },
-  { /* 1643 */
+  { /* 1609 */
     23,
     /* XADD8rm */
   },
-  { /* 1644 */
+  { /* 1610 */
     69,
     /* XADD8rr */
   },
-  { /* 1645 */
+  { /* 1611 */
     141,
     /* XCHG16ar */
   },
-  { /* 1646 */
+  { /* 1612 */
     8,
     /* XCHG16rm */
   },
-  { /* 1647 */
+  { /* 1613 */
     10,
     /* XCHG16rr */
   },
-  { /* 1648 */
+  { /* 1614 */
     141,
     /* XCHG32ar */
   },
-  { /* 1649 */
+  { /* 1615 */
     141,
     /* XCHG32ar64 */
   },
-  { /* 1650 */
+  { /* 1616 */
     8,
     /* XCHG32rm */
   },
-  { /* 1651 */
+  { /* 1617 */
     10,
     /* XCHG32rr */
   },
-  { /* 1652 */
+  { /* 1618 */
     142,
     /* XCHG64ar */
   },
-  { /* 1653 */
+  { /* 1619 */
     19,
     /* XCHG64rm */
   },
-  { /* 1654 */
+  { /* 1620 */
     21,
     /* XCHG64rr */
   },
-  { /* 1655 */
+  { /* 1621 */
     25,
     /* XCHG8rm */
   },
-  { /* 1656 */
+  { /* 1622 */
     27,
     /* XCHG8rr */
   },
-  { /* 1657 */
+  { /* 1623 */
     0,
     /* XCRYPTCBC */
   },
-  { /* 1658 */
+  { /* 1624 */
     0,
     /* XCRYPTCFB */
   },
-  { /* 1659 */
+  { /* 1625 */
     0,
     /* XCRYPTCTR */
   },
-  { /* 1660 */
+  { /* 1626 */
     0,
     /* XCRYPTECB */
   },
-  { /* 1661 */
+  { /* 1627 */
     0,
     /* XCRYPTOFB */
   },
-  { /* 1662 */
+  { /* 1628 */
     0,
     /* XGETBV */
   },
-  { /* 1663 */
+  { /* 1629 */
     0,
     /* XLAT */
   },
-  { /* 1664 */
+  { /* 1630 */
     2,
     /* XOR16i16 */
   },
-  { /* 1665 */
+  { /* 1631 */
     3,
     /* XOR16mi */
   },
-  { /* 1666 */
+  { /* 1632 */
     4,
     /* XOR16mi8 */
   },
-  { /* 1667 */
+  { /* 1633 */
     5,
     /* XOR16mr */
   },
-  { /* 1668 */
+  { /* 1634 */
     6,
     /* XOR16ri */
   },
-  { /* 1669 */
+  { /* 1635 */
     7,
     /* XOR16ri8 */
   },
-  { /* 1670 */
+  { /* 1636 */
     8,
     /* XOR16rm */
   },
-  { /* 1671 */
+  { /* 1637 */
     9,
     /* XOR16rr */
   },
-  { /* 1672 */
+  { /* 1638 */
     10,
     /* XOR16rr_REV */
   },
-  { /* 1673 */
+  { /* 1639 */
     2,
     /* XOR32i32 */
   },
-  { /* 1674 */
+  { /* 1640 */
     3,
     /* XOR32mi */
   },
-  { /* 1675 */
+  { /* 1641 */
     11,
     /* XOR32mi8 */
   },
-  { /* 1676 */
+  { /* 1642 */
     5,
     /* XOR32mr */
   },
-  { /* 1677 */
+  { /* 1643 */
     6,
     /* XOR32ri */
   },
-  { /* 1678 */
+  { /* 1644 */
     12,
     /* XOR32ri8 */
   },
-  { /* 1679 */
+  { /* 1645 */
     8,
     /* XOR32rm */
   },
-  { /* 1680 */
+  { /* 1646 */
     9,
     /* XOR32rr */
   },
-  { /* 1681 */
+  { /* 1647 */
     10,
     /* XOR32rr_REV */
   },
-  { /* 1682 */
+  { /* 1648 */
     13,
     /* XOR64i32 */
   },
-  { /* 1683 */
+  { /* 1649 */
     14,
     /* XOR64mi32 */
   },
-  { /* 1684 */
+  { /* 1650 */
     15,
     /* XOR64mi8 */
   },
-  { /* 1685 */
+  { /* 1651 */
     16,
     /* XOR64mr */
   },
-  { /* 1686 */
+  { /* 1652 */
     17,
     /* XOR64ri32 */
   },
-  { /* 1687 */
+  { /* 1653 */
     18,
     /* XOR64ri8 */
   },
-  { /* 1688 */
+  { /* 1654 */
     19,
     /* XOR64rm */
   },
-  { /* 1689 */
+  { /* 1655 */
     20,
     /* XOR64rr */
   },
-  { /* 1690 */
+  { /* 1656 */
     21,
     /* XOR64rr_REV */
   },
-  { /* 1691 */
+  { /* 1657 */
     1,
     /* XOR8i8 */
   },
-  { /* 1692 */
+  { /* 1658 */
     22,
     /* XOR8mi */
   },
-  { /* 1693 */
+  { /* 1659 */
     23,
     /* XOR8mr */
   },
-  { /* 1694 */
+  { /* 1660 */
     24,
     /* XOR8ri */
   },
-  { /* 1695 */
+  { /* 1661 */
     24,
     /* XOR8ri8 */
   },
-  { /* 1696 */
+  { /* 1662 */
     25,
     /* XOR8rm */
   },
-  { /* 1697 */
+  { /* 1663 */
     26,
     /* XOR8rr */
   },
-  { /* 1698 */
+  { /* 1664 */
     27,
     /* XOR8rr_REV */
   },
-  { /* 1699 */
+  { /* 1665 */
     161,
     /* XRSTOR */
   },
-  { /* 1700 */
+  { /* 1666 */
     161,
     /* XRSTOR64 */
   },
-  { /* 1701 */
+  { /* 1667 */
     161,
     /* XSAVE */
   },
-  { /* 1702 */
+  { /* 1668 */
     161,
     /* XSAVE64 */
   },
-  { /* 1703 */
+  { /* 1669 */
     161,
     /* XSAVEOPT */
   },
-  { /* 1704 */
+  { /* 1670 */
     161,
     /* XSAVEOPT64 */
   },
-  { /* 1705 */
+  { /* 1671 */
     0,
     /* XSETBV */
   },
-  { /* 1706 */
+  { /* 1672 */
     0,
     /* XSHA1 */
   },
-  { /* 1707 */
+  { /* 1673 */
     0,
     /* XSHA256 */
   },
-  { /* 1708 */
+  { /* 1674 */
     0,
     /* XSTORE */
   }
@@ -25662,271 +25688,271 @@
 /* EmptyTable */
   0x0,
 /* Table1 */
-  0x67, /* ADD8mr */
-  0x6b, /* ADD8rr */
+  0x68, /* ADD8mr */
+  0x6c, /* ADD8rr */
 /* Table3 */
-  0x50, /* ADD32mr */
-  0x56, /* ADD32rr */
+  0x51, /* ADD32mr */
+  0x57, /* ADD32rr */
 /* Table5 */
-  0x6a, /* ADD8rm */
-  0x6c, /* ADD8rr_REV */
+  0x6b, /* ADD8rm */
+  0x6d, /* ADD8rr_REV */
 /* Table7 */
-  0x55, /* ADD32rm */
-  0x58, /* ADD32rr_REV */
+  0x56, /* ADD32rm */
+  0x59, /* ADD32rr_REV */
 /* Table9 */
-  0x65, /* ADD8i8 */
+  0x66, /* ADD8i8 */
 /* Table10 */
-  0x4d, /* ADD32i32 */
+  0x4e, /* ADD32i32 */
 /* Table11 */
-  0x448, /* PUSHES32 */
+  0x41e, /* PUSHES32 */
 /* Table12 */
-  0x427, /* POPES32 */
+  0x3fd, /* POPES32 */
 /* Table13 */
-  0x402, /* OR8mr */
-  0x406, /* OR8rr */
+  0x3d8, /* OR8mr */
+  0x3dc, /* OR8rr */
 /* Table15 */
-  0x3f0, /* OR32mr */
-  0x3f5, /* OR32rr */
+  0x3c6, /* OR32mr */
+  0x3cb, /* OR32rr */
 /* Table17 */
-  0x405, /* OR8rm */
-  0x407, /* OR8rr_REV */
+  0x3db, /* OR8rm */
+  0x3dd, /* OR8rr_REV */
 /* Table19 */
-  0x3f4, /* OR32rm */
-  0x3f6, /* OR32rr_REV */
+  0x3ca, /* OR32rm */
+  0x3cc, /* OR32rr_REV */
 /* Table21 */
-  0x400, /* OR8i8 */
+  0x3d6, /* OR8i8 */
 /* Table22 */
-  0x3ed, /* OR32i32 */
+  0x3c3, /* OR32i32 */
 /* Table23 */
-  0x444, /* PUSHCS32 */
+  0x41a, /* PUSHCS32 */
 /* Table24 */
-  0x38, /* ADC8mr */
-  0x3b, /* ADC8rr */
+  0x39, /* ADC8mr */
+  0x3c, /* ADC8rr */
 /* Table26 */
-  0x27, /* ADC32mr */
-  0x2b, /* ADC32rr */
+  0x28, /* ADC32mr */
+  0x2c, /* ADC32rr */
 /* Table28 */
-  0x3a, /* ADC8rm */
-  0x3c, /* ADC8rr_REV */
+  0x3b, /* ADC8rm */
+  0x3d, /* ADC8rr_REV */
 /* Table30 */
-  0x2a, /* ADC32rm */
-  0x2c, /* ADC32rr_REV */
+  0x2b, /* ADC32rm */
+  0x2d, /* ADC32rr_REV */
 /* Table32 */
-  0x36, /* ADC8i8 */
+  0x37, /* ADC8i8 */
 /* Table33 */
-  0x24, /* ADC32i32 */
+  0x25, /* ADC32i32 */
 /* Table34 */
-  0x453, /* PUSHSS32 */
+  0x429, /* PUSHSS32 */
 /* Table35 */
-  0x432, /* POPSS32 */
+  0x408, /* POPSS32 */
 /* Table36 */
-  0x537, /* SBB8mr */
-  0x53a, /* SBB8rr */
+  0x50d, /* SBB8mr */
+  0x510, /* SBB8rr */
 /* Table38 */
-  0x526, /* SBB32mr */
-  0x52a, /* SBB32rr */
+  0x4fc, /* SBB32mr */
+  0x500, /* SBB32rr */
 /* Table40 */
-  0x539, /* SBB8rm */
-  0x53b, /* SBB8rr_REV */
+  0x50f, /* SBB8rm */
+  0x511, /* SBB8rr_REV */
 /* Table42 */
-  0x529, /* SBB32rm */
-  0x52b, /* SBB32rr_REV */
+  0x4ff, /* SBB32rm */
+  0x501, /* SBB32rr_REV */
 /* Table44 */
-  0x535, /* SBB8i8 */
+  0x50b, /* SBB8i8 */
 /* Table45 */
-  0x523, /* SBB32i32 */
+  0x4f9, /* SBB32i32 */
 /* Table46 */
-  0x446, /* PUSHDS32 */
+  0x41c, /* PUSHDS32 */
 /* Table47 */
-  0x425, /* POPDS32 */
+  0x3fb, /* POPDS32 */
 /* Table48 */
-  0x92, /* AND8mr */
-  0x96, /* AND8rr */
+  0x93, /* AND8mr */
+  0x97, /* AND8rr */
 /* Table50 */
-  0x81, /* AND32mr */
-  0x85, /* AND32rr */
+  0x82, /* AND32mr */
+  0x86, /* AND32rr */
 /* Table52 */
-  0x95, /* AND8rm */
-  0x97, /* AND8rr_REV */
+  0x96, /* AND8rm */
+  0x98, /* AND8rr_REV */
 /* Table54 */
-  0x84, /* AND32rm */
-  0x86, /* AND32rr_REV */
+  0x85, /* AND32rm */
+  0x87, /* AND32rr_REV */
 /* Table56 */
-  0x90, /* AND8i8 */
+  0x91, /* AND8i8 */
 /* Table57 */
-  0x7e, /* AND32i32 */
+  0x7f, /* AND32i32 */
 /* Table58 */
-  0x219, /* ES_PREFIX */
+  0x1ef, /* ES_PREFIX */
 /* Table59 */
-  0x1f7, /* DAA */
+  0x1cd, /* DAA */
 /* Table60 */
-  0x5f1, /* SUB8mr */
-  0x5f5, /* SUB8rr */
+  0x5cf, /* SUB8mr */
+  0x5d3, /* SUB8rr */
 /* Table62 */
-  0x5e0, /* SUB32mr */
-  0x5e4, /* SUB32rr */
+  0x5be, /* SUB32mr */
+  0x5c2, /* SUB32rr */
 /* Table64 */
-  0x5f4, /* SUB8rm */
-  0x5f6, /* SUB8rr_REV */
+  0x5d2, /* SUB8rm */
+  0x5d4, /* SUB8rr_REV */
 /* Table66 */
-  0x5e3, /* SUB32rm */
-  0x5e5, /* SUB32rr_REV */
+  0x5c1, /* SUB32rm */
+  0x5c3, /* SUB32rr_REV */
 /* Table68 */
-  0x5ef, /* SUB8i8 */
+  0x5cd, /* SUB8i8 */
 /* Table69 */
-  0x5dd, /* SUB32i32 */
+  0x5bb, /* SUB32i32 */
 /* Table70 */
-  0x1f4, /* CS_PREFIX */
+  0x1ca, /* CS_PREFIX */
 /* Table71 */
-  0x1f8, /* DAS */
+  0x1ce, /* DAS */
 /* Table72 */
-  0x69d, /* XOR8mr */
-  0x6a1, /* XOR8rr */
+  0x67b, /* XOR8mr */
+  0x67f, /* XOR8rr */
 /* Table74 */
-  0x68c, /* XOR32mr */
-  0x690, /* XOR32rr */
+  0x66a, /* XOR32mr */
+  0x66e, /* XOR32rr */
 /* Table76 */
-  0x6a0, /* XOR8rm */
-  0x6a2, /* XOR8rr_REV */
+  0x67e, /* XOR8rm */
+  0x680, /* XOR8rr_REV */
 /* Table78 */
-  0x68f, /* XOR32rm */
-  0x691, /* XOR32rr_REV */
+  0x66d, /* XOR32rm */
+  0x66f, /* XOR32rr_REV */
 /* Table80 */
-  0x69b, /* XOR8i8 */
+  0x679, /* XOR8i8 */
 /* Table81 */
-  0x689, /* XOR32i32 */
+  0x667, /* XOR32i32 */
 /* Table82 */
-  0x5c6, /* SS_PREFIX */
+  0x5a4, /* SS_PREFIX */
 /* Table83 */
-  0x13, /* AAA */
+  0x14, /* AAA */
 /* Table84 */
-  0x1de, /* CMP8mr */
-  0x1e1, /* CMP8rr */
+  0x1b4, /* CMP8mr */
+  0x1b7, /* CMP8rr */
 /* Table86 */
-  0x1cd, /* CMP32mr */
-  0x1d1, /* CMP32rr */
+  0x1a3, /* CMP32mr */
+  0x1a7, /* CMP32rr */
 /* Table88 */
-  0x1e0, /* CMP8rm */
-  0x1e2, /* CMP8rr_REV */
+  0x1b6, /* CMP8rm */
+  0x1b8, /* CMP8rr_REV */
 /* Table90 */
-  0x1d0, /* CMP32rm */
-  0x1d2, /* CMP32rr_REV */
+  0x1a6, /* CMP32rm */
+  0x1a8, /* CMP32rr_REV */
 /* Table92 */
-  0x1dc, /* CMP8i8 */
+  0x1b2, /* CMP8i8 */
 /* Table93 */
-  0x1ca, /* CMP32i32 */
+  0x1a0, /* CMP32i32 */
 /* Table94 */
-  0x210, /* DS_PREFIX */
+  0x1e6, /* DS_PREFIX */
 /* Table95 */
-  0x16, /* AAS */
+  0x17, /* AAS */
 /* Table96 */
-  0x259, /* INC32r */
+  0x22c, /* INC32r */
 /* Table97 */
-  0x1ff, /* DEC32r */
+  0x1d5, /* DEC32r */
 /* Table98 */
-  0x438, /* PUSH32r */
+  0x40e, /* PUSH32r */
 /* Table99 */
-  0x41c, /* POP32r */
+  0x3f2, /* POP32r */
 /* Table100 */
-  0x442, /* PUSHA32 */
+  0x418, /* PUSHA32 */
 /* Table101 */
-  0x423, /* POPA32 */
+  0x3f9, /* POPA32 */
 /* Table102 */
-  0xfa, /* BOUNDS32rm */
+  0xd0, /* BOUNDS32rm */
   0x0, /*  */
 /* Table104 */
-  0x9c, /* ARPL16mr */
-  0x9d, /* ARPL16rr */
+  0x9d, /* ARPL16mr */
+  0x9e, /* ARPL16rr */
 /* Table106 */
-  0x225, /* FS_PREFIX */
+  0x1fb, /* FS_PREFIX */
 /* Table107 */
-  0x227, /* GS_PREFIX */
+  0x1fd, /* GS_PREFIX */
 /* Table108 */
-  0x1f9, /* DATA16_PREFIX */
+  0x1cf, /* DATA16_PREFIX */
 /* Table109 */
-  0x455, /* PUSHi32 */
+  0x42b, /* PUSHi32 */
 /* Table110 */
-  0x23c, /* IMUL32rmi */
-  0x23f, /* IMUL32rri */
+  0x212, /* IMUL32rmi */
+  0x215, /* IMUL32rri */
 /* Table112 */
-  0x437, /* PUSH32i8 */
+  0x40d, /* PUSH32i8 */
 /* Table113 */
-  0x23d, /* IMUL32rmi8 */
-  0x240, /* IMUL32rri8 */
+  0x213, /* IMUL32rmi8 */
+  0x216, /* IMUL32rri8 */
 /* Table115 */
-  0x251, /* IN8 */
+  0x235, /* INSB */
 /* Table116 */
-  0x24e, /* IN32 */
+  0x236, /* INSL */
 /* Table117 */
-  0x40e, /* OUTSB */
+  0x3e4, /* OUTSB */
 /* Table118 */
-  0x40f, /* OUTSL */
+  0x3e5, /* OUTSL */
 /* Table119 */
-  0x2a7, /* JO_1 */
+  0x27d, /* JO_1 */
 /* Table120 */
-  0x29e, /* JNO_1 */
+  0x274, /* JNO_1 */
 /* Table121 */
-  0x27d, /* JB_1 */
+  0x253, /* JB_1 */
 /* Table122 */
-  0x274, /* JAE_1 */
+  0x24a, /* JAE_1 */
 /* Table123 */
-  0x283, /* JE_1 */
+  0x259, /* JE_1 */
 /* Table124 */
-  0x29b, /* JNE_1 */
+  0x271, /* JNE_1 */
 /* Table125 */
-  0x27a, /* JBE_1 */
+  0x250, /* JBE_1 */
 /* Table126 */
-  0x277, /* JA_1 */
+  0x24d, /* JA_1 */
 /* Table127 */
-  0x2ae, /* JS_1 */
+  0x284, /* JS_1 */
 /* Table128 */
-  0x2a4, /* JNS_1 */
+  0x27a, /* JNS_1 */
 /* Table129 */
-  0x2aa, /* JP_1 */
+  0x280, /* JP_1 */
 /* Table130 */
-  0x2a1, /* JNP_1 */
+  0x277, /* JNP_1 */
 /* Table131 */
-  0x28f, /* JL_1 */
+  0x265, /* JL_1 */
 /* Table132 */
-  0x286, /* JGE_1 */
+  0x25c, /* JGE_1 */
 /* Table133 */
-  0x28c, /* JLE_1 */
+  0x262, /* JLE_1 */
 /* Table134 */
-  0x289, /* JG_1 */
+  0x25f, /* JG_1 */
 /* Table135 */
-  0x66, /* ADD8mi */
-  0x401, /* OR8mi */
-  0x37, /* ADC8mi */
-  0x536, /* SBB8mi */
-  0x91, /* AND8mi */
-  0x5f0, /* SUB8mi */
-  0x69c, /* XOR8mi */
-  0x1dd, /* CMP8mi */
-  0x68, /* ADD8ri */
-  0x403, /* OR8ri */
-  0x39, /* ADC8ri */
-  0x538, /* SBB8ri */
-  0x93, /* AND8ri */
-  0x5f2, /* SUB8ri */
-  0x69e, /* XOR8ri */
-  0x1df, /* CMP8ri */
+  0x67, /* ADD8mi */
+  0x3d7, /* OR8mi */
+  0x38, /* ADC8mi */
+  0x50c, /* SBB8mi */
+  0x92, /* AND8mi */
+  0x5ce, /* SUB8mi */
+  0x67a, /* XOR8mi */
+  0x1b3, /* CMP8mi */
+  0x69, /* ADD8ri */
+  0x3d9, /* OR8ri */
+  0x3a, /* ADC8ri */
+  0x50e, /* SBB8ri */
+  0x94, /* AND8ri */
+  0x5d0, /* SUB8ri */
+  0x67c, /* XOR8ri */
+  0x1b5, /* CMP8ri */
 /* Table151 */
-  0x4e, /* ADD32mi */
-  0x3ee, /* OR32mi */
-  0x25, /* ADC32mi */
-  0x524, /* SBB32mi */
-  0x7f, /* AND32mi */
-  0x5de, /* SUB32mi */
-  0x68a, /* XOR32mi */
-  0x1cb, /* CMP32mi */
-  0x51, /* ADD32ri */
-  0x3f2, /* OR32ri */
-  0x28, /* ADC32ri */
-  0x527, /* SBB32ri */
-  0x82, /* AND32ri */
-  0x5e1, /* SUB32ri */
-  0x68d, /* XOR32ri */
-  0x1ce, /* CMP32ri */
+  0x4f, /* ADD32mi */
+  0x3c4, /* OR32mi */
+  0x26, /* ADC32mi */
+  0x4fa, /* SBB32mi */
+  0x80, /* AND32mi */
+  0x5bc, /* SUB32mi */
+  0x668, /* XOR32mi */
+  0x1a1, /* CMP32mi */
+  0x52, /* ADD32ri */
+  0x3c8, /* OR32ri */
+  0x29, /* ADC32ri */
+  0x4fd, /* SBB32ri */
+  0x83, /* AND32ri */
+  0x5bf, /* SUB32ri */
+  0x66b, /* XOR32ri */
+  0x1a4, /* CMP32ri */
 /* Table167 */
   0x0, /*  */
   0x0, /*  */
@@ -25936,66 +25962,66 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x69, /* ADD8ri8 */
-  0x404, /* OR8ri8 */
+  0x6a, /* ADD8ri8 */
+  0x3da, /* OR8ri8 */
   0x0, /*  */
   0x0, /*  */
-  0x94, /* AND8ri8 */
-  0x5f3, /* SUB8ri8 */
-  0x69f, /* XOR8ri8 */
+  0x95, /* AND8ri8 */
+  0x5d1, /* SUB8ri8 */
+  0x67d, /* XOR8ri8 */
   0x0, /*  */
 /* Table183 */
-  0x4f, /* ADD32mi8 */
-  0x3ef, /* OR32mi8 */
-  0x26, /* ADC32mi8 */
-  0x525, /* SBB32mi8 */
-  0x80, /* AND32mi8 */
-  0x5df, /* SUB32mi8 */
-  0x68b, /* XOR32mi8 */
-  0x1cc, /* CMP32mi8 */
-  0x52, /* ADD32ri8 */
-  0x3f3, /* OR32ri8 */
-  0x29, /* ADC32ri8 */
-  0x528, /* SBB32ri8 */
-  0x83, /* AND32ri8 */
-  0x5e2, /* SUB32ri8 */
-  0x68e, /* XOR32ri8 */
-  0x1cf, /* CMP32ri8 */
+  0x50, /* ADD32mi8 */
+  0x3c5, /* OR32mi8 */
+  0x27, /* ADC32mi8 */
+  0x4fb, /* SBB32mi8 */
+  0x81, /* AND32mi8 */
+  0x5bd, /* SUB32mi8 */
+  0x669, /* XOR32mi8 */
+  0x1a2, /* CMP32mi8 */
+  0x53, /* ADD32ri8 */
+  0x3c9, /* OR32ri8 */
+  0x2a, /* ADC32ri8 */
+  0x4fe, /* SBB32ri8 */
+  0x84, /* AND32ri8 */
+  0x5c0, /* SUB32ri8 */
+  0x66c, /* XOR32ri8 */
+  0x1a5, /* CMP32ri8 */
 /* Table199 */
-  0x629, /* TEST8rm */
-  0x62a, /* TEST8rr */
+  0x607, /* TEST8rm */
+  0x608, /* TEST8rr */
 /* Table201 */
-  0x61a, /* TEST32rm */
-  0x61b, /* TEST32rr */
+  0x5f8, /* TEST32rm */
+  0x5f9, /* TEST32rr */
 /* Table203 */
-  0x677, /* XCHG8rm */
-  0x678, /* XCHG8rr */
+  0x655, /* XCHG8rm */
+  0x656, /* XCHG8rr */
 /* Table205 */
-  0x672, /* XCHG32rm */
-  0x673, /* XCHG32rr */
+  0x650, /* XCHG32rm */
+  0x651, /* XCHG32rr */
 /* Table207 */
-  0x37b, /* MOV8mr */
-  0x383, /* MOV8rr */
+  0x351, /* MOV8mr */
+  0x359, /* MOV8rr */
 /* Table209 */
-  0x351, /* MOV32mr */
-  0x35c, /* MOV32rr */
+  0x327, /* MOV32mr */
+  0x332, /* MOV32rr */
 /* Table211 */
-  0x381, /* MOV8rm */
-  0x385, /* MOV8rr_REV */
+  0x357, /* MOV8rm */
+  0x35b, /* MOV8rr_REV */
 /* Table213 */
-  0x35b, /* MOV32rm */
-  0x35d, /* MOV32rr_REV */
+  0x331, /* MOV32rm */
+  0x333, /* MOV32rr_REV */
 /* Table215 */
-  0x352, /* MOV32ms */
-  0x35e, /* MOV32rs */
+  0x328, /* MOV32ms */
+  0x334, /* MOV32rs */
 /* Table217 */
-  0x2c1, /* LEA32r */
+  0x297, /* LEA32r */
   0x0, /*  */
 /* Table219 */
-  0x35f, /* MOV32sm */
-  0x360, /* MOV32sr */
+  0x335, /* MOV32sm */
+  0x336, /* MOV32sr */
 /* Table221 */
-  0x41d, /* POP32rmm */
+  0x3f3, /* POP32rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26003,7 +26029,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x41e, /* POP32rmr */
+  0x3f4, /* POP32rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26012,105 +26038,105 @@
   0x0, /*  */
   0x0, /*  */
 /* Table237 */
-  0x3bd, /* NOOP */
+  0x393, /* NOOP */
 /* Table238 */
-  0x670, /* XCHG32ar */
+  0x64e, /* XCHG32ar */
 /* Table239 */
-  0x1f6, /* CWDE */
+  0x1cc, /* CWDE */
 /* Table240 */
-  0x147, /* CDQ */
+  0x11d, /* CDQ */
 /* Table241 */
-  0x21c, /* FARCALL32i */
+  0x1f2, /* FARCALL32i */
 /* Table242 */
-  0x44a, /* PUSHF32 */
+  0x420, /* PUSHF32 */
 /* Table243 */
-  0x429, /* POPF32 */
+  0x3ff, /* POPF32 */
 /* Table244 */
-  0x4e4, /* SAHF */
+  0x4ba, /* SAHF */
 /* Table245 */
-  0x2b1, /* LAHF */
+  0x287, /* LAHF */
 /* Table246 */
-  0x37d, /* MOV8o8a */
+  0x353, /* MOV8o8a */
 /* Table247 */
-  0x353, /* MOV32o32a */
+  0x329, /* MOV32o32a */
 /* Table248 */
-  0x378, /* MOV8ao8 */
+  0x34e, /* MOV8ao8 */
 /* Table249 */
-  0x34c, /* MOV32ao32 */
+  0x322, /* MOV32ao32 */
 /* Table250 */
-  0x38d, /* MOVSB */
+  0x363, /* MOVSB */
 /* Table251 */
-  0x38e, /* MOVSL */
+  0x364, /* MOVSL */
 /* Table252 */
-  0x1e6, /* CMPS8 */
+  0x1b9, /* CMPSB */
 /* Table253 */
-  0x1e4, /* CMPS32 */
+  0x1ba, /* CMPSL */
 /* Table254 */
-  0x623, /* TEST8i8 */
+  0x601, /* TEST8i8 */
 /* Table255 */
-  0x615, /* TEST32i32 */
+  0x5f3, /* TEST32i32 */
 /* Table256 */
-  0x5cc, /* STOSB */
+  0x5aa, /* STOSB */
 /* Table257 */
-  0x5cd, /* STOSL */
+  0x5ab, /* STOSL */
 /* Table258 */
-  0x318, /* LODSB */
+  0x2ee, /* LODSB */
 /* Table259 */
-  0x319, /* LODSL */
+  0x2ef, /* LODSL */
 /* Table260 */
-  0x53f, /* SCAS8 */
+  0x512, /* SCASB */
 /* Table261 */
-  0x53d, /* SCAS32 */
+  0x513, /* SCASL */
 /* Table262 */
-  0x37f, /* MOV8ri */
+  0x355, /* MOV8ri */
 /* Table263 */
-  0x358, /* MOV32ri */
+  0x32e, /* MOV32ri */
 /* Table264 */
-  0x4c3, /* ROL8mi */
-  0x4db, /* ROR8mi */
-  0x46a, /* RCL8mi */
-  0x482, /* RCR8mi */
-  0x57d, /* SHL8mi */
-  0x5a5, /* SHR8mi */
-  0x4f9, /* SAL8mi */
-  0x512, /* SAR8mi */
-  0x4c6, /* ROL8ri */
-  0x4de, /* ROR8ri */
-  0x46d, /* RCL8ri */
-  0x485, /* RCR8ri */
-  0x580, /* SHL8ri */
-  0x5a8, /* SHR8ri */
-  0x4fc, /* SAL8ri */
-  0x515, /* SAR8ri */
+  0x499, /* ROL8mi */
+  0x4b1, /* ROR8mi */
+  0x440, /* RCL8mi */
+  0x458, /* RCR8mi */
+  0x55b, /* SHL8mi */
+  0x583, /* SHR8mi */
+  0x4cf, /* SAL8mi */
+  0x4e8, /* SAR8mi */
+  0x49c, /* ROL8ri */
+  0x4b4, /* ROR8ri */
+  0x443, /* RCL8ri */
+  0x45b, /* RCR8ri */
+  0x55e, /* SHL8ri */
+  0x586, /* SHR8ri */
+  0x4d2, /* SAL8ri */
+  0x4eb, /* SAR8ri */
 /* Table280 */
-  0x4b7, /* ROL32mi */
-  0x4cf, /* ROR32mi */
-  0x45e, /* RCL32mi */
-  0x476, /* RCR32mi */
-  0x571, /* SHL32mi */
-  0x599, /* SHR32mi */
-  0x4ed, /* SAL32mi */
-  0x506, /* SAR32mi */
-  0x4ba, /* ROL32ri */
-  0x4d2, /* ROR32ri */
-  0x461, /* RCL32ri */
-  0x479, /* RCR32ri */
-  0x574, /* SHL32ri */
-  0x59c, /* SHR32ri */
-  0x4f0, /* SAL32ri */
-  0x509, /* SAR32ri */
+  0x48d, /* ROL32mi */
+  0x4a5, /* ROR32mi */
+  0x434, /* RCL32mi */
+  0x44c, /* RCR32mi */
+  0x54f, /* SHL32mi */
+  0x577, /* SHR32mi */
+  0x4c3, /* SAL32mi */
+  0x4dc, /* SAR32mi */
+  0x490, /* ROL32ri */
+  0x4a8, /* ROR32ri */
+  0x437, /* RCL32ri */
+  0x44f, /* RCR32ri */
+  0x552, /* SHL32ri */
+  0x57a, /* SHR32ri */
+  0x4c6, /* SAL32ri */
+  0x4df, /* SAR32ri */
 /* Table296 */
-  0x4a8, /* RETIL */
+  0x47e, /* RETIL */
 /* Table297 */
-  0x4ab, /* RETL */
+  0x481, /* RETL */
 /* Table298 */
-  0x2c7, /* LES32rm */
+  0x29d, /* LES32rm */
   0x0, /*  */
 /* Table300 */
-  0x2bf, /* LDS32rm */
+  0x295, /* LDS32rm */
   0x0, /*  */
 /* Table302 */
-  0x37a, /* MOV8mi */
+  0x350, /* MOV8mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26118,7 +26144,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x380, /* MOV8ri_alt */
+  0x356, /* MOV8ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26127,7 +26153,7 @@
   0x0, /*  */
   0x0, /*  */
 /* Table318 */
-  0x350, /* MOV32mi */
+  0x326, /* MOV32mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26135,7 +26161,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x35a, /* MOV32ri_alt */
+  0x330, /* MOV32ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26144,97 +26170,97 @@
   0x0, /*  */
   0x0, /*  */
 /* Table334 */
-  0x218, /* ENTER */
+  0x1ee, /* ENTER */
 /* Table335 */
-  0x2c4, /* LEAVE */
+  0x29a, /* LEAVE */
 /* Table336 */
-  0x31f, /* LRETIL */
+  0x2f5, /* LRETIL */
 /* Table337 */
-  0x322, /* LRETL */
+  0x2f8, /* LRETL */
 /* Table338 */
-  0x264, /* INT3 */
+  0x23a, /* INT3 */
 /* Table339 */
-  0x262, /* INT */
+  0x238, /* INT */
 /* Table340 */
-  0x265, /* INTO */
+  0x23b, /* INTO */
 /* Table341 */
-  0x271, /* IRET32 */
+  0x247, /* IRET32 */
 /* Table342 */
-  0x4c1, /* ROL8m1 */
-  0x4d9, /* ROR8m1 */
-  0x468, /* RCL8m1 */
-  0x480, /* RCR8m1 */
-  0x57b, /* SHL8m1 */
-  0x5a3, /* SHR8m1 */
-  0x4f7, /* SAL8m1 */
-  0x510, /* SAR8m1 */
-  0x4c4, /* ROL8r1 */
-  0x4dc, /* ROR8r1 */
-  0x46b, /* RCL8r1 */
-  0x483, /* RCR8r1 */
-  0x57e, /* SHL8r1 */
-  0x5a6, /* SHR8r1 */
-  0x4fa, /* SAL8r1 */
-  0x513, /* SAR8r1 */
+  0x497, /* ROL8m1 */
+  0x4af, /* ROR8m1 */
+  0x43e, /* RCL8m1 */
+  0x456, /* RCR8m1 */
+  0x559, /* SHL8m1 */
+  0x581, /* SHR8m1 */
+  0x4cd, /* SAL8m1 */
+  0x4e6, /* SAR8m1 */
+  0x49a, /* ROL8r1 */
+  0x4b2, /* ROR8r1 */
+  0x441, /* RCL8r1 */
+  0x459, /* RCR8r1 */
+  0x55c, /* SHL8r1 */
+  0x584, /* SHR8r1 */
+  0x4d0, /* SAL8r1 */
+  0x4e9, /* SAR8r1 */
 /* Table358 */
-  0x4b5, /* ROL32m1 */
-  0x4cd, /* ROR32m1 */
-  0x45c, /* RCL32m1 */
-  0x474, /* RCR32m1 */
-  0x56f, /* SHL32m1 */
-  0x597, /* SHR32m1 */
-  0x4eb, /* SAL32m1 */
-  0x504, /* SAR32m1 */
-  0x4b8, /* ROL32r1 */
-  0x4d0, /* ROR32r1 */
-  0x45f, /* RCL32r1 */
-  0x477, /* RCR32r1 */
-  0x572, /* SHL32r1 */
-  0x59a, /* SHR32r1 */
-  0x4ee, /* SAL32r1 */
-  0x507, /* SAR32r1 */
+  0x48b, /* ROL32m1 */
+  0x4a3, /* ROR32m1 */
+  0x432, /* RCL32m1 */
+  0x44a, /* RCR32m1 */
+  0x54d, /* SHL32m1 */
+  0x575, /* SHR32m1 */
+  0x4c1, /* SAL32m1 */
+  0x4da, /* SAR32m1 */
+  0x48e, /* ROL32r1 */
+  0x4a6, /* ROR32r1 */
+  0x435, /* RCL32r1 */
+  0x44d, /* RCR32r1 */
+  0x550, /* SHL32r1 */
+  0x578, /* SHR32r1 */
+  0x4c4, /* SAL32r1 */
+  0x4dd, /* SAR32r1 */
 /* Table374 */
-  0x4c2, /* ROL8mCL */
-  0x4da, /* ROR8mCL */
-  0x469, /* RCL8mCL */
-  0x481, /* RCR8mCL */
-  0x57c, /* SHL8mCL */
-  0x5a4, /* SHR8mCL */
-  0x4f8, /* SAL8mCL */
-  0x511, /* SAR8mCL */
-  0x4c5, /* ROL8rCL */
-  0x4dd, /* ROR8rCL */
-  0x46c, /* RCL8rCL */
-  0x484, /* RCR8rCL */
-  0x57f, /* SHL8rCL */
-  0x5a7, /* SHR8rCL */
-  0x4fb, /* SAL8rCL */
-  0x514, /* SAR8rCL */
+  0x498, /* ROL8mCL */
+  0x4b0, /* ROR8mCL */
+  0x43f, /* RCL8mCL */
+  0x457, /* RCR8mCL */
+  0x55a, /* SHL8mCL */
+  0x582, /* SHR8mCL */
+  0x4ce, /* SAL8mCL */
+  0x4e7, /* SAR8mCL */
+  0x49b, /* ROL8rCL */
+  0x4b3, /* ROR8rCL */
+  0x442, /* RCL8rCL */
+  0x45a, /* RCR8rCL */
+  0x55d, /* SHL8rCL */
+  0x585, /* SHR8rCL */
+  0x4d1, /* SAL8rCL */
+  0x4ea, /* SAR8rCL */
 /* Table390 */
-  0x4b6, /* ROL32mCL */
-  0x4ce, /* ROR32mCL */
-  0x45d, /* RCL32mCL */
-  0x475, /* RCR32mCL */
-  0x570, /* SHL32mCL */
-  0x598, /* SHR32mCL */
-  0x4ec, /* SAL32mCL */
-  0x505, /* SAR32mCL */
-  0x4b9, /* ROL32rCL */
-  0x4d1, /* ROR32rCL */
-  0x460, /* RCL32rCL */
-  0x478, /* RCR32rCL */
-  0x573, /* SHL32rCL */
-  0x59b, /* SHR32rCL */
-  0x4ef, /* SAL32rCL */
-  0x508, /* SAR32rCL */
+  0x48c, /* ROL32mCL */
+  0x4a4, /* ROR32mCL */
+  0x433, /* RCL32mCL */
+  0x44b, /* RCR32mCL */
+  0x54e, /* SHL32mCL */
+  0x576, /* SHR32mCL */
+  0x4c2, /* SAL32mCL */
+  0x4db, /* SAR32mCL */
+  0x48f, /* ROL32rCL */
+  0x4a7, /* ROR32rCL */
+  0x436, /* RCL32rCL */
+  0x44e, /* RCR32rCL */
+  0x551, /* SHL32rCL */
+  0x579, /* SHR32rCL */
+  0x4c5, /* SAL32rCL */
+  0x4de, /* SAR32rCL */
 /* Table406 */
-  0x15, /* AAM8i8 */
+  0x16, /* AAM8i8 */
 /* Table407 */
-  0x14, /* AAD8i8 */
+  0x15, /* AAD8i8 */
 /* Table408 */
-  0x4fd, /* SALC */
+  0x4d3, /* SALC */
 /* Table409 */
-  0x67f, /* XLAT */
+  0x65d, /* XLAT */
 /* Table410 */
   0x0, /*  */
   0x0, /*  */
@@ -26280,7 +26306,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x224, /* FSETPM */
+  0x1fa, /* FSETPM */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26309,106 +26335,106 @@
   0x0, /*  */
   0x0, /*  */
 /* Table482 */
-  0x31e, /* LOOPNE */
+  0x2f4, /* LOOPNE */
 /* Table483 */
-  0x31d, /* LOOPE */
+  0x2f3, /* LOOPE */
 /* Table484 */
-  0x31c, /* LOOP */
+  0x2f2, /* LOOP */
 /* Table485 */
-  0x281, /* JECXZ_32 */
+  0x257, /* JECXZ_32 */
 /* Table486 */
-  0x252, /* IN8ri */
+  0x225, /* IN8ri */
 /* Table487 */
-  0x24f, /* IN32ri */
+  0x223, /* IN32ri */
 /* Table488 */
-  0x40c, /* OUT8ir */
+  0x3e2, /* OUT8ir */
 /* Table489 */
-  0x40a, /* OUT32ir */
+  0x3e0, /* OUT32ir */
 /* Table490 */
-  0x145, /* CALLpcrel32 */
+  0x11b, /* CALLpcrel32 */
 /* Table491 */
-  0x29a, /* JMP_4 */
+  0x270, /* JMP_4 */
 /* Table492 */
-  0x221, /* FARJMP32i */
+  0x1f7, /* FARJMP32i */
 /* Table493 */
-  0x298, /* JMP_1 */
+  0x26e, /* JMP_1 */
 /* Table494 */
-  0x253, /* IN8rr */
+  0x226, /* IN8rr */
 /* Table495 */
-  0x250, /* IN32rr */
+  0x224, /* IN32rr */
 /* Table496 */
-  0x40d, /* OUT8rr */
+  0x3e3, /* OUT8rr */
 /* Table497 */
-  0x40b, /* OUT32rr */
+  0x3e1, /* OUT32rr */
 /* Table498 */
-  0x301, /* LOCK_PREFIX */
+  0x2d7, /* LOCK_PREFIX */
 /* Table499 */
-  0x263, /* INT1 */
+  0x239, /* INT1 */
 /* Table500 */
-  0x498, /* REPNE_PREFIX */
+  0x46e, /* REPNE_PREFIX */
 /* Table501 */
-  0x4a0, /* REP_PREFIX */
+  0x476, /* REP_PREFIX */
 /* Table502 */
-  0x228, /* HLT */
+  0x1fe, /* HLT */
 /* Table503 */
-  0x14f, /* CMC */
+  0x125, /* CMC */
 /* Table504 */
-  0x624, /* TEST8mi */
-  0x625, /* TEST8mi_alt */
-  0x3e2, /* NOT8m */
-  0x3bb, /* NEG8m */
-  0x3af, /* MUL8m */
-  0x249, /* IMUL8m */
-  0x20e, /* DIV8m */
-  0x22f, /* IDIV8m */
-  0x626, /* TEST8ri */
-  0x628, /* TEST8ri_alt */
-  0x3e3, /* NOT8r */
-  0x3bc, /* NEG8r */
-  0x3b0, /* MUL8r */
-  0x24a, /* IMUL8r */
-  0x20f, /* DIV8r */
-  0x230, /* IDIV8r */
+  0x602, /* TEST8mi */
+  0x603, /* TEST8mi_alt */
+  0x3b8, /* NOT8m */
+  0x391, /* NEG8m */
+  0x385, /* MUL8m */
+  0x21f, /* IMUL8m */
+  0x1e4, /* DIV8m */
+  0x205, /* IDIV8m */
+  0x604, /* TEST8ri */
+  0x606, /* TEST8ri_alt */
+  0x3b9, /* NOT8r */
+  0x392, /* NEG8r */
+  0x386, /* MUL8r */
+  0x220, /* IMUL8r */
+  0x1e5, /* DIV8r */
+  0x206, /* IDIV8r */
 /* Table520 */
-  0x616, /* TEST32mi */
-  0x617, /* TEST32mi_alt */
-  0x3de, /* NOT32m */
-  0x3b7, /* NEG32m */
-  0x3ab, /* MUL32m */
-  0x239, /* IMUL32m */
-  0x20a, /* DIV32m */
-  0x22b, /* IDIV32m */
-  0x618, /* TEST32ri */
-  0x619, /* TEST32ri_alt */
-  0x3df, /* NOT32r */
-  0x3b8, /* NEG32r */
-  0x3ac, /* MUL32r */
-  0x23a, /* IMUL32r */
-  0x20b, /* DIV32r */
-  0x22c, /* IDIV32r */
+  0x5f4, /* TEST32mi */
+  0x5f5, /* TEST32mi_alt */
+  0x3b4, /* NOT32m */
+  0x38d, /* NEG32m */
+  0x381, /* MUL32m */
+  0x20f, /* IMUL32m */
+  0x1e0, /* DIV32m */
+  0x201, /* IDIV32m */
+  0x5f6, /* TEST32ri */
+  0x5f7, /* TEST32ri_alt */
+  0x3b5, /* NOT32r */
+  0x38e, /* NEG32r */
+  0x382, /* MUL32r */
+  0x210, /* IMUL32r */
+  0x1e1, /* DIV32r */
+  0x202, /* IDIV32r */
 /* Table536 */
-  0x14a, /* CLC */
+  0x120, /* CLC */
 /* Table537 */
-  0x5c8, /* STC */
+  0x5a6, /* STC */
 /* Table538 */
-  0x14d, /* CLI */
+  0x123, /* CLI */
 /* Table539 */
-  0x5cb, /* STI */
+  0x5a9, /* STI */
 /* Table540 */
-  0x14b, /* CLD */
+  0x121, /* CLD */
 /* Table541 */
-  0x5c9, /* STD */
+  0x5a7, /* STD */
 /* Table542 */
-  0x260, /* INC8m */
-  0x206, /* DEC8m */
+  0x233, /* INC8m */
+  0x1dc, /* DEC8m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x261, /* INC8r */
-  0x207, /* DEC8r */
+  0x234, /* INC8r */
+  0x1dd, /* DEC8r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26416,37 +26442,37 @@
   0x0, /*  */
   0x0, /*  */
 /* Table558 */
-  0x258, /* INC32m */
-  0x1fe, /* DEC32m */
-  0x13f, /* CALL32m */
-  0x21d, /* FARCALL32m */
-  0x294, /* JMP32m */
-  0x222, /* FARJMP32m */
-  0x439, /* PUSH32rmm */
+  0x22b, /* INC32m */
+  0x1d4, /* DEC32m */
+  0x115, /* CALL32m */
+  0x1f3, /* FARCALL32m */
+  0x26a, /* JMP32m */
+  0x1f8, /* FARJMP32m */
+  0x40f, /* PUSH32rmm */
   0x0, /*  */
-  0x257, /* INC32_32r */
-  0x1fd, /* DEC32_32r */
-  0x140, /* CALL32r */
+  0x22a, /* INC32_32r */
+  0x1d3, /* DEC32_32r */
+  0x116, /* CALL32r */
   0x0, /*  */
-  0x295, /* JMP32r */
+  0x26b, /* JMP32r */
   0x0, /*  */
-  0x43a, /* PUSH32rmr */
+  0x410, /* PUSH32rmr */
   0x0, /*  */
 /* Table574 */
-  0x4ae, /* REX64_PREFIX */
+  0x484, /* REX64_PREFIX */
 /* Table575 */
-  0x43e, /* PUSH64r */
+  0x414, /* PUSH64r */
 /* Table576 */
-  0x41f, /* POP64r */
+  0x3f5, /* POP64r */
 /* Table577 */
-  0x43c, /* PUSH64i32 */
+  0x412, /* PUSH64i32 */
 /* Table578 */
-  0x43d, /* PUSH64i8 */
+  0x413, /* PUSH64i8 */
 /* Table579 */
-  0x2c2, /* LEA64_32r */
+  0x298, /* LEA64_32r */
   0x0, /*  */
 /* Table581 */
-  0x420, /* POP64rmm */
+  0x3f6, /* POP64rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26454,7 +26480,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x421, /* POP64rmr */
+  0x3f7, /* POP64rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26463,210 +26489,210 @@
   0x0, /*  */
   0x0, /*  */
 /* Table597 */
-  0x671, /* XCHG32ar64 */
+  0x64f, /* XCHG32ar64 */
 /* Table598 */
-  0x44b, /* PUSHF64 */
+  0x421, /* PUSHF64 */
 /* Table599 */
-  0x42a, /* POPF64 */
+  0x400, /* POPF64 */
 /* Table600 */
-  0x36d, /* MOV64o8a */
+  0x343, /* MOV64o8a */
 /* Table601 */
-  0x36b, /* MOV64o32a */
+  0x341, /* MOV64o32a */
 /* Table602 */
-  0x364, /* MOV64ao8 */
+  0x33a, /* MOV64ao8 */
 /* Table603 */
-  0x362, /* MOV64ao32 */
+  0x338, /* MOV64ao32 */
 /* Table604 */
-  0x4a9, /* RETIQ */
+  0x47f, /* RETIQ */
 /* Table605 */
-  0x4ac, /* RETQ */
+  0x482, /* RETQ */
 /* Table606 */
-  0x2c5, /* LEAVE64 */
+  0x29b, /* LEAVE64 */
 /* Table607 */
-  0x2ad, /* JRCXZ */
+  0x283, /* JRCXZ */
 /* Table608 */
-  0x142, /* CALL64pcrel32 */
+  0x118, /* CALL64pcrel32 */
 /* Table609 */
-  0x25c, /* INC64_32m */
-  0x202, /* DEC64_32m */
-  0x141, /* CALL64m */
-  0x21d, /* FARCALL32m */
-  0x296, /* JMP64m */
-  0x222, /* FARJMP32m */
-  0x43f, /* PUSH64rmm */
+  0x22f, /* INC64_32m */
+  0x1d8, /* DEC64_32m */
+  0x117, /* CALL64m */
+  0x1f3, /* FARCALL32m */
+  0x26c, /* JMP64m */
+  0x1f8, /* FARJMP32m */
+  0x415, /* PUSH64rmm */
   0x0, /*  */
-  0x25d, /* INC64_32r */
-  0x203, /* DEC64_32r */
-  0x143, /* CALL64r */
+  0x230, /* INC64_32r */
+  0x1d9, /* DEC64_32r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x26d, /* JMP64r */
   0x0, /*  */
-  0x440, /* PUSH64rmr */
+  0x416, /* PUSH64rmr */
   0x0, /*  */
 /* Table625 */
-  0x44, /* ADD16mr */
-  0x4a, /* ADD16rr */
+  0x45, /* ADD16mr */
+  0x4b, /* ADD16rr */
 /* Table627 */
-  0x49, /* ADD16rm */
-  0x4c, /* ADD16rr_REV */
+  0x4a, /* ADD16rm */
+  0x4d, /* ADD16rr_REV */
 /* Table629 */
-  0x41, /* ADD16i16 */
+  0x42, /* ADD16i16 */
 /* Table630 */
-  0x447, /* PUSHES16 */
+  0x41d, /* PUSHES16 */
 /* Table631 */
-  0x426, /* POPES16 */
+  0x3fc, /* POPES16 */
 /* Table632 */
-  0x3e7, /* OR16mr */
-  0x3eb, /* OR16rr */
+  0x3bd, /* OR16mr */
+  0x3c1, /* OR16rr */
 /* Table634 */
-  0x3ea, /* OR16rm */
-  0x3ec, /* OR16rr_REV */
+  0x3c0, /* OR16rm */
+  0x3c2, /* OR16rr_REV */
 /* Table636 */
-  0x3e4, /* OR16i16 */
+  0x3ba, /* OR16i16 */
 /* Table637 */
-  0x443, /* PUSHCS16 */
+  0x419, /* PUSHCS16 */
 /* Table638 */
-  0x1e, /* ADC16mr */
-  0x22, /* ADC16rr */
+  0x1f, /* ADC16mr */
+  0x23, /* ADC16rr */
 /* Table640 */
-  0x21, /* ADC16rm */
-  0x23, /* ADC16rr_REV */
+  0x22, /* ADC16rm */
+  0x24, /* ADC16rr_REV */
 /* Table642 */
-  0x1b, /* ADC16i16 */
+  0x1c, /* ADC16i16 */
 /* Table643 */
-  0x452, /* PUSHSS16 */
+  0x428, /* PUSHSS16 */
 /* Table644 */
-  0x431, /* POPSS16 */
+  0x407, /* POPSS16 */
 /* Table645 */
-  0x51d, /* SBB16mr */
-  0x521, /* SBB16rr */
+  0x4f3, /* SBB16mr */
+  0x4f7, /* SBB16rr */
 /* Table647 */
-  0x520, /* SBB16rm */
-  0x522, /* SBB16rr_REV */
+  0x4f6, /* SBB16rm */
+  0x4f8, /* SBB16rr_REV */
 /* Table649 */
-  0x51a, /* SBB16i16 */
+  0x4f0, /* SBB16i16 */
 /* Table650 */
-  0x445, /* PUSHDS16 */
+  0x41b, /* PUSHDS16 */
 /* Table651 */
-  0x424, /* POPDS16 */
+  0x3fa, /* POPDS16 */
 /* Table652 */
-  0x78, /* AND16mr */
-  0x7c, /* AND16rr */
+  0x79, /* AND16mr */
+  0x7d, /* AND16rr */
 /* Table654 */
-  0x7b, /* AND16rm */
-  0x7d, /* AND16rr_REV */
+  0x7c, /* AND16rm */
+  0x7e, /* AND16rr_REV */
 /* Table656 */
-  0x75, /* AND16i16 */
+  0x76, /* AND16i16 */
 /* Table657 */
-  0x5d7, /* SUB16mr */
-  0x5db, /* SUB16rr */
+  0x5b5, /* SUB16mr */
+  0x5b9, /* SUB16rr */
 /* Table659 */
-  0x5da, /* SUB16rm */
-  0x5dc, /* SUB16rr_REV */
+  0x5b8, /* SUB16rm */
+  0x5ba, /* SUB16rr_REV */
 /* Table661 */
-  0x5d4, /* SUB16i16 */
+  0x5b2, /* SUB16i16 */
 /* Table662 */
-  0x683, /* XOR16mr */
-  0x687, /* XOR16rr */
+  0x661, /* XOR16mr */
+  0x665, /* XOR16rr */
 /* Table664 */
-  0x686, /* XOR16rm */
-  0x688, /* XOR16rr_REV */
+  0x664, /* XOR16rm */
+  0x666, /* XOR16rr_REV */
 /* Table666 */
-  0x680, /* XOR16i16 */
+  0x65e, /* XOR16i16 */
 /* Table667 */
-  0x1c4, /* CMP16mr */
-  0x1c8, /* CMP16rr */
+  0x19a, /* CMP16mr */
+  0x19e, /* CMP16rr */
 /* Table669 */
-  0x1c7, /* CMP16rm */
-  0x1c9, /* CMP16rr_REV */
+  0x19d, /* CMP16rm */
+  0x19f, /* CMP16rr_REV */
 /* Table671 */
-  0x1c1, /* CMP16i16 */
+  0x197, /* CMP16i16 */
 /* Table672 */
-  0x255, /* INC16r */
+  0x228, /* INC16r */
 /* Table673 */
-  0x1fb, /* DEC16r */
+  0x1d1, /* DEC16r */
 /* Table674 */
-  0x434, /* PUSH16r */
+  0x40a, /* PUSH16r */
 /* Table675 */
-  0x419, /* POP16r */
+  0x3ef, /* POP16r */
 /* Table676 */
-  0x441, /* PUSHA16 */
+  0x417, /* PUSHA16 */
 /* Table677 */
-  0x422, /* POPA16 */
+  0x3f8, /* POPA16 */
 /* Table678 */
-  0xf9, /* BOUNDS16rm */
+  0xcf, /* BOUNDS16rm */
   0x0, /*  */
 /* Table680 */
-  0x454, /* PUSHi16 */
+  0x42a, /* PUSHi16 */
 /* Table681 */
-  0x234, /* IMUL16rmi */
-  0x237, /* IMUL16rri */
+  0x20a, /* IMUL16rmi */
+  0x20d, /* IMUL16rri */
 /* Table683 */
-  0x433, /* PUSH16i8 */
+  0x409, /* PUSH16i8 */
 /* Table684 */
-  0x235, /* IMUL16rmi8 */
-  0x238, /* IMUL16rri8 */
+  0x20b, /* IMUL16rmi8 */
+  0x20e, /* IMUL16rri8 */
 /* Table686 */
-  0x24b, /* IN16 */
+  0x237, /* INSW */
 /* Table687 */
-  0x410, /* OUTSW */
+  0x3e6, /* OUTSW */
 /* Table688 */
-  0x42, /* ADD16mi */
-  0x3e5, /* OR16mi */
-  0x1c, /* ADC16mi */
-  0x51b, /* SBB16mi */
-  0x76, /* AND16mi */
-  0x5d5, /* SUB16mi */
-  0x681, /* XOR16mi */
-  0x1c2, /* CMP16mi */
-  0x45, /* ADD16ri */
-  0x3e8, /* OR16ri */
-  0x1f, /* ADC16ri */
-  0x51e, /* SBB16ri */
-  0x79, /* AND16ri */
-  0x5d8, /* SUB16ri */
-  0x684, /* XOR16ri */
-  0x1c5, /* CMP16ri */
+  0x43, /* ADD16mi */
+  0x3bb, /* OR16mi */
+  0x1d, /* ADC16mi */
+  0x4f1, /* SBB16mi */
+  0x77, /* AND16mi */
+  0x5b3, /* SUB16mi */
+  0x65f, /* XOR16mi */
+  0x198, /* CMP16mi */
+  0x46, /* ADD16ri */
+  0x3be, /* OR16ri */
+  0x20, /* ADC16ri */
+  0x4f4, /* SBB16ri */
+  0x7a, /* AND16ri */
+  0x5b6, /* SUB16ri */
+  0x662, /* XOR16ri */
+  0x19b, /* CMP16ri */
 /* Table704 */
-  0x43, /* ADD16mi8 */
-  0x3e6, /* OR16mi8 */
-  0x1d, /* ADC16mi8 */
-  0x51c, /* SBB16mi8 */
-  0x77, /* AND16mi8 */
-  0x5d6, /* SUB16mi8 */
-  0x682, /* XOR16mi8 */
-  0x1c3, /* CMP16mi8 */
-  0x46, /* ADD16ri8 */
-  0x3e9, /* OR16ri8 */
-  0x20, /* ADC16ri8 */
-  0x51f, /* SBB16ri8 */
-  0x7a, /* AND16ri8 */
-  0x5d9, /* SUB16ri8 */
-  0x685, /* XOR16ri8 */
-  0x1c6, /* CMP16ri8 */
+  0x44, /* ADD16mi8 */
+  0x3bc, /* OR16mi8 */
+  0x1e, /* ADC16mi8 */
+  0x4f2, /* SBB16mi8 */
+  0x78, /* AND16mi8 */
+  0x5b4, /* SUB16mi8 */
+  0x660, /* XOR16mi8 */
+  0x199, /* CMP16mi8 */
+  0x47, /* ADD16ri8 */
+  0x3bf, /* OR16ri8 */
+  0x21, /* ADC16ri8 */
+  0x4f5, /* SBB16ri8 */
+  0x7b, /* AND16ri8 */
+  0x5b7, /* SUB16ri8 */
+  0x663, /* XOR16ri8 */
+  0x19c, /* CMP16ri8 */
 /* Table720 */
-  0x613, /* TEST16rm */
-  0x614, /* TEST16rr */
+  0x5f1, /* TEST16rm */
+  0x5f2, /* TEST16rr */
 /* Table722 */
-  0x66e, /* XCHG16rm */
-  0x66f, /* XCHG16rr */
+  0x64c, /* XCHG16rm */
+  0x64d, /* XCHG16rr */
 /* Table724 */
-  0x340, /* MOV16mr */
-  0x347, /* MOV16rr */
+  0x316, /* MOV16mr */
+  0x31d, /* MOV16rr */
 /* Table726 */
-  0x346, /* MOV16rm */
-  0x348, /* MOV16rr_REV */
+  0x31c, /* MOV16rm */
+  0x31e, /* MOV16rr_REV */
 /* Table728 */
-  0x341, /* MOV16ms */
-  0x349, /* MOV16rs */
+  0x317, /* MOV16ms */
+  0x31f, /* MOV16rs */
 /* Table730 */
-  0x2c0, /* LEA16r */
+  0x296, /* LEA16r */
   0x0, /*  */
 /* Table732 */
-  0x34a, /* MOV16sm */
-  0x34b, /* MOV16sr */
+  0x320, /* MOV16sm */
+  0x321, /* MOV16sr */
 /* Table734 */
-  0x41a, /* POP16rmm */
+  0x3f0, /* POP16rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26674,7 +26700,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x41b, /* POP16rmr */
+  0x3f1, /* POP16rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26683,64 +26709,64 @@
   0x0, /*  */
   0x0, /*  */
 /* Table750 */
-  0x66d, /* XCHG16ar */
+  0x64b, /* XCHG16ar */
 /* Table751 */
-  0x146, /* CBW */
+  0x11c, /* CBW */
 /* Table752 */
-  0x1f5, /* CWD */
+  0x1cb, /* CWD */
 /* Table753 */
-  0x21a, /* FARCALL16i */
+  0x1f0, /* FARCALL16i */
 /* Table754 */
-  0x449, /* PUSHF16 */
+  0x41f, /* PUSHF16 */
 /* Table755 */
-  0x428, /* POPF16 */
+  0x3fe, /* POPF16 */
 /* Table756 */
-  0x342, /* MOV16o16a */
+  0x318, /* MOV16o16a */
 /* Table757 */
-  0x33d, /* MOV16ao16 */
+  0x313, /* MOV16ao16 */
 /* Table758 */
-  0x390, /* MOVSW */
+  0x366, /* MOVSW */
 /* Table759 */
-  0x1e3, /* CMPS16 */
+  0x1bc, /* CMPSW */
 /* Table760 */
-  0x60e, /* TEST16i16 */
+  0x5ec, /* TEST16i16 */
 /* Table761 */
-  0x5cf, /* STOSW */
+  0x5ad, /* STOSW */
 /* Table762 */
-  0x31b, /* LODSW */
+  0x2f1, /* LODSW */
 /* Table763 */
-  0x53c, /* SCAS16 */
+  0x515, /* SCASW */
 /* Table764 */
-  0x344, /* MOV16ri */
+  0x31a, /* MOV16ri */
 /* Table765 */
-  0x4b1, /* ROL16mi */
-  0x4c9, /* ROR16mi */
-  0x458, /* RCL16mi */
-  0x470, /* RCR16mi */
-  0x56b, /* SHL16mi */
-  0x593, /* SHR16mi */
-  0x4e7, /* SAL16mi */
-  0x500, /* SAR16mi */
-  0x4b4, /* ROL16ri */
-  0x4cc, /* ROR16ri */
-  0x45b, /* RCL16ri */
-  0x473, /* RCR16ri */
-  0x56e, /* SHL16ri */
-  0x596, /* SHR16ri */
-  0x4ea, /* SAL16ri */
-  0x503, /* SAR16ri */
+  0x487, /* ROL16mi */
+  0x49f, /* ROR16mi */
+  0x42e, /* RCL16mi */
+  0x446, /* RCR16mi */
+  0x549, /* SHL16mi */
+  0x571, /* SHR16mi */
+  0x4bd, /* SAL16mi */
+  0x4d6, /* SAR16mi */
+  0x48a, /* ROL16ri */
+  0x4a2, /* ROR16ri */
+  0x431, /* RCL16ri */
+  0x449, /* RCR16ri */
+  0x54c, /* SHL16ri */
+  0x574, /* SHR16ri */
+  0x4c0, /* SAL16ri */
+  0x4d9, /* SAR16ri */
 /* Table781 */
-  0x4aa, /* RETIW */
+  0x480, /* RETIW */
 /* Table782 */
-  0x4ad, /* RETW */
+  0x483, /* RETW */
 /* Table783 */
-  0x2c6, /* LES16rm */
+  0x29c, /* LES16rm */
   0x0, /*  */
 /* Table785 */
-  0x2be, /* LDS16rm */
+  0x294, /* LDS16rm */
   0x0, /*  */
 /* Table787 */
-  0x33f, /* MOV16mi */
+  0x315, /* MOV16mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26748,7 +26774,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x345, /* MOV16ri_alt */
+  0x31b, /* MOV16ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26757,266 +26783,266 @@
   0x0, /*  */
   0x0, /*  */
 /* Table803 */
-  0x321, /* LRETIW */
+  0x2f7, /* LRETIW */
 /* Table804 */
-  0x324, /* LRETW */
+  0x2fa, /* LRETW */
 /* Table805 */
-  0x270, /* IRET16 */
+  0x246, /* IRET16 */
 /* Table806 */
-  0x4af, /* ROL16m1 */
-  0x4c7, /* ROR16m1 */
-  0x456, /* RCL16m1 */
-  0x46e, /* RCR16m1 */
-  0x569, /* SHL16m1 */
-  0x591, /* SHR16m1 */
-  0x4e5, /* SAL16m1 */
-  0x4fe, /* SAR16m1 */
-  0x4b2, /* ROL16r1 */
-  0x4ca, /* ROR16r1 */
-  0x459, /* RCL16r1 */
-  0x471, /* RCR16r1 */
-  0x56c, /* SHL16r1 */
-  0x594, /* SHR16r1 */
-  0x4e8, /* SAL16r1 */
-  0x501, /* SAR16r1 */
+  0x485, /* ROL16m1 */
+  0x49d, /* ROR16m1 */
+  0x42c, /* RCL16m1 */
+  0x444, /* RCR16m1 */
+  0x547, /* SHL16m1 */
+  0x56f, /* SHR16m1 */
+  0x4bb, /* SAL16m1 */
+  0x4d4, /* SAR16m1 */
+  0x488, /* ROL16r1 */
+  0x4a0, /* ROR16r1 */
+  0x42f, /* RCL16r1 */
+  0x447, /* RCR16r1 */
+  0x54a, /* SHL16r1 */
+  0x572, /* SHR16r1 */
+  0x4be, /* SAL16r1 */
+  0x4d7, /* SAR16r1 */
 /* Table822 */
-  0x4b0, /* ROL16mCL */
-  0x4c8, /* ROR16mCL */
-  0x457, /* RCL16mCL */
-  0x46f, /* RCR16mCL */
-  0x56a, /* SHL16mCL */
-  0x592, /* SHR16mCL */
-  0x4e6, /* SAL16mCL */
-  0x4ff, /* SAR16mCL */
-  0x4b3, /* ROL16rCL */
-  0x4cb, /* ROR16rCL */
-  0x45a, /* RCL16rCL */
-  0x472, /* RCR16rCL */
-  0x56d, /* SHL16rCL */
-  0x595, /* SHR16rCL */
-  0x4e9, /* SAL16rCL */
-  0x502, /* SAR16rCL */
+  0x486, /* ROL16mCL */
+  0x49e, /* ROR16mCL */
+  0x42d, /* RCL16mCL */
+  0x445, /* RCR16mCL */
+  0x548, /* SHL16mCL */
+  0x570, /* SHR16mCL */
+  0x4bc, /* SAL16mCL */
+  0x4d5, /* SAR16mCL */
+  0x489, /* ROL16rCL */
+  0x4a1, /* ROR16rCL */
+  0x430, /* RCL16rCL */
+  0x448, /* RCR16rCL */
+  0x54b, /* SHL16rCL */
+  0x573, /* SHR16rCL */
+  0x4bf, /* SAL16rCL */
+  0x4d8, /* SAR16rCL */
 /* Table838 */
-  0x24c, /* IN16ri */
+  0x221, /* IN16ri */
 /* Table839 */
-  0x408, /* OUT16ir */
+  0x3de, /* OUT16ir */
 /* Table840 */
-  0x144, /* CALLpcrel16 */
+  0x11a, /* CALLpcrel16 */
 /* Table841 */
-  0x299, /* JMP_2 */
+  0x26f, /* JMP_2 */
 /* Table842 */
-  0x21f, /* FARJMP16i */
+  0x1f5, /* FARJMP16i */
 /* Table843 */
-  0x24d, /* IN16rr */
+  0x222, /* IN16rr */
 /* Table844 */
-  0x409, /* OUT16rr */
+  0x3df, /* OUT16rr */
 /* Table845 */
-  0x60f, /* TEST16mi */
-  0x610, /* TEST16mi_alt */
-  0x3dc, /* NOT16m */
-  0x3b5, /* NEG16m */
-  0x3a9, /* MUL16m */
-  0x231, /* IMUL16m */
-  0x208, /* DIV16m */
-  0x229, /* IDIV16m */
-  0x611, /* TEST16ri */
-  0x612, /* TEST16ri_alt */
-  0x3dd, /* NOT16r */
-  0x3b6, /* NEG16r */
-  0x3aa, /* MUL16r */
-  0x232, /* IMUL16r */
-  0x209, /* DIV16r */
-  0x22a, /* IDIV16r */
+  0x5ed, /* TEST16mi */
+  0x5ee, /* TEST16mi_alt */
+  0x3b2, /* NOT16m */
+  0x38b, /* NEG16m */
+  0x37f, /* MUL16m */
+  0x207, /* IMUL16m */
+  0x1de, /* DIV16m */
+  0x1ff, /* IDIV16m */
+  0x5ef, /* TEST16ri */
+  0x5f0, /* TEST16ri_alt */
+  0x3b3, /* NOT16r */
+  0x38c, /* NEG16r */
+  0x380, /* MUL16r */
+  0x208, /* IMUL16r */
+  0x1df, /* DIV16r */
+  0x200, /* IDIV16r */
 /* Table861 */
-  0x254, /* INC16m */
-  0x1fa, /* DEC16m */
-  0x13d, /* CALL16m */
-  0x21b, /* FARCALL16m */
-  0x292, /* JMP16m */
-  0x220, /* FARJMP16m */
-  0x435, /* PUSH16rmm */
+  0x227, /* INC16m */
+  0x1d0, /* DEC16m */
+  0x113, /* CALL16m */
+  0x1f1, /* FARCALL16m */
+  0x268, /* JMP16m */
+  0x1f6, /* FARJMP16m */
+  0x40b, /* PUSH16rmm */
   0x0, /*  */
-  0x256, /* INC32_16r */
-  0x1fc, /* DEC32_16r */
-  0x13e, /* CALL16r */
+  0x229, /* INC32_16r */
+  0x1d2, /* DEC32_16r */
+  0x114, /* CALL16r */
   0x0, /*  */
-  0x293, /* JMP16r */
+  0x269, /* JMP16r */
   0x0, /*  */
-  0x436, /* PUSH16rmr */
+  0x40c, /* PUSH16rmr */
   0x0, /*  */
 /* Table877 */
-  0x280, /* JCXZ */
+  0x256, /* JCXZ */
 /* Table878 */
-  0x5c, /* ADD64mr */
-  0x62, /* ADD64rr */
+  0x5d, /* ADD64mr */
+  0x63, /* ADD64rr */
 /* Table880 */
-  0x61, /* ADD64rm */
-  0x64, /* ADD64rr_REV */
+  0x62, /* ADD64rm */
+  0x65, /* ADD64rr_REV */
 /* Table882 */
-  0x59, /* ADD64i32 */
+  0x5a, /* ADD64i32 */
 /* Table883 */
-  0x3fa, /* OR64mr */
-  0x3fe, /* OR64rr */
+  0x3d0, /* OR64mr */
+  0x3d4, /* OR64rr */
 /* Table885 */
-  0x3fd, /* OR64rm */
-  0x3ff, /* OR64rr_REV */
+  0x3d3, /* OR64rm */
+  0x3d5, /* OR64rr_REV */
 /* Table887 */
-  0x3f7, /* OR64i32 */
+  0x3cd, /* OR64i32 */
 /* Table888 */
-  0x30, /* ADC64mr */
-  0x34, /* ADC64rr */
+  0x31, /* ADC64mr */
+  0x35, /* ADC64rr */
 /* Table890 */
-  0x33, /* ADC64rm */
-  0x35, /* ADC64rr_REV */
+  0x34, /* ADC64rm */
+  0x36, /* ADC64rr_REV */
 /* Table892 */
-  0x2d, /* ADC64i32 */
+  0x2e, /* ADC64i32 */
 /* Table893 */
-  0x52f, /* SBB64mr */
-  0x533, /* SBB64rr */
+  0x505, /* SBB64mr */
+  0x509, /* SBB64rr */
 /* Table895 */
-  0x532, /* SBB64rm */
-  0x534, /* SBB64rr_REV */
+  0x508, /* SBB64rm */
+  0x50a, /* SBB64rr_REV */
 /* Table897 */
-  0x52c, /* SBB64i32 */
+  0x502, /* SBB64i32 */
 /* Table898 */
-  0x8a, /* AND64mr */
-  0x8e, /* AND64rr */
+  0x8b, /* AND64mr */
+  0x8f, /* AND64rr */
 /* Table900 */
-  0x8d, /* AND64rm */
-  0x8f, /* AND64rr_REV */
+  0x8e, /* AND64rm */
+  0x90, /* AND64rr_REV */
 /* Table902 */
-  0x87, /* AND64i32 */
+  0x88, /* AND64i32 */
 /* Table903 */
-  0x5e9, /* SUB64mr */
-  0x5ed, /* SUB64rr */
+  0x5c7, /* SUB64mr */
+  0x5cb, /* SUB64rr */
 /* Table905 */
-  0x5ec, /* SUB64rm */
-  0x5ee, /* SUB64rr_REV */
+  0x5ca, /* SUB64rm */
+  0x5cc, /* SUB64rr_REV */
 /* Table907 */
-  0x5e6, /* SUB64i32 */
+  0x5c4, /* SUB64i32 */
 /* Table908 */
-  0x695, /* XOR64mr */
-  0x699, /* XOR64rr */
+  0x673, /* XOR64mr */
+  0x677, /* XOR64rr */
 /* Table910 */
-  0x698, /* XOR64rm */
-  0x69a, /* XOR64rr_REV */
+  0x676, /* XOR64rm */
+  0x678, /* XOR64rr_REV */
 /* Table912 */
-  0x692, /* XOR64i32 */
+  0x670, /* XOR64i32 */
 /* Table913 */
-  0x1d6, /* CMP64mr */
-  0x1da, /* CMP64rr */
+  0x1ac, /* CMP64mr */
+  0x1b0, /* CMP64rr */
 /* Table915 */
-  0x1d9, /* CMP64rm */
-  0x1db, /* CMP64rr_REV */
+  0x1af, /* CMP64rm */
+  0x1b1, /* CMP64rr_REV */
 /* Table917 */
-  0x1d3, /* CMP64i32 */
+  0x1a9, /* CMP64i32 */
 /* Table918 */
-  0x398, /* MOVSX64rm32 */
-  0x39b, /* MOVSX64rr32 */
+  0x36e, /* MOVSX64rm32 */
+  0x371, /* MOVSX64rr32 */
 /* Table920 */
-  0x244, /* IMUL64rmi32 */
-  0x247, /* IMUL64rri32 */
+  0x21a, /* IMUL64rmi32 */
+  0x21d, /* IMUL64rri32 */
 /* Table922 */
-  0x245, /* IMUL64rmi8 */
-  0x248, /* IMUL64rri8 */
+  0x21b, /* IMUL64rmi8 */
+  0x21e, /* IMUL64rri8 */
 /* Table924 */
-  0x5a, /* ADD64mi32 */
-  0x3f8, /* OR64mi32 */
-  0x2e, /* ADC64mi32 */
-  0x52d, /* SBB64mi32 */
-  0x88, /* AND64mi32 */
-  0x5e7, /* SUB64mi32 */
-  0x693, /* XOR64mi32 */
-  0x1d4, /* CMP64mi32 */
-  0x5d, /* ADD64ri32 */
-  0x3fb, /* OR64ri32 */
-  0x31, /* ADC64ri32 */
-  0x530, /* SBB64ri32 */
-  0x8b, /* AND64ri32 */
-  0x5ea, /* SUB64ri32 */
-  0x696, /* XOR64ri32 */
-  0x1d7, /* CMP64ri32 */
+  0x5b, /* ADD64mi32 */
+  0x3ce, /* OR64mi32 */
+  0x2f, /* ADC64mi32 */
+  0x503, /* SBB64mi32 */
+  0x89, /* AND64mi32 */
+  0x5c5, /* SUB64mi32 */
+  0x671, /* XOR64mi32 */
+  0x1aa, /* CMP64mi32 */
+  0x5e, /* ADD64ri32 */
+  0x3d1, /* OR64ri32 */
+  0x32, /* ADC64ri32 */
+  0x506, /* SBB64ri32 */
+  0x8c, /* AND64ri32 */
+  0x5c8, /* SUB64ri32 */
+  0x674, /* XOR64ri32 */
+  0x1ad, /* CMP64ri32 */
 /* Table940 */
-  0x5b, /* ADD64mi8 */
-  0x3f9, /* OR64mi8 */
-  0x2f, /* ADC64mi8 */
-  0x52e, /* SBB64mi8 */
-  0x89, /* AND64mi8 */
-  0x5e8, /* SUB64mi8 */
-  0x694, /* XOR64mi8 */
-  0x1d5, /* CMP64mi8 */
-  0x5f, /* ADD64ri8 */
-  0x3fc, /* OR64ri8 */
-  0x32, /* ADC64ri8 */
-  0x531, /* SBB64ri8 */
-  0x8c, /* AND64ri8 */
-  0x5eb, /* SUB64ri8 */
-  0x697, /* XOR64ri8 */
-  0x1d8, /* CMP64ri8 */
+  0x5c, /* ADD64mi8 */
+  0x3cf, /* OR64mi8 */
+  0x30, /* ADC64mi8 */
+  0x504, /* SBB64mi8 */
+  0x8a, /* AND64mi8 */
+  0x5c6, /* SUB64mi8 */
+  0x672, /* XOR64mi8 */
+  0x1ab, /* CMP64mi8 */
+  0x60, /* ADD64ri8 */
+  0x3d2, /* OR64ri8 */
+  0x33, /* ADC64ri8 */
+  0x507, /* SBB64ri8 */
+  0x8d, /* AND64ri8 */
+  0x5c9, /* SUB64ri8 */
+  0x675, /* XOR64ri8 */
+  0x1ae, /* CMP64ri8 */
 /* Table956 */
-  0x621, /* TEST64rm */
-  0x622, /* TEST64rr */
+  0x5ff, /* TEST64rm */
+  0x600, /* TEST64rr */
 /* Table958 */
-  0x675, /* XCHG64rm */
-  0x676, /* XCHG64rr */
+  0x653, /* XCHG64rm */
+  0x654, /* XCHG64rr */
 /* Table960 */
-  0x368, /* MOV64mr */
-  0x373, /* MOV64rr */
+  0x33e, /* MOV64mr */
+  0x349, /* MOV64rr */
 /* Table962 */
-  0x372, /* MOV64rm */
-  0x374, /* MOV64rr_REV */
+  0x348, /* MOV64rm */
+  0x34a, /* MOV64rr_REV */
 /* Table964 */
-  0x369, /* MOV64ms */
-  0x375, /* MOV64rs */
+  0x33f, /* MOV64ms */
+  0x34b, /* MOV64rs */
 /* Table966 */
-  0x2c3, /* LEA64r */
+  0x299, /* LEA64r */
   0x0, /*  */
 /* Table968 */
-  0x376, /* MOV64sm */
-  0x377, /* MOV64sr */
+  0x34c, /* MOV64sm */
+  0x34d, /* MOV64sr */
 /* Table970 */
-  0x674, /* XCHG64ar */
+  0x652, /* XCHG64ar */
 /* Table971 */
-  0x148, /* CDQE */
+  0x11e, /* CDQE */
 /* Table972 */
-  0x1f3, /* CQO */
+  0x1c9, /* CQO */
 /* Table973 */
-  0x36c, /* MOV64o64a */
+  0x342, /* MOV64o64a */
 /* Table974 */
-  0x363, /* MOV64ao64 */
+  0x339, /* MOV64ao64 */
 /* Table975 */
-  0x38f, /* MOVSQ */
+  0x365, /* MOVSQ */
 /* Table976 */
-  0x1e5, /* CMPS64 */
+  0x1bb, /* CMPSQ */
 /* Table977 */
-  0x61c, /* TEST64i32 */
+  0x5fa, /* TEST64i32 */
 /* Table978 */
-  0x5ce, /* STOSQ */
+  0x5ac, /* STOSQ */
 /* Table979 */
-  0x31a, /* LODSQ */
+  0x2f0, /* LODSQ */
 /* Table980 */
-  0x53e, /* SCAS64 */
+  0x514, /* SCASQ */
 /* Table981 */
-  0x370, /* MOV64ri */
+  0x346, /* MOV64ri */
 /* Table982 */
-  0x4bd, /* ROL64mi */
-  0x4d5, /* ROR64mi */
-  0x464, /* RCL64mi */
-  0x47c, /* RCR64mi */
-  0x577, /* SHL64mi */
-  0x59f, /* SHR64mi */
-  0x4f3, /* SAL64mi */
-  0x50c, /* SAR64mi */
-  0x4c0, /* ROL64ri */
-  0x4d8, /* ROR64ri */
-  0x467, /* RCL64ri */
-  0x47f, /* RCR64ri */
-  0x57a, /* SHL64ri */
-  0x5a2, /* SHR64ri */
-  0x4f6, /* SAL64ri */
-  0x50f, /* SAR64ri */
+  0x493, /* ROL64mi */
+  0x4ab, /* ROR64mi */
+  0x43a, /* RCL64mi */
+  0x452, /* RCR64mi */
+  0x555, /* SHL64mi */
+  0x57d, /* SHR64mi */
+  0x4c9, /* SAL64mi */
+  0x4e2, /* SAR64mi */
+  0x496, /* ROL64ri */
+  0x4ae, /* ROR64ri */
+  0x43d, /* RCL64ri */
+  0x455, /* RCR64ri */
+  0x558, /* SHL64ri */
+  0x580, /* SHR64ri */
+  0x4cc, /* SAL64ri */
+  0x4e5, /* SAR64ri */
 /* Table998 */
-  0x367, /* MOV64mi32 */
+  0x33d, /* MOV64mi32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27024,7 +27050,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x371, /* MOV64ri32 */
+  0x347, /* MOV64ri32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27033,187 +27059,187 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1014 */
-  0x320, /* LRETIQ */
+  0x2f6, /* LRETIQ */
 /* Table1015 */
-  0x323, /* LRETQ */
+  0x2f9, /* LRETQ */
 /* Table1016 */
-  0x272, /* IRET64 */
+  0x248, /* IRET64 */
 /* Table1017 */
-  0x4bb, /* ROL64m1 */
-  0x4d3, /* ROR64m1 */
-  0x462, /* RCL64m1 */
-  0x47a, /* RCR64m1 */
-  0x575, /* SHL64m1 */
-  0x59d, /* SHR64m1 */
-  0x4f1, /* SAL64m1 */
-  0x50a, /* SAR64m1 */
-  0x4be, /* ROL64r1 */
-  0x4d6, /* ROR64r1 */
-  0x465, /* RCL64r1 */
-  0x47d, /* RCR64r1 */
-  0x578, /* SHL64r1 */
-  0x5a0, /* SHR64r1 */
-  0x4f4, /* SAL64r1 */
-  0x50d, /* SAR64r1 */
+  0x491, /* ROL64m1 */
+  0x4a9, /* ROR64m1 */
+  0x438, /* RCL64m1 */
+  0x450, /* RCR64m1 */
+  0x553, /* SHL64m1 */
+  0x57b, /* SHR64m1 */
+  0x4c7, /* SAL64m1 */
+  0x4e0, /* SAR64m1 */
+  0x494, /* ROL64r1 */
+  0x4ac, /* ROR64r1 */
+  0x43b, /* RCL64r1 */
+  0x453, /* RCR64r1 */
+  0x556, /* SHL64r1 */
+  0x57e, /* SHR64r1 */
+  0x4ca, /* SAL64r1 */
+  0x4e3, /* SAR64r1 */
 /* Table1033 */
-  0x4bc, /* ROL64mCL */
-  0x4d4, /* ROR64mCL */
-  0x463, /* RCL64mCL */
-  0x47b, /* RCR64mCL */
-  0x576, /* SHL64mCL */
-  0x59e, /* SHR64mCL */
-  0x4f2, /* SAL64mCL */
-  0x50b, /* SAR64mCL */
-  0x4bf, /* ROL64rCL */
-  0x4d7, /* ROR64rCL */
-  0x466, /* RCL64rCL */
-  0x47e, /* RCR64rCL */
-  0x579, /* SHL64rCL */
-  0x5a1, /* SHR64rCL */
-  0x4f5, /* SAL64rCL */
-  0x50e, /* SAR64rCL */
+  0x492, /* ROL64mCL */
+  0x4aa, /* ROR64mCL */
+  0x439, /* RCL64mCL */
+  0x451, /* RCR64mCL */
+  0x554, /* SHL64mCL */
+  0x57c, /* SHR64mCL */
+  0x4c8, /* SAL64mCL */
+  0x4e1, /* SAR64mCL */
+  0x495, /* ROL64rCL */
+  0x4ad, /* ROR64rCL */
+  0x43c, /* RCL64rCL */
+  0x454, /* RCR64rCL */
+  0x557, /* SHL64rCL */
+  0x57f, /* SHR64rCL */
+  0x4cb, /* SAL64rCL */
+  0x4e4, /* SAR64rCL */
 /* Table1049 */
-  0x61d, /* TEST64mi32 */
-  0x61e, /* TEST64mi32_alt */
-  0x3e0, /* NOT64m */
-  0x3b9, /* NEG64m */
-  0x3ad, /* MUL64m */
-  0x241, /* IMUL64m */
-  0x20c, /* DIV64m */
-  0x22d, /* IDIV64m */
-  0x61f, /* TEST64ri32 */
-  0x620, /* TEST64ri32_alt */
-  0x3e1, /* NOT64r */
-  0x3ba, /* NEG64r */
-  0x3ae, /* MUL64r */
-  0x242, /* IMUL64r */
-  0x20d, /* DIV64r */
-  0x22e, /* IDIV64r */
+  0x5fb, /* TEST64mi32 */
+  0x5fc, /* TEST64mi32_alt */
+  0x3b6, /* NOT64m */
+  0x38f, /* NEG64m */
+  0x383, /* MUL64m */
+  0x217, /* IMUL64m */
+  0x1e2, /* DIV64m */
+  0x203, /* IDIV64m */
+  0x5fd, /* TEST64ri32 */
+  0x5fe, /* TEST64ri32_alt */
+  0x3b7, /* NOT64r */
+  0x390, /* NEG64r */
+  0x384, /* MUL64r */
+  0x218, /* IMUL64r */
+  0x1e3, /* DIV64r */
+  0x204, /* IDIV64r */
 /* Table1065 */
-  0x25e, /* INC64m */
-  0x204, /* DEC64m */
-  0x141, /* CALL64m */
-  0x21e, /* FARCALL64 */
-  0x296, /* JMP64m */
-  0x223, /* FARJMP64 */
-  0x43f, /* PUSH64rmm */
+  0x231, /* INC64m */
+  0x1da, /* DEC64m */
+  0x117, /* CALL64m */
+  0x1f4, /* FARCALL64 */
+  0x26c, /* JMP64m */
+  0x1f9, /* FARJMP64 */
+  0x415, /* PUSH64rmm */
   0x0, /*  */
-  0x25f, /* INC64r */
-  0x205, /* DEC64r */
-  0x143, /* CALL64r */
+  0x232, /* INC64r */
+  0x1db, /* DEC64r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x26d, /* JMP64r */
   0x0, /*  */
-  0x440, /* PUSH64rmr */
+  0x416, /* PUSH64rmr */
   0x0, /*  */
 /* Table1081 */
-  0x43b, /* PUSH64i16 */
+  0x411, /* PUSH64i16 */
 /* Table1082 */
-  0x36a, /* MOV64o16a */
+  0x340, /* MOV64o16a */
 /* Table1083 */
-  0x361, /* MOV64ao16 */
+  0x337, /* MOV64ao16 */
 /* Table1084 */
-  0x25a, /* INC64_16m */
-  0x200, /* DEC64_16m */
-  0x141, /* CALL64m */
-  0x21b, /* FARCALL16m */
-  0x296, /* JMP64m */
-  0x220, /* FARJMP16m */
-  0x435, /* PUSH16rmm */
+  0x22d, /* INC64_16m */
+  0x1d6, /* DEC64_16m */
+  0x117, /* CALL64m */
+  0x1f1, /* FARCALL16m */
+  0x26c, /* JMP64m */
+  0x1f6, /* FARJMP16m */
+  0x40b, /* PUSH16rmm */
   0x0, /*  */
-  0x25b, /* INC64_16r */
-  0x201, /* DEC64_16r */
-  0x143, /* CALL64r */
+  0x22e, /* INC64_16r */
+  0x1d7, /* DEC64_16r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x26d, /* JMP64r */
   0x0, /*  */
-  0x436, /* PUSH16rmr */
+  0x40c, /* PUSH16rmr */
   0x0, /*  */
 /* Table1100 */
-  0x282, /* JECXZ_64 */
+  0x258, /* JECXZ_64 */
 /* Table1101 */
-  0x25e, /* INC64m */
-  0x204, /* DEC64m */
-  0x141, /* CALL64m */
-  0x21e, /* FARCALL64 */
-  0x296, /* JMP64m */
-  0x223, /* FARJMP64 */
-  0x435, /* PUSH16rmm */
+  0x231, /* INC64m */
+  0x1da, /* DEC64m */
+  0x117, /* CALL64m */
+  0x1f4, /* FARCALL64 */
+  0x26c, /* JMP64m */
+  0x1f9, /* FARJMP64 */
+  0x40b, /* PUSH16rmm */
   0x0, /*  */
-  0x25f, /* INC64r */
-  0x205, /* DEC64r */
-  0x143, /* CALL64r */
+  0x232, /* INC64r */
+  0x1db, /* DEC64r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x26d, /* JMP64r */
   0x0, /*  */
-  0x436, /* PUSH16rmr */
+  0x40c, /* PUSH16rmr */
   0x0, /*  */
 /* Table1117 */
-  0x5bd, /* SLDT16m */
-  0x5d3, /* STRm */
-  0x2d4, /* LLDT16m */
-  0x32e, /* LTRm */
-  0x63f, /* VERRm */
-  0x641, /* VERWm */
+  0x59b, /* SLDT16m */
+  0x5b1, /* STRm */
+  0x2aa, /* LLDT16m */
+  0x304, /* LTRm */
+  0x61d, /* VERRm */
+  0x61f, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x5bf, /* SLDT32r */
-  0x5d1, /* STR32r */
-  0x2d5, /* LLDT16r */
-  0x32f, /* LTRr */
-  0x640, /* VERRr */
-  0x642, /* VERWr */
+  0x59d, /* SLDT32r */
+  0x5af, /* STR32r */
+  0x2ab, /* LLDT16r */
+  0x305, /* LTRr */
+  0x61e, /* VERRr */
+  0x620, /* VERWr */
   0x0, /*  */
   0x0, /*  */
 /* Table1133 */
-  0x567, /* SGDT32m */
-  0x5ba, /* SIDT32m */
-  0x2cc, /* LGDT32m */
-  0x2d2, /* LIDT32m */
-  0x5c2, /* SMSW16m */
+  0x545, /* SGDT32m */
+  0x598, /* SIDT32m */
+  0x2a2, /* LGDT32m */
+  0x2a8, /* LIDT32m */
+  0x5a0, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2ac, /* LMSW16m */
+  0x23f, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x621, /* VMCALL */
+  0x624, /* VMLAUNCH */
+  0x62e, /* VMRESUME */
+  0x637, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x5a5, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x65c, /* XGETBV */
+  0x687, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x623, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x651, /* VMRUN32 */
-  0x649, /* VMMCALL */
-  0x647, /* VMLOAD32 */
-  0x653, /* VMSAVE32 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26a, /* INVLPGA32 */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
+  0x62f, /* VMRUN32 */
+  0x627, /* VMMCALL */
+  0x625, /* VMLOAD32 */
+  0x631, /* VMSAVE32 */
+  0x5a8, /* STGI */
+  0x122, /* CLGI */
+  0x59a, /* SKINIT */
+  0x240, /* INVLPGA32 */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27222,16 +27248,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x5d5, /* SWAPGS */
+  0x469, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27239,236 +27265,236 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1205 */
-  0x2b4, /* LAR32rm */
-  0x2b5, /* LAR32rr */
+  0x28a, /* LAR32rm */
+  0x28b, /* LAR32rr */
 /* Table1207 */
-  0x327, /* LSL32rm */
-  0x328, /* LSL32rr */
+  0x2fd, /* LSL32rm */
+  0x2fe, /* LSL32rr */
 /* Table1209 */
-  0x5f8, /* SYSCALL */
+  0x5d6, /* SYSCALL */
 /* Table1210 */
-  0x14e, /* CLTS */
+  0x124, /* CLTS */
 /* Table1211 */
-  0x5fc, /* SYSRET */
+  0x5da, /* SYSRET */
 /* Table1212 */
-  0x266, /* INVD */
+  0x23c, /* INVD */
 /* Table1213 */
-  0x65c, /* WBINVD */
+  0x63a, /* WBINVD */
 /* Table1214 */
-  0x631, /* TRAP */
+  0x60f, /* TRAP */
 /* Table1215 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3c6, /* NOOP18_m4 */
-  0x3c7, /* NOOP18_m5 */
-  0x3c8, /* NOOP18_m6 */
-  0x3c9, /* NOOP18_m7 */
+  0x39c, /* NOOP18_m4 */
+  0x39d, /* NOOP18_m5 */
+  0x39e, /* NOOP18_m6 */
+  0x39f, /* NOOP18_m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3ca, /* NOOP18_r4 */
-  0x3cb, /* NOOP18_r5 */
-  0x3cc, /* NOOP18_r6 */
-  0x3cd, /* NOOP18_r7 */
+  0x3a0, /* NOOP18_r4 */
+  0x3a1, /* NOOP18_r5 */
+  0x3a2, /* NOOP18_r6 */
+  0x3a3, /* NOOP18_r7 */
 /* Table1231 */
-  0x3cf, /* NOOPL_19 */
+  0x3a5, /* NOOPL_19 */
   0x0, /*  */
 /* Table1233 */
-  0x3d0, /* NOOPL_1a */
+  0x3a6, /* NOOPL_1a */
   0x0, /*  */
 /* Table1235 */
-  0x3d1, /* NOOPL_1b */
+  0x3a7, /* NOOPL_1b */
   0x0, /*  */
 /* Table1237 */
-  0x3d2, /* NOOPL_1c */
+  0x3a8, /* NOOPL_1c */
   0x0, /*  */
 /* Table1239 */
-  0x3d3, /* NOOPL_1d */
+  0x3a9, /* NOOPL_1d */
   0x0, /*  */
 /* Table1241 */
-  0x3d4, /* NOOPL_1e */
+  0x3aa, /* NOOPL_1e */
   0x0, /*  */
 /* Table1243 */
-  0x3ce, /* NOOPL */
+  0x3a4, /* NOOPL */
   0x0, /*  */
 /* Table1245 */
   0x0, /*  */
-  0x356, /* MOV32rc */
+  0x32c, /* MOV32rc */
 /* Table1247 */
   0x0, /*  */
-  0x357, /* MOV32rd */
+  0x32d, /* MOV32rd */
 /* Table1249 */
   0x0, /*  */
-  0x34e, /* MOV32cr */
+  0x324, /* MOV32cr */
 /* Table1251 */
   0x0, /*  */
-  0x34f, /* MOV32dr */
+  0x325, /* MOV32dr */
 /* Table1253 */
-  0x664, /* WRMSR */
+  0x642, /* WRMSR */
 /* Table1254 */
-  0x492, /* RDTSC */
+  0x468, /* RDTSC */
 /* Table1255 */
-  0x48a, /* RDMSR */
+  0x460, /* RDMSR */
 /* Table1256 */
-  0x48b, /* RDPMC */
+  0x461, /* RDPMC */
 /* Table1257 */
-  0x5f9, /* SYSENTER */
+  0x5d7, /* SYSENTER */
 /* Table1258 */
-  0x5fa, /* SYSEXIT */
+  0x5d8, /* SYSEXIT */
 /* Table1259 */
-  0x226, /* GETSEC */
+  0x1fc, /* GETSEC */
 /* Table1260 */
-  0x1a0, /* CMOVO32rm */
-  0x1a1, /* CMOVO32rr */
+  0x176, /* CMOVO32rm */
+  0x177, /* CMOVO32rr */
 /* Table1262 */
-  0x18e, /* CMOVNO32rm */
-  0x18f, /* CMOVNO32rr */
+  0x164, /* CMOVNO32rm */
+  0x165, /* CMOVNO32rr */
 /* Table1264 */
-  0x15e, /* CMOVB32rm */
-  0x15f, /* CMOVB32rr */
+  0x134, /* CMOVB32rm */
+  0x135, /* CMOVB32rr */
 /* Table1266 */
-  0x158, /* CMOVAE32rm */
-  0x159, /* CMOVAE32rr */
+  0x12e, /* CMOVAE32rm */
+  0x12f, /* CMOVAE32rr */
 /* Table1268 */
-  0x16a, /* CMOVE32rm */
-  0x16b, /* CMOVE32rr */
+  0x140, /* CMOVE32rm */
+  0x141, /* CMOVE32rr */
 /* Table1270 */
-  0x188, /* CMOVNE32rm */
-  0x189, /* CMOVNE32rr */
+  0x15e, /* CMOVNE32rm */
+  0x15f, /* CMOVNE32rr */
 /* Table1272 */
-  0x164, /* CMOVBE32rm */
-  0x165, /* CMOVBE32rr */
+  0x13a, /* CMOVBE32rm */
+  0x13b, /* CMOVBE32rr */
 /* Table1274 */
-  0x152, /* CMOVA32rm */
-  0x153, /* CMOVA32rr */
+  0x128, /* CMOVA32rm */
+  0x129, /* CMOVA32rr */
 /* Table1276 */
-  0x1ac, /* CMOVS32rm */
-  0x1ad, /* CMOVS32rr */
+  0x182, /* CMOVS32rm */
+  0x183, /* CMOVS32rr */
 /* Table1278 */
-  0x19a, /* CMOVNS32rm */
-  0x19b, /* CMOVNS32rr */
+  0x170, /* CMOVNS32rm */
+  0x171, /* CMOVNS32rr */
 /* Table1280 */
-  0x1a6, /* CMOVP32rm */
-  0x1a7, /* CMOVP32rr */
+  0x17c, /* CMOVP32rm */
+  0x17d, /* CMOVP32rr */
 /* Table1282 */
-  0x194, /* CMOVNP32rm */
-  0x195, /* CMOVNP32rr */
+  0x16a, /* CMOVNP32rm */
+  0x16b, /* CMOVNP32rr */
 /* Table1284 */
-  0x17c, /* CMOVL32rm */
-  0x17d, /* CMOVL32rr */
+  0x152, /* CMOVL32rm */
+  0x153, /* CMOVL32rr */
 /* Table1286 */
-  0x176, /* CMOVGE32rm */
-  0x177, /* CMOVGE32rr */
+  0x14c, /* CMOVGE32rm */
+  0x14d, /* CMOVGE32rr */
 /* Table1288 */
-  0x182, /* CMOVLE32rm */
-  0x183, /* CMOVLE32rr */
+  0x158, /* CMOVLE32rm */
+  0x159, /* CMOVLE32rr */
 /* Table1290 */
-  0x170, /* CMOVG32rm */
-  0x171, /* CMOVG32rr */
+  0x146, /* CMOVG32rm */
+  0x147, /* CMOVG32rr */
 /* Table1292 */
-  0x64c, /* VMREAD32rm */
-  0x64d, /* VMREAD32rr */
+  0x62a, /* VMREAD32rm */
+  0x62b, /* VMREAD32rr */
 /* Table1294 */
-  0x655, /* VMWRITE32rm */
-  0x656, /* VMWRITE32rr */
+  0x633, /* VMWRITE32rm */
+  0x634, /* VMWRITE32rr */
 /* Table1296 */
-  0x2a9, /* JO_4 */
+  0x27f, /* JO_4 */
 /* Table1297 */
-  0x2a0, /* JNO_4 */
+  0x276, /* JNO_4 */
 /* Table1298 */
-  0x27f, /* JB_4 */
+  0x255, /* JB_4 */
 /* Table1299 */
-  0x276, /* JAE_4 */
+  0x24c, /* JAE_4 */
 /* Table1300 */
-  0x285, /* JE_4 */
+  0x25b, /* JE_4 */
 /* Table1301 */
-  0x29d, /* JNE_4 */
+  0x273, /* JNE_4 */
 /* Table1302 */
-  0x27c, /* JBE_4 */
+  0x252, /* JBE_4 */
 /* Table1303 */
-  0x279, /* JA_4 */
+  0x24f, /* JA_4 */
 /* Table1304 */
-  0x2b0, /* JS_4 */
+  0x286, /* JS_4 */
 /* Table1305 */
-  0x2a6, /* JNS_4 */
+  0x27c, /* JNS_4 */
 /* Table1306 */
-  0x2ac, /* JP_4 */
+  0x282, /* JP_4 */
 /* Table1307 */
-  0x2a3, /* JNP_4 */
+  0x279, /* JNP_4 */
 /* Table1308 */
-  0x291, /* JL_4 */
+  0x267, /* JL_4 */
 /* Table1309 */
-  0x288, /* JGE_4 */
+  0x25e, /* JGE_4 */
 /* Table1310 */
-  0x28e, /* JLE_4 */
+  0x264, /* JLE_4 */
 /* Table1311 */
-  0x28b, /* JG_4 */
+  0x261, /* JG_4 */
 /* Table1312 */
-  0x560, /* SETOm */
-  0x561, /* SETOr */
+  0x53e, /* SETOm */
+  0x53f, /* SETOr */
 /* Table1314 */
-  0x55a, /* SETNOm */
-  0x55b, /* SETNOr */
+  0x538, /* SETNOm */
+  0x539, /* SETNOr */
 /* Table1316 */
-  0x54c, /* SETBm */
-  0x54d, /* SETBr */
+  0x52a, /* SETBm */
+  0x52b, /* SETBr */
 /* Table1318 */
-  0x542, /* SETAEm */
-  0x543, /* SETAEr */
+  0x520, /* SETAEm */
+  0x521, /* SETAEr */
 /* Table1320 */
-  0x54e, /* SETEm */
-  0x54f, /* SETEr */
+  0x52c, /* SETEm */
+  0x52d, /* SETEr */
 /* Table1322 */
-  0x558, /* SETNEm */
-  0x559, /* SETNEr */
+  0x536, /* SETNEm */
+  0x537, /* SETNEr */
 /* Table1324 */
-  0x546, /* SETBEm */
-  0x547, /* SETBEr */
+  0x524, /* SETBEm */
+  0x525, /* SETBEr */
 /* Table1326 */
-  0x544, /* SETAm */
-  0x545, /* SETAr */
+  0x522, /* SETAm */
+  0x523, /* SETAr */
 /* Table1328 */
-  0x564, /* SETSm */
-  0x565, /* SETSr */
+  0x542, /* SETSm */
+  0x543, /* SETSr */
 /* Table1330 */
-  0x55e, /* SETNSm */
-  0x55f, /* SETNSr */
+  0x53c, /* SETNSm */
+  0x53d, /* SETNSr */
 /* Table1332 */
-  0x562, /* SETPm */
-  0x563, /* SETPr */
+  0x540, /* SETPm */
+  0x541, /* SETPr */
 /* Table1334 */
-  0x55c, /* SETNPm */
-  0x55d, /* SETNPr */
+  0x53a, /* SETNPm */
+  0x53b, /* SETNPr */
 /* Table1336 */
-  0x556, /* SETLm */
-  0x557, /* SETLr */
+  0x534, /* SETLm */
+  0x535, /* SETLr */
 /* Table1338 */
-  0x550, /* SETGEm */
-  0x551, /* SETGEr */
+  0x52e, /* SETGEm */
+  0x52f, /* SETGEr */
 /* Table1340 */
-  0x554, /* SETLEm */
-  0x555, /* SETLEr */
+  0x532, /* SETLEm */
+  0x533, /* SETLEr */
 /* Table1342 */
-  0x552, /* SETGm */
-  0x553, /* SETGr */
+  0x530, /* SETGm */
+  0x531, /* SETGr */
 /* Table1344 */
-  0x44d, /* PUSHFS32 */
+  0x423, /* PUSHFS32 */
 /* Table1345 */
-  0x42c, /* POPFS32 */
+  0x402, /* POPFS32 */
 /* Table1346 */
-  0x1f1, /* CPUID32 */
+  0x1c7, /* CPUID32 */
 /* Table1347 */
-  0x10e, /* BT32mr */
-  0x110, /* BT32rr */
+  0xe4, /* BT32mr */
+  0xe6, /* BT32rr */
 /* Table1349 */
-  0x586, /* SHLD32mri8 */
-  0x588, /* SHLD32rri8 */
+  0x564, /* SHLD32mri8 */
+  0x566, /* SHLD32rri8 */
 /* Table1351 */
-  0x585, /* SHLD32mrCL */
-  0x587, /* SHLD32rrCL */
+  0x563, /* SHLD32mrCL */
+  0x565, /* SHLD32rrCL */
 /* Table1353 */
   0x0, /*  */
   0x0, /*  */
@@ -27478,7 +27504,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x33a, /* MONTMUL */
+  0x310, /* MONTMUL */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27486,7 +27512,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6aa, /* XSHA1 */
+  0x688, /* XSHA1 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27494,7 +27520,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6ab, /* XSHA256 */
+  0x689, /* XSHA256 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27551,7 +27577,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6ac, /* XSTORE */
+  0x68a, /* XSTORE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27559,7 +27585,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67c, /* XCRYPTECB */
+  0x65a, /* XCRYPTECB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27567,7 +27593,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x679, /* XCRYPTCBC */
+  0x657, /* XCRYPTCBC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27575,7 +27601,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67b, /* XCRYPTCTR */
+  0x659, /* XCRYPTCTR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27583,7 +27609,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67a, /* XCRYPTCFB */
+  0x658, /* XCRYPTCFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27591,7 +27617,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67d, /* XCRYPTOFB */
+  0x65b, /* XCRYPTOFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27616,28 +27642,28 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1497 */
-  0x450, /* PUSHGS32 */
+  0x426, /* PUSHGS32 */
 /* Table1498 */
-  0x42f, /* POPGS32 */
+  0x405, /* POPGS32 */
 /* Table1499 */
-  0x4e3, /* RSM */
+  0x4b9, /* RSM */
 /* Table1500 */
-  0x132, /* BTS32mr */
-  0x134, /* BTS32rr */
+  0x108, /* BTS32mr */
+  0x10a, /* BTS32rr */
 /* Table1502 */
-  0x5ae, /* SHRD32mri8 */
-  0x5b0, /* SHRD32rri8 */
+  0x58c, /* SHRD32mri8 */
+  0x58e, /* SHRD32rri8 */
 /* Table1504 */
-  0x5ad, /* SHRD32mrCL */
-  0x5af, /* SHRD32rrCL */
+  0x58b, /* SHRD32mrCL */
+  0x58d, /* SHRD32rrCL */
 /* Table1506 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6a5, /* XSAVE */
-  0x6a3, /* XRSTOR */
-  0x6a7, /* XSAVEOPT */
+  0x683, /* XSAVE */
+  0x681, /* XRSTOR */
+  0x685, /* XSAVEOPT */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27648,140 +27674,140 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1522 */
-  0x23b, /* IMUL32rm */
-  0x23e, /* IMUL32rr */
+  0x211, /* IMUL32rm */
+  0x214, /* IMUL32rr */
 /* Table1524 */
-  0x1ef, /* CMPXCHG8rm */
-  0x1f0, /* CMPXCHG8rr */
+  0x1c5, /* CMPXCHG8rm */
+  0x1c6, /* CMPXCHG8rr */
 /* Table1526 */
-  0x1ea, /* CMPXCHG32rm */
-  0x1eb, /* CMPXCHG32rr */
+  0x1c0, /* CMPXCHG32rm */
+  0x1c1, /* CMPXCHG32rr */
 /* Table1528 */
-  0x32c, /* LSS32rm */
+  0x302, /* LSS32rm */
   0x0, /*  */
 /* Table1530 */
-  0x126, /* BTR32mr */
-  0x128, /* BTR32rr */
+  0xfc, /* BTR32mr */
+  0xfe, /* BTR32rr */
 /* Table1532 */
-  0x2c9, /* LFS32rm */
+  0x29f, /* LFS32rm */
   0x0, /*  */
 /* Table1534 */
-  0x2cf, /* LGS32rm */
+  0x2a5, /* LGS32rm */
   0x0, /*  */
 /* Table1536 */
-  0x3a2, /* MOVZX32rm8 */
-  0x3a4, /* MOVZX32rr8 */
+  0x378, /* MOVZX32rm8 */
+  0x37a, /* MOVZX32rr8 */
 /* Table1538 */
-  0x3a1, /* MOVZX32rm16 */
-  0x3a3, /* MOVZX32rr16 */
+  0x377, /* MOVZX32rm16 */
+  0x379, /* MOVZX32rr16 */
 /* Table1540 */
-  0x63c, /* UD2B */
+  0x61a, /* UD2B */
 /* Table1541 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x10d, /* BT32mi8 */
-  0x131, /* BTS32mi8 */
-  0x125, /* BTR32mi8 */
-  0x119, /* BTC32mi8 */
+  0xe3, /* BT32mi8 */
+  0x107, /* BTS32mi8 */
+  0xfb, /* BTR32mi8 */
+  0xef, /* BTC32mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x10f, /* BT32ri8 */
-  0x133, /* BTS32ri8 */
-  0x127, /* BTR32ri8 */
-  0x11b, /* BTC32ri8 */
+  0xe5, /* BT32ri8 */
+  0x109, /* BTS32ri8 */
+  0xfd, /* BTR32ri8 */
+  0xf1, /* BTC32ri8 */
 /* Table1557 */
-  0x11a, /* BTC32mr */
-  0x11c, /* BTC32rr */
+  0xf0, /* BTC32mr */
+  0xf2, /* BTC32rr */
 /* Table1559 */
-  0xfd, /* BSF32rm */
-  0xfe, /* BSF32rr */
+  0xd3, /* BSF32rm */
+  0xd4, /* BSF32rr */
 /* Table1561 */
-  0x103, /* BSR32rm */
-  0x104, /* BSR32rr */
+  0xd9, /* BSR32rm */
+  0xda, /* BSR32rr */
 /* Table1563 */
-  0x394, /* MOVSX32rm8 */
-  0x396, /* MOVSX32rr8 */
+  0x36a, /* MOVSX32rm8 */
+  0x36c, /* MOVSX32rr8 */
 /* Table1565 */
-  0x393, /* MOVSX32rm16 */
-  0x395, /* MOVSX32rr16 */
+  0x369, /* MOVSX32rm16 */
+  0x36b, /* MOVSX32rr16 */
 /* Table1567 */
-  0x66b, /* XADD8rm */
-  0x66c, /* XADD8rr */
+  0x649, /* XADD8rm */
+  0x64a, /* XADD8rr */
 /* Table1569 */
-  0x667, /* XADD32rm */
-  0x668, /* XADD32rr */
+  0x645, /* XADD32rm */
+  0x646, /* XADD32rr */
 /* Table1571 */
   0x0, /*  */
-  0x1ee, /* CMPXCHG8B */
+  0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x64a, /* VMPTRLDm */
-  0x64b, /* VMPTRSTm */
+  0x628, /* VMPTRLDm */
+  0x629, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48d, /* RDRAND32r */
-  0x490, /* RDSEED32r */
+  0x463, /* RDRAND32r */
+  0x466, /* RDSEED32r */
 /* Table1587 */
-  0x107, /* BSWAP32r */
+  0xdd, /* BSWAP32r */
 /* Table1588 */
-  0x568, /* SGDT64m */
-  0x5bb, /* SIDT64m */
-  0x2cd, /* LGDT64m */
-  0x2d3, /* LIDT64m */
-  0x5c2, /* SMSW16m */
+  0x546, /* SGDT64m */
+  0x599, /* SIDT64m */
+  0x2a3, /* LGDT64m */
+  0x2a9, /* LIDT64m */
+  0x5a0, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2ac, /* LMSW16m */
+  0x23f, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x621, /* VMCALL */
+  0x624, /* VMLAUNCH */
+  0x62e, /* VMRESUME */
+  0x637, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x5a5, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x65c, /* XGETBV */
+  0x687, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x623, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x652, /* VMRUN64 */
-  0x649, /* VMMCALL */
-  0x648, /* VMLOAD64 */
-  0x654, /* VMSAVE64 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26b, /* INVLPGA64 */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
+  0x630, /* VMRUN64 */
+  0x627, /* VMMCALL */
+  0x626, /* VMLOAD64 */
+  0x632, /* VMSAVE64 */
+  0x5a8, /* STGI */
+  0x122, /* CLGI */
+  0x59a, /* SKINIT */
+  0x241, /* INVLPGA64 */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
+  0x5a2, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27790,16 +27816,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x5d5, /* SWAPGS */
+  0x469, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27808,98 +27834,98 @@
   0x0, /*  */
 /* Table1660 */
   0x0, /*  */
-  0x36e, /* MOV64rc */
+  0x344, /* MOV64rc */
 /* Table1662 */
   0x0, /*  */
-  0x36f, /* MOV64rd */
+  0x345, /* MOV64rd */
 /* Table1664 */
   0x0, /*  */
-  0x365, /* MOV64cr */
+  0x33b, /* MOV64cr */
 /* Table1666 */
   0x0, /*  */
-  0x366, /* MOV64dr */
+  0x33c, /* MOV64dr */
 /* Table1668 */
-  0x64e, /* VMREAD64rm */
-  0x64f, /* VMREAD64rr */
+  0x62c, /* VMREAD64rm */
+  0x62d, /* VMREAD64rr */
 /* Table1670 */
-  0x657, /* VMWRITE64rm */
-  0x658, /* VMWRITE64rr */
+  0x635, /* VMWRITE64rm */
+  0x636, /* VMWRITE64rr */
 /* Table1672 */
-  0x44e, /* PUSHFS64 */
+  0x424, /* PUSHFS64 */
 /* Table1673 */
-  0x42d, /* POPFS64 */
+  0x403, /* POPFS64 */
 /* Table1674 */
-  0x1f2, /* CPUID64 */
+  0x1c8, /* CPUID64 */
 /* Table1675 */
-  0x451, /* PUSHGS64 */
+  0x427, /* PUSHGS64 */
 /* Table1676 */
-  0x430, /* POPGS64 */
+  0x406, /* POPGS64 */
 /* Table1677 */
-  0x5bd, /* SLDT16m */
-  0x5d3, /* STRm */
-  0x2d4, /* LLDT16m */
-  0x32e, /* LTRm */
-  0x63f, /* VERRm */
-  0x641, /* VERWm */
+  0x59b, /* SLDT16m */
+  0x5b1, /* STRm */
+  0x2aa, /* LLDT16m */
+  0x304, /* LTRm */
+  0x61d, /* VERRm */
+  0x61f, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x5be, /* SLDT16r */
-  0x5d0, /* STR16r */
-  0x2d5, /* LLDT16r */
-  0x32f, /* LTRr */
-  0x640, /* VERRr */
-  0x642, /* VERWr */
+  0x59c, /* SLDT16r */
+  0x5ae, /* STR16r */
+  0x2ab, /* LLDT16r */
+  0x305, /* LTRr */
+  0x61e, /* VERRr */
+  0x620, /* VERWr */
   0x0, /*  */
   0x0, /*  */
 /* Table1693 */
-  0x566, /* SGDT16m */
-  0x5b9, /* SIDT16m */
-  0x2cb, /* LGDT16m */
-  0x2d1, /* LIDT16m */
-  0x5c2, /* SMSW16m */
+  0x544, /* SGDT16m */
+  0x597, /* SIDT16m */
+  0x2a1, /* LGDT16m */
+  0x2a7, /* LIDT16m */
+  0x5a0, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2ac, /* LMSW16m */
+  0x23f, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x621, /* VMCALL */
+  0x624, /* VMLAUNCH */
+  0x62e, /* VMRESUME */
+  0x637, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x5a5, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x65c, /* XGETBV */
+  0x687, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x623, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x651, /* VMRUN32 */
-  0x649, /* VMMCALL */
-  0x647, /* VMLOAD32 */
-  0x653, /* VMSAVE32 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26a, /* INVLPGA32 */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
+  0x62f, /* VMRUN32 */
+  0x627, /* VMMCALL */
+  0x625, /* VMLOAD32 */
+  0x631, /* VMSAVE32 */
+  0x5a8, /* STGI */
+  0x122, /* CLGI */
+  0x59a, /* SKINIT */
+  0x240, /* INVLPGA32 */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27908,16 +27934,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x5d5, /* SWAPGS */
+  0x469, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27925,320 +27951,320 @@
   0x0, /*  */
   0x0, /*  */
 /* Table1765 */
-  0x2b2, /* LAR16rm */
-  0x2b3, /* LAR16rr */
+  0x288, /* LAR16rm */
+  0x289, /* LAR16rr */
 /* Table1767 */
-  0x325, /* LSL16rm */
-  0x326, /* LSL16rr */
+  0x2fb, /* LSL16rm */
+  0x2fc, /* LSL16rr */
 /* Table1769 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3be, /* NOOP18_16m4 */
-  0x3bf, /* NOOP18_16m5 */
-  0x3c0, /* NOOP18_16m6 */
-  0x3c1, /* NOOP18_16m7 */
+  0x394, /* NOOP18_16m4 */
+  0x395, /* NOOP18_16m5 */
+  0x396, /* NOOP18_16m6 */
+  0x397, /* NOOP18_16m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3c2, /* NOOP18_16r4 */
-  0x3c3, /* NOOP18_16r5 */
-  0x3c4, /* NOOP18_16r6 */
-  0x3c5, /* NOOP18_16r7 */
+  0x398, /* NOOP18_16r4 */
+  0x399, /* NOOP18_16r5 */
+  0x39a, /* NOOP18_16r6 */
+  0x39b, /* NOOP18_16r7 */
 /* Table1785 */
-  0x3d6, /* NOOPW_19 */
+  0x3ac, /* NOOPW_19 */
   0x0, /*  */
 /* Table1787 */
-  0x3d7, /* NOOPW_1a */
+  0x3ad, /* NOOPW_1a */
   0x0, /*  */
 /* Table1789 */
-  0x3d8, /* NOOPW_1b */
+  0x3ae, /* NOOPW_1b */
   0x0, /*  */
 /* Table1791 */
-  0x3d9, /* NOOPW_1c */
+  0x3af, /* NOOPW_1c */
   0x0, /*  */
 /* Table1793 */
-  0x3da, /* NOOPW_1d */
+  0x3b0, /* NOOPW_1d */
   0x0, /*  */
 /* Table1795 */
-  0x3db, /* NOOPW_1e */
+  0x3b1, /* NOOPW_1e */
   0x0, /*  */
 /* Table1797 */
-  0x3d5, /* NOOPW */
+  0x3ab, /* NOOPW */
   0x0, /*  */
 /* Table1799 */
-  0x19e, /* CMOVO16rm */
-  0x19f, /* CMOVO16rr */
+  0x174, /* CMOVO16rm */
+  0x175, /* CMOVO16rr */
 /* Table1801 */
-  0x18c, /* CMOVNO16rm */
-  0x18d, /* CMOVNO16rr */
+  0x162, /* CMOVNO16rm */
+  0x163, /* CMOVNO16rr */
 /* Table1803 */
-  0x15c, /* CMOVB16rm */
-  0x15d, /* CMOVB16rr */
+  0x132, /* CMOVB16rm */
+  0x133, /* CMOVB16rr */
 /* Table1805 */
-  0x156, /* CMOVAE16rm */
-  0x157, /* CMOVAE16rr */
+  0x12c, /* CMOVAE16rm */
+  0x12d, /* CMOVAE16rr */
 /* Table1807 */
-  0x168, /* CMOVE16rm */
-  0x169, /* CMOVE16rr */
+  0x13e, /* CMOVE16rm */
+  0x13f, /* CMOVE16rr */
 /* Table1809 */
-  0x186, /* CMOVNE16rm */
-  0x187, /* CMOVNE16rr */
+  0x15c, /* CMOVNE16rm */
+  0x15d, /* CMOVNE16rr */
 /* Table1811 */
-  0x162, /* CMOVBE16rm */
-  0x163, /* CMOVBE16rr */
+  0x138, /* CMOVBE16rm */
+  0x139, /* CMOVBE16rr */
 /* Table1813 */
-  0x150, /* CMOVA16rm */
-  0x151, /* CMOVA16rr */
+  0x126, /* CMOVA16rm */
+  0x127, /* CMOVA16rr */
 /* Table1815 */
-  0x1aa, /* CMOVS16rm */
-  0x1ab, /* CMOVS16rr */
+  0x180, /* CMOVS16rm */
+  0x181, /* CMOVS16rr */
 /* Table1817 */
-  0x198, /* CMOVNS16rm */
-  0x199, /* CMOVNS16rr */
+  0x16e, /* CMOVNS16rm */
+  0x16f, /* CMOVNS16rr */
 /* Table1819 */
-  0x1a4, /* CMOVP16rm */
-  0x1a5, /* CMOVP16rr */
+  0x17a, /* CMOVP16rm */
+  0x17b, /* CMOVP16rr */
 /* Table1821 */
-  0x192, /* CMOVNP16rm */
-  0x193, /* CMOVNP16rr */
+  0x168, /* CMOVNP16rm */
+  0x169, /* CMOVNP16rr */
 /* Table1823 */
-  0x17a, /* CMOVL16rm */
-  0x17b, /* CMOVL16rr */
+  0x150, /* CMOVL16rm */
+  0x151, /* CMOVL16rr */
 /* Table1825 */
-  0x174, /* CMOVGE16rm */
-  0x175, /* CMOVGE16rr */
+  0x14a, /* CMOVGE16rm */
+  0x14b, /* CMOVGE16rr */
 /* Table1827 */
-  0x180, /* CMOVLE16rm */
-  0x181, /* CMOVLE16rr */
+  0x156, /* CMOVLE16rm */
+  0x157, /* CMOVLE16rr */
 /* Table1829 */
-  0x16e, /* CMOVG16rm */
-  0x16f, /* CMOVG16rr */
+  0x144, /* CMOVG16rm */
+  0x145, /* CMOVG16rr */
 /* Table1831 */
-  0x2a8, /* JO_2 */
+  0x27e, /* JO_2 */
 /* Table1832 */
-  0x29f, /* JNO_2 */
+  0x275, /* JNO_2 */
 /* Table1833 */
-  0x27e, /* JB_2 */
+  0x254, /* JB_2 */
 /* Table1834 */
-  0x275, /* JAE_2 */
+  0x24b, /* JAE_2 */
 /* Table1835 */
-  0x284, /* JE_2 */
+  0x25a, /* JE_2 */
 /* Table1836 */
-  0x29c, /* JNE_2 */
+  0x272, /* JNE_2 */
 /* Table1837 */
-  0x27b, /* JBE_2 */
+  0x251, /* JBE_2 */
 /* Table1838 */
-  0x278, /* JA_2 */
+  0x24e, /* JA_2 */
 /* Table1839 */
-  0x2af, /* JS_2 */
+  0x285, /* JS_2 */
 /* Table1840 */
-  0x2a5, /* JNS_2 */
+  0x27b, /* JNS_2 */
 /* Table1841 */
-  0x2ab, /* JP_2 */
+  0x281, /* JP_2 */
 /* Table1842 */
-  0x2a2, /* JNP_2 */
+  0x278, /* JNP_2 */
 /* Table1843 */
-  0x290, /* JL_2 */
+  0x266, /* JL_2 */
 /* Table1844 */
-  0x287, /* JGE_2 */
+  0x25d, /* JGE_2 */
 /* Table1845 */
-  0x28d, /* JLE_2 */
+  0x263, /* JLE_2 */
 /* Table1846 */
-  0x28a, /* JG_2 */
+  0x260, /* JG_2 */
 /* Table1847 */
-  0x44c, /* PUSHFS16 */
+  0x422, /* PUSHFS16 */
 /* Table1848 */
-  0x42b, /* POPFS16 */
+  0x401, /* POPFS16 */
 /* Table1849 */
-  0x10a, /* BT16mr */
-  0x10c, /* BT16rr */
+  0xe0, /* BT16mr */
+  0xe2, /* BT16rr */
 /* Table1851 */
-  0x582, /* SHLD16mri8 */
-  0x584, /* SHLD16rri8 */
+  0x560, /* SHLD16mri8 */
+  0x562, /* SHLD16rri8 */
 /* Table1853 */
-  0x581, /* SHLD16mrCL */
-  0x583, /* SHLD16rrCL */
+  0x55f, /* SHLD16mrCL */
+  0x561, /* SHLD16rrCL */
 /* Table1855 */
-  0x44f, /* PUSHGS16 */
+  0x425, /* PUSHGS16 */
 /* Table1856 */
-  0x42e, /* POPGS16 */
+  0x404, /* POPGS16 */
 /* Table1857 */
-  0x12e, /* BTS16mr */
-  0x130, /* BTS16rr */
+  0x104, /* BTS16mr */
+  0x106, /* BTS16rr */
 /* Table1859 */
-  0x5aa, /* SHRD16mri8 */
-  0x5ac, /* SHRD16rri8 */
+  0x588, /* SHRD16mri8 */
+  0x58a, /* SHRD16rri8 */
 /* Table1861 */
-  0x5a9, /* SHRD16mrCL */
-  0x5ab, /* SHRD16rrCL */
+  0x587, /* SHRD16mrCL */
+  0x589, /* SHRD16rrCL */
 /* Table1863 */
-  0x233, /* IMUL16rm */
-  0x236, /* IMUL16rr */
+  0x209, /* IMUL16rm */
+  0x20c, /* IMUL16rr */
 /* Table1865 */
-  0x1e8, /* CMPXCHG16rm */
-  0x1e9, /* CMPXCHG16rr */
+  0x1be, /* CMPXCHG16rm */
+  0x1bf, /* CMPXCHG16rr */
 /* Table1867 */
-  0x32b, /* LSS16rm */
+  0x301, /* LSS16rm */
   0x0, /*  */
 /* Table1869 */
-  0x122, /* BTR16mr */
-  0x124, /* BTR16rr */
+  0xf8, /* BTR16mr */
+  0xfa, /* BTR16rr */
 /* Table1871 */
-  0x2c8, /* LFS16rm */
+  0x29e, /* LFS16rm */
   0x0, /*  */
 /* Table1873 */
-  0x2ce, /* LGS16rm */
+  0x2a4, /* LGS16rm */
   0x0, /*  */
 /* Table1875 */
-  0x39d, /* MOVZX16rm8 */
-  0x39e, /* MOVZX16rr8 */
+  0x373, /* MOVZX16rm8 */
+  0x374, /* MOVZX16rr8 */
 /* Table1877 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x109, /* BT16mi8 */
-  0x12d, /* BTS16mi8 */
-  0x121, /* BTR16mi8 */
-  0x115, /* BTC16mi8 */
+  0xdf, /* BT16mi8 */
+  0x103, /* BTS16mi8 */
+  0xf7, /* BTR16mi8 */
+  0xeb, /* BTC16mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x10b, /* BT16ri8 */
-  0x12f, /* BTS16ri8 */
-  0x123, /* BTR16ri8 */
-  0x117, /* BTC16ri8 */
+  0xe1, /* BT16ri8 */
+  0x105, /* BTS16ri8 */
+  0xf9, /* BTR16ri8 */
+  0xed, /* BTC16ri8 */
 /* Table1893 */
-  0x116, /* BTC16mr */
-  0x118, /* BTC16rr */
+  0xec, /* BTC16mr */
+  0xee, /* BTC16rr */
 /* Table1895 */
-  0xfb, /* BSF16rm */
-  0xfc, /* BSF16rr */
+  0xd1, /* BSF16rm */
+  0xd2, /* BSF16rr */
 /* Table1897 */
-  0x101, /* BSR16rm */
-  0x102, /* BSR16rr */
+  0xd7, /* BSR16rm */
+  0xd8, /* BSR16rr */
 /* Table1899 */
-  0x391, /* MOVSX16rm8 */
-  0x392, /* MOVSX16rr8 */
+  0x367, /* MOVSX16rm8 */
+  0x368, /* MOVSX16rr8 */
 /* Table1901 */
-  0x665, /* XADD16rm */
-  0x666, /* XADD16rr */
+  0x643, /* XADD16rm */
+  0x644, /* XADD16rr */
 /* Table1903 */
   0x0, /*  */
-  0x1ee, /* CMPXCHG8B */
+  0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x644, /* VMCLEARm */
-  0x64b, /* VMPTRSTm */
+  0x622, /* VMCLEARm */
+  0x629, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48c, /* RDRAND16r */
-  0x48f, /* RDSEED16r */
+  0x462, /* RDRAND16r */
+  0x465, /* RDSEED16r */
 /* Table1919 */
-  0x634, /* TZCNT32rm */
-  0x635, /* TZCNT32rr */
+  0x612, /* TZCNT32rm */
+  0x613, /* TZCNT32rr */
 /* Table1921 */
-  0x336, /* LZCNT32rm */
-  0x337, /* LZCNT32rr */
+  0x30c, /* LZCNT32rm */
+  0x30d, /* LZCNT32rr */
 /* Table1923 */
   0x0, /*  */
-  0x1ee, /* CMPXCHG8B */
+  0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65a, /* VMXON */
-  0x64b, /* VMPTRSTm */
+  0x638, /* VMXON */
+  0x629, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48d, /* RDRAND32r */
-  0x490, /* RDSEED32r */
+  0x463, /* RDRAND32r */
+  0x466, /* RDSEED32r */
 /* Table1939 */
-  0x632, /* TZCNT16rm */
-  0x633, /* TZCNT16rr */
+  0x610, /* TZCNT16rm */
+  0x611, /* TZCNT16rr */
 /* Table1941 */
-  0x334, /* LZCNT16rm */
-  0x335, /* LZCNT16rr */
+  0x30a, /* LZCNT16rm */
+  0x30b, /* LZCNT16rr */
 /* Table1943 */
-  0x5c0, /* SLDT64m */
-  0x5d3, /* STRm */
-  0x2d4, /* LLDT16m */
-  0x32e, /* LTRm */
-  0x63f, /* VERRm */
-  0x641, /* VERWm */
+  0x59e, /* SLDT64m */
+  0x5b1, /* STRm */
+  0x2aa, /* LLDT16m */
+  0x304, /* LTRm */
+  0x61d, /* VERRm */
+  0x61f, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x5c1, /* SLDT64r */
-  0x5d2, /* STR64r */
-  0x2d5, /* LLDT16r */
-  0x32f, /* LTRr */
-  0x640, /* VERRr */
-  0x642, /* VERWr */
+  0x59f, /* SLDT64r */
+  0x5b0, /* STR64r */
+  0x2ab, /* LLDT16r */
+  0x305, /* LTRr */
+  0x61e, /* VERRr */
+  0x620, /* VERWr */
   0x0, /*  */
   0x0, /*  */
 /* Table1959 */
-  0x568, /* SGDT64m */
-  0x5bb, /* SIDT64m */
-  0x2cd, /* LGDT64m */
-  0x2d3, /* LIDT64m */
-  0x5c2, /* SMSW16m */
+  0x546, /* SGDT64m */
+  0x599, /* SIDT64m */
+  0x2a3, /* LGDT64m */
+  0x2a9, /* LIDT64m */
+  0x5a0, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2ac, /* LMSW16m */
+  0x23f, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x621, /* VMCALL */
+  0x624, /* VMLAUNCH */
+  0x62e, /* VMRESUME */
+  0x637, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x5a5, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x65c, /* XGETBV */
+  0x687, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x623, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x652, /* VMRUN64 */
-  0x649, /* VMMCALL */
-  0x648, /* VMLOAD64 */
-  0x654, /* VMSAVE64 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26b, /* INVLPGA64 */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
+  0x630, /* VMRUN64 */
+  0x627, /* VMMCALL */
+  0x626, /* VMLOAD64 */
+  0x632, /* VMSAVE64 */
+  0x5a8, /* STGI */
+  0x122, /* CLGI */
+  0x59a, /* SKINIT */
+  0x241, /* INVLPGA64 */
+  0x5a3, /* SMSW64r */
+  0x5a3, /* SMSW64r */
+  0x5a3, /* SMSW64r */
+  0x5a3, /* SMSW64r */
+  0x5a3, /* SMSW64r */
+  0x5a3, /* SMSW64r */
+  0x5a3, /* SMSW64r */
+  0x5a3, /* SMSW64r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28247,16 +28273,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x5d5, /* SWAPGS */
+  0x469, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28264,89 +28290,89 @@
   0x0, /*  */
   0x0, /*  */
 /* Table2031 */
-  0x2b6, /* LAR64rm */
-  0x2b7, /* LAR64rr */
+  0x28c, /* LAR64rm */
+  0x28d, /* LAR64rr */
 /* Table2033 */
-  0x329, /* LSL64rm */
-  0x32a, /* LSL64rr */
+  0x2ff, /* LSL64rm */
+  0x300, /* LSL64rr */
 /* Table2035 */
-  0x5fd, /* SYSRET64 */
+  0x5db, /* SYSRET64 */
 /* Table2036 */
-  0x5fb, /* SYSEXIT64 */
+  0x5d9, /* SYSEXIT64 */
 /* Table2037 */
-  0x1a2, /* CMOVO64rm */
-  0x1a3, /* CMOVO64rr */
+  0x178, /* CMOVO64rm */
+  0x179, /* CMOVO64rr */
 /* Table2039 */
-  0x190, /* CMOVNO64rm */
-  0x191, /* CMOVNO64rr */
+  0x166, /* CMOVNO64rm */
+  0x167, /* CMOVNO64rr */
 /* Table2041 */
-  0x160, /* CMOVB64rm */
-  0x161, /* CMOVB64rr */
+  0x136, /* CMOVB64rm */
+  0x137, /* CMOVB64rr */
 /* Table2043 */
-  0x15a, /* CMOVAE64rm */
-  0x15b, /* CMOVAE64rr */
+  0x130, /* CMOVAE64rm */
+  0x131, /* CMOVAE64rr */
 /* Table2045 */
-  0x16c, /* CMOVE64rm */
-  0x16d, /* CMOVE64rr */
+  0x142, /* CMOVE64rm */
+  0x143, /* CMOVE64rr */
 /* Table2047 */
-  0x18a, /* CMOVNE64rm */
-  0x18b, /* CMOVNE64rr */
+  0x160, /* CMOVNE64rm */
+  0x161, /* CMOVNE64rr */
 /* Table2049 */
-  0x166, /* CMOVBE64rm */
-  0x167, /* CMOVBE64rr */
+  0x13c, /* CMOVBE64rm */
+  0x13d, /* CMOVBE64rr */
 /* Table2051 */
-  0x154, /* CMOVA64rm */
-  0x155, /* CMOVA64rr */
+  0x12a, /* CMOVA64rm */
+  0x12b, /* CMOVA64rr */
 /* Table2053 */
-  0x1ae, /* CMOVS64rm */
-  0x1af, /* CMOVS64rr */
+  0x184, /* CMOVS64rm */
+  0x185, /* CMOVS64rr */
 /* Table2055 */
-  0x19c, /* CMOVNS64rm */
-  0x19d, /* CMOVNS64rr */
+  0x172, /* CMOVNS64rm */
+  0x173, /* CMOVNS64rr */
 /* Table2057 */
-  0x1a8, /* CMOVP64rm */
-  0x1a9, /* CMOVP64rr */
+  0x17e, /* CMOVP64rm */
+  0x17f, /* CMOVP64rr */
 /* Table2059 */
-  0x196, /* CMOVNP64rm */
-  0x197, /* CMOVNP64rr */
+  0x16c, /* CMOVNP64rm */
+  0x16d, /* CMOVNP64rr */
 /* Table2061 */
-  0x17e, /* CMOVL64rm */
-  0x17f, /* CMOVL64rr */
+  0x154, /* CMOVL64rm */
+  0x155, /* CMOVL64rr */
 /* Table2063 */
-  0x178, /* CMOVGE64rm */
-  0x179, /* CMOVGE64rr */
+  0x14e, /* CMOVGE64rm */
+  0x14f, /* CMOVGE64rr */
 /* Table2065 */
-  0x184, /* CMOVLE64rm */
-  0x185, /* CMOVLE64rr */
+  0x15a, /* CMOVLE64rm */
+  0x15b, /* CMOVLE64rr */
 /* Table2067 */
-  0x172, /* CMOVG64rm */
-  0x173, /* CMOVG64rr */
+  0x148, /* CMOVG64rm */
+  0x149, /* CMOVG64rr */
 /* Table2069 */
-  0x112, /* BT64mr */
-  0x114, /* BT64rr */
+  0xe8, /* BT64mr */
+  0xea, /* BT64rr */
 /* Table2071 */
-  0x58a, /* SHLD64mri8 */
-  0x58c, /* SHLD64rri8 */
+  0x568, /* SHLD64mri8 */
+  0x56a, /* SHLD64rri8 */
 /* Table2073 */
-  0x589, /* SHLD64mrCL */
-  0x58b, /* SHLD64rrCL */
+  0x567, /* SHLD64mrCL */
+  0x569, /* SHLD64rrCL */
 /* Table2075 */
-  0x136, /* BTS64mr */
-  0x138, /* BTS64rr */
+  0x10c, /* BTS64mr */
+  0x10e, /* BTS64rr */
 /* Table2077 */
-  0x5b2, /* SHRD64mri8 */
-  0x5b4, /* SHRD64rri8 */
+  0x590, /* SHRD64mri8 */
+  0x592, /* SHRD64rri8 */
 /* Table2079 */
-  0x5b1, /* SHRD64mrCL */
-  0x5b3, /* SHRD64rrCL */
+  0x58f, /* SHRD64mrCL */
+  0x591, /* SHRD64rrCL */
 /* Table2081 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6a6, /* XSAVE64 */
-  0x6a4, /* XRSTOR64 */
-  0x6a8, /* XSAVEOPT64 */
+  0x684, /* XSAVE64 */
+  0x682, /* XRSTOR64 */
+  0x686, /* XSAVEOPT64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28357,132 +28383,132 @@
   0x0, /*  */
   0x0, /*  */
 /* Table2097 */
-  0x243, /* IMUL64rm */
-  0x246, /* IMUL64rr */
+  0x219, /* IMUL64rm */
+  0x21c, /* IMUL64rr */
 /* Table2099 */
-  0x1ec, /* CMPXCHG64rm */
-  0x1ed, /* CMPXCHG64rr */
+  0x1c2, /* CMPXCHG64rm */
+  0x1c3, /* CMPXCHG64rr */
 /* Table2101 */
-  0x32d, /* LSS64rm */
+  0x303, /* LSS64rm */
   0x0, /*  */
 /* Table2103 */
-  0x12a, /* BTR64mr */
-  0x12c, /* BTR64rr */
+  0x100, /* BTR64mr */
+  0x102, /* BTR64rr */
 /* Table2105 */
-  0x2ca, /* LFS64rm */
+  0x2a0, /* LFS64rm */
   0x0, /*  */
 /* Table2107 */
-  0x2d0, /* LGS64rm */
+  0x2a6, /* LGS64rm */
   0x0, /*  */
 /* Table2109 */
-  0x3a6, /* MOVZX64rm8_Q */
-  0x3a8, /* MOVZX64rr8_Q */
+  0x37c, /* MOVZX64rm8_Q */
+  0x37e, /* MOVZX64rr8_Q */
 /* Table2111 */
-  0x3a5, /* MOVZX64rm16_Q */
-  0x3a7, /* MOVZX64rr16_Q */
+  0x37b, /* MOVZX64rm16_Q */
+  0x37d, /* MOVZX64rr16_Q */
 /* Table2113 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x111, /* BT64mi8 */
-  0x135, /* BTS64mi8 */
-  0x129, /* BTR64mi8 */
-  0x11d, /* BTC64mi8 */
+  0xe7, /* BT64mi8 */
+  0x10b, /* BTS64mi8 */
+  0xff, /* BTR64mi8 */
+  0xf3, /* BTC64mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x113, /* BT64ri8 */
-  0x137, /* BTS64ri8 */
-  0x12b, /* BTR64ri8 */
-  0x11f, /* BTC64ri8 */
+  0xe9, /* BT64ri8 */
+  0x10d, /* BTS64ri8 */
+  0x101, /* BTR64ri8 */
+  0xf5, /* BTC64ri8 */
 /* Table2129 */
-  0x11e, /* BTC64mr */
-  0x120, /* BTC64rr */
+  0xf4, /* BTC64mr */
+  0xf6, /* BTC64rr */
 /* Table2131 */
-  0xff, /* BSF64rm */
-  0x100, /* BSF64rr */
+  0xd5, /* BSF64rm */
+  0xd6, /* BSF64rr */
 /* Table2133 */
-  0x105, /* BSR64rm */
-  0x106, /* BSR64rr */
+  0xdb, /* BSR64rm */
+  0xdc, /* BSR64rr */
 /* Table2135 */
-  0x399, /* MOVSX64rm8 */
-  0x39c, /* MOVSX64rr8 */
+  0x36f, /* MOVSX64rm8 */
+  0x372, /* MOVSX64rr8 */
 /* Table2137 */
-  0x397, /* MOVSX64rm16 */
-  0x39a, /* MOVSX64rr16 */
+  0x36d, /* MOVSX64rm16 */
+  0x370, /* MOVSX64rr16 */
 /* Table2139 */
-  0x669, /* XADD64rm */
-  0x66a, /* XADD64rr */
+  0x647, /* XADD64rm */
+  0x648, /* XADD64rr */
 /* Table2141 */
   0x0, /*  */
-  0x1e7, /* CMPXCHG16B */
+  0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x64a, /* VMPTRLDm */
-  0x64b, /* VMPTRSTm */
+  0x628, /* VMPTRLDm */
+  0x629, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48e, /* RDRAND64r */
-  0x491, /* RDSEED64r */
+  0x464, /* RDRAND64r */
+  0x467, /* RDSEED64r */
 /* Table2157 */
-  0x108, /* BSWAP64r */
+  0xde, /* BSWAP64r */
 /* Table2158 */
-  0x568, /* SGDT64m */
-  0x5bb, /* SIDT64m */
-  0x2cd, /* LGDT64m */
-  0x2d3, /* LIDT64m */
-  0x5c2, /* SMSW16m */
+  0x546, /* SGDT64m */
+  0x599, /* SIDT64m */
+  0x2a3, /* LGDT64m */
+  0x2a9, /* LIDT64m */
+  0x5a0, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2ac, /* LMSW16m */
+  0x23f, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x621, /* VMCALL */
+  0x624, /* VMLAUNCH */
+  0x62e, /* VMRESUME */
+  0x637, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x5a5, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x65c, /* XGETBV */
+  0x687, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x623, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x652, /* VMRUN64 */
-  0x649, /* VMMCALL */
-  0x648, /* VMLOAD64 */
-  0x654, /* VMSAVE64 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26b, /* INVLPGA64 */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
+  0x630, /* VMRUN64 */
+  0x627, /* VMMCALL */
+  0x626, /* VMLOAD64 */
+  0x632, /* VMSAVE64 */
+  0x5a8, /* STGI */
+  0x122, /* CLGI */
+  0x59a, /* SKINIT */
+  0x241, /* INVLPGA64 */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
+  0x5a1, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28491,16 +28517,16 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x2ad, /* LMSW16r */
+  0x5d5, /* SWAPGS */
+  0x469, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28512,14 +28538,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6a5, /* XSAVE */
-  0x6a3, /* XRSTOR */
-  0x6a7, /* XSAVEOPT */
+  0x683, /* XSAVE */
+  0x681, /* XRSTOR */
+  0x685, /* XSAVEOPT */
   0x0, /*  */
-  0x486, /* RDFSBASE */
-  0x488, /* RDGSBASE */
-  0x660, /* WRFSBASE */
-  0x662, /* WRGSBASE */
+  0x45c, /* RDFSBASE */
+  0x45e, /* RDGSBASE */
+  0x63e, /* WRFSBASE */
+  0x640, /* WRGSBASE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28529,274 +28555,274 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6a6, /* XSAVE64 */
-  0x6a4, /* XRSTOR64 */
-  0x6a8, /* XSAVEOPT64 */
+  0x684, /* XSAVE64 */
+  0x682, /* XRSTOR64 */
+  0x686, /* XSAVEOPT64 */
   0x0, /*  */
-  0x487, /* RDFSBASE64 */
-  0x489, /* RDGSBASE64 */
-  0x661, /* WRFSBASE64 */
-  0x663, /* WRGSBASE64 */
+  0x45d, /* RDFSBASE64 */
+  0x45f, /* RDGSBASE64 */
+  0x63f, /* WRFSBASE64 */
+  0x641, /* WRGSBASE64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
 /* Table2262 */
-  0x636, /* TZCNT64rm */
-  0x637, /* TZCNT64rr */
+  0x614, /* TZCNT64rm */
+  0x615, /* TZCNT64rr */
 /* Table2264 */
-  0x338, /* LZCNT64rm */
-  0x339, /* LZCNT64rr */
+  0x30e, /* LZCNT64rm */
+  0x30f, /* LZCNT64rr */
 /* Table2266 */
   0x0, /*  */
-  0x1e7, /* CMPXCHG16B */
+  0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65a, /* VMXON */
-  0x64b, /* VMPTRSTm */
+  0x638, /* VMXON */
+  0x629, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48e, /* RDRAND64r */
-  0x491, /* RDSEED64r */
+  0x464, /* RDRAND64r */
+  0x467, /* RDSEED64r */
 /* Table2282 */
   0x0, /*  */
-  0x1e7, /* CMPXCHG16B */
+  0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x644, /* VMCLEARm */
-  0x64b, /* VMPTRSTm */
+  0x622, /* VMCLEARm */
+  0x629, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48e, /* RDRAND64r */
-  0x491, /* RDSEED64r */
+  0x464, /* RDRAND64r */
+  0x467, /* RDSEED64r */
 /* Table2298 */
-  0x389, /* MOVBE32rm */
+  0x35f, /* MOVBE32rm */
   0x0, /*  */
 /* Table2300 */
-  0x388, /* MOVBE32mr */
+  0x35e, /* MOVBE32mr */
   0x0, /*  */
 /* Table2302 */
-  0x267, /* INVEPT32 */
+  0x23d, /* INVEPT32 */
   0x0, /*  */
 /* Table2304 */
-  0x26e, /* INVVPID32 */
+  0x244, /* INVVPID32 */
   0x0, /*  */
 /* Table2306 */
-  0x26c, /* INVPCID32 */
+  0x242, /* INVPCID32 */
   0x0, /*  */
 /* Table2308 */
-  0x387, /* MOVBE16rm */
+  0x35d, /* MOVBE16rm */
   0x0, /*  */
 /* Table2310 */
-  0x386, /* MOVBE16mr */
+  0x35c, /* MOVBE16mr */
   0x0, /*  */
 /* Table2312 */
-  0x3d, /* ADCX32rm */
-  0x3e, /* ADCX32rr */
+  0x3e, /* ADCX32rm */
+  0x3f, /* ADCX32rr */
 /* Table2314 */
-  0x71, /* ADOX32rm */
-  0x72, /* ADOX32rr */
+  0x72, /* ADOX32rm */
+  0x73, /* ADOX32rr */
 /* Table2316 */
-  0x38b, /* MOVBE64rm */
+  0x361, /* MOVBE64rm */
   0x0, /*  */
 /* Table2318 */
-  0x38a, /* MOVBE64mr */
+  0x360, /* MOVBE64mr */
   0x0, /*  */
 /* Table2320 */
-  0x268, /* INVEPT64 */
+  0x23e, /* INVEPT64 */
   0x0, /*  */
 /* Table2322 */
-  0x26f, /* INVVPID64 */
+  0x245, /* INVVPID64 */
   0x0, /*  */
 /* Table2324 */
-  0x26d, /* INVPCID64 */
+  0x243, /* INVPCID64 */
   0x0, /*  */
 /* Table2326 */
-  0x73, /* ADOX64rm */
-  0x74, /* ADOX64rr */
+  0x74, /* ADOX64rm */
+  0x75, /* ADOX64rr */
 /* Table2328 */
-  0x3f, /* ADCX64rm */
-  0x40, /* ADCX64rr */
+  0x40, /* ADCX64rm */
+  0x41, /* ADCX64rr */
 /* Table2330 */
-  0x98, /* ANDN32rm */
-  0x99, /* ANDN32rr */
+  0x99, /* ANDN32rm */
+  0x9a, /* ANDN32rr */
 /* Table2332 */
   0x0, /*  */
-  0xf5, /* BLSR32rm */
-  0xf1, /* BLSMSK32rm */
-  0xe9, /* BLSI32rm */
+  0xcb, /* BLSR32rm */
+  0xc7, /* BLSMSK32rm */
+  0xbf, /* BLSI32rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf6, /* BLSR32rr */
-  0xf2, /* BLSMSK32rr */
-  0xea, /* BLSI32rr */
+  0xcc, /* BLSR32rr */
+  0xc8, /* BLSMSK32rr */
+  0xc0, /* BLSI32rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
 /* Table2348 */
-  0x139, /* BZHI32rm */
-  0x13a, /* BZHI32rr */
+  0x10f, /* BZHI32rm */
+  0x110, /* BZHI32rr */
 /* Table2350 */
-  0xc9, /* BEXTR32rm */
-  0xca, /* BEXTR32rr */
+  0x9f, /* BEXTR32rm */
+  0xa0, /* BEXTR32rr */
 /* Table2352 */
-  0x415, /* PEXT32rm */
-  0x416, /* PEXT32rr */
+  0x3eb, /* PEXT32rm */
+  0x3ec, /* PEXT32rr */
 /* Table2354 */
-  0x516, /* SARX32rm */
-  0x517, /* SARX32rr */
+  0x4ec, /* SARX32rm */
+  0x4ed, /* SARX32rr */
 /* Table2356 */
-  0x411, /* PDEP32rm */
-  0x412, /* PDEP32rr */
+  0x3e7, /* PDEP32rm */
+  0x3e8, /* PDEP32rr */
 /* Table2358 */
-  0x3b1, /* MULX32rm */
-  0x3b2, /* MULX32rr */
+  0x387, /* MULX32rm */
+  0x388, /* MULX32rr */
 /* Table2360 */
-  0x5b5, /* SHRX32rm */
-  0x5b6, /* SHRX32rr */
+  0x593, /* SHRX32rm */
+  0x594, /* SHRX32rr */
 /* Table2362 */
-  0x58d, /* SHLX32rm */
-  0x58e, /* SHLX32rr */
+  0x56b, /* SHLX32rm */
+  0x56c, /* SHLX32rr */
 /* Table2364 */
-  0x9a, /* ANDN64rm */
-  0x9b, /* ANDN64rr */
+  0x9b, /* ANDN64rm */
+  0x9c, /* ANDN64rr */
 /* Table2366 */
   0x0, /*  */
-  0xf7, /* BLSR64rm */
-  0xf3, /* BLSMSK64rm */
-  0xeb, /* BLSI64rm */
+  0xcd, /* BLSR64rm */
+  0xc9, /* BLSMSK64rm */
+  0xc1, /* BLSI64rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf8, /* BLSR64rr */
-  0xf4, /* BLSMSK64rr */
-  0xec, /* BLSI64rr */
+  0xce, /* BLSR64rr */
+  0xca, /* BLSMSK64rr */
+  0xc2, /* BLSI64rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
 /* Table2382 */
-  0x13b, /* BZHI64rm */
-  0x13c, /* BZHI64rr */
+  0x111, /* BZHI64rm */
+  0x112, /* BZHI64rr */
 /* Table2384 */
-  0xcb, /* BEXTR64rm */
-  0xcc, /* BEXTR64rr */
+  0xa1, /* BEXTR64rm */
+  0xa2, /* BEXTR64rr */
 /* Table2386 */
-  0x417, /* PEXT64rm */
-  0x418, /* PEXT64rr */
+  0x3ed, /* PEXT64rm */
+  0x3ee, /* PEXT64rr */
 /* Table2388 */
-  0x518, /* SARX64rm */
-  0x519, /* SARX64rr */
+  0x4ee, /* SARX64rm */
+  0x4ef, /* SARX64rr */
 /* Table2390 */
-  0x413, /* PDEP64rm */
-  0x414, /* PDEP64rr */
+  0x3e9, /* PDEP64rm */
+  0x3ea, /* PDEP64rr */
 /* Table2392 */
-  0x3b3, /* MULX64rm */
-  0x3b4, /* MULX64rr */
+  0x389, /* MULX64rm */
+  0x38a, /* MULX64rr */
 /* Table2394 */
-  0x5b7, /* SHRX64rm */
-  0x5b8, /* SHRX64rr */
+  0x595, /* SHRX64rm */
+  0x596, /* SHRX64rr */
 /* Table2396 */
-  0x58f, /* SHLX64rm */
-  0x590, /* SHLX64rr */
+  0x56d, /* SHLX64rm */
+  0x56e, /* SHLX64rr */
 /* Table2398 */
-  0x4df, /* RORX32mi */
-  0x4e0, /* RORX32ri */
+  0x4b5, /* RORX32mi */
+  0x4b6, /* RORX32ri */
 /* Table2400 */
-  0x4e1, /* RORX64mi */
-  0x4e2, /* RORX64ri */
+  0x4b7, /* RORX64mi */
+  0x4b8, /* RORX64ri */
 /* Table2402 */
   0x0, /*  */
-  0xd1, /* BLCFILL32rm */
-  0xe5, /* BLSFILL32rm */
-  0xe1, /* BLCS32rm */
-  0x638, /* TZMSK32rm */
-  0xd9, /* BLCIC32rm */
-  0xed, /* BLSIC32rm */
-  0x5fe, /* T1MSKC32rm */
+  0xa7, /* BLCFILL32rm */
+  0xbb, /* BLSFILL32rm */
+  0xb7, /* BLCS32rm */
+  0x616, /* TZMSK32rm */
+  0xaf, /* BLCIC32rm */
+  0xc3, /* BLSIC32rm */
+  0x5dc, /* T1MSKC32rm */
   0x0, /*  */
-  0xd2, /* BLCFILL32rr */
-  0xe6, /* BLSFILL32rr */
-  0xe2, /* BLCS32rr */
-  0x639, /* TZMSK32rr */
-  0xda, /* BLCIC32rr */
-  0xee, /* BLSIC32rr */
-  0x5ff, /* T1MSKC32rr */
+  0xa8, /* BLCFILL32rr */
+  0xbc, /* BLSFILL32rr */
+  0xb8, /* BLCS32rr */
+  0x617, /* TZMSK32rr */
+  0xb0, /* BLCIC32rr */
+  0xc4, /* BLSIC32rr */
+  0x5dd, /* T1MSKC32rr */
 /* Table2418 */
   0x0, /*  */
-  0xdd, /* BLCMSK32rm */
+  0xb3, /* BLCMSK32rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd5, /* BLCI32rm */
+  0xab, /* BLCI32rm */
   0x0, /*  */
   0x0, /*  */
-  0xde, /* BLCMSK32rr */
+  0xb4, /* BLCMSK32rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd6, /* BLCI32rr */
+  0xac, /* BLCI32rr */
   0x0, /*  */
 /* Table2434 */
   0x0, /*  */
-  0xd3, /* BLCFILL64rm */
-  0xe7, /* BLSFILL64rm */
-  0xe3, /* BLCS64rm */
-  0x63a, /* TZMSK64rm */
-  0xdb, /* BLCIC64rm */
-  0xef, /* BLSIC64rm */
-  0x600, /* T1MSKC64rm */
+  0xa9, /* BLCFILL64rm */
+  0xbd, /* BLSFILL64rm */
+  0xb9, /* BLCS64rm */
+  0x618, /* TZMSK64rm */
+  0xb1, /* BLCIC64rm */
+  0xc5, /* BLSIC64rm */
+  0x5de, /* T1MSKC64rm */
   0x0, /*  */
-  0xd4, /* BLCFILL64rr */
-  0xe8, /* BLSFILL64rr */
-  0xe4, /* BLCS64rr */
-  0x63b, /* TZMSK64rr */
-  0xdc, /* BLCIC64rr */
-  0xf0, /* BLSIC64rr */
-  0x601, /* T1MSKC64rr */
+  0xaa, /* BLCFILL64rr */
+  0xbe, /* BLSFILL64rr */
+  0xba, /* BLCS64rr */
+  0x619, /* TZMSK64rr */
+  0xb2, /* BLCIC64rr */
+  0xc6, /* BLSIC64rr */
+  0x5df, /* T1MSKC64rr */
 /* Table2450 */
   0x0, /*  */
-  0xdf, /* BLCMSK64rm */
+  0xb5, /* BLCMSK64rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd7, /* BLCI64rm */
+  0xad, /* BLCI64rm */
   0x0, /*  */
   0x0, /*  */
-  0xe0, /* BLCMSK64rr */
+  0xb6, /* BLCMSK64rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd8, /* BLCI64rr */
+  0xae, /* BLCI64rr */
   0x0, /*  */
 /* Table2466 */
-  0xcd, /* BEXTRI32mi */
-  0xce, /* BEXTRI32ri */
+  0xa3, /* BEXTRI32mi */
+  0xa4, /* BEXTRI32ri */
 /* Table2468 */
-  0xcf, /* BEXTRI64mi */
-  0xd0, /* BEXTRI64ri */
+  0xa5, /* BEXTRI64mi */
+  0xa6, /* BEXTRI64ri */
   0x0
 };
 
@@ -31120,9 +31146,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -37545,9 +37571,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -38830,9 +38856,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -40115,9 +40141,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -41400,9 +41426,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -42685,9 +42711,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -43970,9 +43996,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -45255,9 +45281,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
@@ -46540,9 +46566,9 @@
     339 /* Table339 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
diff --git a/arch/X86/X86GenInstrInfo.inc b/arch/X86/X86GenInstrInfo.inc
index f830bcd..5651e9e 100644
--- a/arch/X86/X86GenInstrInfo.inc
+++ b/arch/X86/X86GenInstrInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_INSTRINFO_ENUM
@@ -16,7 +16,7 @@
 enum {
     X86_PHI	= 0,
     X86_INLINEASM	= 1,
-    X86_PROLOG_LABEL	= 2,
+    X86_CFI_INSTRUCTION	= 2,
     X86_EH_LABEL	= 3,
     X86_GC_LABEL	= 4,
     X86_KILL	= 5,
@@ -33,5438 +33,6109 @@
     X86_LIFETIME_END	= 16,
     X86_STACKMAP	= 17,
     X86_PATCHPOINT	= 18,
-    X86_AAA	= 19,
-    X86_AAD8i8	= 20,
-    X86_AAM8i8	= 21,
-    X86_AAS	= 22,
-    X86_ABS_F	= 23,
-    X86_ABS_Fp32	= 24,
-    X86_ABS_Fp64	= 25,
-    X86_ABS_Fp80	= 26,
-    X86_ACQUIRE_MOV16rm	= 27,
-    X86_ACQUIRE_MOV32rm	= 28,
-    X86_ACQUIRE_MOV64rm	= 29,
-    X86_ACQUIRE_MOV8rm	= 30,
-    X86_ADC16i16	= 31,
-    X86_ADC16mi	= 32,
-    X86_ADC16mi8	= 33,
-    X86_ADC16mr	= 34,
-    X86_ADC16ri	= 35,
-    X86_ADC16ri8	= 36,
-    X86_ADC16rm	= 37,
-    X86_ADC16rr	= 38,
-    X86_ADC16rr_REV	= 39,
-    X86_ADC32i32	= 40,
-    X86_ADC32mi	= 41,
-    X86_ADC32mi8	= 42,
-    X86_ADC32mr	= 43,
-    X86_ADC32ri	= 44,
-    X86_ADC32ri8	= 45,
-    X86_ADC32rm	= 46,
-    X86_ADC32rr	= 47,
-    X86_ADC32rr_REV	= 48,
-    X86_ADC64i32	= 49,
-    X86_ADC64mi32	= 50,
-    X86_ADC64mi8	= 51,
-    X86_ADC64mr	= 52,
-    X86_ADC64ri32	= 53,
-    X86_ADC64ri8	= 54,
-    X86_ADC64rm	= 55,
-    X86_ADC64rr	= 56,
-    X86_ADC64rr_REV	= 57,
-    X86_ADC8i8	= 58,
-    X86_ADC8mi	= 59,
-    X86_ADC8mr	= 60,
-    X86_ADC8ri	= 61,
-    X86_ADC8rm	= 62,
-    X86_ADC8rr	= 63,
-    X86_ADC8rr_REV	= 64,
-    X86_ADCX32rm	= 65,
-    X86_ADCX32rr	= 66,
-    X86_ADCX64rm	= 67,
-    X86_ADCX64rr	= 68,
-    X86_ADD16i16	= 69,
-    X86_ADD16mi	= 70,
-    X86_ADD16mi8	= 71,
-    X86_ADD16mr	= 72,
-    X86_ADD16ri	= 73,
-    X86_ADD16ri8	= 74,
-    X86_ADD16ri8_DB	= 75,
-    X86_ADD16ri_DB	= 76,
-    X86_ADD16rm	= 77,
-    X86_ADD16rr	= 78,
-    X86_ADD16rr_DB	= 79,
-    X86_ADD16rr_REV	= 80,
-    X86_ADD32i32	= 81,
-    X86_ADD32mi	= 82,
-    X86_ADD32mi8	= 83,
-    X86_ADD32mr	= 84,
-    X86_ADD32ri	= 85,
-    X86_ADD32ri8	= 86,
-    X86_ADD32ri8_DB	= 87,
-    X86_ADD32ri_DB	= 88,
-    X86_ADD32rm	= 89,
-    X86_ADD32rr	= 90,
-    X86_ADD32rr_DB	= 91,
-    X86_ADD32rr_REV	= 92,
-    X86_ADD64i32	= 93,
-    X86_ADD64mi32	= 94,
-    X86_ADD64mi8	= 95,
-    X86_ADD64mr	= 96,
-    X86_ADD64ri32	= 97,
-    X86_ADD64ri32_DB	= 98,
-    X86_ADD64ri8	= 99,
-    X86_ADD64ri8_DB	= 100,
-    X86_ADD64rm	= 101,
-    X86_ADD64rr	= 102,
-    X86_ADD64rr_DB	= 103,
-    X86_ADD64rr_REV	= 104,
-    X86_ADD8i8	= 105,
-    X86_ADD8mi	= 106,
-    X86_ADD8mr	= 107,
-    X86_ADD8ri	= 108,
-    X86_ADD8ri8	= 109,
-    X86_ADD8rm	= 110,
-    X86_ADD8rr	= 111,
-    X86_ADD8rr_REV	= 112,
-    X86_ADDPDrm	= 113,
-    X86_ADDPDrr	= 114,
-    X86_ADDPSrm	= 115,
-    X86_ADDPSrr	= 116,
-    X86_ADDSDrm	= 117,
-    X86_ADDSDrm_Int	= 118,
-    X86_ADDSDrr	= 119,
-    X86_ADDSDrr_Int	= 120,
-    X86_ADDSSrm	= 121,
-    X86_ADDSSrm_Int	= 122,
-    X86_ADDSSrr	= 123,
-    X86_ADDSSrr_Int	= 124,
-    X86_ADDSUBPDrm	= 125,
-    X86_ADDSUBPDrr	= 126,
-    X86_ADDSUBPSrm	= 127,
-    X86_ADDSUBPSrr	= 128,
-    X86_ADD_F32m	= 129,
-    X86_ADD_F64m	= 130,
-    X86_ADD_FI16m	= 131,
-    X86_ADD_FI32m	= 132,
-    X86_ADD_FPrST0	= 133,
-    X86_ADD_FST0r	= 134,
-    X86_ADD_Fp32	= 135,
-    X86_ADD_Fp32m	= 136,
-    X86_ADD_Fp64	= 137,
-    X86_ADD_Fp64m	= 138,
-    X86_ADD_Fp64m32	= 139,
-    X86_ADD_Fp80	= 140,
-    X86_ADD_Fp80m32	= 141,
-    X86_ADD_Fp80m64	= 142,
-    X86_ADD_FpI16m32	= 143,
-    X86_ADD_FpI16m64	= 144,
-    X86_ADD_FpI16m80	= 145,
-    X86_ADD_FpI32m32	= 146,
-    X86_ADD_FpI32m64	= 147,
-    X86_ADD_FpI32m80	= 148,
-    X86_ADD_FrST0	= 149,
-    X86_ADJCALLSTACKDOWN32	= 150,
-    X86_ADJCALLSTACKDOWN64	= 151,
-    X86_ADJCALLSTACKUP32	= 152,
-    X86_ADJCALLSTACKUP64	= 153,
-    X86_ADOX32rm	= 154,
-    X86_ADOX32rr	= 155,
-    X86_ADOX64rm	= 156,
-    X86_ADOX64rr	= 157,
-    X86_AESDECLASTrm	= 158,
-    X86_AESDECLASTrr	= 159,
-    X86_AESDECrm	= 160,
-    X86_AESDECrr	= 161,
-    X86_AESENCLASTrm	= 162,
-    X86_AESENCLASTrr	= 163,
-    X86_AESENCrm	= 164,
-    X86_AESENCrr	= 165,
-    X86_AESIMCrm	= 166,
-    X86_AESIMCrr	= 167,
-    X86_AESKEYGENASSIST128rm	= 168,
-    X86_AESKEYGENASSIST128rr	= 169,
-    X86_AND16i16	= 170,
-    X86_AND16mi	= 171,
-    X86_AND16mi8	= 172,
-    X86_AND16mr	= 173,
-    X86_AND16ri	= 174,
-    X86_AND16ri8	= 175,
-    X86_AND16rm	= 176,
-    X86_AND16rr	= 177,
-    X86_AND16rr_REV	= 178,
-    X86_AND32i32	= 179,
-    X86_AND32mi	= 180,
-    X86_AND32mi8	= 181,
-    X86_AND32mr	= 182,
-    X86_AND32ri	= 183,
-    X86_AND32ri8	= 184,
-    X86_AND32rm	= 185,
-    X86_AND32rr	= 186,
-    X86_AND32rr_REV	= 187,
-    X86_AND64i32	= 188,
-    X86_AND64mi32	= 189,
-    X86_AND64mi8	= 190,
-    X86_AND64mr	= 191,
-    X86_AND64ri32	= 192,
-    X86_AND64ri8	= 193,
-    X86_AND64rm	= 194,
-    X86_AND64rr	= 195,
-    X86_AND64rr_REV	= 196,
-    X86_AND8i8	= 197,
-    X86_AND8mi	= 198,
-    X86_AND8mr	= 199,
-    X86_AND8ri	= 200,
-    X86_AND8ri8	= 201,
-    X86_AND8rm	= 202,
-    X86_AND8rr	= 203,
-    X86_AND8rr_REV	= 204,
-    X86_ANDN32rm	= 205,
-    X86_ANDN32rr	= 206,
-    X86_ANDN64rm	= 207,
-    X86_ANDN64rr	= 208,
-    X86_ANDNPDrm	= 209,
-    X86_ANDNPDrr	= 210,
-    X86_ANDNPSrm	= 211,
-    X86_ANDNPSrr	= 212,
-    X86_ANDPDrm	= 213,
-    X86_ANDPDrr	= 214,
-    X86_ANDPSrm	= 215,
-    X86_ANDPSrr	= 216,
-    X86_ARPL16mr	= 217,
-    X86_ARPL16rr	= 218,
-    X86_ATOMADD6432	= 219,
-    X86_ATOMAND16	= 220,
-    X86_ATOMAND32	= 221,
-    X86_ATOMAND64	= 222,
-    X86_ATOMAND6432	= 223,
-    X86_ATOMAND8	= 224,
-    X86_ATOMMAX16	= 225,
-    X86_ATOMMAX32	= 226,
-    X86_ATOMMAX64	= 227,
-    X86_ATOMMAX6432	= 228,
-    X86_ATOMMAX8	= 229,
-    X86_ATOMMIN16	= 230,
-    X86_ATOMMIN32	= 231,
-    X86_ATOMMIN64	= 232,
-    X86_ATOMMIN6432	= 233,
-    X86_ATOMMIN8	= 234,
-    X86_ATOMNAND16	= 235,
-    X86_ATOMNAND32	= 236,
-    X86_ATOMNAND64	= 237,
-    X86_ATOMNAND6432	= 238,
-    X86_ATOMNAND8	= 239,
-    X86_ATOMOR16	= 240,
-    X86_ATOMOR32	= 241,
-    X86_ATOMOR64	= 242,
-    X86_ATOMOR6432	= 243,
-    X86_ATOMOR8	= 244,
-    X86_ATOMSUB6432	= 245,
-    X86_ATOMSWAP6432	= 246,
-    X86_ATOMUMAX16	= 247,
-    X86_ATOMUMAX32	= 248,
-    X86_ATOMUMAX64	= 249,
-    X86_ATOMUMAX6432	= 250,
-    X86_ATOMUMAX8	= 251,
-    X86_ATOMUMIN16	= 252,
-    X86_ATOMUMIN32	= 253,
-    X86_ATOMUMIN64	= 254,
-    X86_ATOMUMIN6432	= 255,
-    X86_ATOMUMIN8	= 256,
-    X86_ATOMXOR16	= 257,
-    X86_ATOMXOR32	= 258,
-    X86_ATOMXOR64	= 259,
-    X86_ATOMXOR6432	= 260,
-    X86_ATOMXOR8	= 261,
-    X86_AVX2_SETALLONES	= 262,
-    X86_AVX512_512_SET0	= 263,
-    X86_AVX_SET0	= 264,
-    X86_BEXTR32rm	= 265,
-    X86_BEXTR32rr	= 266,
-    X86_BEXTR64rm	= 267,
-    X86_BEXTR64rr	= 268,
-    X86_BEXTRI32mi	= 269,
-    X86_BEXTRI32ri	= 270,
-    X86_BEXTRI64mi	= 271,
-    X86_BEXTRI64ri	= 272,
-    X86_BLCFILL32rm	= 273,
-    X86_BLCFILL32rr	= 274,
-    X86_BLCFILL64rm	= 275,
-    X86_BLCFILL64rr	= 276,
-    X86_BLCI32rm	= 277,
-    X86_BLCI32rr	= 278,
-    X86_BLCI64rm	= 279,
-    X86_BLCI64rr	= 280,
-    X86_BLCIC32rm	= 281,
-    X86_BLCIC32rr	= 282,
-    X86_BLCIC64rm	= 283,
-    X86_BLCIC64rr	= 284,
-    X86_BLCMSK32rm	= 285,
-    X86_BLCMSK32rr	= 286,
-    X86_BLCMSK64rm	= 287,
-    X86_BLCMSK64rr	= 288,
-    X86_BLCS32rm	= 289,
-    X86_BLCS32rr	= 290,
-    X86_BLCS64rm	= 291,
-    X86_BLCS64rr	= 292,
-    X86_BLENDPDrmi	= 293,
-    X86_BLENDPDrri	= 294,
-    X86_BLENDPSrmi	= 295,
-    X86_BLENDPSrri	= 296,
-    X86_BLENDVPDrm0	= 297,
-    X86_BLENDVPDrr0	= 298,
-    X86_BLENDVPSrm0	= 299,
-    X86_BLENDVPSrr0	= 300,
-    X86_BLSFILL32rm	= 301,
-    X86_BLSFILL32rr	= 302,
-    X86_BLSFILL64rm	= 303,
-    X86_BLSFILL64rr	= 304,
-    X86_BLSI32rm	= 305,
-    X86_BLSI32rr	= 306,
-    X86_BLSI64rm	= 307,
-    X86_BLSI64rr	= 308,
-    X86_BLSIC32rm	= 309,
-    X86_BLSIC32rr	= 310,
-    X86_BLSIC64rm	= 311,
-    X86_BLSIC64rr	= 312,
-    X86_BLSMSK32rm	= 313,
-    X86_BLSMSK32rr	= 314,
-    X86_BLSMSK64rm	= 315,
-    X86_BLSMSK64rr	= 316,
-    X86_BLSR32rm	= 317,
-    X86_BLSR32rr	= 318,
-    X86_BLSR64rm	= 319,
-    X86_BLSR64rr	= 320,
-    X86_BOUNDS16rm	= 321,
-    X86_BOUNDS32rm	= 322,
-    X86_BSF16rm	= 323,
-    X86_BSF16rr	= 324,
-    X86_BSF32rm	= 325,
-    X86_BSF32rr	= 326,
-    X86_BSF64rm	= 327,
-    X86_BSF64rr	= 328,
-    X86_BSR16rm	= 329,
-    X86_BSR16rr	= 330,
-    X86_BSR32rm	= 331,
-    X86_BSR32rr	= 332,
-    X86_BSR64rm	= 333,
-    X86_BSR64rr	= 334,
-    X86_BSWAP32r	= 335,
-    X86_BSWAP64r	= 336,
-    X86_BT16mi8	= 337,
-    X86_BT16mr	= 338,
-    X86_BT16ri8	= 339,
-    X86_BT16rr	= 340,
-    X86_BT32mi8	= 341,
-    X86_BT32mr	= 342,
-    X86_BT32ri8	= 343,
-    X86_BT32rr	= 344,
-    X86_BT64mi8	= 345,
-    X86_BT64mr	= 346,
-    X86_BT64ri8	= 347,
-    X86_BT64rr	= 348,
-    X86_BTC16mi8	= 349,
-    X86_BTC16mr	= 350,
-    X86_BTC16ri8	= 351,
-    X86_BTC16rr	= 352,
-    X86_BTC32mi8	= 353,
-    X86_BTC32mr	= 354,
-    X86_BTC32ri8	= 355,
-    X86_BTC32rr	= 356,
-    X86_BTC64mi8	= 357,
-    X86_BTC64mr	= 358,
-    X86_BTC64ri8	= 359,
-    X86_BTC64rr	= 360,
-    X86_BTR16mi8	= 361,
-    X86_BTR16mr	= 362,
-    X86_BTR16ri8	= 363,
-    X86_BTR16rr	= 364,
-    X86_BTR32mi8	= 365,
-    X86_BTR32mr	= 366,
-    X86_BTR32ri8	= 367,
-    X86_BTR32rr	= 368,
-    X86_BTR64mi8	= 369,
-    X86_BTR64mr	= 370,
-    X86_BTR64ri8	= 371,
-    X86_BTR64rr	= 372,
-    X86_BTS16mi8	= 373,
-    X86_BTS16mr	= 374,
-    X86_BTS16ri8	= 375,
-    X86_BTS16rr	= 376,
-    X86_BTS32mi8	= 377,
-    X86_BTS32mr	= 378,
-    X86_BTS32ri8	= 379,
-    X86_BTS32rr	= 380,
-    X86_BTS64mi8	= 381,
-    X86_BTS64mr	= 382,
-    X86_BTS64ri8	= 383,
-    X86_BTS64rr	= 384,
-    X86_BZHI32rm	= 385,
-    X86_BZHI32rr	= 386,
-    X86_BZHI64rm	= 387,
-    X86_BZHI64rr	= 388,
-    X86_CALL16m	= 389,
-    X86_CALL16r	= 390,
-    X86_CALL32m	= 391,
-    X86_CALL32r	= 392,
-    X86_CALL64m	= 393,
-    X86_CALL64pcrel32	= 394,
-    X86_CALL64r	= 395,
-    X86_CALLpcrel16	= 396,
-    X86_CALLpcrel32	= 397,
-    X86_CBW	= 398,
-    X86_CDQ	= 399,
-    X86_CDQE	= 400,
-    X86_CHS_F	= 401,
-    X86_CHS_Fp32	= 402,
-    X86_CHS_Fp64	= 403,
-    X86_CHS_Fp80	= 404,
-    X86_CLAC	= 405,
-    X86_CLC	= 406,
-    X86_CLD	= 407,
-    X86_CLFLUSH	= 408,
-    X86_CLGI	= 409,
-    X86_CLI	= 410,
-    X86_CLTS	= 411,
-    X86_CMC	= 412,
-    X86_CMOVA16rm	= 413,
-    X86_CMOVA16rr	= 414,
-    X86_CMOVA32rm	= 415,
-    X86_CMOVA32rr	= 416,
-    X86_CMOVA64rm	= 417,
-    X86_CMOVA64rr	= 418,
-    X86_CMOVAE16rm	= 419,
-    X86_CMOVAE16rr	= 420,
-    X86_CMOVAE32rm	= 421,
-    X86_CMOVAE32rr	= 422,
-    X86_CMOVAE64rm	= 423,
-    X86_CMOVAE64rr	= 424,
-    X86_CMOVB16rm	= 425,
-    X86_CMOVB16rr	= 426,
-    X86_CMOVB32rm	= 427,
-    X86_CMOVB32rr	= 428,
-    X86_CMOVB64rm	= 429,
-    X86_CMOVB64rr	= 430,
-    X86_CMOVBE16rm	= 431,
-    X86_CMOVBE16rr	= 432,
-    X86_CMOVBE32rm	= 433,
-    X86_CMOVBE32rr	= 434,
-    X86_CMOVBE64rm	= 435,
-    X86_CMOVBE64rr	= 436,
-    X86_CMOVBE_F	= 437,
-    X86_CMOVBE_Fp32	= 438,
-    X86_CMOVBE_Fp64	= 439,
-    X86_CMOVBE_Fp80	= 440,
-    X86_CMOVB_F	= 441,
-    X86_CMOVB_Fp32	= 442,
-    X86_CMOVB_Fp64	= 443,
-    X86_CMOVB_Fp80	= 444,
-    X86_CMOVE16rm	= 445,
-    X86_CMOVE16rr	= 446,
-    X86_CMOVE32rm	= 447,
-    X86_CMOVE32rr	= 448,
-    X86_CMOVE64rm	= 449,
-    X86_CMOVE64rr	= 450,
-    X86_CMOVE_F	= 451,
-    X86_CMOVE_Fp32	= 452,
-    X86_CMOVE_Fp64	= 453,
-    X86_CMOVE_Fp80	= 454,
-    X86_CMOVG16rm	= 455,
-    X86_CMOVG16rr	= 456,
-    X86_CMOVG32rm	= 457,
-    X86_CMOVG32rr	= 458,
-    X86_CMOVG64rm	= 459,
-    X86_CMOVG64rr	= 460,
-    X86_CMOVGE16rm	= 461,
-    X86_CMOVGE16rr	= 462,
-    X86_CMOVGE32rm	= 463,
-    X86_CMOVGE32rr	= 464,
-    X86_CMOVGE64rm	= 465,
-    X86_CMOVGE64rr	= 466,
-    X86_CMOVL16rm	= 467,
-    X86_CMOVL16rr	= 468,
-    X86_CMOVL32rm	= 469,
-    X86_CMOVL32rr	= 470,
-    X86_CMOVL64rm	= 471,
-    X86_CMOVL64rr	= 472,
-    X86_CMOVLE16rm	= 473,
-    X86_CMOVLE16rr	= 474,
-    X86_CMOVLE32rm	= 475,
-    X86_CMOVLE32rr	= 476,
-    X86_CMOVLE64rm	= 477,
-    X86_CMOVLE64rr	= 478,
-    X86_CMOVNBE_F	= 479,
-    X86_CMOVNBE_Fp32	= 480,
-    X86_CMOVNBE_Fp64	= 481,
-    X86_CMOVNBE_Fp80	= 482,
-    X86_CMOVNB_F	= 483,
-    X86_CMOVNB_Fp32	= 484,
-    X86_CMOVNB_Fp64	= 485,
-    X86_CMOVNB_Fp80	= 486,
-    X86_CMOVNE16rm	= 487,
-    X86_CMOVNE16rr	= 488,
-    X86_CMOVNE32rm	= 489,
-    X86_CMOVNE32rr	= 490,
-    X86_CMOVNE64rm	= 491,
-    X86_CMOVNE64rr	= 492,
-    X86_CMOVNE_F	= 493,
-    X86_CMOVNE_Fp32	= 494,
-    X86_CMOVNE_Fp64	= 495,
-    X86_CMOVNE_Fp80	= 496,
-    X86_CMOVNO16rm	= 497,
-    X86_CMOVNO16rr	= 498,
-    X86_CMOVNO32rm	= 499,
-    X86_CMOVNO32rr	= 500,
-    X86_CMOVNO64rm	= 501,
-    X86_CMOVNO64rr	= 502,
-    X86_CMOVNP16rm	= 503,
-    X86_CMOVNP16rr	= 504,
-    X86_CMOVNP32rm	= 505,
-    X86_CMOVNP32rr	= 506,
-    X86_CMOVNP64rm	= 507,
-    X86_CMOVNP64rr	= 508,
-    X86_CMOVNP_F	= 509,
-    X86_CMOVNP_Fp32	= 510,
-    X86_CMOVNP_Fp64	= 511,
-    X86_CMOVNP_Fp80	= 512,
-    X86_CMOVNS16rm	= 513,
-    X86_CMOVNS16rr	= 514,
-    X86_CMOVNS32rm	= 515,
-    X86_CMOVNS32rr	= 516,
-    X86_CMOVNS64rm	= 517,
-    X86_CMOVNS64rr	= 518,
-    X86_CMOVO16rm	= 519,
-    X86_CMOVO16rr	= 520,
-    X86_CMOVO32rm	= 521,
-    X86_CMOVO32rr	= 522,
-    X86_CMOVO64rm	= 523,
-    X86_CMOVO64rr	= 524,
-    X86_CMOVP16rm	= 525,
-    X86_CMOVP16rr	= 526,
-    X86_CMOVP32rm	= 527,
-    X86_CMOVP32rr	= 528,
-    X86_CMOVP64rm	= 529,
-    X86_CMOVP64rr	= 530,
-    X86_CMOVP_F	= 531,
-    X86_CMOVP_Fp32	= 532,
-    X86_CMOVP_Fp64	= 533,
-    X86_CMOVP_Fp80	= 534,
-    X86_CMOVS16rm	= 535,
-    X86_CMOVS16rr	= 536,
-    X86_CMOVS32rm	= 537,
-    X86_CMOVS32rr	= 538,
-    X86_CMOVS64rm	= 539,
-    X86_CMOVS64rr	= 540,
-    X86_CMOV_FR32	= 541,
-    X86_CMOV_FR64	= 542,
-    X86_CMOV_GR16	= 543,
-    X86_CMOV_GR32	= 544,
-    X86_CMOV_GR8	= 545,
-    X86_CMOV_RFP32	= 546,
-    X86_CMOV_RFP64	= 547,
-    X86_CMOV_RFP80	= 548,
-    X86_CMOV_V16F32	= 549,
-    X86_CMOV_V2F64	= 550,
-    X86_CMOV_V2I64	= 551,
-    X86_CMOV_V4F32	= 552,
-    X86_CMOV_V4F64	= 553,
-    X86_CMOV_V4I64	= 554,
-    X86_CMOV_V8F32	= 555,
-    X86_CMOV_V8F64	= 556,
-    X86_CMOV_V8I64	= 557,
-    X86_CMP16i16	= 558,
-    X86_CMP16mi	= 559,
-    X86_CMP16mi8	= 560,
-    X86_CMP16mr	= 561,
-    X86_CMP16ri	= 562,
-    X86_CMP16ri8	= 563,
-    X86_CMP16rm	= 564,
-    X86_CMP16rr	= 565,
-    X86_CMP16rr_REV	= 566,
-    X86_CMP32i32	= 567,
-    X86_CMP32mi	= 568,
-    X86_CMP32mi8	= 569,
-    X86_CMP32mr	= 570,
-    X86_CMP32ri	= 571,
-    X86_CMP32ri8	= 572,
-    X86_CMP32rm	= 573,
-    X86_CMP32rr	= 574,
-    X86_CMP32rr_REV	= 575,
-    X86_CMP64i32	= 576,
-    X86_CMP64mi32	= 577,
-    X86_CMP64mi8	= 578,
-    X86_CMP64mr	= 579,
-    X86_CMP64ri32	= 580,
-    X86_CMP64ri8	= 581,
-    X86_CMP64rm	= 582,
-    X86_CMP64rr	= 583,
-    X86_CMP64rr_REV	= 584,
-    X86_CMP8i8	= 585,
-    X86_CMP8mi	= 586,
-    X86_CMP8mr	= 587,
-    X86_CMP8ri	= 588,
-    X86_CMP8rm	= 589,
-    X86_CMP8rr	= 590,
-    X86_CMP8rr_REV	= 591,
-    X86_CMPPDrmi	= 592,
-    X86_CMPPDrmi_alt	= 593,
-    X86_CMPPDrri	= 594,
-    X86_CMPPDrri_alt	= 595,
-    X86_CMPPSrmi	= 596,
-    X86_CMPPSrmi_alt	= 597,
-    X86_CMPPSrri	= 598,
-    X86_CMPPSrri_alt	= 599,
-    X86_CMPS16	= 600,
-    X86_CMPS32	= 601,
-    X86_CMPS64	= 602,
-    X86_CMPS8	= 603,
-    X86_CMPSDrm	= 604,
-    X86_CMPSDrm_alt	= 605,
-    X86_CMPSDrr	= 606,
-    X86_CMPSDrr_alt	= 607,
-    X86_CMPSSrm	= 608,
-    X86_CMPSSrm_alt	= 609,
-    X86_CMPSSrr	= 610,
-    X86_CMPSSrr_alt	= 611,
-    X86_CMPXCHG16B	= 612,
-    X86_CMPXCHG16rm	= 613,
-    X86_CMPXCHG16rr	= 614,
-    X86_CMPXCHG32rm	= 615,
-    X86_CMPXCHG32rr	= 616,
-    X86_CMPXCHG64rm	= 617,
-    X86_CMPXCHG64rr	= 618,
-    X86_CMPXCHG8B	= 619,
-    X86_CMPXCHG8rm	= 620,
-    X86_CMPXCHG8rr	= 621,
-    X86_COMISDrm	= 622,
-    X86_COMISDrr	= 623,
-    X86_COMISSrm	= 624,
-    X86_COMISSrr	= 625,
-    X86_COMP_FST0r	= 626,
-    X86_COM_FIPr	= 627,
-    X86_COM_FIr	= 628,
-    X86_COM_FST0r	= 629,
-    X86_COS_F	= 630,
-    X86_COS_Fp32	= 631,
-    X86_COS_Fp64	= 632,
-    X86_COS_Fp80	= 633,
-    X86_CPUID32	= 634,
-    X86_CPUID64	= 635,
-    X86_CQO	= 636,
-    X86_CRC32r32m16	= 637,
-    X86_CRC32r32m32	= 638,
-    X86_CRC32r32m8	= 639,
-    X86_CRC32r32r16	= 640,
-    X86_CRC32r32r32	= 641,
-    X86_CRC32r32r8	= 642,
-    X86_CRC32r64m64	= 643,
-    X86_CRC32r64m8	= 644,
-    X86_CRC32r64r64	= 645,
-    X86_CRC32r64r8	= 646,
-    X86_CS_PREFIX	= 647,
-    X86_CVTDQ2PDrm	= 648,
-    X86_CVTDQ2PDrr	= 649,
-    X86_CVTDQ2PSrm	= 650,
-    X86_CVTDQ2PSrr	= 651,
-    X86_CVTPD2DQrm	= 652,
-    X86_CVTPD2DQrr	= 653,
-    X86_CVTPD2PSrm	= 654,
-    X86_CVTPD2PSrr	= 655,
-    X86_CVTPS2DQrm	= 656,
-    X86_CVTPS2DQrr	= 657,
-    X86_CVTPS2PDrm	= 658,
-    X86_CVTPS2PDrr	= 659,
-    X86_CVTSD2SI64rm	= 660,
-    X86_CVTSD2SI64rr	= 661,
-    X86_CVTSD2SIrm	= 662,
-    X86_CVTSD2SIrr	= 663,
-    X86_CVTSD2SSrm	= 664,
-    X86_CVTSD2SSrr	= 665,
-    X86_CVTSI2SD64rm	= 666,
-    X86_CVTSI2SD64rr	= 667,
-    X86_CVTSI2SDrm	= 668,
-    X86_CVTSI2SDrr	= 669,
-    X86_CVTSI2SS64rm	= 670,
-    X86_CVTSI2SS64rr	= 671,
-    X86_CVTSI2SSrm	= 672,
-    X86_CVTSI2SSrr	= 673,
-    X86_CVTSS2SDrm	= 674,
-    X86_CVTSS2SDrr	= 675,
-    X86_CVTSS2SI64rm	= 676,
-    X86_CVTSS2SI64rr	= 677,
-    X86_CVTSS2SIrm	= 678,
-    X86_CVTSS2SIrr	= 679,
-    X86_CVTTPD2DQrm	= 680,
-    X86_CVTTPD2DQrr	= 681,
-    X86_CVTTPS2DQrm	= 682,
-    X86_CVTTPS2DQrr	= 683,
-    X86_CVTTSD2SI64rm	= 684,
-    X86_CVTTSD2SI64rr	= 685,
-    X86_CVTTSD2SIrm	= 686,
-    X86_CVTTSD2SIrr	= 687,
-    X86_CVTTSS2SI64rm	= 688,
-    X86_CVTTSS2SI64rr	= 689,
-    X86_CVTTSS2SIrm	= 690,
-    X86_CVTTSS2SIrr	= 691,
-    X86_CWD	= 692,
-    X86_CWDE	= 693,
-    X86_DAA	= 694,
-    X86_DAS	= 695,
-    X86_DATA16_PREFIX	= 696,
-    X86_DEC16m	= 697,
-    X86_DEC16r	= 698,
-    X86_DEC32_16r	= 699,
-    X86_DEC32_32r	= 700,
-    X86_DEC32m	= 701,
-    X86_DEC32r	= 702,
-    X86_DEC64_16m	= 703,
-    X86_DEC64_16r	= 704,
-    X86_DEC64_32m	= 705,
-    X86_DEC64_32r	= 706,
-    X86_DEC64m	= 707,
-    X86_DEC64r	= 708,
-    X86_DEC8m	= 709,
-    X86_DEC8r	= 710,
-    X86_DIV16m	= 711,
-    X86_DIV16r	= 712,
-    X86_DIV32m	= 713,
-    X86_DIV32r	= 714,
-    X86_DIV64m	= 715,
-    X86_DIV64r	= 716,
-    X86_DIV8m	= 717,
-    X86_DIV8r	= 718,
-    X86_DIVPDrm	= 719,
-    X86_DIVPDrr	= 720,
-    X86_DIVPSrm	= 721,
-    X86_DIVPSrr	= 722,
-    X86_DIVR_F32m	= 723,
-    X86_DIVR_F64m	= 724,
-    X86_DIVR_FI16m	= 725,
-    X86_DIVR_FI32m	= 726,
-    X86_DIVR_FPrST0	= 727,
-    X86_DIVR_FST0r	= 728,
-    X86_DIVR_Fp32m	= 729,
-    X86_DIVR_Fp64m	= 730,
-    X86_DIVR_Fp64m32	= 731,
-    X86_DIVR_Fp80m32	= 732,
-    X86_DIVR_Fp80m64	= 733,
-    X86_DIVR_FpI16m32	= 734,
-    X86_DIVR_FpI16m64	= 735,
-    X86_DIVR_FpI16m80	= 736,
-    X86_DIVR_FpI32m32	= 737,
-    X86_DIVR_FpI32m64	= 738,
-    X86_DIVR_FpI32m80	= 739,
-    X86_DIVR_FrST0	= 740,
-    X86_DIVSDrm	= 741,
-    X86_DIVSDrm_Int	= 742,
-    X86_DIVSDrr	= 743,
-    X86_DIVSDrr_Int	= 744,
-    X86_DIVSSrm	= 745,
-    X86_DIVSSrm_Int	= 746,
-    X86_DIVSSrr	= 747,
-    X86_DIVSSrr_Int	= 748,
-    X86_DIV_F32m	= 749,
-    X86_DIV_F64m	= 750,
-    X86_DIV_FI16m	= 751,
-    X86_DIV_FI32m	= 752,
-    X86_DIV_FPrST0	= 753,
-    X86_DIV_FST0r	= 754,
-    X86_DIV_Fp32	= 755,
-    X86_DIV_Fp32m	= 756,
-    X86_DIV_Fp64	= 757,
-    X86_DIV_Fp64m	= 758,
-    X86_DIV_Fp64m32	= 759,
-    X86_DIV_Fp80	= 760,
-    X86_DIV_Fp80m32	= 761,
-    X86_DIV_Fp80m64	= 762,
-    X86_DIV_FpI16m32	= 763,
-    X86_DIV_FpI16m64	= 764,
-    X86_DIV_FpI16m80	= 765,
-    X86_DIV_FpI32m32	= 766,
-    X86_DIV_FpI32m64	= 767,
-    X86_DIV_FpI32m80	= 768,
-    X86_DIV_FrST0	= 769,
-    X86_DPPDrmi	= 770,
-    X86_DPPDrri	= 771,
-    X86_DPPSrmi	= 772,
-    X86_DPPSrri	= 773,
-    X86_DS_PREFIX	= 774,
-    X86_EH_RETURN	= 775,
-    X86_EH_RETURN64	= 776,
-    X86_EH_SjLj_LongJmp32	= 777,
-    X86_EH_SjLj_LongJmp64	= 778,
-    X86_EH_SjLj_SetJmp32	= 779,
-    X86_EH_SjLj_SetJmp64	= 780,
-    X86_EH_SjLj_Setup	= 781,
-    X86_ENTER	= 782,
-    X86_ES_PREFIX	= 783,
-    X86_EXTRACTPSmr	= 784,
-    X86_EXTRACTPSrr	= 785,
-    X86_EXTRQ	= 786,
-    X86_EXTRQI	= 787,
-    X86_F2XM1	= 788,
-    X86_FARCALL16i	= 789,
-    X86_FARCALL16m	= 790,
-    X86_FARCALL32i	= 791,
-    X86_FARCALL32m	= 792,
-    X86_FARCALL64	= 793,
-    X86_FARJMP16i	= 794,
-    X86_FARJMP16m	= 795,
-    X86_FARJMP32i	= 796,
-    X86_FARJMP32m	= 797,
-    X86_FARJMP64	= 798,
-    X86_FBLDm	= 799,
-    X86_FBSTPm	= 800,
-    X86_FCOM32m	= 801,
-    X86_FCOM64m	= 802,
-    X86_FCOMP32m	= 803,
-    X86_FCOMP64m	= 804,
-    X86_FCOMPP	= 805,
-    X86_FDECSTP	= 806,
-    X86_FEMMS	= 807,
-    X86_FFREE	= 808,
-    X86_FICOM16m	= 809,
-    X86_FICOM32m	= 810,
-    X86_FICOMP16m	= 811,
-    X86_FICOMP32m	= 812,
-    X86_FINCSTP	= 813,
-    X86_FLDCW16m	= 814,
-    X86_FLDENVm	= 815,
-    X86_FLDL2E	= 816,
-    X86_FLDL2T	= 817,
-    X86_FLDLG2	= 818,
-    X86_FLDLN2	= 819,
-    X86_FLDPI	= 820,
-    X86_FNCLEX	= 821,
-    X86_FNINIT	= 822,
-    X86_FNOP	= 823,
-    X86_FNSTCW16m	= 824,
-    X86_FNSTSW16r	= 825,
-    X86_FNSTSWm	= 826,
-    X86_FP32_TO_INT16_IN_MEM	= 827,
-    X86_FP32_TO_INT32_IN_MEM	= 828,
-    X86_FP32_TO_INT64_IN_MEM	= 829,
-    X86_FP64_TO_INT16_IN_MEM	= 830,
-    X86_FP64_TO_INT32_IN_MEM	= 831,
-    X86_FP64_TO_INT64_IN_MEM	= 832,
-    X86_FP80_TO_INT16_IN_MEM	= 833,
-    X86_FP80_TO_INT32_IN_MEM	= 834,
-    X86_FP80_TO_INT64_IN_MEM	= 835,
-    X86_FPATAN	= 836,
-    X86_FPREM	= 837,
-    X86_FPREM1	= 838,
-    X86_FPTAN	= 839,
-    X86_FRNDINT	= 840,
-    X86_FRSTORm	= 841,
-    X86_FSAVEm	= 842,
-    X86_FSCALE	= 843,
-    X86_FSETPM	= 844,
-    X86_FSINCOS	= 845,
-    X86_FSTENVm	= 846,
-    X86_FS_PREFIX	= 847,
-    X86_FXAM	= 848,
-    X86_FXRSTOR	= 849,
-    X86_FXRSTOR64	= 850,
-    X86_FXSAVE	= 851,
-    X86_FXSAVE64	= 852,
-    X86_FXTRACT	= 853,
-    X86_FYL2X	= 854,
-    X86_FYL2XP1	= 855,
-    X86_FpPOP_RETVAL	= 856,
-    X86_FsANDNPDrm	= 857,
-    X86_FsANDNPDrr	= 858,
-    X86_FsANDNPSrm	= 859,
-    X86_FsANDNPSrr	= 860,
-    X86_FsANDPDrm	= 861,
-    X86_FsANDPDrr	= 862,
-    X86_FsANDPSrm	= 863,
-    X86_FsANDPSrr	= 864,
-    X86_FsFLD0SD	= 865,
-    X86_FsFLD0SS	= 866,
-    X86_FsMOVAPDrm	= 867,
-    X86_FsMOVAPSrm	= 868,
-    X86_FsORPDrm	= 869,
-    X86_FsORPDrr	= 870,
-    X86_FsORPSrm	= 871,
-    X86_FsORPSrr	= 872,
-    X86_FsVMOVAPDrm	= 873,
-    X86_FsVMOVAPSrm	= 874,
-    X86_FsXORPDrm	= 875,
-    X86_FsXORPDrr	= 876,
-    X86_FsXORPSrm	= 877,
-    X86_FsXORPSrr	= 878,
-    X86_GETSEC	= 879,
-    X86_GS_PREFIX	= 880,
-    X86_HADDPDrm	= 881,
-    X86_HADDPDrr	= 882,
-    X86_HADDPSrm	= 883,
-    X86_HADDPSrr	= 884,
-    X86_HLT	= 885,
-    X86_HSUBPDrm	= 886,
-    X86_HSUBPDrr	= 887,
-    X86_HSUBPSrm	= 888,
-    X86_HSUBPSrr	= 889,
-    X86_IDIV16m	= 890,
-    X86_IDIV16r	= 891,
-    X86_IDIV32m	= 892,
-    X86_IDIV32r	= 893,
-    X86_IDIV64m	= 894,
-    X86_IDIV64r	= 895,
-    X86_IDIV8m	= 896,
-    X86_IDIV8r	= 897,
-    X86_ILD_F16m	= 898,
-    X86_ILD_F32m	= 899,
-    X86_ILD_F64m	= 900,
-    X86_ILD_Fp16m32	= 901,
-    X86_ILD_Fp16m64	= 902,
-    X86_ILD_Fp16m80	= 903,
-    X86_ILD_Fp32m32	= 904,
-    X86_ILD_Fp32m64	= 905,
-    X86_ILD_Fp32m80	= 906,
-    X86_ILD_Fp64m32	= 907,
-    X86_ILD_Fp64m64	= 908,
-    X86_ILD_Fp64m80	= 909,
-    X86_IMUL16m	= 910,
-    X86_IMUL16r	= 911,
-    X86_IMUL16rm	= 912,
-    X86_IMUL16rmi	= 913,
-    X86_IMUL16rmi8	= 914,
-    X86_IMUL16rr	= 915,
-    X86_IMUL16rri	= 916,
-    X86_IMUL16rri8	= 917,
-    X86_IMUL32m	= 918,
-    X86_IMUL32r	= 919,
-    X86_IMUL32rm	= 920,
-    X86_IMUL32rmi	= 921,
-    X86_IMUL32rmi8	= 922,
-    X86_IMUL32rr	= 923,
-    X86_IMUL32rri	= 924,
-    X86_IMUL32rri8	= 925,
-    X86_IMUL64m	= 926,
-    X86_IMUL64r	= 927,
-    X86_IMUL64rm	= 928,
-    X86_IMUL64rmi32	= 929,
-    X86_IMUL64rmi8	= 930,
-    X86_IMUL64rr	= 931,
-    X86_IMUL64rri32	= 932,
-    X86_IMUL64rri8	= 933,
-    X86_IMUL8m	= 934,
-    X86_IMUL8r	= 935,
-    X86_IN16	= 936,
-    X86_IN16ri	= 937,
-    X86_IN16rr	= 938,
-    X86_IN32	= 939,
-    X86_IN32ri	= 940,
-    X86_IN32rr	= 941,
-    X86_IN8	= 942,
-    X86_IN8ri	= 943,
-    X86_IN8rr	= 944,
-    X86_INC16m	= 945,
-    X86_INC16r	= 946,
-    X86_INC32_16r	= 947,
-    X86_INC32_32r	= 948,
-    X86_INC32m	= 949,
-    X86_INC32r	= 950,
-    X86_INC64_16m	= 951,
-    X86_INC64_16r	= 952,
-    X86_INC64_32m	= 953,
-    X86_INC64_32r	= 954,
-    X86_INC64m	= 955,
-    X86_INC64r	= 956,
-    X86_INC8m	= 957,
-    X86_INC8r	= 958,
-    X86_INSERTPSrm	= 959,
-    X86_INSERTPSrr	= 960,
-    X86_INSERTQ	= 961,
-    X86_INSERTQI	= 962,
-    X86_INT	= 963,
-    X86_INT1	= 964,
-    X86_INT3	= 965,
-    X86_INTO	= 966,
-    X86_INVD	= 967,
-    X86_INVEPT32	= 968,
-    X86_INVEPT64	= 969,
-    X86_INVLPG	= 970,
-    X86_INVLPGA32	= 971,
-    X86_INVLPGA64	= 972,
-    X86_INVPCID32	= 973,
-    X86_INVPCID64	= 974,
-    X86_INVVPID32	= 975,
-    X86_INVVPID64	= 976,
-    X86_IRET16	= 977,
-    X86_IRET32	= 978,
-    X86_IRET64	= 979,
-    X86_ISTT_FP16m	= 980,
-    X86_ISTT_FP32m	= 981,
-    X86_ISTT_FP64m	= 982,
-    X86_ISTT_Fp16m32	= 983,
-    X86_ISTT_Fp16m64	= 984,
-    X86_ISTT_Fp16m80	= 985,
-    X86_ISTT_Fp32m32	= 986,
-    X86_ISTT_Fp32m64	= 987,
-    X86_ISTT_Fp32m80	= 988,
-    X86_ISTT_Fp64m32	= 989,
-    X86_ISTT_Fp64m64	= 990,
-    X86_ISTT_Fp64m80	= 991,
-    X86_IST_F16m	= 992,
-    X86_IST_F32m	= 993,
-    X86_IST_FP16m	= 994,
-    X86_IST_FP32m	= 995,
-    X86_IST_FP64m	= 996,
-    X86_IST_Fp16m32	= 997,
-    X86_IST_Fp16m64	= 998,
-    X86_IST_Fp16m80	= 999,
-    X86_IST_Fp32m32	= 1000,
-    X86_IST_Fp32m64	= 1001,
-    X86_IST_Fp32m80	= 1002,
-    X86_IST_Fp64m32	= 1003,
-    X86_IST_Fp64m64	= 1004,
-    X86_IST_Fp64m80	= 1005,
-    X86_Int_CMPSDrm	= 1006,
-    X86_Int_CMPSDrr	= 1007,
-    X86_Int_CMPSSrm	= 1008,
-    X86_Int_CMPSSrr	= 1009,
-    X86_Int_COMISDrm	= 1010,
-    X86_Int_COMISDrr	= 1011,
-    X86_Int_COMISSrm	= 1012,
-    X86_Int_COMISSrr	= 1013,
-    X86_Int_CVTSD2SSrm	= 1014,
-    X86_Int_CVTSD2SSrr	= 1015,
-    X86_Int_CVTSI2SD64rm	= 1016,
-    X86_Int_CVTSI2SD64rr	= 1017,
-    X86_Int_CVTSI2SDrm	= 1018,
-    X86_Int_CVTSI2SDrr	= 1019,
-    X86_Int_CVTSI2SS64rm	= 1020,
-    X86_Int_CVTSI2SS64rr	= 1021,
-    X86_Int_CVTSI2SSrm	= 1022,
-    X86_Int_CVTSI2SSrr	= 1023,
-    X86_Int_CVTSS2SDrm	= 1024,
-    X86_Int_CVTSS2SDrr	= 1025,
-    X86_Int_CVTTSD2SI64rm	= 1026,
-    X86_Int_CVTTSD2SI64rr	= 1027,
-    X86_Int_CVTTSD2SIrm	= 1028,
-    X86_Int_CVTTSD2SIrr	= 1029,
-    X86_Int_CVTTSS2SI64rm	= 1030,
-    X86_Int_CVTTSS2SI64rr	= 1031,
-    X86_Int_CVTTSS2SIrm	= 1032,
-    X86_Int_CVTTSS2SIrr	= 1033,
-    X86_Int_MemBarrier	= 1034,
-    X86_Int_UCOMISDrm	= 1035,
-    X86_Int_UCOMISDrr	= 1036,
-    X86_Int_UCOMISSrm	= 1037,
-    X86_Int_UCOMISSrr	= 1038,
-    X86_Int_VCMPSDrm	= 1039,
-    X86_Int_VCMPSDrr	= 1040,
-    X86_Int_VCMPSSrm	= 1041,
-    X86_Int_VCMPSSrr	= 1042,
-    X86_Int_VCOMISDZrm	= 1043,
-    X86_Int_VCOMISDZrr	= 1044,
-    X86_Int_VCOMISDrm	= 1045,
-    X86_Int_VCOMISDrr	= 1046,
-    X86_Int_VCOMISSZrm	= 1047,
-    X86_Int_VCOMISSZrr	= 1048,
-    X86_Int_VCOMISSrm	= 1049,
-    X86_Int_VCOMISSrr	= 1050,
-    X86_Int_VCVTSD2SSrm	= 1051,
-    X86_Int_VCVTSD2SSrr	= 1052,
-    X86_Int_VCVTSI2SD64Zrm	= 1053,
-    X86_Int_VCVTSI2SD64Zrr	= 1054,
-    X86_Int_VCVTSI2SD64rm	= 1055,
-    X86_Int_VCVTSI2SD64rr	= 1056,
-    X86_Int_VCVTSI2SDZrm	= 1057,
-    X86_Int_VCVTSI2SDZrr	= 1058,
-    X86_Int_VCVTSI2SDrm	= 1059,
-    X86_Int_VCVTSI2SDrr	= 1060,
-    X86_Int_VCVTSI2SS64Zrm	= 1061,
-    X86_Int_VCVTSI2SS64Zrr	= 1062,
-    X86_Int_VCVTSI2SS64rm	= 1063,
-    X86_Int_VCVTSI2SS64rr	= 1064,
-    X86_Int_VCVTSI2SSZrm	= 1065,
-    X86_Int_VCVTSI2SSZrr	= 1066,
-    X86_Int_VCVTSI2SSrm	= 1067,
-    X86_Int_VCVTSI2SSrr	= 1068,
-    X86_Int_VCVTSS2SDrm	= 1069,
-    X86_Int_VCVTSS2SDrr	= 1070,
-    X86_Int_VCVTTSD2SI64Zrm	= 1071,
-    X86_Int_VCVTTSD2SI64Zrr	= 1072,
-    X86_Int_VCVTTSD2SI64rm	= 1073,
-    X86_Int_VCVTTSD2SI64rr	= 1074,
-    X86_Int_VCVTTSD2SIZrm	= 1075,
-    X86_Int_VCVTTSD2SIZrr	= 1076,
-    X86_Int_VCVTTSD2SIrm	= 1077,
-    X86_Int_VCVTTSD2SIrr	= 1078,
-    X86_Int_VCVTTSD2USI64Zrm	= 1079,
-    X86_Int_VCVTTSD2USI64Zrr	= 1080,
-    X86_Int_VCVTTSD2USIZrm	= 1081,
-    X86_Int_VCVTTSD2USIZrr	= 1082,
-    X86_Int_VCVTTSS2SI64Zrm	= 1083,
-    X86_Int_VCVTTSS2SI64Zrr	= 1084,
-    X86_Int_VCVTTSS2SI64rm	= 1085,
-    X86_Int_VCVTTSS2SI64rr	= 1086,
-    X86_Int_VCVTTSS2SIZrm	= 1087,
-    X86_Int_VCVTTSS2SIZrr	= 1088,
-    X86_Int_VCVTTSS2SIrm	= 1089,
-    X86_Int_VCVTTSS2SIrr	= 1090,
-    X86_Int_VCVTTSS2USI64Zrm	= 1091,
-    X86_Int_VCVTTSS2USI64Zrr	= 1092,
-    X86_Int_VCVTTSS2USIZrm	= 1093,
-    X86_Int_VCVTTSS2USIZrr	= 1094,
-    X86_Int_VCVTUSI2SD64Zrm	= 1095,
-    X86_Int_VCVTUSI2SD64Zrr	= 1096,
-    X86_Int_VCVTUSI2SDZrm	= 1097,
-    X86_Int_VCVTUSI2SDZrr	= 1098,
-    X86_Int_VCVTUSI2SS64Zrm	= 1099,
-    X86_Int_VCVTUSI2SS64Zrr	= 1100,
-    X86_Int_VCVTUSI2SSZrm	= 1101,
-    X86_Int_VCVTUSI2SSZrr	= 1102,
-    X86_Int_VUCOMISDZrm	= 1103,
-    X86_Int_VUCOMISDZrr	= 1104,
-    X86_Int_VUCOMISDrm	= 1105,
-    X86_Int_VUCOMISDrr	= 1106,
-    X86_Int_VUCOMISSZrm	= 1107,
-    X86_Int_VUCOMISSZrr	= 1108,
-    X86_Int_VUCOMISSrm	= 1109,
-    X86_Int_VUCOMISSrr	= 1110,
-    X86_JAE_1	= 1111,
-    X86_JAE_2	= 1112,
-    X86_JAE_4	= 1113,
-    X86_JA_1	= 1114,
-    X86_JA_2	= 1115,
-    X86_JA_4	= 1116,
-    X86_JBE_1	= 1117,
-    X86_JBE_2	= 1118,
-    X86_JBE_4	= 1119,
-    X86_JB_1	= 1120,
-    X86_JB_2	= 1121,
-    X86_JB_4	= 1122,
-    X86_JCXZ	= 1123,
-    X86_JECXZ_32	= 1124,
-    X86_JECXZ_64	= 1125,
-    X86_JE_1	= 1126,
-    X86_JE_2	= 1127,
-    X86_JE_4	= 1128,
-    X86_JGE_1	= 1129,
-    X86_JGE_2	= 1130,
-    X86_JGE_4	= 1131,
-    X86_JG_1	= 1132,
-    X86_JG_2	= 1133,
-    X86_JG_4	= 1134,
-    X86_JLE_1	= 1135,
-    X86_JLE_2	= 1136,
-    X86_JLE_4	= 1137,
-    X86_JL_1	= 1138,
-    X86_JL_2	= 1139,
-    X86_JL_4	= 1140,
-    X86_JMP16m	= 1141,
-    X86_JMP16r	= 1142,
-    X86_JMP32m	= 1143,
-    X86_JMP32r	= 1144,
-    X86_JMP64m	= 1145,
-    X86_JMP64r	= 1146,
-    X86_JMP_1	= 1147,
-    X86_JMP_2	= 1148,
-    X86_JMP_4	= 1149,
-    X86_JNE_1	= 1150,
-    X86_JNE_2	= 1151,
-    X86_JNE_4	= 1152,
-    X86_JNO_1	= 1153,
-    X86_JNO_2	= 1154,
-    X86_JNO_4	= 1155,
-    X86_JNP_1	= 1156,
-    X86_JNP_2	= 1157,
-    X86_JNP_4	= 1158,
-    X86_JNS_1	= 1159,
-    X86_JNS_2	= 1160,
-    X86_JNS_4	= 1161,
-    X86_JO_1	= 1162,
-    X86_JO_2	= 1163,
-    X86_JO_4	= 1164,
-    X86_JP_1	= 1165,
-    X86_JP_2	= 1166,
-    X86_JP_4	= 1167,
-    X86_JRCXZ	= 1168,
-    X86_JS_1	= 1169,
-    X86_JS_2	= 1170,
-    X86_JS_4	= 1171,
-    X86_KANDNWrr	= 1172,
-    X86_KANDWrr	= 1173,
-    X86_KMOVWkk	= 1174,
-    X86_KMOVWkm	= 1175,
-    X86_KMOVWkr	= 1176,
-    X86_KMOVWmk	= 1177,
-    X86_KMOVWrk	= 1178,
-    X86_KNOTWrr	= 1179,
-    X86_KORTESTWrr	= 1180,
-    X86_KORWrr	= 1181,
-    X86_KSET0B	= 1182,
-    X86_KSET0W	= 1183,
-    X86_KSET1B	= 1184,
-    X86_KSET1W	= 1185,
-    X86_KSHIFTLWri	= 1186,
-    X86_KSHIFTRWri	= 1187,
-    X86_KUNPCKBWrr	= 1188,
-    X86_KXNORWrr	= 1189,
-    X86_KXORWrr	= 1190,
-    X86_LAHF	= 1191,
-    X86_LAR16rm	= 1192,
-    X86_LAR16rr	= 1193,
-    X86_LAR32rm	= 1194,
-    X86_LAR32rr	= 1195,
-    X86_LAR64rm	= 1196,
-    X86_LAR64rr	= 1197,
-    X86_LCMPXCHG16	= 1198,
-    X86_LCMPXCHG16B	= 1199,
-    X86_LCMPXCHG32	= 1200,
-    X86_LCMPXCHG64	= 1201,
-    X86_LCMPXCHG8	= 1202,
-    X86_LCMPXCHG8B	= 1203,
-    X86_LDDQUrm	= 1204,
-    X86_LDMXCSR	= 1205,
-    X86_LDS16rm	= 1206,
-    X86_LDS32rm	= 1207,
-    X86_LD_F0	= 1208,
-    X86_LD_F1	= 1209,
-    X86_LD_F32m	= 1210,
-    X86_LD_F64m	= 1211,
-    X86_LD_F80m	= 1212,
-    X86_LD_Fp032	= 1213,
-    X86_LD_Fp064	= 1214,
-    X86_LD_Fp080	= 1215,
-    X86_LD_Fp132	= 1216,
-    X86_LD_Fp164	= 1217,
-    X86_LD_Fp180	= 1218,
-    X86_LD_Fp32m	= 1219,
-    X86_LD_Fp32m64	= 1220,
-    X86_LD_Fp32m80	= 1221,
-    X86_LD_Fp64m	= 1222,
-    X86_LD_Fp64m80	= 1223,
-    X86_LD_Fp80m	= 1224,
-    X86_LD_Frr	= 1225,
-    X86_LEA16r	= 1226,
-    X86_LEA32r	= 1227,
-    X86_LEA64_32r	= 1228,
-    X86_LEA64r	= 1229,
-    X86_LEAVE	= 1230,
-    X86_LEAVE64	= 1231,
-    X86_LES16rm	= 1232,
-    X86_LES32rm	= 1233,
-    X86_LFENCE	= 1234,
-    X86_LFS16rm	= 1235,
-    X86_LFS32rm	= 1236,
-    X86_LFS64rm	= 1237,
-    X86_LGDT16m	= 1238,
-    X86_LGDT32m	= 1239,
-    X86_LGDT64m	= 1240,
-    X86_LGS16rm	= 1241,
-    X86_LGS32rm	= 1242,
-    X86_LGS64rm	= 1243,
-    X86_LIDT16m	= 1244,
-    X86_LIDT32m	= 1245,
-    X86_LIDT64m	= 1246,
-    X86_LLDT16m	= 1247,
-    X86_LLDT16r	= 1248,
-    X86_LMSW16m	= 1249,
-    X86_LMSW16r	= 1250,
-    X86_LOCK_ADD16mi	= 1251,
-    X86_LOCK_ADD16mi8	= 1252,
-    X86_LOCK_ADD16mr	= 1253,
-    X86_LOCK_ADD32mi	= 1254,
-    X86_LOCK_ADD32mi8	= 1255,
-    X86_LOCK_ADD32mr	= 1256,
-    X86_LOCK_ADD64mi32	= 1257,
-    X86_LOCK_ADD64mi8	= 1258,
-    X86_LOCK_ADD64mr	= 1259,
-    X86_LOCK_ADD8mi	= 1260,
-    X86_LOCK_ADD8mr	= 1261,
-    X86_LOCK_AND16mi	= 1262,
-    X86_LOCK_AND16mi8	= 1263,
-    X86_LOCK_AND16mr	= 1264,
-    X86_LOCK_AND32mi	= 1265,
-    X86_LOCK_AND32mi8	= 1266,
-    X86_LOCK_AND32mr	= 1267,
-    X86_LOCK_AND64mi32	= 1268,
-    X86_LOCK_AND64mi8	= 1269,
-    X86_LOCK_AND64mr	= 1270,
-    X86_LOCK_AND8mi	= 1271,
-    X86_LOCK_AND8mr	= 1272,
-    X86_LOCK_DEC16m	= 1273,
-    X86_LOCK_DEC32m	= 1274,
-    X86_LOCK_DEC64m	= 1275,
-    X86_LOCK_DEC8m	= 1276,
-    X86_LOCK_INC16m	= 1277,
-    X86_LOCK_INC32m	= 1278,
-    X86_LOCK_INC64m	= 1279,
-    X86_LOCK_INC8m	= 1280,
-    X86_LOCK_OR16mi	= 1281,
-    X86_LOCK_OR16mi8	= 1282,
-    X86_LOCK_OR16mr	= 1283,
-    X86_LOCK_OR32mi	= 1284,
-    X86_LOCK_OR32mi8	= 1285,
-    X86_LOCK_OR32mr	= 1286,
-    X86_LOCK_OR64mi32	= 1287,
-    X86_LOCK_OR64mi8	= 1288,
-    X86_LOCK_OR64mr	= 1289,
-    X86_LOCK_OR8mi	= 1290,
-    X86_LOCK_OR8mr	= 1291,
-    X86_LOCK_PREFIX	= 1292,
-    X86_LOCK_SUB16mi	= 1293,
-    X86_LOCK_SUB16mi8	= 1294,
-    X86_LOCK_SUB16mr	= 1295,
-    X86_LOCK_SUB32mi	= 1296,
-    X86_LOCK_SUB32mi8	= 1297,
-    X86_LOCK_SUB32mr	= 1298,
-    X86_LOCK_SUB64mi32	= 1299,
-    X86_LOCK_SUB64mi8	= 1300,
-    X86_LOCK_SUB64mr	= 1301,
-    X86_LOCK_SUB8mi	= 1302,
-    X86_LOCK_SUB8mr	= 1303,
-    X86_LOCK_XOR16mi	= 1304,
-    X86_LOCK_XOR16mi8	= 1305,
-    X86_LOCK_XOR16mr	= 1306,
-    X86_LOCK_XOR32mi	= 1307,
-    X86_LOCK_XOR32mi8	= 1308,
-    X86_LOCK_XOR32mr	= 1309,
-    X86_LOCK_XOR64mi32	= 1310,
-    X86_LOCK_XOR64mi8	= 1311,
-    X86_LOCK_XOR64mr	= 1312,
-    X86_LOCK_XOR8mi	= 1313,
-    X86_LOCK_XOR8mr	= 1314,
-    X86_LODSB	= 1315,
-    X86_LODSL	= 1316,
-    X86_LODSQ	= 1317,
-    X86_LODSW	= 1318,
-    X86_LOOP	= 1319,
-    X86_LOOPE	= 1320,
-    X86_LOOPNE	= 1321,
-    X86_LRETIL	= 1322,
-    X86_LRETIQ	= 1323,
-    X86_LRETIW	= 1324,
-    X86_LRETL	= 1325,
-    X86_LRETQ	= 1326,
-    X86_LRETW	= 1327,
-    X86_LSL16rm	= 1328,
-    X86_LSL16rr	= 1329,
-    X86_LSL32rm	= 1330,
-    X86_LSL32rr	= 1331,
-    X86_LSL64rm	= 1332,
-    X86_LSL64rr	= 1333,
-    X86_LSS16rm	= 1334,
-    X86_LSS32rm	= 1335,
-    X86_LSS64rm	= 1336,
-    X86_LTRm	= 1337,
-    X86_LTRr	= 1338,
-    X86_LXADD16	= 1339,
-    X86_LXADD32	= 1340,
-    X86_LXADD64	= 1341,
-    X86_LXADD8	= 1342,
-    X86_LZCNT16rm	= 1343,
-    X86_LZCNT16rr	= 1344,
-    X86_LZCNT32rm	= 1345,
-    X86_LZCNT32rr	= 1346,
-    X86_LZCNT64rm	= 1347,
-    X86_LZCNT64rr	= 1348,
-    X86_MASKMOVDQU	= 1349,
-    X86_MASKMOVDQU64	= 1350,
-    X86_MAXCPDrm	= 1351,
-    X86_MAXCPDrr	= 1352,
-    X86_MAXCPSrm	= 1353,
-    X86_MAXCPSrr	= 1354,
-    X86_MAXCSDrm	= 1355,
-    X86_MAXCSDrr	= 1356,
-    X86_MAXCSSrm	= 1357,
-    X86_MAXCSSrr	= 1358,
-    X86_MAXPDrm	= 1359,
-    X86_MAXPDrr	= 1360,
-    X86_MAXPSrm	= 1361,
-    X86_MAXPSrr	= 1362,
-    X86_MAXSDrm	= 1363,
-    X86_MAXSDrm_Int	= 1364,
-    X86_MAXSDrr	= 1365,
-    X86_MAXSDrr_Int	= 1366,
-    X86_MAXSSrm	= 1367,
-    X86_MAXSSrm_Int	= 1368,
-    X86_MAXSSrr	= 1369,
-    X86_MAXSSrr_Int	= 1370,
-    X86_MFENCE	= 1371,
-    X86_MINCPDrm	= 1372,
-    X86_MINCPDrr	= 1373,
-    X86_MINCPSrm	= 1374,
-    X86_MINCPSrr	= 1375,
-    X86_MINCSDrm	= 1376,
-    X86_MINCSDrr	= 1377,
-    X86_MINCSSrm	= 1378,
-    X86_MINCSSrr	= 1379,
-    X86_MINPDrm	= 1380,
-    X86_MINPDrr	= 1381,
-    X86_MINPSrm	= 1382,
-    X86_MINPSrr	= 1383,
-    X86_MINSDrm	= 1384,
-    X86_MINSDrm_Int	= 1385,
-    X86_MINSDrr	= 1386,
-    X86_MINSDrr_Int	= 1387,
-    X86_MINSSrm	= 1388,
-    X86_MINSSrm_Int	= 1389,
-    X86_MINSSrr	= 1390,
-    X86_MINSSrr_Int	= 1391,
-    X86_MMX_CVTPD2PIirm	= 1392,
-    X86_MMX_CVTPD2PIirr	= 1393,
-    X86_MMX_CVTPI2PDirm	= 1394,
-    X86_MMX_CVTPI2PDirr	= 1395,
-    X86_MMX_CVTPI2PSirm	= 1396,
-    X86_MMX_CVTPI2PSirr	= 1397,
-    X86_MMX_CVTPS2PIirm	= 1398,
-    X86_MMX_CVTPS2PIirr	= 1399,
-    X86_MMX_CVTTPD2PIirm	= 1400,
-    X86_MMX_CVTTPD2PIirr	= 1401,
-    X86_MMX_CVTTPS2PIirm	= 1402,
-    X86_MMX_CVTTPS2PIirr	= 1403,
-    X86_MMX_EMMS	= 1404,
-    X86_MMX_MASKMOVQ	= 1405,
-    X86_MMX_MASKMOVQ64	= 1406,
-    X86_MMX_MOVD64from64rr	= 1407,
-    X86_MMX_MOVD64grr	= 1408,
-    X86_MMX_MOVD64mr	= 1409,
-    X86_MMX_MOVD64rm	= 1410,
-    X86_MMX_MOVD64rr	= 1411,
-    X86_MMX_MOVD64to64rr	= 1412,
-    X86_MMX_MOVDQ2Qrr	= 1413,
-    X86_MMX_MOVFR642Qrr	= 1414,
-    X86_MMX_MOVNTQmr	= 1415,
-    X86_MMX_MOVQ2DQrr	= 1416,
-    X86_MMX_MOVQ2FR64rr	= 1417,
-    X86_MMX_MOVQ64mr	= 1418,
-    X86_MMX_MOVQ64rm	= 1419,
-    X86_MMX_MOVQ64rr	= 1420,
-    X86_MMX_PABSBrm64	= 1421,
-    X86_MMX_PABSBrr64	= 1422,
-    X86_MMX_PABSDrm64	= 1423,
-    X86_MMX_PABSDrr64	= 1424,
-    X86_MMX_PABSWrm64	= 1425,
-    X86_MMX_PABSWrr64	= 1426,
-    X86_MMX_PACKSSDWirm	= 1427,
-    X86_MMX_PACKSSDWirr	= 1428,
-    X86_MMX_PACKSSWBirm	= 1429,
-    X86_MMX_PACKSSWBirr	= 1430,
-    X86_MMX_PACKUSWBirm	= 1431,
-    X86_MMX_PACKUSWBirr	= 1432,
-    X86_MMX_PADDBirm	= 1433,
-    X86_MMX_PADDBirr	= 1434,
-    X86_MMX_PADDDirm	= 1435,
-    X86_MMX_PADDDirr	= 1436,
-    X86_MMX_PADDQirm	= 1437,
-    X86_MMX_PADDQirr	= 1438,
-    X86_MMX_PADDSBirm	= 1439,
-    X86_MMX_PADDSBirr	= 1440,
-    X86_MMX_PADDSWirm	= 1441,
-    X86_MMX_PADDSWirr	= 1442,
-    X86_MMX_PADDUSBirm	= 1443,
-    X86_MMX_PADDUSBirr	= 1444,
-    X86_MMX_PADDUSWirm	= 1445,
-    X86_MMX_PADDUSWirr	= 1446,
-    X86_MMX_PADDWirm	= 1447,
-    X86_MMX_PADDWirr	= 1448,
-    X86_MMX_PALIGNR64irm	= 1449,
-    X86_MMX_PALIGNR64irr	= 1450,
-    X86_MMX_PANDNirm	= 1451,
-    X86_MMX_PANDNirr	= 1452,
-    X86_MMX_PANDirm	= 1453,
-    X86_MMX_PANDirr	= 1454,
-    X86_MMX_PAVGBirm	= 1455,
-    X86_MMX_PAVGBirr	= 1456,
-    X86_MMX_PAVGWirm	= 1457,
-    X86_MMX_PAVGWirr	= 1458,
-    X86_MMX_PCMPEQBirm	= 1459,
-    X86_MMX_PCMPEQBirr	= 1460,
-    X86_MMX_PCMPEQDirm	= 1461,
-    X86_MMX_PCMPEQDirr	= 1462,
-    X86_MMX_PCMPEQWirm	= 1463,
-    X86_MMX_PCMPEQWirr	= 1464,
-    X86_MMX_PCMPGTBirm	= 1465,
-    X86_MMX_PCMPGTBirr	= 1466,
-    X86_MMX_PCMPGTDirm	= 1467,
-    X86_MMX_PCMPGTDirr	= 1468,
-    X86_MMX_PCMPGTWirm	= 1469,
-    X86_MMX_PCMPGTWirr	= 1470,
-    X86_MMX_PEXTRWirri	= 1471,
-    X86_MMX_PHADDSWrm64	= 1472,
-    X86_MMX_PHADDSWrr64	= 1473,
-    X86_MMX_PHADDWrm64	= 1474,
-    X86_MMX_PHADDWrr64	= 1475,
-    X86_MMX_PHADDrm64	= 1476,
-    X86_MMX_PHADDrr64	= 1477,
-    X86_MMX_PHSUBDrm64	= 1478,
-    X86_MMX_PHSUBDrr64	= 1479,
-    X86_MMX_PHSUBSWrm64	= 1480,
-    X86_MMX_PHSUBSWrr64	= 1481,
-    X86_MMX_PHSUBWrm64	= 1482,
-    X86_MMX_PHSUBWrr64	= 1483,
-    X86_MMX_PINSRWirmi	= 1484,
-    X86_MMX_PINSRWirri	= 1485,
-    X86_MMX_PMADDUBSWrm64	= 1486,
-    X86_MMX_PMADDUBSWrr64	= 1487,
-    X86_MMX_PMADDWDirm	= 1488,
-    X86_MMX_PMADDWDirr	= 1489,
-    X86_MMX_PMAXSWirm	= 1490,
-    X86_MMX_PMAXSWirr	= 1491,
-    X86_MMX_PMAXUBirm	= 1492,
-    X86_MMX_PMAXUBirr	= 1493,
-    X86_MMX_PMINSWirm	= 1494,
-    X86_MMX_PMINSWirr	= 1495,
-    X86_MMX_PMINUBirm	= 1496,
-    X86_MMX_PMINUBirr	= 1497,
-    X86_MMX_PMOVMSKBrr	= 1498,
-    X86_MMX_PMULHRSWrm64	= 1499,
-    X86_MMX_PMULHRSWrr64	= 1500,
-    X86_MMX_PMULHUWirm	= 1501,
-    X86_MMX_PMULHUWirr	= 1502,
-    X86_MMX_PMULHWirm	= 1503,
-    X86_MMX_PMULHWirr	= 1504,
-    X86_MMX_PMULLWirm	= 1505,
-    X86_MMX_PMULLWirr	= 1506,
-    X86_MMX_PMULUDQirm	= 1507,
-    X86_MMX_PMULUDQirr	= 1508,
-    X86_MMX_PORirm	= 1509,
-    X86_MMX_PORirr	= 1510,
-    X86_MMX_PSADBWirm	= 1511,
-    X86_MMX_PSADBWirr	= 1512,
-    X86_MMX_PSHUFBrm64	= 1513,
-    X86_MMX_PSHUFBrr64	= 1514,
-    X86_MMX_PSHUFWmi	= 1515,
-    X86_MMX_PSHUFWri	= 1516,
-    X86_MMX_PSIGNBrm64	= 1517,
-    X86_MMX_PSIGNBrr64	= 1518,
-    X86_MMX_PSIGNDrm64	= 1519,
-    X86_MMX_PSIGNDrr64	= 1520,
-    X86_MMX_PSIGNWrm64	= 1521,
-    X86_MMX_PSIGNWrr64	= 1522,
-    X86_MMX_PSLLDri	= 1523,
-    X86_MMX_PSLLDrm	= 1524,
-    X86_MMX_PSLLDrr	= 1525,
-    X86_MMX_PSLLQri	= 1526,
-    X86_MMX_PSLLQrm	= 1527,
-    X86_MMX_PSLLQrr	= 1528,
-    X86_MMX_PSLLWri	= 1529,
-    X86_MMX_PSLLWrm	= 1530,
-    X86_MMX_PSLLWrr	= 1531,
-    X86_MMX_PSRADri	= 1532,
-    X86_MMX_PSRADrm	= 1533,
-    X86_MMX_PSRADrr	= 1534,
-    X86_MMX_PSRAWri	= 1535,
-    X86_MMX_PSRAWrm	= 1536,
-    X86_MMX_PSRAWrr	= 1537,
-    X86_MMX_PSRLDri	= 1538,
-    X86_MMX_PSRLDrm	= 1539,
-    X86_MMX_PSRLDrr	= 1540,
-    X86_MMX_PSRLQri	= 1541,
-    X86_MMX_PSRLQrm	= 1542,
-    X86_MMX_PSRLQrr	= 1543,
-    X86_MMX_PSRLWri	= 1544,
-    X86_MMX_PSRLWrm	= 1545,
-    X86_MMX_PSRLWrr	= 1546,
-    X86_MMX_PSUBBirm	= 1547,
-    X86_MMX_PSUBBirr	= 1548,
-    X86_MMX_PSUBDirm	= 1549,
-    X86_MMX_PSUBDirr	= 1550,
-    X86_MMX_PSUBQirm	= 1551,
-    X86_MMX_PSUBQirr	= 1552,
-    X86_MMX_PSUBSBirm	= 1553,
-    X86_MMX_PSUBSBirr	= 1554,
-    X86_MMX_PSUBSWirm	= 1555,
-    X86_MMX_PSUBSWirr	= 1556,
-    X86_MMX_PSUBUSBirm	= 1557,
-    X86_MMX_PSUBUSBirr	= 1558,
-    X86_MMX_PSUBUSWirm	= 1559,
-    X86_MMX_PSUBUSWirr	= 1560,
-    X86_MMX_PSUBWirm	= 1561,
-    X86_MMX_PSUBWirr	= 1562,
-    X86_MMX_PUNPCKHBWirm	= 1563,
-    X86_MMX_PUNPCKHBWirr	= 1564,
-    X86_MMX_PUNPCKHDQirm	= 1565,
-    X86_MMX_PUNPCKHDQirr	= 1566,
-    X86_MMX_PUNPCKHWDirm	= 1567,
-    X86_MMX_PUNPCKHWDirr	= 1568,
-    X86_MMX_PUNPCKLBWirm	= 1569,
-    X86_MMX_PUNPCKLBWirr	= 1570,
-    X86_MMX_PUNPCKLDQirm	= 1571,
-    X86_MMX_PUNPCKLDQirr	= 1572,
-    X86_MMX_PUNPCKLWDirm	= 1573,
-    X86_MMX_PUNPCKLWDirr	= 1574,
-    X86_MMX_PXORirm	= 1575,
-    X86_MMX_PXORirr	= 1576,
-    X86_MONITOR	= 1577,
-    X86_MONITORrrr	= 1578,
-    X86_MONTMUL	= 1579,
-    X86_MORESTACK_RET	= 1580,
-    X86_MORESTACK_RET_RESTORE_R10	= 1581,
-    X86_MOV16ao16	= 1582,
-    X86_MOV16ao16_16	= 1583,
-    X86_MOV16mi	= 1584,
-    X86_MOV16mr	= 1585,
-    X86_MOV16ms	= 1586,
-    X86_MOV16o16a	= 1587,
-    X86_MOV16o16a_16	= 1588,
-    X86_MOV16ri	= 1589,
-    X86_MOV16ri_alt	= 1590,
-    X86_MOV16rm	= 1591,
-    X86_MOV16rr	= 1592,
-    X86_MOV16rr_REV	= 1593,
-    X86_MOV16rs	= 1594,
-    X86_MOV16sm	= 1595,
-    X86_MOV16sr	= 1596,
-    X86_MOV32ao32	= 1597,
-    X86_MOV32ao32_16	= 1598,
-    X86_MOV32cr	= 1599,
-    X86_MOV32dr	= 1600,
-    X86_MOV32mi	= 1601,
-    X86_MOV32mr	= 1602,
-    X86_MOV32ms	= 1603,
-    X86_MOV32o32a	= 1604,
-    X86_MOV32o32a_16	= 1605,
-    X86_MOV32r0	= 1606,
-    X86_MOV32rc	= 1607,
-    X86_MOV32rd	= 1608,
-    X86_MOV32ri	= 1609,
-    X86_MOV32ri64	= 1610,
-    X86_MOV32ri_alt	= 1611,
-    X86_MOV32rm	= 1612,
-    X86_MOV32rr	= 1613,
-    X86_MOV32rr_REV	= 1614,
-    X86_MOV32rs	= 1615,
-    X86_MOV32sm	= 1616,
-    X86_MOV32sr	= 1617,
-    X86_MOV64ao16	= 1618,
-    X86_MOV64ao32	= 1619,
-    X86_MOV64ao64	= 1620,
-    X86_MOV64ao8	= 1621,
-    X86_MOV64cr	= 1622,
-    X86_MOV64dr	= 1623,
-    X86_MOV64mi32	= 1624,
-    X86_MOV64mr	= 1625,
-    X86_MOV64ms	= 1626,
-    X86_MOV64o16a	= 1627,
-    X86_MOV64o32a	= 1628,
-    X86_MOV64o64a	= 1629,
-    X86_MOV64o8a	= 1630,
-    X86_MOV64rc	= 1631,
-    X86_MOV64rd	= 1632,
-    X86_MOV64ri	= 1633,
-    X86_MOV64ri32	= 1634,
-    X86_MOV64rm	= 1635,
-    X86_MOV64rr	= 1636,
-    X86_MOV64rr_REV	= 1637,
-    X86_MOV64rs	= 1638,
-    X86_MOV64sm	= 1639,
-    X86_MOV64sr	= 1640,
-    X86_MOV64toPQIrr	= 1641,
-    X86_MOV64toSDrm	= 1642,
-    X86_MOV64toSDrr	= 1643,
-    X86_MOV8ao8	= 1644,
-    X86_MOV8ao8_16	= 1645,
-    X86_MOV8mi	= 1646,
-    X86_MOV8mr	= 1647,
-    X86_MOV8mr_NOREX	= 1648,
-    X86_MOV8o8a	= 1649,
-    X86_MOV8o8a_16	= 1650,
-    X86_MOV8ri	= 1651,
-    X86_MOV8ri_alt	= 1652,
-    X86_MOV8rm	= 1653,
-    X86_MOV8rm_NOREX	= 1654,
-    X86_MOV8rr	= 1655,
-    X86_MOV8rr_NOREX	= 1656,
-    X86_MOV8rr_REV	= 1657,
-    X86_MOVAPDmr	= 1658,
-    X86_MOVAPDrm	= 1659,
-    X86_MOVAPDrr	= 1660,
-    X86_MOVAPDrr_REV	= 1661,
-    X86_MOVAPSmr	= 1662,
-    X86_MOVAPSrm	= 1663,
-    X86_MOVAPSrr	= 1664,
-    X86_MOVAPSrr_REV	= 1665,
-    X86_MOVBE16mr	= 1666,
-    X86_MOVBE16rm	= 1667,
-    X86_MOVBE32mr	= 1668,
-    X86_MOVBE32rm	= 1669,
-    X86_MOVBE64mr	= 1670,
-    X86_MOVBE64rm	= 1671,
-    X86_MOVDDUPrm	= 1672,
-    X86_MOVDDUPrr	= 1673,
-    X86_MOVDI2PDIrm	= 1674,
-    X86_MOVDI2PDIrr	= 1675,
-    X86_MOVDI2SSrm	= 1676,
-    X86_MOVDI2SSrr	= 1677,
-    X86_MOVDQAmr	= 1678,
-    X86_MOVDQArm	= 1679,
-    X86_MOVDQArr	= 1680,
-    X86_MOVDQArr_REV	= 1681,
-    X86_MOVDQUmr	= 1682,
-    X86_MOVDQUrm	= 1683,
-    X86_MOVDQUrr	= 1684,
-    X86_MOVDQUrr_REV	= 1685,
-    X86_MOVHLPSrr	= 1686,
-    X86_MOVHPDmr	= 1687,
-    X86_MOVHPDrm	= 1688,
-    X86_MOVHPSmr	= 1689,
-    X86_MOVHPSrm	= 1690,
-    X86_MOVLHPSrr	= 1691,
-    X86_MOVLPDmr	= 1692,
-    X86_MOVLPDrm	= 1693,
-    X86_MOVLPSmr	= 1694,
-    X86_MOVLPSrm	= 1695,
-    X86_MOVMSKPDrr	= 1696,
-    X86_MOVMSKPSrr	= 1697,
-    X86_MOVNTDQArm	= 1698,
-    X86_MOVNTDQmr	= 1699,
-    X86_MOVNTI_64mr	= 1700,
-    X86_MOVNTImr	= 1701,
-    X86_MOVNTPDmr	= 1702,
-    X86_MOVNTPSmr	= 1703,
-    X86_MOVNTSD	= 1704,
-    X86_MOVNTSS	= 1705,
-    X86_MOVPC32r	= 1706,
-    X86_MOVPDI2DImr	= 1707,
-    X86_MOVPDI2DIrr	= 1708,
-    X86_MOVPQI2QImr	= 1709,
-    X86_MOVPQI2QIrr	= 1710,
-    X86_MOVPQIto64rr	= 1711,
-    X86_MOVQI2PQIrm	= 1712,
-    X86_MOVSB	= 1713,
-    X86_MOVSDmr	= 1714,
-    X86_MOVSDrm	= 1715,
-    X86_MOVSDrr	= 1716,
-    X86_MOVSDrr_REV	= 1717,
-    X86_MOVSDto64mr	= 1718,
-    X86_MOVSDto64rr	= 1719,
-    X86_MOVSHDUPrm	= 1720,
-    X86_MOVSHDUPrr	= 1721,
-    X86_MOVSL	= 1722,
-    X86_MOVSLDUPrm	= 1723,
-    X86_MOVSLDUPrr	= 1724,
-    X86_MOVSQ	= 1725,
-    X86_MOVSS2DImr	= 1726,
-    X86_MOVSS2DIrr	= 1727,
-    X86_MOVSSmr	= 1728,
-    X86_MOVSSrm	= 1729,
-    X86_MOVSSrr	= 1730,
-    X86_MOVSSrr_REV	= 1731,
-    X86_MOVSW	= 1732,
-    X86_MOVSX16rm8	= 1733,
-    X86_MOVSX16rr8	= 1734,
-    X86_MOVSX32rm16	= 1735,
-    X86_MOVSX32rm8	= 1736,
-    X86_MOVSX32rr16	= 1737,
-    X86_MOVSX32rr8	= 1738,
-    X86_MOVSX64rm16	= 1739,
-    X86_MOVSX64rm32	= 1740,
-    X86_MOVSX64rm8	= 1741,
-    X86_MOVSX64rr16	= 1742,
-    X86_MOVSX64rr32	= 1743,
-    X86_MOVSX64rr8	= 1744,
-    X86_MOVUPDmr	= 1745,
-    X86_MOVUPDrm	= 1746,
-    X86_MOVUPDrr	= 1747,
-    X86_MOVUPDrr_REV	= 1748,
-    X86_MOVUPSmr	= 1749,
-    X86_MOVUPSrm	= 1750,
-    X86_MOVUPSrr	= 1751,
-    X86_MOVUPSrr_REV	= 1752,
-    X86_MOVZPQILo2PQIrm	= 1753,
-    X86_MOVZPQILo2PQIrr	= 1754,
-    X86_MOVZQI2PQIrm	= 1755,
-    X86_MOVZQI2PQIrr	= 1756,
-    X86_MOVZX16rm8	= 1757,
-    X86_MOVZX16rr8	= 1758,
-    X86_MOVZX32_NOREXrm8	= 1759,
-    X86_MOVZX32_NOREXrr8	= 1760,
-    X86_MOVZX32rm16	= 1761,
-    X86_MOVZX32rm8	= 1762,
-    X86_MOVZX32rr16	= 1763,
-    X86_MOVZX32rr8	= 1764,
-    X86_MOVZX64rm16_Q	= 1765,
-    X86_MOVZX64rm8_Q	= 1766,
-    X86_MOVZX64rr16_Q	= 1767,
-    X86_MOVZX64rr8_Q	= 1768,
-    X86_MPSADBWrmi	= 1769,
-    X86_MPSADBWrri	= 1770,
-    X86_MUL16m	= 1771,
-    X86_MUL16r	= 1772,
-    X86_MUL32m	= 1773,
-    X86_MUL32r	= 1774,
-    X86_MUL64m	= 1775,
-    X86_MUL64r	= 1776,
-    X86_MUL8m	= 1777,
-    X86_MUL8r	= 1778,
-    X86_MULPDrm	= 1779,
-    X86_MULPDrr	= 1780,
-    X86_MULPSrm	= 1781,
-    X86_MULPSrr	= 1782,
-    X86_MULSDrm	= 1783,
-    X86_MULSDrm_Int	= 1784,
-    X86_MULSDrr	= 1785,
-    X86_MULSDrr_Int	= 1786,
-    X86_MULSSrm	= 1787,
-    X86_MULSSrm_Int	= 1788,
-    X86_MULSSrr	= 1789,
-    X86_MULSSrr_Int	= 1790,
-    X86_MULX32rm	= 1791,
-    X86_MULX32rr	= 1792,
-    X86_MULX64rm	= 1793,
-    X86_MULX64rr	= 1794,
-    X86_MUL_F32m	= 1795,
-    X86_MUL_F64m	= 1796,
-    X86_MUL_FI16m	= 1797,
-    X86_MUL_FI32m	= 1798,
-    X86_MUL_FPrST0	= 1799,
-    X86_MUL_FST0r	= 1800,
-    X86_MUL_Fp32	= 1801,
-    X86_MUL_Fp32m	= 1802,
-    X86_MUL_Fp64	= 1803,
-    X86_MUL_Fp64m	= 1804,
-    X86_MUL_Fp64m32	= 1805,
-    X86_MUL_Fp80	= 1806,
-    X86_MUL_Fp80m32	= 1807,
-    X86_MUL_Fp80m64	= 1808,
-    X86_MUL_FpI16m32	= 1809,
-    X86_MUL_FpI16m64	= 1810,
-    X86_MUL_FpI16m80	= 1811,
-    X86_MUL_FpI32m32	= 1812,
-    X86_MUL_FpI32m64	= 1813,
-    X86_MUL_FpI32m80	= 1814,
-    X86_MUL_FrST0	= 1815,
-    X86_MWAITrr	= 1816,
-    X86_NEG16m	= 1817,
-    X86_NEG16r	= 1818,
-    X86_NEG32m	= 1819,
-    X86_NEG32r	= 1820,
-    X86_NEG64m	= 1821,
-    X86_NEG64r	= 1822,
-    X86_NEG8m	= 1823,
-    X86_NEG8r	= 1824,
-    X86_NOOP	= 1825,
-    X86_NOOP18_16m4	= 1826,
-    X86_NOOP18_16m5	= 1827,
-    X86_NOOP18_16m6	= 1828,
-    X86_NOOP18_16m7	= 1829,
-    X86_NOOP18_16r4	= 1830,
-    X86_NOOP18_16r5	= 1831,
-    X86_NOOP18_16r6	= 1832,
-    X86_NOOP18_16r7	= 1833,
-    X86_NOOP18_m4	= 1834,
-    X86_NOOP18_m5	= 1835,
-    X86_NOOP18_m6	= 1836,
-    X86_NOOP18_m7	= 1837,
-    X86_NOOP18_r4	= 1838,
-    X86_NOOP18_r5	= 1839,
-    X86_NOOP18_r6	= 1840,
-    X86_NOOP18_r7	= 1841,
-    X86_NOOPL	= 1842,
-    X86_NOOPL_19	= 1843,
-    X86_NOOPL_1a	= 1844,
-    X86_NOOPL_1b	= 1845,
-    X86_NOOPL_1c	= 1846,
-    X86_NOOPL_1d	= 1847,
-    X86_NOOPL_1e	= 1848,
-    X86_NOOPW	= 1849,
-    X86_NOOPW_19	= 1850,
-    X86_NOOPW_1a	= 1851,
-    X86_NOOPW_1b	= 1852,
-    X86_NOOPW_1c	= 1853,
-    X86_NOOPW_1d	= 1854,
-    X86_NOOPW_1e	= 1855,
-    X86_NOT16m	= 1856,
-    X86_NOT16r	= 1857,
-    X86_NOT32m	= 1858,
-    X86_NOT32r	= 1859,
-    X86_NOT64m	= 1860,
-    X86_NOT64r	= 1861,
-    X86_NOT8m	= 1862,
-    X86_NOT8r	= 1863,
-    X86_OR16i16	= 1864,
-    X86_OR16mi	= 1865,
-    X86_OR16mi8	= 1866,
-    X86_OR16mr	= 1867,
-    X86_OR16ri	= 1868,
-    X86_OR16ri8	= 1869,
-    X86_OR16rm	= 1870,
-    X86_OR16rr	= 1871,
-    X86_OR16rr_REV	= 1872,
-    X86_OR32i32	= 1873,
-    X86_OR32mi	= 1874,
-    X86_OR32mi8	= 1875,
-    X86_OR32mr	= 1876,
-    X86_OR32mrLocked	= 1877,
-    X86_OR32ri	= 1878,
-    X86_OR32ri8	= 1879,
-    X86_OR32rm	= 1880,
-    X86_OR32rr	= 1881,
-    X86_OR32rr_REV	= 1882,
-    X86_OR64i32	= 1883,
-    X86_OR64mi32	= 1884,
-    X86_OR64mi8	= 1885,
-    X86_OR64mr	= 1886,
-    X86_OR64ri32	= 1887,
-    X86_OR64ri8	= 1888,
-    X86_OR64rm	= 1889,
-    X86_OR64rr	= 1890,
-    X86_OR64rr_REV	= 1891,
-    X86_OR8i8	= 1892,
-    X86_OR8mi	= 1893,
-    X86_OR8mr	= 1894,
-    X86_OR8ri	= 1895,
-    X86_OR8ri8	= 1896,
-    X86_OR8rm	= 1897,
-    X86_OR8rr	= 1898,
-    X86_OR8rr_REV	= 1899,
-    X86_ORPDrm	= 1900,
-    X86_ORPDrr	= 1901,
-    X86_ORPSrm	= 1902,
-    X86_ORPSrr	= 1903,
-    X86_OUT16ir	= 1904,
-    X86_OUT16rr	= 1905,
-    X86_OUT32ir	= 1906,
-    X86_OUT32rr	= 1907,
-    X86_OUT8ir	= 1908,
-    X86_OUT8rr	= 1909,
-    X86_OUTSB	= 1910,
-    X86_OUTSL	= 1911,
-    X86_OUTSW	= 1912,
-    X86_PABSBrm128	= 1913,
-    X86_PABSBrr128	= 1914,
-    X86_PABSDrm128	= 1915,
-    X86_PABSDrr128	= 1916,
-    X86_PABSWrm128	= 1917,
-    X86_PABSWrr128	= 1918,
-    X86_PACKSSDWrm	= 1919,
-    X86_PACKSSDWrr	= 1920,
-    X86_PACKSSWBrm	= 1921,
-    X86_PACKSSWBrr	= 1922,
-    X86_PACKUSDWrm	= 1923,
-    X86_PACKUSDWrr	= 1924,
-    X86_PACKUSWBrm	= 1925,
-    X86_PACKUSWBrr	= 1926,
-    X86_PADDBrm	= 1927,
-    X86_PADDBrr	= 1928,
-    X86_PADDDrm	= 1929,
-    X86_PADDDrr	= 1930,
-    X86_PADDQrm	= 1931,
-    X86_PADDQrr	= 1932,
-    X86_PADDSBrm	= 1933,
-    X86_PADDSBrr	= 1934,
-    X86_PADDSWrm	= 1935,
-    X86_PADDSWrr	= 1936,
-    X86_PADDUSBrm	= 1937,
-    X86_PADDUSBrr	= 1938,
-    X86_PADDUSWrm	= 1939,
-    X86_PADDUSWrr	= 1940,
-    X86_PADDWrm	= 1941,
-    X86_PADDWrr	= 1942,
-    X86_PALIGNR128rm	= 1943,
-    X86_PALIGNR128rr	= 1944,
-    X86_PANDNrm	= 1945,
-    X86_PANDNrr	= 1946,
-    X86_PANDrm	= 1947,
-    X86_PANDrr	= 1948,
-    X86_PAUSE	= 1949,
-    X86_PAVGBrm	= 1950,
-    X86_PAVGBrr	= 1951,
-    X86_PAVGUSBrm	= 1952,
-    X86_PAVGUSBrr	= 1953,
-    X86_PAVGWrm	= 1954,
-    X86_PAVGWrr	= 1955,
-    X86_PBLENDVBrm0	= 1956,
-    X86_PBLENDVBrr0	= 1957,
-    X86_PBLENDWrmi	= 1958,
-    X86_PBLENDWrri	= 1959,
-    X86_PCLMULQDQrm	= 1960,
-    X86_PCLMULQDQrr	= 1961,
-    X86_PCMPEQBrm	= 1962,
-    X86_PCMPEQBrr	= 1963,
-    X86_PCMPEQDrm	= 1964,
-    X86_PCMPEQDrr	= 1965,
-    X86_PCMPEQQrm	= 1966,
-    X86_PCMPEQQrr	= 1967,
-    X86_PCMPEQWrm	= 1968,
-    X86_PCMPEQWrr	= 1969,
-    X86_PCMPESTRIMEM	= 1970,
-    X86_PCMPESTRIREG	= 1971,
-    X86_PCMPESTRIrm	= 1972,
-    X86_PCMPESTRIrr	= 1973,
-    X86_PCMPESTRM128MEM	= 1974,
-    X86_PCMPESTRM128REG	= 1975,
-    X86_PCMPESTRM128rm	= 1976,
-    X86_PCMPESTRM128rr	= 1977,
-    X86_PCMPGTBrm	= 1978,
-    X86_PCMPGTBrr	= 1979,
-    X86_PCMPGTDrm	= 1980,
-    X86_PCMPGTDrr	= 1981,
-    X86_PCMPGTQrm	= 1982,
-    X86_PCMPGTQrr	= 1983,
-    X86_PCMPGTWrm	= 1984,
-    X86_PCMPGTWrr	= 1985,
-    X86_PCMPISTRIMEM	= 1986,
-    X86_PCMPISTRIREG	= 1987,
-    X86_PCMPISTRIrm	= 1988,
-    X86_PCMPISTRIrr	= 1989,
-    X86_PCMPISTRM128MEM	= 1990,
-    X86_PCMPISTRM128REG	= 1991,
-    X86_PCMPISTRM128rm	= 1992,
-    X86_PCMPISTRM128rr	= 1993,
-    X86_PDEP32rm	= 1994,
-    X86_PDEP32rr	= 1995,
-    X86_PDEP64rm	= 1996,
-    X86_PDEP64rr	= 1997,
-    X86_PEXT32rm	= 1998,
-    X86_PEXT32rr	= 1999,
-    X86_PEXT64rm	= 2000,
-    X86_PEXT64rr	= 2001,
-    X86_PEXTRBmr	= 2002,
-    X86_PEXTRBrr	= 2003,
-    X86_PEXTRDmr	= 2004,
-    X86_PEXTRDrr	= 2005,
-    X86_PEXTRQmr	= 2006,
-    X86_PEXTRQrr	= 2007,
-    X86_PEXTRWmr	= 2008,
-    X86_PEXTRWri	= 2009,
-    X86_PEXTRWrr_REV	= 2010,
-    X86_PF2IDrm	= 2011,
-    X86_PF2IDrr	= 2012,
-    X86_PF2IWrm	= 2013,
-    X86_PF2IWrr	= 2014,
-    X86_PFACCrm	= 2015,
-    X86_PFACCrr	= 2016,
-    X86_PFADDrm	= 2017,
-    X86_PFADDrr	= 2018,
-    X86_PFCMPEQrm	= 2019,
-    X86_PFCMPEQrr	= 2020,
-    X86_PFCMPGErm	= 2021,
-    X86_PFCMPGErr	= 2022,
-    X86_PFCMPGTrm	= 2023,
-    X86_PFCMPGTrr	= 2024,
-    X86_PFMAXrm	= 2025,
-    X86_PFMAXrr	= 2026,
-    X86_PFMINrm	= 2027,
-    X86_PFMINrr	= 2028,
-    X86_PFMULrm	= 2029,
-    X86_PFMULrr	= 2030,
-    X86_PFNACCrm	= 2031,
-    X86_PFNACCrr	= 2032,
-    X86_PFPNACCrm	= 2033,
-    X86_PFPNACCrr	= 2034,
-    X86_PFRCPIT1rm	= 2035,
-    X86_PFRCPIT1rr	= 2036,
-    X86_PFRCPIT2rm	= 2037,
-    X86_PFRCPIT2rr	= 2038,
-    X86_PFRCPrm	= 2039,
-    X86_PFRCPrr	= 2040,
-    X86_PFRSQIT1rm	= 2041,
-    X86_PFRSQIT1rr	= 2042,
-    X86_PFRSQRTrm	= 2043,
-    X86_PFRSQRTrr	= 2044,
-    X86_PFSUBRrm	= 2045,
-    X86_PFSUBRrr	= 2046,
-    X86_PFSUBrm	= 2047,
-    X86_PFSUBrr	= 2048,
-    X86_PHADDDrm	= 2049,
-    X86_PHADDDrr	= 2050,
-    X86_PHADDSWrm128	= 2051,
-    X86_PHADDSWrr128	= 2052,
-    X86_PHADDWrm	= 2053,
-    X86_PHADDWrr	= 2054,
-    X86_PHMINPOSUWrm128	= 2055,
-    X86_PHMINPOSUWrr128	= 2056,
-    X86_PHSUBDrm	= 2057,
-    X86_PHSUBDrr	= 2058,
-    X86_PHSUBSWrm128	= 2059,
-    X86_PHSUBSWrr128	= 2060,
-    X86_PHSUBWrm	= 2061,
-    X86_PHSUBWrr	= 2062,
-    X86_PI2FDrm	= 2063,
-    X86_PI2FDrr	= 2064,
-    X86_PI2FWrm	= 2065,
-    X86_PI2FWrr	= 2066,
-    X86_PINSRBrm	= 2067,
-    X86_PINSRBrr	= 2068,
-    X86_PINSRDrm	= 2069,
-    X86_PINSRDrr	= 2070,
-    X86_PINSRQrm	= 2071,
-    X86_PINSRQrr	= 2072,
-    X86_PINSRWrmi	= 2073,
-    X86_PINSRWrri	= 2074,
-    X86_PMADDUBSWrm128	= 2075,
-    X86_PMADDUBSWrr128	= 2076,
-    X86_PMADDWDrm	= 2077,
-    X86_PMADDWDrr	= 2078,
-    X86_PMAXSBrm	= 2079,
-    X86_PMAXSBrr	= 2080,
-    X86_PMAXSDrm	= 2081,
-    X86_PMAXSDrr	= 2082,
-    X86_PMAXSWrm	= 2083,
-    X86_PMAXSWrr	= 2084,
-    X86_PMAXUBrm	= 2085,
-    X86_PMAXUBrr	= 2086,
-    X86_PMAXUDrm	= 2087,
-    X86_PMAXUDrr	= 2088,
-    X86_PMAXUWrm	= 2089,
-    X86_PMAXUWrr	= 2090,
-    X86_PMINSBrm	= 2091,
-    X86_PMINSBrr	= 2092,
-    X86_PMINSDrm	= 2093,
-    X86_PMINSDrr	= 2094,
-    X86_PMINSWrm	= 2095,
-    X86_PMINSWrr	= 2096,
-    X86_PMINUBrm	= 2097,
-    X86_PMINUBrr	= 2098,
-    X86_PMINUDrm	= 2099,
-    X86_PMINUDrr	= 2100,
-    X86_PMINUWrm	= 2101,
-    X86_PMINUWrr	= 2102,
-    X86_PMOVMSKBrr	= 2103,
-    X86_PMOVSXBDrm	= 2104,
-    X86_PMOVSXBDrr	= 2105,
-    X86_PMOVSXBQrm	= 2106,
-    X86_PMOVSXBQrr	= 2107,
-    X86_PMOVSXBWrm	= 2108,
-    X86_PMOVSXBWrr	= 2109,
-    X86_PMOVSXDQrm	= 2110,
-    X86_PMOVSXDQrr	= 2111,
-    X86_PMOVSXWDrm	= 2112,
-    X86_PMOVSXWDrr	= 2113,
-    X86_PMOVSXWQrm	= 2114,
-    X86_PMOVSXWQrr	= 2115,
-    X86_PMOVZXBDrm	= 2116,
-    X86_PMOVZXBDrr	= 2117,
-    X86_PMOVZXBQrm	= 2118,
-    X86_PMOVZXBQrr	= 2119,
-    X86_PMOVZXBWrm	= 2120,
-    X86_PMOVZXBWrr	= 2121,
-    X86_PMOVZXDQrm	= 2122,
-    X86_PMOVZXDQrr	= 2123,
-    X86_PMOVZXWDrm	= 2124,
-    X86_PMOVZXWDrr	= 2125,
-    X86_PMOVZXWQrm	= 2126,
-    X86_PMOVZXWQrr	= 2127,
-    X86_PMULDQrm	= 2128,
-    X86_PMULDQrr	= 2129,
-    X86_PMULHRSWrm128	= 2130,
-    X86_PMULHRSWrr128	= 2131,
-    X86_PMULHRWrm	= 2132,
-    X86_PMULHRWrr	= 2133,
-    X86_PMULHUWrm	= 2134,
-    X86_PMULHUWrr	= 2135,
-    X86_PMULHWrm	= 2136,
-    X86_PMULHWrr	= 2137,
-    X86_PMULLDrm	= 2138,
-    X86_PMULLDrr	= 2139,
-    X86_PMULLWrm	= 2140,
-    X86_PMULLWrr	= 2141,
-    X86_PMULUDQrm	= 2142,
-    X86_PMULUDQrr	= 2143,
-    X86_POP16r	= 2144,
-    X86_POP16rmm	= 2145,
-    X86_POP16rmr	= 2146,
-    X86_POP32r	= 2147,
-    X86_POP32rmm	= 2148,
-    X86_POP32rmr	= 2149,
-    X86_POP64r	= 2150,
-    X86_POP64rmm	= 2151,
-    X86_POP64rmr	= 2152,
-    X86_POPA16	= 2153,
-    X86_POPA32	= 2154,
-    X86_POPCNT16rm	= 2155,
-    X86_POPCNT16rr	= 2156,
-    X86_POPCNT32rm	= 2157,
-    X86_POPCNT32rr	= 2158,
-    X86_POPCNT64rm	= 2159,
-    X86_POPCNT64rr	= 2160,
-    X86_POPDS16	= 2161,
-    X86_POPDS32	= 2162,
-    X86_POPES16	= 2163,
-    X86_POPES32	= 2164,
-    X86_POPF16	= 2165,
-    X86_POPF32	= 2166,
-    X86_POPF64	= 2167,
-    X86_POPFS16	= 2168,
-    X86_POPFS32	= 2169,
-    X86_POPFS64	= 2170,
-    X86_POPGS16	= 2171,
-    X86_POPGS32	= 2172,
-    X86_POPGS64	= 2173,
-    X86_POPSS16	= 2174,
-    X86_POPSS32	= 2175,
-    X86_PORrm	= 2176,
-    X86_PORrr	= 2177,
-    X86_PREFETCH	= 2178,
-    X86_PREFETCHNTA	= 2179,
-    X86_PREFETCHT0	= 2180,
-    X86_PREFETCHT1	= 2181,
-    X86_PREFETCHT2	= 2182,
-    X86_PREFETCHW	= 2183,
-    X86_PSADBWrm	= 2184,
-    X86_PSADBWrr	= 2185,
-    X86_PSHUFBrm	= 2186,
-    X86_PSHUFBrr	= 2187,
-    X86_PSHUFDmi	= 2188,
-    X86_PSHUFDri	= 2189,
-    X86_PSHUFHWmi	= 2190,
-    X86_PSHUFHWri	= 2191,
-    X86_PSHUFLWmi	= 2192,
-    X86_PSHUFLWri	= 2193,
-    X86_PSIGNBrm	= 2194,
-    X86_PSIGNBrr	= 2195,
-    X86_PSIGNDrm	= 2196,
-    X86_PSIGNDrr	= 2197,
-    X86_PSIGNWrm	= 2198,
-    X86_PSIGNWrr	= 2199,
-    X86_PSLLDQri	= 2200,
-    X86_PSLLDri	= 2201,
-    X86_PSLLDrm	= 2202,
-    X86_PSLLDrr	= 2203,
-    X86_PSLLQri	= 2204,
-    X86_PSLLQrm	= 2205,
-    X86_PSLLQrr	= 2206,
-    X86_PSLLWri	= 2207,
-    X86_PSLLWrm	= 2208,
-    X86_PSLLWrr	= 2209,
-    X86_PSRADri	= 2210,
-    X86_PSRADrm	= 2211,
-    X86_PSRADrr	= 2212,
-    X86_PSRAWri	= 2213,
-    X86_PSRAWrm	= 2214,
-    X86_PSRAWrr	= 2215,
-    X86_PSRLDQri	= 2216,
-    X86_PSRLDri	= 2217,
-    X86_PSRLDrm	= 2218,
-    X86_PSRLDrr	= 2219,
-    X86_PSRLQri	= 2220,
-    X86_PSRLQrm	= 2221,
-    X86_PSRLQrr	= 2222,
-    X86_PSRLWri	= 2223,
-    X86_PSRLWrm	= 2224,
-    X86_PSRLWrr	= 2225,
-    X86_PSUBBrm	= 2226,
-    X86_PSUBBrr	= 2227,
-    X86_PSUBDrm	= 2228,
-    X86_PSUBDrr	= 2229,
-    X86_PSUBQrm	= 2230,
-    X86_PSUBQrr	= 2231,
-    X86_PSUBSBrm	= 2232,
-    X86_PSUBSBrr	= 2233,
-    X86_PSUBSWrm	= 2234,
-    X86_PSUBSWrr	= 2235,
-    X86_PSUBUSBrm	= 2236,
-    X86_PSUBUSBrr	= 2237,
-    X86_PSUBUSWrm	= 2238,
-    X86_PSUBUSWrr	= 2239,
-    X86_PSUBWrm	= 2240,
-    X86_PSUBWrr	= 2241,
-    X86_PSWAPDrm	= 2242,
-    X86_PSWAPDrr	= 2243,
-    X86_PTESTrm	= 2244,
-    X86_PTESTrr	= 2245,
-    X86_PUNPCKHBWrm	= 2246,
-    X86_PUNPCKHBWrr	= 2247,
-    X86_PUNPCKHDQrm	= 2248,
-    X86_PUNPCKHDQrr	= 2249,
-    X86_PUNPCKHQDQrm	= 2250,
-    X86_PUNPCKHQDQrr	= 2251,
-    X86_PUNPCKHWDrm	= 2252,
-    X86_PUNPCKHWDrr	= 2253,
-    X86_PUNPCKLBWrm	= 2254,
-    X86_PUNPCKLBWrr	= 2255,
-    X86_PUNPCKLDQrm	= 2256,
-    X86_PUNPCKLDQrr	= 2257,
-    X86_PUNPCKLQDQrm	= 2258,
-    X86_PUNPCKLQDQrr	= 2259,
-    X86_PUNPCKLWDrm	= 2260,
-    X86_PUNPCKLWDrr	= 2261,
-    X86_PUSH16i8	= 2262,
-    X86_PUSH16r	= 2263,
-    X86_PUSH16rmm	= 2264,
-    X86_PUSH16rmr	= 2265,
-    X86_PUSH32i8	= 2266,
-    X86_PUSH32r	= 2267,
-    X86_PUSH32rmm	= 2268,
-    X86_PUSH32rmr	= 2269,
-    X86_PUSH64i16	= 2270,
-    X86_PUSH64i32	= 2271,
-    X86_PUSH64i8	= 2272,
-    X86_PUSH64r	= 2273,
-    X86_PUSH64rmm	= 2274,
-    X86_PUSH64rmr	= 2275,
-    X86_PUSHA16	= 2276,
-    X86_PUSHA32	= 2277,
-    X86_PUSHCS16	= 2278,
-    X86_PUSHCS32	= 2279,
-    X86_PUSHDS16	= 2280,
-    X86_PUSHDS32	= 2281,
-    X86_PUSHES16	= 2282,
-    X86_PUSHES32	= 2283,
-    X86_PUSHF16	= 2284,
-    X86_PUSHF32	= 2285,
-    X86_PUSHF64	= 2286,
-    X86_PUSHFS16	= 2287,
-    X86_PUSHFS32	= 2288,
-    X86_PUSHFS64	= 2289,
-    X86_PUSHGS16	= 2290,
-    X86_PUSHGS32	= 2291,
-    X86_PUSHGS64	= 2292,
-    X86_PUSHSS16	= 2293,
-    X86_PUSHSS32	= 2294,
-    X86_PUSHi16	= 2295,
-    X86_PUSHi32	= 2296,
-    X86_PXORrm	= 2297,
-    X86_PXORrr	= 2298,
-    X86_RCL16m1	= 2299,
-    X86_RCL16mCL	= 2300,
-    X86_RCL16mi	= 2301,
-    X86_RCL16r1	= 2302,
-    X86_RCL16rCL	= 2303,
-    X86_RCL16ri	= 2304,
-    X86_RCL32m1	= 2305,
-    X86_RCL32mCL	= 2306,
-    X86_RCL32mi	= 2307,
-    X86_RCL32r1	= 2308,
-    X86_RCL32rCL	= 2309,
-    X86_RCL32ri	= 2310,
-    X86_RCL64m1	= 2311,
-    X86_RCL64mCL	= 2312,
-    X86_RCL64mi	= 2313,
-    X86_RCL64r1	= 2314,
-    X86_RCL64rCL	= 2315,
-    X86_RCL64ri	= 2316,
-    X86_RCL8m1	= 2317,
-    X86_RCL8mCL	= 2318,
-    X86_RCL8mi	= 2319,
-    X86_RCL8r1	= 2320,
-    X86_RCL8rCL	= 2321,
-    X86_RCL8ri	= 2322,
-    X86_RCPPSm	= 2323,
-    X86_RCPPSm_Int	= 2324,
-    X86_RCPPSr	= 2325,
-    X86_RCPPSr_Int	= 2326,
-    X86_RCPSSm	= 2327,
-    X86_RCPSSm_Int	= 2328,
-    X86_RCPSSr	= 2329,
-    X86_RCPSSr_Int	= 2330,
-    X86_RCR16m1	= 2331,
-    X86_RCR16mCL	= 2332,
-    X86_RCR16mi	= 2333,
-    X86_RCR16r1	= 2334,
-    X86_RCR16rCL	= 2335,
-    X86_RCR16ri	= 2336,
-    X86_RCR32m1	= 2337,
-    X86_RCR32mCL	= 2338,
-    X86_RCR32mi	= 2339,
-    X86_RCR32r1	= 2340,
-    X86_RCR32rCL	= 2341,
-    X86_RCR32ri	= 2342,
-    X86_RCR64m1	= 2343,
-    X86_RCR64mCL	= 2344,
-    X86_RCR64mi	= 2345,
-    X86_RCR64r1	= 2346,
-    X86_RCR64rCL	= 2347,
-    X86_RCR64ri	= 2348,
-    X86_RCR8m1	= 2349,
-    X86_RCR8mCL	= 2350,
-    X86_RCR8mi	= 2351,
-    X86_RCR8r1	= 2352,
-    X86_RCR8rCL	= 2353,
-    X86_RCR8ri	= 2354,
-    X86_RDFSBASE	= 2355,
-    X86_RDFSBASE64	= 2356,
-    X86_RDGSBASE	= 2357,
-    X86_RDGSBASE64	= 2358,
-    X86_RDMSR	= 2359,
-    X86_RDPMC	= 2360,
-    X86_RDRAND16r	= 2361,
-    X86_RDRAND32r	= 2362,
-    X86_RDRAND64r	= 2363,
-    X86_RDSEED16r	= 2364,
-    X86_RDSEED32r	= 2365,
-    X86_RDSEED64r	= 2366,
-    X86_RDTSC	= 2367,
-    X86_RDTSCP	= 2368,
-    X86_RELEASE_MOV16mr	= 2369,
-    X86_RELEASE_MOV32mr	= 2370,
-    X86_RELEASE_MOV64mr	= 2371,
-    X86_RELEASE_MOV8mr	= 2372,
-    X86_REPNE_PREFIX	= 2373,
-    X86_REP_MOVSB_32	= 2374,
-    X86_REP_MOVSB_64	= 2375,
-    X86_REP_MOVSD_32	= 2376,
-    X86_REP_MOVSD_64	= 2377,
-    X86_REP_MOVSQ_64	= 2378,
-    X86_REP_MOVSW_32	= 2379,
-    X86_REP_MOVSW_64	= 2380,
-    X86_REP_PREFIX	= 2381,
-    X86_REP_STOSB_32	= 2382,
-    X86_REP_STOSB_64	= 2383,
-    X86_REP_STOSD_32	= 2384,
-    X86_REP_STOSD_64	= 2385,
-    X86_REP_STOSQ_64	= 2386,
-    X86_REP_STOSW_32	= 2387,
-    X86_REP_STOSW_64	= 2388,
-    X86_RETIL	= 2389,
-    X86_RETIQ	= 2390,
-    X86_RETIW	= 2391,
-    X86_RETL	= 2392,
-    X86_RETQ	= 2393,
-    X86_RETW	= 2394,
-    X86_REX64_PREFIX	= 2395,
-    X86_ROL16m1	= 2396,
-    X86_ROL16mCL	= 2397,
-    X86_ROL16mi	= 2398,
-    X86_ROL16r1	= 2399,
-    X86_ROL16rCL	= 2400,
-    X86_ROL16ri	= 2401,
-    X86_ROL32m1	= 2402,
-    X86_ROL32mCL	= 2403,
-    X86_ROL32mi	= 2404,
-    X86_ROL32r1	= 2405,
-    X86_ROL32rCL	= 2406,
-    X86_ROL32ri	= 2407,
-    X86_ROL64m1	= 2408,
-    X86_ROL64mCL	= 2409,
-    X86_ROL64mi	= 2410,
-    X86_ROL64r1	= 2411,
-    X86_ROL64rCL	= 2412,
-    X86_ROL64ri	= 2413,
-    X86_ROL8m1	= 2414,
-    X86_ROL8mCL	= 2415,
-    X86_ROL8mi	= 2416,
-    X86_ROL8r1	= 2417,
-    X86_ROL8rCL	= 2418,
-    X86_ROL8ri	= 2419,
-    X86_ROR16m1	= 2420,
-    X86_ROR16mCL	= 2421,
-    X86_ROR16mi	= 2422,
-    X86_ROR16r1	= 2423,
-    X86_ROR16rCL	= 2424,
-    X86_ROR16ri	= 2425,
-    X86_ROR32m1	= 2426,
-    X86_ROR32mCL	= 2427,
-    X86_ROR32mi	= 2428,
-    X86_ROR32r1	= 2429,
-    X86_ROR32rCL	= 2430,
-    X86_ROR32ri	= 2431,
-    X86_ROR64m1	= 2432,
-    X86_ROR64mCL	= 2433,
-    X86_ROR64mi	= 2434,
-    X86_ROR64r1	= 2435,
-    X86_ROR64rCL	= 2436,
-    X86_ROR64ri	= 2437,
-    X86_ROR8m1	= 2438,
-    X86_ROR8mCL	= 2439,
-    X86_ROR8mi	= 2440,
-    X86_ROR8r1	= 2441,
-    X86_ROR8rCL	= 2442,
-    X86_ROR8ri	= 2443,
-    X86_RORX32mi	= 2444,
-    X86_RORX32ri	= 2445,
-    X86_RORX64mi	= 2446,
-    X86_RORX64ri	= 2447,
-    X86_ROUNDPDm	= 2448,
-    X86_ROUNDPDr	= 2449,
-    X86_ROUNDPSm	= 2450,
-    X86_ROUNDPSr	= 2451,
-    X86_ROUNDSDm	= 2452,
-    X86_ROUNDSDr	= 2453,
-    X86_ROUNDSDr_Int	= 2454,
-    X86_ROUNDSSm	= 2455,
-    X86_ROUNDSSr	= 2456,
-    X86_ROUNDSSr_Int	= 2457,
-    X86_RSM	= 2458,
-    X86_RSQRTPSm	= 2459,
-    X86_RSQRTPSm_Int	= 2460,
-    X86_RSQRTPSr	= 2461,
-    X86_RSQRTPSr_Int	= 2462,
-    X86_RSQRTSSm	= 2463,
-    X86_RSQRTSSm_Int	= 2464,
-    X86_RSQRTSSr	= 2465,
-    X86_RSQRTSSr_Int	= 2466,
-    X86_SAHF	= 2467,
-    X86_SAL16m1	= 2468,
-    X86_SAL16mCL	= 2469,
-    X86_SAL16mi	= 2470,
-    X86_SAL16r1	= 2471,
-    X86_SAL16rCL	= 2472,
-    X86_SAL16ri	= 2473,
-    X86_SAL32m1	= 2474,
-    X86_SAL32mCL	= 2475,
-    X86_SAL32mi	= 2476,
-    X86_SAL32r1	= 2477,
-    X86_SAL32rCL	= 2478,
-    X86_SAL32ri	= 2479,
-    X86_SAL64m1	= 2480,
-    X86_SAL64mCL	= 2481,
-    X86_SAL64mi	= 2482,
-    X86_SAL64r1	= 2483,
-    X86_SAL64rCL	= 2484,
-    X86_SAL64ri	= 2485,
-    X86_SAL8m1	= 2486,
-    X86_SAL8mCL	= 2487,
-    X86_SAL8mi	= 2488,
-    X86_SAL8r1	= 2489,
-    X86_SAL8rCL	= 2490,
-    X86_SAL8ri	= 2491,
-    X86_SALC	= 2492,
-    X86_SAR16m1	= 2493,
-    X86_SAR16mCL	= 2494,
-    X86_SAR16mi	= 2495,
-    X86_SAR16r1	= 2496,
-    X86_SAR16rCL	= 2497,
-    X86_SAR16ri	= 2498,
-    X86_SAR32m1	= 2499,
-    X86_SAR32mCL	= 2500,
-    X86_SAR32mi	= 2501,
-    X86_SAR32r1	= 2502,
-    X86_SAR32rCL	= 2503,
-    X86_SAR32ri	= 2504,
-    X86_SAR64m1	= 2505,
-    X86_SAR64mCL	= 2506,
-    X86_SAR64mi	= 2507,
-    X86_SAR64r1	= 2508,
-    X86_SAR64rCL	= 2509,
-    X86_SAR64ri	= 2510,
-    X86_SAR8m1	= 2511,
-    X86_SAR8mCL	= 2512,
-    X86_SAR8mi	= 2513,
-    X86_SAR8r1	= 2514,
-    X86_SAR8rCL	= 2515,
-    X86_SAR8ri	= 2516,
-    X86_SARX32rm	= 2517,
-    X86_SARX32rr	= 2518,
-    X86_SARX64rm	= 2519,
-    X86_SARX64rr	= 2520,
-    X86_SBB16i16	= 2521,
-    X86_SBB16mi	= 2522,
-    X86_SBB16mi8	= 2523,
-    X86_SBB16mr	= 2524,
-    X86_SBB16ri	= 2525,
-    X86_SBB16ri8	= 2526,
-    X86_SBB16rm	= 2527,
-    X86_SBB16rr	= 2528,
-    X86_SBB16rr_REV	= 2529,
-    X86_SBB32i32	= 2530,
-    X86_SBB32mi	= 2531,
-    X86_SBB32mi8	= 2532,
-    X86_SBB32mr	= 2533,
-    X86_SBB32ri	= 2534,
-    X86_SBB32ri8	= 2535,
-    X86_SBB32rm	= 2536,
-    X86_SBB32rr	= 2537,
-    X86_SBB32rr_REV	= 2538,
-    X86_SBB64i32	= 2539,
-    X86_SBB64mi32	= 2540,
-    X86_SBB64mi8	= 2541,
-    X86_SBB64mr	= 2542,
-    X86_SBB64ri32	= 2543,
-    X86_SBB64ri8	= 2544,
-    X86_SBB64rm	= 2545,
-    X86_SBB64rr	= 2546,
-    X86_SBB64rr_REV	= 2547,
-    X86_SBB8i8	= 2548,
-    X86_SBB8mi	= 2549,
-    X86_SBB8mr	= 2550,
-    X86_SBB8ri	= 2551,
-    X86_SBB8rm	= 2552,
-    X86_SBB8rr	= 2553,
-    X86_SBB8rr_REV	= 2554,
-    X86_SCAS16	= 2555,
-    X86_SCAS32	= 2556,
-    X86_SCAS64	= 2557,
-    X86_SCAS8	= 2558,
-    X86_SEG_ALLOCA_32	= 2559,
-    X86_SEG_ALLOCA_64	= 2560,
-    X86_SETAEm	= 2561,
-    X86_SETAEr	= 2562,
-    X86_SETAm	= 2563,
-    X86_SETAr	= 2564,
-    X86_SETBEm	= 2565,
-    X86_SETBEr	= 2566,
-    X86_SETB_C16r	= 2567,
-    X86_SETB_C32r	= 2568,
-    X86_SETB_C64r	= 2569,
-    X86_SETB_C8r	= 2570,
-    X86_SETBm	= 2571,
-    X86_SETBr	= 2572,
-    X86_SETEm	= 2573,
-    X86_SETEr	= 2574,
-    X86_SETGEm	= 2575,
-    X86_SETGEr	= 2576,
-    X86_SETGm	= 2577,
-    X86_SETGr	= 2578,
-    X86_SETLEm	= 2579,
-    X86_SETLEr	= 2580,
-    X86_SETLm	= 2581,
-    X86_SETLr	= 2582,
-    X86_SETNEm	= 2583,
-    X86_SETNEr	= 2584,
-    X86_SETNOm	= 2585,
-    X86_SETNOr	= 2586,
-    X86_SETNPm	= 2587,
-    X86_SETNPr	= 2588,
-    X86_SETNSm	= 2589,
-    X86_SETNSr	= 2590,
-    X86_SETOm	= 2591,
-    X86_SETOr	= 2592,
-    X86_SETPm	= 2593,
-    X86_SETPr	= 2594,
-    X86_SETSm	= 2595,
-    X86_SETSr	= 2596,
-    X86_SFENCE	= 2597,
-    X86_SGDT16m	= 2598,
-    X86_SGDT32m	= 2599,
-    X86_SGDT64m	= 2600,
-    X86_SHA1MSG1rm	= 2601,
-    X86_SHA1MSG1rr	= 2602,
-    X86_SHA1MSG2rm	= 2603,
-    X86_SHA1MSG2rr	= 2604,
-    X86_SHA1NEXTErm	= 2605,
-    X86_SHA1NEXTErr	= 2606,
-    X86_SHA1RNDS4rmi	= 2607,
-    X86_SHA1RNDS4rri	= 2608,
-    X86_SHA256MSG1rm	= 2609,
-    X86_SHA256MSG1rr	= 2610,
-    X86_SHA256MSG2rm	= 2611,
-    X86_SHA256MSG2rr	= 2612,
-    X86_SHA256RNDS2rm	= 2613,
-    X86_SHA256RNDS2rr	= 2614,
-    X86_SHL16m1	= 2615,
-    X86_SHL16mCL	= 2616,
-    X86_SHL16mi	= 2617,
-    X86_SHL16r1	= 2618,
-    X86_SHL16rCL	= 2619,
-    X86_SHL16ri	= 2620,
-    X86_SHL32m1	= 2621,
-    X86_SHL32mCL	= 2622,
-    X86_SHL32mi	= 2623,
-    X86_SHL32r1	= 2624,
-    X86_SHL32rCL	= 2625,
-    X86_SHL32ri	= 2626,
-    X86_SHL64m1	= 2627,
-    X86_SHL64mCL	= 2628,
-    X86_SHL64mi	= 2629,
-    X86_SHL64r1	= 2630,
-    X86_SHL64rCL	= 2631,
-    X86_SHL64ri	= 2632,
-    X86_SHL8m1	= 2633,
-    X86_SHL8mCL	= 2634,
-    X86_SHL8mi	= 2635,
-    X86_SHL8r1	= 2636,
-    X86_SHL8rCL	= 2637,
-    X86_SHL8ri	= 2638,
-    X86_SHLD16mrCL	= 2639,
-    X86_SHLD16mri8	= 2640,
-    X86_SHLD16rrCL	= 2641,
-    X86_SHLD16rri8	= 2642,
-    X86_SHLD32mrCL	= 2643,
-    X86_SHLD32mri8	= 2644,
-    X86_SHLD32rrCL	= 2645,
-    X86_SHLD32rri8	= 2646,
-    X86_SHLD64mrCL	= 2647,
-    X86_SHLD64mri8	= 2648,
-    X86_SHLD64rrCL	= 2649,
-    X86_SHLD64rri8	= 2650,
-    X86_SHLX32rm	= 2651,
-    X86_SHLX32rr	= 2652,
-    X86_SHLX64rm	= 2653,
-    X86_SHLX64rr	= 2654,
-    X86_SHR16m1	= 2655,
-    X86_SHR16mCL	= 2656,
-    X86_SHR16mi	= 2657,
-    X86_SHR16r1	= 2658,
-    X86_SHR16rCL	= 2659,
-    X86_SHR16ri	= 2660,
-    X86_SHR32m1	= 2661,
-    X86_SHR32mCL	= 2662,
-    X86_SHR32mi	= 2663,
-    X86_SHR32r1	= 2664,
-    X86_SHR32rCL	= 2665,
-    X86_SHR32ri	= 2666,
-    X86_SHR64m1	= 2667,
-    X86_SHR64mCL	= 2668,
-    X86_SHR64mi	= 2669,
-    X86_SHR64r1	= 2670,
-    X86_SHR64rCL	= 2671,
-    X86_SHR64ri	= 2672,
-    X86_SHR8m1	= 2673,
-    X86_SHR8mCL	= 2674,
-    X86_SHR8mi	= 2675,
-    X86_SHR8r1	= 2676,
-    X86_SHR8rCL	= 2677,
-    X86_SHR8ri	= 2678,
-    X86_SHRD16mrCL	= 2679,
-    X86_SHRD16mri8	= 2680,
-    X86_SHRD16rrCL	= 2681,
-    X86_SHRD16rri8	= 2682,
-    X86_SHRD32mrCL	= 2683,
-    X86_SHRD32mri8	= 2684,
-    X86_SHRD32rrCL	= 2685,
-    X86_SHRD32rri8	= 2686,
-    X86_SHRD64mrCL	= 2687,
-    X86_SHRD64mri8	= 2688,
-    X86_SHRD64rrCL	= 2689,
-    X86_SHRD64rri8	= 2690,
-    X86_SHRX32rm	= 2691,
-    X86_SHRX32rr	= 2692,
-    X86_SHRX64rm	= 2693,
-    X86_SHRX64rr	= 2694,
-    X86_SHUFPDrmi	= 2695,
-    X86_SHUFPDrri	= 2696,
-    X86_SHUFPSrmi	= 2697,
-    X86_SHUFPSrri	= 2698,
-    X86_SIDT16m	= 2699,
-    X86_SIDT32m	= 2700,
-    X86_SIDT64m	= 2701,
-    X86_SIN_F	= 2702,
-    X86_SIN_Fp32	= 2703,
-    X86_SIN_Fp64	= 2704,
-    X86_SIN_Fp80	= 2705,
-    X86_SKINIT	= 2706,
-    X86_SLDT16m	= 2707,
-    X86_SLDT16r	= 2708,
-    X86_SLDT32r	= 2709,
-    X86_SLDT64m	= 2710,
-    X86_SLDT64r	= 2711,
-    X86_SMSW16m	= 2712,
-    X86_SMSW16r	= 2713,
-    X86_SMSW32r	= 2714,
-    X86_SMSW64r	= 2715,
-    X86_SQRTPDm	= 2716,
-    X86_SQRTPDr	= 2717,
-    X86_SQRTPSm	= 2718,
-    X86_SQRTPSr	= 2719,
-    X86_SQRTSDm	= 2720,
-    X86_SQRTSDm_Int	= 2721,
-    X86_SQRTSDr	= 2722,
-    X86_SQRTSDr_Int	= 2723,
-    X86_SQRTSSm	= 2724,
-    X86_SQRTSSm_Int	= 2725,
-    X86_SQRTSSr	= 2726,
-    X86_SQRTSSr_Int	= 2727,
-    X86_SQRT_F	= 2728,
-    X86_SQRT_Fp32	= 2729,
-    X86_SQRT_Fp64	= 2730,
-    X86_SQRT_Fp80	= 2731,
-    X86_SS_PREFIX	= 2732,
-    X86_STAC	= 2733,
-    X86_STC	= 2734,
-    X86_STD	= 2735,
-    X86_STGI	= 2736,
-    X86_STI	= 2737,
-    X86_STMXCSR	= 2738,
-    X86_STOSB	= 2739,
-    X86_STOSL	= 2740,
-    X86_STOSQ	= 2741,
-    X86_STOSW	= 2742,
-    X86_STR16r	= 2743,
-    X86_STR32r	= 2744,
-    X86_STR64r	= 2745,
-    X86_STRm	= 2746,
-    X86_ST_F32m	= 2747,
-    X86_ST_F64m	= 2748,
-    X86_ST_FP32m	= 2749,
-    X86_ST_FP64m	= 2750,
-    X86_ST_FP80m	= 2751,
-    X86_ST_FPNCE	= 2752,
-    X86_ST_FPr0r7	= 2753,
-    X86_ST_FPrr	= 2754,
-    X86_ST_Fp32m	= 2755,
-    X86_ST_Fp64m	= 2756,
-    X86_ST_Fp64m32	= 2757,
-    X86_ST_Fp80m32	= 2758,
-    X86_ST_Fp80m64	= 2759,
-    X86_ST_FpP32m	= 2760,
-    X86_ST_FpP64m	= 2761,
-    X86_ST_FpP64m32	= 2762,
-    X86_ST_FpP80m	= 2763,
-    X86_ST_FpP80m32	= 2764,
-    X86_ST_FpP80m64	= 2765,
-    X86_ST_Frr	= 2766,
-    X86_SUB16i16	= 2767,
-    X86_SUB16mi	= 2768,
-    X86_SUB16mi8	= 2769,
-    X86_SUB16mr	= 2770,
-    X86_SUB16ri	= 2771,
-    X86_SUB16ri8	= 2772,
-    X86_SUB16rm	= 2773,
-    X86_SUB16rr	= 2774,
-    X86_SUB16rr_REV	= 2775,
-    X86_SUB32i32	= 2776,
-    X86_SUB32mi	= 2777,
-    X86_SUB32mi8	= 2778,
-    X86_SUB32mr	= 2779,
-    X86_SUB32ri	= 2780,
-    X86_SUB32ri8	= 2781,
-    X86_SUB32rm	= 2782,
-    X86_SUB32rr	= 2783,
-    X86_SUB32rr_REV	= 2784,
-    X86_SUB64i32	= 2785,
-    X86_SUB64mi32	= 2786,
-    X86_SUB64mi8	= 2787,
-    X86_SUB64mr	= 2788,
-    X86_SUB64ri32	= 2789,
-    X86_SUB64ri8	= 2790,
-    X86_SUB64rm	= 2791,
-    X86_SUB64rr	= 2792,
-    X86_SUB64rr_REV	= 2793,
-    X86_SUB8i8	= 2794,
-    X86_SUB8mi	= 2795,
-    X86_SUB8mr	= 2796,
-    X86_SUB8ri	= 2797,
-    X86_SUB8ri8	= 2798,
-    X86_SUB8rm	= 2799,
-    X86_SUB8rr	= 2800,
-    X86_SUB8rr_REV	= 2801,
-    X86_SUBPDrm	= 2802,
-    X86_SUBPDrr	= 2803,
-    X86_SUBPSrm	= 2804,
-    X86_SUBPSrr	= 2805,
-    X86_SUBR_F32m	= 2806,
-    X86_SUBR_F64m	= 2807,
-    X86_SUBR_FI16m	= 2808,
-    X86_SUBR_FI32m	= 2809,
-    X86_SUBR_FPrST0	= 2810,
-    X86_SUBR_FST0r	= 2811,
-    X86_SUBR_Fp32m	= 2812,
-    X86_SUBR_Fp64m	= 2813,
-    X86_SUBR_Fp64m32	= 2814,
-    X86_SUBR_Fp80m32	= 2815,
-    X86_SUBR_Fp80m64	= 2816,
-    X86_SUBR_FpI16m32	= 2817,
-    X86_SUBR_FpI16m64	= 2818,
-    X86_SUBR_FpI16m80	= 2819,
-    X86_SUBR_FpI32m32	= 2820,
-    X86_SUBR_FpI32m64	= 2821,
-    X86_SUBR_FpI32m80	= 2822,
-    X86_SUBR_FrST0	= 2823,
-    X86_SUBSDrm	= 2824,
-    X86_SUBSDrm_Int	= 2825,
-    X86_SUBSDrr	= 2826,
-    X86_SUBSDrr_Int	= 2827,
-    X86_SUBSSrm	= 2828,
-    X86_SUBSSrm_Int	= 2829,
-    X86_SUBSSrr	= 2830,
-    X86_SUBSSrr_Int	= 2831,
-    X86_SUB_F32m	= 2832,
-    X86_SUB_F64m	= 2833,
-    X86_SUB_FI16m	= 2834,
-    X86_SUB_FI32m	= 2835,
-    X86_SUB_FPrST0	= 2836,
-    X86_SUB_FST0r	= 2837,
-    X86_SUB_Fp32	= 2838,
-    X86_SUB_Fp32m	= 2839,
-    X86_SUB_Fp64	= 2840,
-    X86_SUB_Fp64m	= 2841,
-    X86_SUB_Fp64m32	= 2842,
-    X86_SUB_Fp80	= 2843,
-    X86_SUB_Fp80m32	= 2844,
-    X86_SUB_Fp80m64	= 2845,
-    X86_SUB_FpI16m32	= 2846,
-    X86_SUB_FpI16m64	= 2847,
-    X86_SUB_FpI16m80	= 2848,
-    X86_SUB_FpI32m32	= 2849,
-    X86_SUB_FpI32m64	= 2850,
-    X86_SUB_FpI32m80	= 2851,
-    X86_SUB_FrST0	= 2852,
-    X86_SWAPGS	= 2853,
-    X86_SYSCALL	= 2854,
-    X86_SYSENTER	= 2855,
-    X86_SYSEXIT	= 2856,
-    X86_SYSEXIT64	= 2857,
-    X86_SYSRET	= 2858,
-    X86_SYSRET64	= 2859,
-    X86_T1MSKC32rm	= 2860,
-    X86_T1MSKC32rr	= 2861,
-    X86_T1MSKC64rm	= 2862,
-    X86_T1MSKC64rr	= 2863,
-    X86_TAILJMPd	= 2864,
-    X86_TAILJMPd64	= 2865,
-    X86_TAILJMPm	= 2866,
-    X86_TAILJMPm64	= 2867,
-    X86_TAILJMPr	= 2868,
-    X86_TAILJMPr64	= 2869,
-    X86_TCRETURNdi	= 2870,
-    X86_TCRETURNdi64	= 2871,
-    X86_TCRETURNmi	= 2872,
-    X86_TCRETURNmi64	= 2873,
-    X86_TCRETURNri	= 2874,
-    X86_TCRETURNri64	= 2875,
-    X86_TEST16i16	= 2876,
-    X86_TEST16mi	= 2877,
-    X86_TEST16mi_alt	= 2878,
-    X86_TEST16ri	= 2879,
-    X86_TEST16ri_alt	= 2880,
-    X86_TEST16rm	= 2881,
-    X86_TEST16rr	= 2882,
-    X86_TEST32i32	= 2883,
-    X86_TEST32mi	= 2884,
-    X86_TEST32mi_alt	= 2885,
-    X86_TEST32ri	= 2886,
-    X86_TEST32ri_alt	= 2887,
-    X86_TEST32rm	= 2888,
-    X86_TEST32rr	= 2889,
-    X86_TEST64i32	= 2890,
-    X86_TEST64mi32	= 2891,
-    X86_TEST64mi32_alt	= 2892,
-    X86_TEST64ri32	= 2893,
-    X86_TEST64ri32_alt	= 2894,
-    X86_TEST64rm	= 2895,
-    X86_TEST64rr	= 2896,
-    X86_TEST8i8	= 2897,
-    X86_TEST8mi	= 2898,
-    X86_TEST8mi_alt	= 2899,
-    X86_TEST8ri	= 2900,
-    X86_TEST8ri_NOREX	= 2901,
-    X86_TEST8ri_alt	= 2902,
-    X86_TEST8rm	= 2903,
-    X86_TEST8rr	= 2904,
-    X86_TLSCall_32	= 2905,
-    X86_TLSCall_64	= 2906,
-    X86_TLS_addr32	= 2907,
-    X86_TLS_addr64	= 2908,
-    X86_TLS_base_addr32	= 2909,
-    X86_TLS_base_addr64	= 2910,
-    X86_TRAP	= 2911,
-    X86_TST_F	= 2912,
-    X86_TST_Fp32	= 2913,
-    X86_TST_Fp64	= 2914,
-    X86_TST_Fp80	= 2915,
-    X86_TZCNT16rm	= 2916,
-    X86_TZCNT16rr	= 2917,
-    X86_TZCNT32rm	= 2918,
-    X86_TZCNT32rr	= 2919,
-    X86_TZCNT64rm	= 2920,
-    X86_TZCNT64rr	= 2921,
-    X86_TZMSK32rm	= 2922,
-    X86_TZMSK32rr	= 2923,
-    X86_TZMSK64rm	= 2924,
-    X86_TZMSK64rr	= 2925,
-    X86_UCOMISDrm	= 2926,
-    X86_UCOMISDrr	= 2927,
-    X86_UCOMISSrm	= 2928,
-    X86_UCOMISSrr	= 2929,
-    X86_UCOM_FIPr	= 2930,
-    X86_UCOM_FIr	= 2931,
-    X86_UCOM_FPPr	= 2932,
-    X86_UCOM_FPr	= 2933,
-    X86_UCOM_FpIr32	= 2934,
-    X86_UCOM_FpIr64	= 2935,
-    X86_UCOM_FpIr80	= 2936,
-    X86_UCOM_Fpr32	= 2937,
-    X86_UCOM_Fpr64	= 2938,
-    X86_UCOM_Fpr80	= 2939,
-    X86_UCOM_Fr	= 2940,
-    X86_UD2B	= 2941,
-    X86_UNPCKHPDrm	= 2942,
-    X86_UNPCKHPDrr	= 2943,
-    X86_UNPCKHPSrm	= 2944,
-    X86_UNPCKHPSrr	= 2945,
-    X86_UNPCKLPDrm	= 2946,
-    X86_UNPCKLPDrr	= 2947,
-    X86_UNPCKLPSrm	= 2948,
-    X86_UNPCKLPSrr	= 2949,
-    X86_VAARG_64	= 2950,
-    X86_VADDPDYrm	= 2951,
-    X86_VADDPDYrr	= 2952,
-    X86_VADDPDZrm	= 2953,
-    X86_VADDPDZrmb	= 2954,
-    X86_VADDPDZrr	= 2955,
-    X86_VADDPDrm	= 2956,
-    X86_VADDPDrr	= 2957,
-    X86_VADDPSYrm	= 2958,
-    X86_VADDPSYrr	= 2959,
-    X86_VADDPSZrm	= 2960,
-    X86_VADDPSZrmb	= 2961,
-    X86_VADDPSZrr	= 2962,
-    X86_VADDPSrm	= 2963,
-    X86_VADDPSrr	= 2964,
-    X86_VADDSDZrm	= 2965,
-    X86_VADDSDZrr	= 2966,
-    X86_VADDSDrm	= 2967,
-    X86_VADDSDrm_Int	= 2968,
-    X86_VADDSDrr	= 2969,
-    X86_VADDSDrr_Int	= 2970,
-    X86_VADDSSZrm	= 2971,
-    X86_VADDSSZrr	= 2972,
-    X86_VADDSSrm	= 2973,
-    X86_VADDSSrm_Int	= 2974,
-    X86_VADDSSrr	= 2975,
-    X86_VADDSSrr_Int	= 2976,
-    X86_VADDSUBPDYrm	= 2977,
-    X86_VADDSUBPDYrr	= 2978,
-    X86_VADDSUBPDrm	= 2979,
-    X86_VADDSUBPDrr	= 2980,
-    X86_VADDSUBPSYrm	= 2981,
-    X86_VADDSUBPSYrr	= 2982,
-    X86_VADDSUBPSrm	= 2983,
-    X86_VADDSUBPSrr	= 2984,
-    X86_VAESDECLASTrm	= 2985,
-    X86_VAESDECLASTrr	= 2986,
-    X86_VAESDECrm	= 2987,
-    X86_VAESDECrr	= 2988,
-    X86_VAESENCLASTrm	= 2989,
-    X86_VAESENCLASTrr	= 2990,
-    X86_VAESENCrm	= 2991,
-    X86_VAESENCrr	= 2992,
-    X86_VAESIMCrm	= 2993,
-    X86_VAESIMCrr	= 2994,
-    X86_VAESKEYGENASSIST128rm	= 2995,
-    X86_VAESKEYGENASSIST128rr	= 2996,
-    X86_VALIGNDrmi	= 2997,
-    X86_VALIGNDrri	= 2998,
-    X86_VALIGNQrmi	= 2999,
-    X86_VALIGNQrri	= 3000,
-    X86_VANDNPDYrm	= 3001,
-    X86_VANDNPDYrr	= 3002,
-    X86_VANDNPDrm	= 3003,
-    X86_VANDNPDrr	= 3004,
-    X86_VANDNPSYrm	= 3005,
-    X86_VANDNPSYrr	= 3006,
-    X86_VANDNPSrm	= 3007,
-    X86_VANDNPSrr	= 3008,
-    X86_VANDPDYrm	= 3009,
-    X86_VANDPDYrr	= 3010,
-    X86_VANDPDrm	= 3011,
-    X86_VANDPDrr	= 3012,
-    X86_VANDPSYrm	= 3013,
-    X86_VANDPSYrr	= 3014,
-    X86_VANDPSrm	= 3015,
-    X86_VANDPSrr	= 3016,
-    X86_VASTART_SAVE_XMM_REGS	= 3017,
-    X86_VBLENDMPDZrm	= 3018,
-    X86_VBLENDMPDZrr	= 3019,
-    X86_VBLENDMPSZrm	= 3020,
-    X86_VBLENDMPSZrr	= 3021,
-    X86_VBLENDPDYrmi	= 3022,
-    X86_VBLENDPDYrri	= 3023,
-    X86_VBLENDPDrmi	= 3024,
-    X86_VBLENDPDrri	= 3025,
-    X86_VBLENDPSYrmi	= 3026,
-    X86_VBLENDPSYrri	= 3027,
-    X86_VBLENDPSrmi	= 3028,
-    X86_VBLENDPSrri	= 3029,
-    X86_VBLENDVPDYrm	= 3030,
-    X86_VBLENDVPDYrr	= 3031,
-    X86_VBLENDVPDrm	= 3032,
-    X86_VBLENDVPDrr	= 3033,
-    X86_VBLENDVPSYrm	= 3034,
-    X86_VBLENDVPSYrr	= 3035,
-    X86_VBLENDVPSrm	= 3036,
-    X86_VBLENDVPSrr	= 3037,
-    X86_VBROADCASTF128	= 3038,
-    X86_VBROADCASTI128	= 3039,
-    X86_VBROADCASTSDYrm	= 3040,
-    X86_VBROADCASTSDYrr	= 3041,
-    X86_VBROADCASTSDZrm	= 3042,
-    X86_VBROADCASTSDZrr	= 3043,
-    X86_VBROADCASTSSYrm	= 3044,
-    X86_VBROADCASTSSYrr	= 3045,
-    X86_VBROADCASTSSZrm	= 3046,
-    X86_VBROADCASTSSZrr	= 3047,
-    X86_VBROADCASTSSrm	= 3048,
-    X86_VBROADCASTSSrr	= 3049,
-    X86_VCMPPDYrmi	= 3050,
-    X86_VCMPPDYrmi_alt	= 3051,
-    X86_VCMPPDYrri	= 3052,
-    X86_VCMPPDYrri_alt	= 3053,
-    X86_VCMPPDZrmi	= 3054,
-    X86_VCMPPDZrmi_alt	= 3055,
-    X86_VCMPPDZrri	= 3056,
-    X86_VCMPPDZrri_alt	= 3057,
-    X86_VCMPPDZrrib	= 3058,
-    X86_VCMPPDrmi	= 3059,
-    X86_VCMPPDrmi_alt	= 3060,
-    X86_VCMPPDrri	= 3061,
-    X86_VCMPPDrri_alt	= 3062,
-    X86_VCMPPSYrmi	= 3063,
-    X86_VCMPPSYrmi_alt	= 3064,
-    X86_VCMPPSYrri	= 3065,
-    X86_VCMPPSYrri_alt	= 3066,
-    X86_VCMPPSZrmi	= 3067,
-    X86_VCMPPSZrmi_alt	= 3068,
-    X86_VCMPPSZrri	= 3069,
-    X86_VCMPPSZrri_alt	= 3070,
-    X86_VCMPPSZrrib	= 3071,
-    X86_VCMPPSrmi	= 3072,
-    X86_VCMPPSrmi_alt	= 3073,
-    X86_VCMPPSrri	= 3074,
-    X86_VCMPPSrri_alt	= 3075,
-    X86_VCMPSDZrm	= 3076,
-    X86_VCMPSDZrmi_alt	= 3077,
-    X86_VCMPSDZrr	= 3078,
-    X86_VCMPSDZrri_alt	= 3079,
-    X86_VCMPSDrm	= 3080,
-    X86_VCMPSDrm_alt	= 3081,
-    X86_VCMPSDrr	= 3082,
-    X86_VCMPSDrr_alt	= 3083,
-    X86_VCMPSSZrm	= 3084,
-    X86_VCMPSSZrmi_alt	= 3085,
-    X86_VCMPSSZrr	= 3086,
-    X86_VCMPSSZrri_alt	= 3087,
-    X86_VCMPSSrm	= 3088,
-    X86_VCMPSSrm_alt	= 3089,
-    X86_VCMPSSrr	= 3090,
-    X86_VCMPSSrr_alt	= 3091,
-    X86_VCOMISDZrm	= 3092,
-    X86_VCOMISDZrr	= 3093,
-    X86_VCOMISDrm	= 3094,
-    X86_VCOMISDrr	= 3095,
-    X86_VCOMISSZrm	= 3096,
-    X86_VCOMISSZrr	= 3097,
-    X86_VCOMISSrm	= 3098,
-    X86_VCOMISSrr	= 3099,
-    X86_VCVTDQ2PDYrm	= 3100,
-    X86_VCVTDQ2PDYrr	= 3101,
-    X86_VCVTDQ2PDZrm	= 3102,
-    X86_VCVTDQ2PDZrr	= 3103,
-    X86_VCVTDQ2PDrm	= 3104,
-    X86_VCVTDQ2PDrr	= 3105,
-    X86_VCVTDQ2PSYrm	= 3106,
-    X86_VCVTDQ2PSYrr	= 3107,
-    X86_VCVTDQ2PSZrm	= 3108,
-    X86_VCVTDQ2PSZrr	= 3109,
-    X86_VCVTDQ2PSZrrb	= 3110,
-    X86_VCVTDQ2PSrm	= 3111,
-    X86_VCVTDQ2PSrr	= 3112,
-    X86_VCVTPD2DQXrm	= 3113,
-    X86_VCVTPD2DQYrm	= 3114,
-    X86_VCVTPD2DQYrr	= 3115,
-    X86_VCVTPD2DQZrm	= 3116,
-    X86_VCVTPD2DQZrr	= 3117,
-    X86_VCVTPD2DQZrrb	= 3118,
-    X86_VCVTPD2DQrr	= 3119,
-    X86_VCVTPD2PSXrm	= 3120,
-    X86_VCVTPD2PSYrm	= 3121,
-    X86_VCVTPD2PSYrr	= 3122,
-    X86_VCVTPD2PSZrm	= 3123,
-    X86_VCVTPD2PSZrr	= 3124,
-    X86_VCVTPD2PSZrrb	= 3125,
-    X86_VCVTPD2PSrr	= 3126,
-    X86_VCVTPD2UDQZrm	= 3127,
-    X86_VCVTPD2UDQZrr	= 3128,
-    X86_VCVTPD2UDQZrrb	= 3129,
-    X86_VCVTPH2PSYrm	= 3130,
-    X86_VCVTPH2PSYrr	= 3131,
-    X86_VCVTPH2PSZrm	= 3132,
-    X86_VCVTPH2PSZrr	= 3133,
-    X86_VCVTPH2PSrm	= 3134,
-    X86_VCVTPH2PSrr	= 3135,
-    X86_VCVTPS2DQYrm	= 3136,
-    X86_VCVTPS2DQYrr	= 3137,
-    X86_VCVTPS2DQZrm	= 3138,
-    X86_VCVTPS2DQZrr	= 3139,
-    X86_VCVTPS2DQZrrb	= 3140,
-    X86_VCVTPS2DQrm	= 3141,
-    X86_VCVTPS2DQrr	= 3142,
-    X86_VCVTPS2PDYrm	= 3143,
-    X86_VCVTPS2PDYrr	= 3144,
-    X86_VCVTPS2PDZrm	= 3145,
-    X86_VCVTPS2PDZrr	= 3146,
-    X86_VCVTPS2PDrm	= 3147,
-    X86_VCVTPS2PDrr	= 3148,
-    X86_VCVTPS2PHYmr	= 3149,
-    X86_VCVTPS2PHYrr	= 3150,
-    X86_VCVTPS2PHZmr	= 3151,
-    X86_VCVTPS2PHZrr	= 3152,
-    X86_VCVTPS2PHmr	= 3153,
-    X86_VCVTPS2PHrr	= 3154,
-    X86_VCVTPS2UDQZrm	= 3155,
-    X86_VCVTPS2UDQZrr	= 3156,
-    X86_VCVTPS2UDQZrrb	= 3157,
-    X86_VCVTSD2SI64Zrm	= 3158,
-    X86_VCVTSD2SI64Zrr	= 3159,
-    X86_VCVTSD2SI64rm	= 3160,
-    X86_VCVTSD2SI64rr	= 3161,
-    X86_VCVTSD2SIZrm	= 3162,
-    X86_VCVTSD2SIZrr	= 3163,
-    X86_VCVTSD2SIrm	= 3164,
-    X86_VCVTSD2SIrr	= 3165,
-    X86_VCVTSD2SSZrm	= 3166,
-    X86_VCVTSD2SSZrr	= 3167,
-    X86_VCVTSD2SSrm	= 3168,
-    X86_VCVTSD2SSrr	= 3169,
-    X86_VCVTSD2USI64Zrm	= 3170,
-    X86_VCVTSD2USI64Zrr	= 3171,
-    X86_VCVTSD2USIZrm	= 3172,
-    X86_VCVTSD2USIZrr	= 3173,
-    X86_VCVTSI2SD64rm	= 3174,
-    X86_VCVTSI2SD64rr	= 3175,
-    X86_VCVTSI2SDZrm	= 3176,
-    X86_VCVTSI2SDZrr	= 3177,
-    X86_VCVTSI2SDrm	= 3178,
-    X86_VCVTSI2SDrr	= 3179,
-    X86_VCVTSI2SS64rm	= 3180,
-    X86_VCVTSI2SS64rr	= 3181,
-    X86_VCVTSI2SSZrm	= 3182,
-    X86_VCVTSI2SSZrr	= 3183,
-    X86_VCVTSI2SSrm	= 3184,
-    X86_VCVTSI2SSrr	= 3185,
-    X86_VCVTSI642SDZrm	= 3186,
-    X86_VCVTSI642SDZrr	= 3187,
-    X86_VCVTSI642SSZrm	= 3188,
-    X86_VCVTSI642SSZrr	= 3189,
-    X86_VCVTSS2SDZrm	= 3190,
-    X86_VCVTSS2SDZrr	= 3191,
-    X86_VCVTSS2SDrm	= 3192,
-    X86_VCVTSS2SDrr	= 3193,
-    X86_VCVTSS2SI64Zrm	= 3194,
-    X86_VCVTSS2SI64Zrr	= 3195,
-    X86_VCVTSS2SI64rm	= 3196,
-    X86_VCVTSS2SI64rr	= 3197,
-    X86_VCVTSS2SIZrm	= 3198,
-    X86_VCVTSS2SIZrr	= 3199,
-    X86_VCVTSS2SIrm	= 3200,
-    X86_VCVTSS2SIrr	= 3201,
-    X86_VCVTSS2USI64Zrm	= 3202,
-    X86_VCVTSS2USI64Zrr	= 3203,
-    X86_VCVTSS2USIZrm	= 3204,
-    X86_VCVTSS2USIZrr	= 3205,
-    X86_VCVTTPD2DQXrm	= 3206,
-    X86_VCVTTPD2DQYrm	= 3207,
-    X86_VCVTTPD2DQYrr	= 3208,
-    X86_VCVTTPD2DQZrm	= 3209,
-    X86_VCVTTPD2DQZrr	= 3210,
-    X86_VCVTTPD2DQrr	= 3211,
-    X86_VCVTTPD2UDQZrm	= 3212,
-    X86_VCVTTPD2UDQZrr	= 3213,
-    X86_VCVTTPS2DQYrm	= 3214,
-    X86_VCVTTPS2DQYrr	= 3215,
-    X86_VCVTTPS2DQZrm	= 3216,
-    X86_VCVTTPS2DQZrr	= 3217,
-    X86_VCVTTPS2DQrm	= 3218,
-    X86_VCVTTPS2DQrr	= 3219,
-    X86_VCVTTPS2UDQZrm	= 3220,
-    X86_VCVTTPS2UDQZrr	= 3221,
-    X86_VCVTTSD2SI64Zrm	= 3222,
-    X86_VCVTTSD2SI64Zrr	= 3223,
-    X86_VCVTTSD2SI64rm	= 3224,
-    X86_VCVTTSD2SI64rr	= 3225,
-    X86_VCVTTSD2SIZrm	= 3226,
-    X86_VCVTTSD2SIZrr	= 3227,
-    X86_VCVTTSD2SIrm	= 3228,
-    X86_VCVTTSD2SIrr	= 3229,
-    X86_VCVTTSD2USI64Zrm	= 3230,
-    X86_VCVTTSD2USI64Zrr	= 3231,
-    X86_VCVTTSD2USIZrm	= 3232,
-    X86_VCVTTSD2USIZrr	= 3233,
-    X86_VCVTTSS2SI64Zrm	= 3234,
-    X86_VCVTTSS2SI64Zrr	= 3235,
-    X86_VCVTTSS2SI64rm	= 3236,
-    X86_VCVTTSS2SI64rr	= 3237,
-    X86_VCVTTSS2SIZrm	= 3238,
-    X86_VCVTTSS2SIZrr	= 3239,
-    X86_VCVTTSS2SIrm	= 3240,
-    X86_VCVTTSS2SIrr	= 3241,
-    X86_VCVTTSS2USI64Zrm	= 3242,
-    X86_VCVTTSS2USI64Zrr	= 3243,
-    X86_VCVTTSS2USIZrm	= 3244,
-    X86_VCVTTSS2USIZrr	= 3245,
-    X86_VCVTUDQ2PDZrm	= 3246,
-    X86_VCVTUDQ2PDZrr	= 3247,
-    X86_VCVTUDQ2PSZrm	= 3248,
-    X86_VCVTUDQ2PSZrr	= 3249,
-    X86_VCVTUDQ2PSZrrb	= 3250,
-    X86_VCVTUSI2SDZrm	= 3251,
-    X86_VCVTUSI2SDZrr	= 3252,
-    X86_VCVTUSI2SSZrm	= 3253,
-    X86_VCVTUSI2SSZrr	= 3254,
-    X86_VCVTUSI642SDZrm	= 3255,
-    X86_VCVTUSI642SDZrr	= 3256,
-    X86_VCVTUSI642SSZrm	= 3257,
-    X86_VCVTUSI642SSZrr	= 3258,
-    X86_VDIVPDYrm	= 3259,
-    X86_VDIVPDYrr	= 3260,
-    X86_VDIVPDZrm	= 3261,
-    X86_VDIVPDZrmb	= 3262,
-    X86_VDIVPDZrr	= 3263,
-    X86_VDIVPDrm	= 3264,
-    X86_VDIVPDrr	= 3265,
-    X86_VDIVPSYrm	= 3266,
-    X86_VDIVPSYrr	= 3267,
-    X86_VDIVPSZrm	= 3268,
-    X86_VDIVPSZrmb	= 3269,
-    X86_VDIVPSZrr	= 3270,
-    X86_VDIVPSrm	= 3271,
-    X86_VDIVPSrr	= 3272,
-    X86_VDIVSDZrm	= 3273,
-    X86_VDIVSDZrr	= 3274,
-    X86_VDIVSDrm	= 3275,
-    X86_VDIVSDrm_Int	= 3276,
-    X86_VDIVSDrr	= 3277,
-    X86_VDIVSDrr_Int	= 3278,
-    X86_VDIVSSZrm	= 3279,
-    X86_VDIVSSZrr	= 3280,
-    X86_VDIVSSrm	= 3281,
-    X86_VDIVSSrm_Int	= 3282,
-    X86_VDIVSSrr	= 3283,
-    X86_VDIVSSrr_Int	= 3284,
-    X86_VDPPDrmi	= 3285,
-    X86_VDPPDrri	= 3286,
-    X86_VDPPSYrmi	= 3287,
-    X86_VDPPSYrri	= 3288,
-    X86_VDPPSrmi	= 3289,
-    X86_VDPPSrri	= 3290,
-    X86_VERRm	= 3291,
-    X86_VERRr	= 3292,
-    X86_VERWm	= 3293,
-    X86_VERWr	= 3294,
-    X86_VEXTRACTF128mr	= 3295,
-    X86_VEXTRACTF128rr	= 3296,
-    X86_VEXTRACTF32x4mr	= 3297,
-    X86_VEXTRACTF32x4rr	= 3298,
-    X86_VEXTRACTF64x4mr	= 3299,
-    X86_VEXTRACTF64x4rr	= 3300,
-    X86_VEXTRACTI128mr	= 3301,
-    X86_VEXTRACTI128rr	= 3302,
-    X86_VEXTRACTI32x4mr	= 3303,
-    X86_VEXTRACTI32x4rr	= 3304,
-    X86_VEXTRACTI64x4mr	= 3305,
-    X86_VEXTRACTI64x4rr	= 3306,
-    X86_VEXTRACTPSmr	= 3307,
-    X86_VEXTRACTPSrr	= 3308,
-    X86_VEXTRACTPSzmr	= 3309,
-    X86_VEXTRACTPSzrr	= 3310,
-    X86_VFMADD132PDZm	= 3311,
-    X86_VFMADD132PDZmb	= 3312,
-    X86_VFMADD132PSZm	= 3313,
-    X86_VFMADD132PSZmb	= 3314,
-    X86_VFMADD213PDZm	= 3315,
-    X86_VFMADD213PDZmb	= 3316,
-    X86_VFMADD213PDZr	= 3317,
-    X86_VFMADD213PSZm	= 3318,
-    X86_VFMADD213PSZmb	= 3319,
-    X86_VFMADD213PSZr	= 3320,
-    X86_VFMADDPD4mr	= 3321,
-    X86_VFMADDPD4mrY	= 3322,
-    X86_VFMADDPD4rm	= 3323,
-    X86_VFMADDPD4rmY	= 3324,
-    X86_VFMADDPD4rr	= 3325,
-    X86_VFMADDPD4rrY	= 3326,
-    X86_VFMADDPD4rrY_REV	= 3327,
-    X86_VFMADDPD4rr_REV	= 3328,
-    X86_VFMADDPDr132m	= 3329,
-    X86_VFMADDPDr132mY	= 3330,
-    X86_VFMADDPDr132r	= 3331,
-    X86_VFMADDPDr132rY	= 3332,
-    X86_VFMADDPDr213m	= 3333,
-    X86_VFMADDPDr213mY	= 3334,
-    X86_VFMADDPDr213r	= 3335,
-    X86_VFMADDPDr213rY	= 3336,
-    X86_VFMADDPDr231m	= 3337,
-    X86_VFMADDPDr231mY	= 3338,
-    X86_VFMADDPDr231r	= 3339,
-    X86_VFMADDPDr231rY	= 3340,
-    X86_VFMADDPS4mr	= 3341,
-    X86_VFMADDPS4mrY	= 3342,
-    X86_VFMADDPS4rm	= 3343,
-    X86_VFMADDPS4rmY	= 3344,
-    X86_VFMADDPS4rr	= 3345,
-    X86_VFMADDPS4rrY	= 3346,
-    X86_VFMADDPS4rrY_REV	= 3347,
-    X86_VFMADDPS4rr_REV	= 3348,
-    X86_VFMADDPSr132m	= 3349,
-    X86_VFMADDPSr132mY	= 3350,
-    X86_VFMADDPSr132r	= 3351,
-    X86_VFMADDPSr132rY	= 3352,
-    X86_VFMADDPSr213m	= 3353,
-    X86_VFMADDPSr213mY	= 3354,
-    X86_VFMADDPSr213r	= 3355,
-    X86_VFMADDPSr213rY	= 3356,
-    X86_VFMADDPSr231m	= 3357,
-    X86_VFMADDPSr231mY	= 3358,
-    X86_VFMADDPSr231r	= 3359,
-    X86_VFMADDPSr231rY	= 3360,
-    X86_VFMADDSD4mr	= 3361,
-    X86_VFMADDSD4mr_Int	= 3362,
-    X86_VFMADDSD4rm	= 3363,
-    X86_VFMADDSD4rm_Int	= 3364,
-    X86_VFMADDSD4rr	= 3365,
-    X86_VFMADDSD4rr_Int	= 3366,
-    X86_VFMADDSD4rr_REV	= 3367,
-    X86_VFMADDSDZm	= 3368,
-    X86_VFMADDSDZr	= 3369,
-    X86_VFMADDSDr132m	= 3370,
-    X86_VFMADDSDr132r	= 3371,
-    X86_VFMADDSDr213m	= 3372,
-    X86_VFMADDSDr213r	= 3373,
-    X86_VFMADDSDr231m	= 3374,
-    X86_VFMADDSDr231r	= 3375,
-    X86_VFMADDSS4mr	= 3376,
-    X86_VFMADDSS4mr_Int	= 3377,
-    X86_VFMADDSS4rm	= 3378,
-    X86_VFMADDSS4rm_Int	= 3379,
-    X86_VFMADDSS4rr	= 3380,
-    X86_VFMADDSS4rr_Int	= 3381,
-    X86_VFMADDSS4rr_REV	= 3382,
-    X86_VFMADDSSZm	= 3383,
-    X86_VFMADDSSZr	= 3384,
-    X86_VFMADDSSr132m	= 3385,
-    X86_VFMADDSSr132r	= 3386,
-    X86_VFMADDSSr213m	= 3387,
-    X86_VFMADDSSr213r	= 3388,
-    X86_VFMADDSSr231m	= 3389,
-    X86_VFMADDSSr231r	= 3390,
-    X86_VFMADDSUB132PDZm	= 3391,
-    X86_VFMADDSUB132PDZmb	= 3392,
-    X86_VFMADDSUB132PSZm	= 3393,
-    X86_VFMADDSUB132PSZmb	= 3394,
-    X86_VFMADDSUB213PDZm	= 3395,
-    X86_VFMADDSUB213PDZmb	= 3396,
-    X86_VFMADDSUB213PDZr	= 3397,
-    X86_VFMADDSUB213PSZm	= 3398,
-    X86_VFMADDSUB213PSZmb	= 3399,
-    X86_VFMADDSUB213PSZr	= 3400,
-    X86_VFMADDSUBPD4mr	= 3401,
-    X86_VFMADDSUBPD4mrY	= 3402,
-    X86_VFMADDSUBPD4rm	= 3403,
-    X86_VFMADDSUBPD4rmY	= 3404,
-    X86_VFMADDSUBPD4rr	= 3405,
-    X86_VFMADDSUBPD4rrY	= 3406,
-    X86_VFMADDSUBPD4rrY_REV	= 3407,
-    X86_VFMADDSUBPD4rr_REV	= 3408,
-    X86_VFMADDSUBPDr132m	= 3409,
-    X86_VFMADDSUBPDr132mY	= 3410,
-    X86_VFMADDSUBPDr132r	= 3411,
-    X86_VFMADDSUBPDr132rY	= 3412,
-    X86_VFMADDSUBPDr213m	= 3413,
-    X86_VFMADDSUBPDr213mY	= 3414,
-    X86_VFMADDSUBPDr213r	= 3415,
-    X86_VFMADDSUBPDr213rY	= 3416,
-    X86_VFMADDSUBPDr231m	= 3417,
-    X86_VFMADDSUBPDr231mY	= 3418,
-    X86_VFMADDSUBPDr231r	= 3419,
-    X86_VFMADDSUBPDr231rY	= 3420,
-    X86_VFMADDSUBPS4mr	= 3421,
-    X86_VFMADDSUBPS4mrY	= 3422,
-    X86_VFMADDSUBPS4rm	= 3423,
-    X86_VFMADDSUBPS4rmY	= 3424,
-    X86_VFMADDSUBPS4rr	= 3425,
-    X86_VFMADDSUBPS4rrY	= 3426,
-    X86_VFMADDSUBPS4rrY_REV	= 3427,
-    X86_VFMADDSUBPS4rr_REV	= 3428,
-    X86_VFMADDSUBPSr132m	= 3429,
-    X86_VFMADDSUBPSr132mY	= 3430,
-    X86_VFMADDSUBPSr132r	= 3431,
-    X86_VFMADDSUBPSr132rY	= 3432,
-    X86_VFMADDSUBPSr213m	= 3433,
-    X86_VFMADDSUBPSr213mY	= 3434,
-    X86_VFMADDSUBPSr213r	= 3435,
-    X86_VFMADDSUBPSr213rY	= 3436,
-    X86_VFMADDSUBPSr231m	= 3437,
-    X86_VFMADDSUBPSr231mY	= 3438,
-    X86_VFMADDSUBPSr231r	= 3439,
-    X86_VFMADDSUBPSr231rY	= 3440,
-    X86_VFMSUB132PDZm	= 3441,
-    X86_VFMSUB132PDZmb	= 3442,
-    X86_VFMSUB132PSZm	= 3443,
-    X86_VFMSUB132PSZmb	= 3444,
-    X86_VFMSUB213PDZm	= 3445,
-    X86_VFMSUB213PDZmb	= 3446,
-    X86_VFMSUB213PDZr	= 3447,
-    X86_VFMSUB213PSZm	= 3448,
-    X86_VFMSUB213PSZmb	= 3449,
-    X86_VFMSUB213PSZr	= 3450,
-    X86_VFMSUBADD132PDZm	= 3451,
-    X86_VFMSUBADD132PDZmb	= 3452,
-    X86_VFMSUBADD132PSZm	= 3453,
-    X86_VFMSUBADD132PSZmb	= 3454,
-    X86_VFMSUBADD213PDZm	= 3455,
-    X86_VFMSUBADD213PDZmb	= 3456,
-    X86_VFMSUBADD213PDZr	= 3457,
-    X86_VFMSUBADD213PSZm	= 3458,
-    X86_VFMSUBADD213PSZmb	= 3459,
-    X86_VFMSUBADD213PSZr	= 3460,
-    X86_VFMSUBADDPD4mr	= 3461,
-    X86_VFMSUBADDPD4mrY	= 3462,
-    X86_VFMSUBADDPD4rm	= 3463,
-    X86_VFMSUBADDPD4rmY	= 3464,
-    X86_VFMSUBADDPD4rr	= 3465,
-    X86_VFMSUBADDPD4rrY	= 3466,
-    X86_VFMSUBADDPD4rrY_REV	= 3467,
-    X86_VFMSUBADDPD4rr_REV	= 3468,
-    X86_VFMSUBADDPDr132m	= 3469,
-    X86_VFMSUBADDPDr132mY	= 3470,
-    X86_VFMSUBADDPDr132r	= 3471,
-    X86_VFMSUBADDPDr132rY	= 3472,
-    X86_VFMSUBADDPDr213m	= 3473,
-    X86_VFMSUBADDPDr213mY	= 3474,
-    X86_VFMSUBADDPDr213r	= 3475,
-    X86_VFMSUBADDPDr213rY	= 3476,
-    X86_VFMSUBADDPDr231m	= 3477,
-    X86_VFMSUBADDPDr231mY	= 3478,
-    X86_VFMSUBADDPDr231r	= 3479,
-    X86_VFMSUBADDPDr231rY	= 3480,
-    X86_VFMSUBADDPS4mr	= 3481,
-    X86_VFMSUBADDPS4mrY	= 3482,
-    X86_VFMSUBADDPS4rm	= 3483,
-    X86_VFMSUBADDPS4rmY	= 3484,
-    X86_VFMSUBADDPS4rr	= 3485,
-    X86_VFMSUBADDPS4rrY	= 3486,
-    X86_VFMSUBADDPS4rrY_REV	= 3487,
-    X86_VFMSUBADDPS4rr_REV	= 3488,
-    X86_VFMSUBADDPSr132m	= 3489,
-    X86_VFMSUBADDPSr132mY	= 3490,
-    X86_VFMSUBADDPSr132r	= 3491,
-    X86_VFMSUBADDPSr132rY	= 3492,
-    X86_VFMSUBADDPSr213m	= 3493,
-    X86_VFMSUBADDPSr213mY	= 3494,
-    X86_VFMSUBADDPSr213r	= 3495,
-    X86_VFMSUBADDPSr213rY	= 3496,
-    X86_VFMSUBADDPSr231m	= 3497,
-    X86_VFMSUBADDPSr231mY	= 3498,
-    X86_VFMSUBADDPSr231r	= 3499,
-    X86_VFMSUBADDPSr231rY	= 3500,
-    X86_VFMSUBPD4mr	= 3501,
-    X86_VFMSUBPD4mrY	= 3502,
-    X86_VFMSUBPD4rm	= 3503,
-    X86_VFMSUBPD4rmY	= 3504,
-    X86_VFMSUBPD4rr	= 3505,
-    X86_VFMSUBPD4rrY	= 3506,
-    X86_VFMSUBPD4rrY_REV	= 3507,
-    X86_VFMSUBPD4rr_REV	= 3508,
-    X86_VFMSUBPDr132m	= 3509,
-    X86_VFMSUBPDr132mY	= 3510,
-    X86_VFMSUBPDr132r	= 3511,
-    X86_VFMSUBPDr132rY	= 3512,
-    X86_VFMSUBPDr213m	= 3513,
-    X86_VFMSUBPDr213mY	= 3514,
-    X86_VFMSUBPDr213r	= 3515,
-    X86_VFMSUBPDr213rY	= 3516,
-    X86_VFMSUBPDr231m	= 3517,
-    X86_VFMSUBPDr231mY	= 3518,
-    X86_VFMSUBPDr231r	= 3519,
-    X86_VFMSUBPDr231rY	= 3520,
-    X86_VFMSUBPS4mr	= 3521,
-    X86_VFMSUBPS4mrY	= 3522,
-    X86_VFMSUBPS4rm	= 3523,
-    X86_VFMSUBPS4rmY	= 3524,
-    X86_VFMSUBPS4rr	= 3525,
-    X86_VFMSUBPS4rrY	= 3526,
-    X86_VFMSUBPS4rrY_REV	= 3527,
-    X86_VFMSUBPS4rr_REV	= 3528,
-    X86_VFMSUBPSr132m	= 3529,
-    X86_VFMSUBPSr132mY	= 3530,
-    X86_VFMSUBPSr132r	= 3531,
-    X86_VFMSUBPSr132rY	= 3532,
-    X86_VFMSUBPSr213m	= 3533,
-    X86_VFMSUBPSr213mY	= 3534,
-    X86_VFMSUBPSr213r	= 3535,
-    X86_VFMSUBPSr213rY	= 3536,
-    X86_VFMSUBPSr231m	= 3537,
-    X86_VFMSUBPSr231mY	= 3538,
-    X86_VFMSUBPSr231r	= 3539,
-    X86_VFMSUBPSr231rY	= 3540,
-    X86_VFMSUBSD4mr	= 3541,
-    X86_VFMSUBSD4mr_Int	= 3542,
-    X86_VFMSUBSD4rm	= 3543,
-    X86_VFMSUBSD4rm_Int	= 3544,
-    X86_VFMSUBSD4rr	= 3545,
-    X86_VFMSUBSD4rr_Int	= 3546,
-    X86_VFMSUBSD4rr_REV	= 3547,
-    X86_VFMSUBSDZm	= 3548,
-    X86_VFMSUBSDZr	= 3549,
-    X86_VFMSUBSDr132m	= 3550,
-    X86_VFMSUBSDr132r	= 3551,
-    X86_VFMSUBSDr213m	= 3552,
-    X86_VFMSUBSDr213r	= 3553,
-    X86_VFMSUBSDr231m	= 3554,
-    X86_VFMSUBSDr231r	= 3555,
-    X86_VFMSUBSS4mr	= 3556,
-    X86_VFMSUBSS4mr_Int	= 3557,
-    X86_VFMSUBSS4rm	= 3558,
-    X86_VFMSUBSS4rm_Int	= 3559,
-    X86_VFMSUBSS4rr	= 3560,
-    X86_VFMSUBSS4rr_Int	= 3561,
-    X86_VFMSUBSS4rr_REV	= 3562,
-    X86_VFMSUBSSZm	= 3563,
-    X86_VFMSUBSSZr	= 3564,
-    X86_VFMSUBSSr132m	= 3565,
-    X86_VFMSUBSSr132r	= 3566,
-    X86_VFMSUBSSr213m	= 3567,
-    X86_VFMSUBSSr213r	= 3568,
-    X86_VFMSUBSSr231m	= 3569,
-    X86_VFMSUBSSr231r	= 3570,
-    X86_VFNMADD132PDZm	= 3571,
-    X86_VFNMADD132PDZmb	= 3572,
-    X86_VFNMADD132PSZm	= 3573,
-    X86_VFNMADD132PSZmb	= 3574,
-    X86_VFNMADD213PDZm	= 3575,
-    X86_VFNMADD213PDZmb	= 3576,
-    X86_VFNMADD213PDZr	= 3577,
-    X86_VFNMADD213PSZm	= 3578,
-    X86_VFNMADD213PSZmb	= 3579,
-    X86_VFNMADD213PSZr	= 3580,
-    X86_VFNMADDPD4mr	= 3581,
-    X86_VFNMADDPD4mrY	= 3582,
-    X86_VFNMADDPD4rm	= 3583,
-    X86_VFNMADDPD4rmY	= 3584,
-    X86_VFNMADDPD4rr	= 3585,
-    X86_VFNMADDPD4rrY	= 3586,
-    X86_VFNMADDPD4rrY_REV	= 3587,
-    X86_VFNMADDPD4rr_REV	= 3588,
-    X86_VFNMADDPDr132m	= 3589,
-    X86_VFNMADDPDr132mY	= 3590,
-    X86_VFNMADDPDr132r	= 3591,
-    X86_VFNMADDPDr132rY	= 3592,
-    X86_VFNMADDPDr213m	= 3593,
-    X86_VFNMADDPDr213mY	= 3594,
-    X86_VFNMADDPDr213r	= 3595,
-    X86_VFNMADDPDr213rY	= 3596,
-    X86_VFNMADDPDr231m	= 3597,
-    X86_VFNMADDPDr231mY	= 3598,
-    X86_VFNMADDPDr231r	= 3599,
-    X86_VFNMADDPDr231rY	= 3600,
-    X86_VFNMADDPS4mr	= 3601,
-    X86_VFNMADDPS4mrY	= 3602,
-    X86_VFNMADDPS4rm	= 3603,
-    X86_VFNMADDPS4rmY	= 3604,
-    X86_VFNMADDPS4rr	= 3605,
-    X86_VFNMADDPS4rrY	= 3606,
-    X86_VFNMADDPS4rrY_REV	= 3607,
-    X86_VFNMADDPS4rr_REV	= 3608,
-    X86_VFNMADDPSr132m	= 3609,
-    X86_VFNMADDPSr132mY	= 3610,
-    X86_VFNMADDPSr132r	= 3611,
-    X86_VFNMADDPSr132rY	= 3612,
-    X86_VFNMADDPSr213m	= 3613,
-    X86_VFNMADDPSr213mY	= 3614,
-    X86_VFNMADDPSr213r	= 3615,
-    X86_VFNMADDPSr213rY	= 3616,
-    X86_VFNMADDPSr231m	= 3617,
-    X86_VFNMADDPSr231mY	= 3618,
-    X86_VFNMADDPSr231r	= 3619,
-    X86_VFNMADDPSr231rY	= 3620,
-    X86_VFNMADDSD4mr	= 3621,
-    X86_VFNMADDSD4mr_Int	= 3622,
-    X86_VFNMADDSD4rm	= 3623,
-    X86_VFNMADDSD4rm_Int	= 3624,
-    X86_VFNMADDSD4rr	= 3625,
-    X86_VFNMADDSD4rr_Int	= 3626,
-    X86_VFNMADDSD4rr_REV	= 3627,
-    X86_VFNMADDSDZm	= 3628,
-    X86_VFNMADDSDZr	= 3629,
-    X86_VFNMADDSDr132m	= 3630,
-    X86_VFNMADDSDr132r	= 3631,
-    X86_VFNMADDSDr213m	= 3632,
-    X86_VFNMADDSDr213r	= 3633,
-    X86_VFNMADDSDr231m	= 3634,
-    X86_VFNMADDSDr231r	= 3635,
-    X86_VFNMADDSS4mr	= 3636,
-    X86_VFNMADDSS4mr_Int	= 3637,
-    X86_VFNMADDSS4rm	= 3638,
-    X86_VFNMADDSS4rm_Int	= 3639,
-    X86_VFNMADDSS4rr	= 3640,
-    X86_VFNMADDSS4rr_Int	= 3641,
-    X86_VFNMADDSS4rr_REV	= 3642,
-    X86_VFNMADDSSZm	= 3643,
-    X86_VFNMADDSSZr	= 3644,
-    X86_VFNMADDSSr132m	= 3645,
-    X86_VFNMADDSSr132r	= 3646,
-    X86_VFNMADDSSr213m	= 3647,
-    X86_VFNMADDSSr213r	= 3648,
-    X86_VFNMADDSSr231m	= 3649,
-    X86_VFNMADDSSr231r	= 3650,
-    X86_VFNMSUB132PDZm	= 3651,
-    X86_VFNMSUB132PDZmb	= 3652,
-    X86_VFNMSUB132PSZm	= 3653,
-    X86_VFNMSUB132PSZmb	= 3654,
-    X86_VFNMSUB213PDZm	= 3655,
-    X86_VFNMSUB213PDZmb	= 3656,
-    X86_VFNMSUB213PDZr	= 3657,
-    X86_VFNMSUB213PSZm	= 3658,
-    X86_VFNMSUB213PSZmb	= 3659,
-    X86_VFNMSUB213PSZr	= 3660,
-    X86_VFNMSUBPD4mr	= 3661,
-    X86_VFNMSUBPD4mrY	= 3662,
-    X86_VFNMSUBPD4rm	= 3663,
-    X86_VFNMSUBPD4rmY	= 3664,
-    X86_VFNMSUBPD4rr	= 3665,
-    X86_VFNMSUBPD4rrY	= 3666,
-    X86_VFNMSUBPD4rrY_REV	= 3667,
-    X86_VFNMSUBPD4rr_REV	= 3668,
-    X86_VFNMSUBPDr132m	= 3669,
-    X86_VFNMSUBPDr132mY	= 3670,
-    X86_VFNMSUBPDr132r	= 3671,
-    X86_VFNMSUBPDr132rY	= 3672,
-    X86_VFNMSUBPDr213m	= 3673,
-    X86_VFNMSUBPDr213mY	= 3674,
-    X86_VFNMSUBPDr213r	= 3675,
-    X86_VFNMSUBPDr213rY	= 3676,
-    X86_VFNMSUBPDr231m	= 3677,
-    X86_VFNMSUBPDr231mY	= 3678,
-    X86_VFNMSUBPDr231r	= 3679,
-    X86_VFNMSUBPDr231rY	= 3680,
-    X86_VFNMSUBPS4mr	= 3681,
-    X86_VFNMSUBPS4mrY	= 3682,
-    X86_VFNMSUBPS4rm	= 3683,
-    X86_VFNMSUBPS4rmY	= 3684,
-    X86_VFNMSUBPS4rr	= 3685,
-    X86_VFNMSUBPS4rrY	= 3686,
-    X86_VFNMSUBPS4rrY_REV	= 3687,
-    X86_VFNMSUBPS4rr_REV	= 3688,
-    X86_VFNMSUBPSr132m	= 3689,
-    X86_VFNMSUBPSr132mY	= 3690,
-    X86_VFNMSUBPSr132r	= 3691,
-    X86_VFNMSUBPSr132rY	= 3692,
-    X86_VFNMSUBPSr213m	= 3693,
-    X86_VFNMSUBPSr213mY	= 3694,
-    X86_VFNMSUBPSr213r	= 3695,
-    X86_VFNMSUBPSr213rY	= 3696,
-    X86_VFNMSUBPSr231m	= 3697,
-    X86_VFNMSUBPSr231mY	= 3698,
-    X86_VFNMSUBPSr231r	= 3699,
-    X86_VFNMSUBPSr231rY	= 3700,
-    X86_VFNMSUBSD4mr	= 3701,
-    X86_VFNMSUBSD4mr_Int	= 3702,
-    X86_VFNMSUBSD4rm	= 3703,
-    X86_VFNMSUBSD4rm_Int	= 3704,
-    X86_VFNMSUBSD4rr	= 3705,
-    X86_VFNMSUBSD4rr_Int	= 3706,
-    X86_VFNMSUBSD4rr_REV	= 3707,
-    X86_VFNMSUBSDZm	= 3708,
-    X86_VFNMSUBSDZr	= 3709,
-    X86_VFNMSUBSDr132m	= 3710,
-    X86_VFNMSUBSDr132r	= 3711,
-    X86_VFNMSUBSDr213m	= 3712,
-    X86_VFNMSUBSDr213r	= 3713,
-    X86_VFNMSUBSDr231m	= 3714,
-    X86_VFNMSUBSDr231r	= 3715,
-    X86_VFNMSUBSS4mr	= 3716,
-    X86_VFNMSUBSS4mr_Int	= 3717,
-    X86_VFNMSUBSS4rm	= 3718,
-    X86_VFNMSUBSS4rm_Int	= 3719,
-    X86_VFNMSUBSS4rr	= 3720,
-    X86_VFNMSUBSS4rr_Int	= 3721,
-    X86_VFNMSUBSS4rr_REV	= 3722,
-    X86_VFNMSUBSSZm	= 3723,
-    X86_VFNMSUBSSZr	= 3724,
-    X86_VFNMSUBSSr132m	= 3725,
-    X86_VFNMSUBSSr132r	= 3726,
-    X86_VFNMSUBSSr213m	= 3727,
-    X86_VFNMSUBSSr213r	= 3728,
-    X86_VFNMSUBSSr231m	= 3729,
-    X86_VFNMSUBSSr231r	= 3730,
-    X86_VFRCZPDrm	= 3731,
-    X86_VFRCZPDrmY	= 3732,
-    X86_VFRCZPDrr	= 3733,
-    X86_VFRCZPDrrY	= 3734,
-    X86_VFRCZPSrm	= 3735,
-    X86_VFRCZPSrmY	= 3736,
-    X86_VFRCZPSrr	= 3737,
-    X86_VFRCZPSrrY	= 3738,
-    X86_VFRCZSDrm	= 3739,
-    X86_VFRCZSDrr	= 3740,
-    X86_VFRCZSSrm	= 3741,
-    X86_VFRCZSSrr	= 3742,
-    X86_VFsANDNPDrm	= 3743,
-    X86_VFsANDNPDrr	= 3744,
-    X86_VFsANDNPSrm	= 3745,
-    X86_VFsANDNPSrr	= 3746,
-    X86_VFsANDPDrm	= 3747,
-    X86_VFsANDPDrr	= 3748,
-    X86_VFsANDPSrm	= 3749,
-    X86_VFsANDPSrr	= 3750,
-    X86_VFsORPDrm	= 3751,
-    X86_VFsORPDrr	= 3752,
-    X86_VFsORPSrm	= 3753,
-    X86_VFsORPSrr	= 3754,
-    X86_VFsXORPDrm	= 3755,
-    X86_VFsXORPDrr	= 3756,
-    X86_VFsXORPSrm	= 3757,
-    X86_VFsXORPSrr	= 3758,
-    X86_VGATHERDPDYrm	= 3759,
-    X86_VGATHERDPDZrm	= 3760,
-    X86_VGATHERDPDrm	= 3761,
-    X86_VGATHERDPSYrm	= 3762,
-    X86_VGATHERDPSZrm	= 3763,
-    X86_VGATHERDPSrm	= 3764,
-    X86_VGATHERQPDYrm	= 3765,
-    X86_VGATHERQPDZrm	= 3766,
-    X86_VGATHERQPDrm	= 3767,
-    X86_VGATHERQPSYrm	= 3768,
-    X86_VGATHERQPSZrm	= 3769,
-    X86_VGATHERQPSrm	= 3770,
-    X86_VHADDPDYrm	= 3771,
-    X86_VHADDPDYrr	= 3772,
-    X86_VHADDPDrm	= 3773,
-    X86_VHADDPDrr	= 3774,
-    X86_VHADDPSYrm	= 3775,
-    X86_VHADDPSYrr	= 3776,
-    X86_VHADDPSrm	= 3777,
-    X86_VHADDPSrr	= 3778,
-    X86_VHSUBPDYrm	= 3779,
-    X86_VHSUBPDYrr	= 3780,
-    X86_VHSUBPDrm	= 3781,
-    X86_VHSUBPDrr	= 3782,
-    X86_VHSUBPSYrm	= 3783,
-    X86_VHSUBPSYrr	= 3784,
-    X86_VHSUBPSrm	= 3785,
-    X86_VHSUBPSrr	= 3786,
-    X86_VINSERTF128rm	= 3787,
-    X86_VINSERTF128rr	= 3788,
-    X86_VINSERTF32x4rm	= 3789,
-    X86_VINSERTF32x4rr	= 3790,
-    X86_VINSERTF64x4rm	= 3791,
-    X86_VINSERTF64x4rr	= 3792,
-    X86_VINSERTI128rm	= 3793,
-    X86_VINSERTI128rr	= 3794,
-    X86_VINSERTI32x4rm	= 3795,
-    X86_VINSERTI32x4rr	= 3796,
-    X86_VINSERTI64x4rm	= 3797,
-    X86_VINSERTI64x4rr	= 3798,
-    X86_VINSERTPSrm	= 3799,
-    X86_VINSERTPSrr	= 3800,
-    X86_VINSERTPSzrm	= 3801,
-    X86_VINSERTPSzrr	= 3802,
-    X86_VLDDQUYrm	= 3803,
-    X86_VLDDQUrm	= 3804,
-    X86_VLDMXCSR	= 3805,
-    X86_VMASKMOVDQU	= 3806,
-    X86_VMASKMOVDQU64	= 3807,
-    X86_VMASKMOVPDYmr	= 3808,
-    X86_VMASKMOVPDYrm	= 3809,
-    X86_VMASKMOVPDmr	= 3810,
-    X86_VMASKMOVPDrm	= 3811,
-    X86_VMASKMOVPSYmr	= 3812,
-    X86_VMASKMOVPSYrm	= 3813,
-    X86_VMASKMOVPSmr	= 3814,
-    X86_VMASKMOVPSrm	= 3815,
-    X86_VMAXCPDYrm	= 3816,
-    X86_VMAXCPDYrr	= 3817,
-    X86_VMAXCPDrm	= 3818,
-    X86_VMAXCPDrr	= 3819,
-    X86_VMAXCPSYrm	= 3820,
-    X86_VMAXCPSYrr	= 3821,
-    X86_VMAXCPSrm	= 3822,
-    X86_VMAXCPSrr	= 3823,
-    X86_VMAXCSDrm	= 3824,
-    X86_VMAXCSDrr	= 3825,
-    X86_VMAXCSSrm	= 3826,
-    X86_VMAXCSSrr	= 3827,
-    X86_VMAXPDYrm	= 3828,
-    X86_VMAXPDYrr	= 3829,
-    X86_VMAXPDZrm	= 3830,
-    X86_VMAXPDZrmb	= 3831,
-    X86_VMAXPDZrr	= 3832,
-    X86_VMAXPDrm	= 3833,
-    X86_VMAXPDrr	= 3834,
-    X86_VMAXPSYrm	= 3835,
-    X86_VMAXPSYrr	= 3836,
-    X86_VMAXPSZrm	= 3837,
-    X86_VMAXPSZrmb	= 3838,
-    X86_VMAXPSZrr	= 3839,
-    X86_VMAXPSrm	= 3840,
-    X86_VMAXPSrr	= 3841,
-    X86_VMAXSDZrm	= 3842,
-    X86_VMAXSDZrr	= 3843,
-    X86_VMAXSDrm	= 3844,
-    X86_VMAXSDrm_Int	= 3845,
-    X86_VMAXSDrr	= 3846,
-    X86_VMAXSDrr_Int	= 3847,
-    X86_VMAXSSZrm	= 3848,
-    X86_VMAXSSZrr	= 3849,
-    X86_VMAXSSrm	= 3850,
-    X86_VMAXSSrm_Int	= 3851,
-    X86_VMAXSSrr	= 3852,
-    X86_VMAXSSrr_Int	= 3853,
-    X86_VMCALL	= 3854,
-    X86_VMCLEARm	= 3855,
-    X86_VMFUNC	= 3856,
-    X86_VMINCPDYrm	= 3857,
-    X86_VMINCPDYrr	= 3858,
-    X86_VMINCPDrm	= 3859,
-    X86_VMINCPDrr	= 3860,
-    X86_VMINCPSYrm	= 3861,
-    X86_VMINCPSYrr	= 3862,
-    X86_VMINCPSrm	= 3863,
-    X86_VMINCPSrr	= 3864,
-    X86_VMINCSDrm	= 3865,
-    X86_VMINCSDrr	= 3866,
-    X86_VMINCSSrm	= 3867,
-    X86_VMINCSSrr	= 3868,
-    X86_VMINPDYrm	= 3869,
-    X86_VMINPDYrr	= 3870,
-    X86_VMINPDZrm	= 3871,
-    X86_VMINPDZrmb	= 3872,
-    X86_VMINPDZrr	= 3873,
-    X86_VMINPDrm	= 3874,
-    X86_VMINPDrr	= 3875,
-    X86_VMINPSYrm	= 3876,
-    X86_VMINPSYrr	= 3877,
-    X86_VMINPSZrm	= 3878,
-    X86_VMINPSZrmb	= 3879,
-    X86_VMINPSZrr	= 3880,
-    X86_VMINPSrm	= 3881,
-    X86_VMINPSrr	= 3882,
-    X86_VMINSDZrm	= 3883,
-    X86_VMINSDZrr	= 3884,
-    X86_VMINSDrm	= 3885,
-    X86_VMINSDrm_Int	= 3886,
-    X86_VMINSDrr	= 3887,
-    X86_VMINSDrr_Int	= 3888,
-    X86_VMINSSZrm	= 3889,
-    X86_VMINSSZrr	= 3890,
-    X86_VMINSSrm	= 3891,
-    X86_VMINSSrm_Int	= 3892,
-    X86_VMINSSrr	= 3893,
-    X86_VMINSSrr_Int	= 3894,
-    X86_VMLAUNCH	= 3895,
-    X86_VMLOAD32	= 3896,
-    X86_VMLOAD64	= 3897,
-    X86_VMMCALL	= 3898,
-    X86_VMOV64toPQIZrr	= 3899,
-    X86_VMOV64toPQIrr	= 3900,
-    X86_VMOV64toSDZrr	= 3901,
-    X86_VMOV64toSDrm	= 3902,
-    X86_VMOV64toSDrr	= 3903,
-    X86_VMOVAPDYmr	= 3904,
-    X86_VMOVAPDYrm	= 3905,
-    X86_VMOVAPDYrr	= 3906,
-    X86_VMOVAPDYrr_REV	= 3907,
-    X86_VMOVAPDZmr	= 3908,
-    X86_VMOVAPDZrm	= 3909,
-    X86_VMOVAPDZrmk	= 3910,
-    X86_VMOVAPDZrr	= 3911,
-    X86_VMOVAPDZrrk	= 3912,
-    X86_VMOVAPDmr	= 3913,
-    X86_VMOVAPDrm	= 3914,
-    X86_VMOVAPDrr	= 3915,
-    X86_VMOVAPDrr_REV	= 3916,
-    X86_VMOVAPSYmr	= 3917,
-    X86_VMOVAPSYrm	= 3918,
-    X86_VMOVAPSYrr	= 3919,
-    X86_VMOVAPSYrr_REV	= 3920,
-    X86_VMOVAPSZmr	= 3921,
-    X86_VMOVAPSZrm	= 3922,
-    X86_VMOVAPSZrmk	= 3923,
-    X86_VMOVAPSZrr	= 3924,
-    X86_VMOVAPSZrrk	= 3925,
-    X86_VMOVAPSmr	= 3926,
-    X86_VMOVAPSrm	= 3927,
-    X86_VMOVAPSrr	= 3928,
-    X86_VMOVAPSrr_REV	= 3929,
-    X86_VMOVDDUPYrm	= 3930,
-    X86_VMOVDDUPYrr	= 3931,
-    X86_VMOVDDUPZrm	= 3932,
-    X86_VMOVDDUPZrr	= 3933,
-    X86_VMOVDDUPrm	= 3934,
-    X86_VMOVDDUPrr	= 3935,
-    X86_VMOVDI2PDIZrm	= 3936,
-    X86_VMOVDI2PDIZrr	= 3937,
-    X86_VMOVDI2PDIrm	= 3938,
-    X86_VMOVDI2PDIrr	= 3939,
-    X86_VMOVDI2SSZrm	= 3940,
-    X86_VMOVDI2SSZrr	= 3941,
-    X86_VMOVDI2SSrm	= 3942,
-    X86_VMOVDI2SSrr	= 3943,
-    X86_VMOVDQA32mr	= 3944,
-    X86_VMOVDQA32rm	= 3945,
-    X86_VMOVDQA32rr	= 3946,
-    X86_VMOVDQA64mr	= 3947,
-    X86_VMOVDQA64rm	= 3948,
-    X86_VMOVDQA64rr	= 3949,
-    X86_VMOVDQAYmr	= 3950,
-    X86_VMOVDQAYrm	= 3951,
-    X86_VMOVDQAYrr	= 3952,
-    X86_VMOVDQAYrr_REV	= 3953,
-    X86_VMOVDQAmr	= 3954,
-    X86_VMOVDQArm	= 3955,
-    X86_VMOVDQArr	= 3956,
-    X86_VMOVDQArr_REV	= 3957,
-    X86_VMOVDQU32mr	= 3958,
-    X86_VMOVDQU32rm	= 3959,
-    X86_VMOVDQU32rmk	= 3960,
-    X86_VMOVDQU32rr	= 3961,
-    X86_VMOVDQU32rrk	= 3962,
-    X86_VMOVDQU32rrkz	= 3963,
-    X86_VMOVDQU64mr	= 3964,
-    X86_VMOVDQU64rm	= 3965,
-    X86_VMOVDQU64rmk	= 3966,
-    X86_VMOVDQU64rr	= 3967,
-    X86_VMOVDQU64rrk	= 3968,
-    X86_VMOVDQU64rrkz	= 3969,
-    X86_VMOVDQUYmr	= 3970,
-    X86_VMOVDQUYrm	= 3971,
-    X86_VMOVDQUYrr	= 3972,
-    X86_VMOVDQUYrr_REV	= 3973,
-    X86_VMOVDQUmr	= 3974,
-    X86_VMOVDQUrm	= 3975,
-    X86_VMOVDQUrr	= 3976,
-    X86_VMOVDQUrr_REV	= 3977,
-    X86_VMOVHLPSZrr	= 3978,
-    X86_VMOVHLPSrr	= 3979,
-    X86_VMOVHPDmr	= 3980,
-    X86_VMOVHPDrm	= 3981,
-    X86_VMOVHPSmr	= 3982,
-    X86_VMOVHPSrm	= 3983,
-    X86_VMOVLHPSZrr	= 3984,
-    X86_VMOVLHPSrr	= 3985,
-    X86_VMOVLPDmr	= 3986,
-    X86_VMOVLPDrm	= 3987,
-    X86_VMOVLPSmr	= 3988,
-    X86_VMOVLPSrm	= 3989,
-    X86_VMOVMSKPDYrr	= 3990,
-    X86_VMOVMSKPDrr	= 3991,
-    X86_VMOVMSKPSYrr	= 3992,
-    X86_VMOVMSKPSrr	= 3993,
-    X86_VMOVNTDQAYrm	= 3994,
-    X86_VMOVNTDQArm	= 3995,
-    X86_VMOVNTDQYmr	= 3996,
-    X86_VMOVNTDQmr	= 3997,
-    X86_VMOVNTPDYmr	= 3998,
-    X86_VMOVNTPDmr	= 3999,
-    X86_VMOVNTPSYmr	= 4000,
-    X86_VMOVNTPSmr	= 4001,
-    X86_VMOVPDI2DIZmr	= 4002,
-    X86_VMOVPDI2DIZrr	= 4003,
-    X86_VMOVPDI2DImr	= 4004,
-    X86_VMOVPDI2DIrr	= 4005,
-    X86_VMOVPQI2QImr	= 4006,
-    X86_VMOVPQI2QIrr	= 4007,
-    X86_VMOVPQIto64Zmr	= 4008,
-    X86_VMOVPQIto64Zrr	= 4009,
-    X86_VMOVPQIto64rr	= 4010,
-    X86_VMOVQI2PQIZrm	= 4011,
-    X86_VMOVQI2PQIrm	= 4012,
-    X86_VMOVSDZmr	= 4013,
-    X86_VMOVSDZrm	= 4014,
-    X86_VMOVSDZrr	= 4015,
-    X86_VMOVSDZrr_REV	= 4016,
-    X86_VMOVSDZrrk	= 4017,
-    X86_VMOVSDmr	= 4018,
-    X86_VMOVSDrm	= 4019,
-    X86_VMOVSDrr	= 4020,
-    X86_VMOVSDrr_REV	= 4021,
-    X86_VMOVSDto64Zmr	= 4022,
-    X86_VMOVSDto64Zrr	= 4023,
-    X86_VMOVSDto64mr	= 4024,
-    X86_VMOVSDto64rr	= 4025,
-    X86_VMOVSHDUPYrm	= 4026,
-    X86_VMOVSHDUPYrr	= 4027,
-    X86_VMOVSHDUPZrm	= 4028,
-    X86_VMOVSHDUPZrr	= 4029,
-    X86_VMOVSHDUPrm	= 4030,
-    X86_VMOVSHDUPrr	= 4031,
-    X86_VMOVSLDUPYrm	= 4032,
-    X86_VMOVSLDUPYrr	= 4033,
-    X86_VMOVSLDUPZrm	= 4034,
-    X86_VMOVSLDUPZrr	= 4035,
-    X86_VMOVSLDUPrm	= 4036,
-    X86_VMOVSLDUPrr	= 4037,
-    X86_VMOVSS2DIZmr	= 4038,
-    X86_VMOVSS2DIZrr	= 4039,
-    X86_VMOVSS2DImr	= 4040,
-    X86_VMOVSS2DIrr	= 4041,
-    X86_VMOVSSZmr	= 4042,
-    X86_VMOVSSZrm	= 4043,
-    X86_VMOVSSZrr	= 4044,
-    X86_VMOVSSZrr_REV	= 4045,
-    X86_VMOVSSZrrk	= 4046,
-    X86_VMOVSSmr	= 4047,
-    X86_VMOVSSrm	= 4048,
-    X86_VMOVSSrr	= 4049,
-    X86_VMOVSSrr_REV	= 4050,
-    X86_VMOVUPDYmr	= 4051,
-    X86_VMOVUPDYrm	= 4052,
-    X86_VMOVUPDYrr	= 4053,
-    X86_VMOVUPDYrr_REV	= 4054,
-    X86_VMOVUPDZmr	= 4055,
-    X86_VMOVUPDZrm	= 4056,
-    X86_VMOVUPDZrmk	= 4057,
-    X86_VMOVUPDZrr	= 4058,
-    X86_VMOVUPDZrrk	= 4059,
-    X86_VMOVUPDmr	= 4060,
-    X86_VMOVUPDrm	= 4061,
-    X86_VMOVUPDrr	= 4062,
-    X86_VMOVUPDrr_REV	= 4063,
-    X86_VMOVUPSYmr	= 4064,
-    X86_VMOVUPSYrm	= 4065,
-    X86_VMOVUPSYrr	= 4066,
-    X86_VMOVUPSYrr_REV	= 4067,
-    X86_VMOVUPSZmr	= 4068,
-    X86_VMOVUPSZrm	= 4069,
-    X86_VMOVUPSZrmk	= 4070,
-    X86_VMOVUPSZrr	= 4071,
-    X86_VMOVUPSZrrk	= 4072,
-    X86_VMOVUPSmr	= 4073,
-    X86_VMOVUPSrm	= 4074,
-    X86_VMOVUPSrr	= 4075,
-    X86_VMOVUPSrr_REV	= 4076,
-    X86_VMOVZPQILo2PQIZrm	= 4077,
-    X86_VMOVZPQILo2PQIZrr	= 4078,
-    X86_VMOVZPQILo2PQIrm	= 4079,
-    X86_VMOVZPQILo2PQIrr	= 4080,
-    X86_VMOVZQI2PQIrm	= 4081,
-    X86_VMOVZQI2PQIrr	= 4082,
-    X86_VMPSADBWYrmi	= 4083,
-    X86_VMPSADBWYrri	= 4084,
-    X86_VMPSADBWrmi	= 4085,
-    X86_VMPSADBWrri	= 4086,
-    X86_VMPTRLDm	= 4087,
-    X86_VMPTRSTm	= 4088,
-    X86_VMREAD32rm	= 4089,
-    X86_VMREAD32rr	= 4090,
-    X86_VMREAD64rm	= 4091,
-    X86_VMREAD64rr	= 4092,
-    X86_VMRESUME	= 4093,
-    X86_VMRUN32	= 4094,
-    X86_VMRUN64	= 4095,
-    X86_VMSAVE32	= 4096,
-    X86_VMSAVE64	= 4097,
-    X86_VMULPDYrm	= 4098,
-    X86_VMULPDYrr	= 4099,
-    X86_VMULPDZrm	= 4100,
-    X86_VMULPDZrmb	= 4101,
-    X86_VMULPDZrr	= 4102,
-    X86_VMULPDrm	= 4103,
-    X86_VMULPDrr	= 4104,
-    X86_VMULPSYrm	= 4105,
-    X86_VMULPSYrr	= 4106,
-    X86_VMULPSZrm	= 4107,
-    X86_VMULPSZrmb	= 4108,
-    X86_VMULPSZrr	= 4109,
-    X86_VMULPSrm	= 4110,
-    X86_VMULPSrr	= 4111,
-    X86_VMULSDZrm	= 4112,
-    X86_VMULSDZrr	= 4113,
-    X86_VMULSDrm	= 4114,
-    X86_VMULSDrm_Int	= 4115,
-    X86_VMULSDrr	= 4116,
-    X86_VMULSDrr_Int	= 4117,
-    X86_VMULSSZrm	= 4118,
-    X86_VMULSSZrr	= 4119,
-    X86_VMULSSrm	= 4120,
-    X86_VMULSSrm_Int	= 4121,
-    X86_VMULSSrr	= 4122,
-    X86_VMULSSrr_Int	= 4123,
-    X86_VMWRITE32rm	= 4124,
-    X86_VMWRITE32rr	= 4125,
-    X86_VMWRITE64rm	= 4126,
-    X86_VMWRITE64rr	= 4127,
-    X86_VMXOFF	= 4128,
-    X86_VMXON	= 4129,
-    X86_VORPDYrm	= 4130,
-    X86_VORPDYrr	= 4131,
-    X86_VORPDrm	= 4132,
-    X86_VORPDrr	= 4133,
-    X86_VORPSYrm	= 4134,
-    X86_VORPSYrr	= 4135,
-    X86_VORPSrm	= 4136,
-    X86_VORPSrr	= 4137,
-    X86_VPABSBrm128	= 4138,
-    X86_VPABSBrm256	= 4139,
-    X86_VPABSBrr128	= 4140,
-    X86_VPABSBrr256	= 4141,
-    X86_VPABSDrm	= 4142,
-    X86_VPABSDrm128	= 4143,
-    X86_VPABSDrm256	= 4144,
-    X86_VPABSDrr	= 4145,
-    X86_VPABSDrr128	= 4146,
-    X86_VPABSDrr256	= 4147,
-    X86_VPABSQrm	= 4148,
-    X86_VPABSQrr	= 4149,
-    X86_VPABSWrm128	= 4150,
-    X86_VPABSWrm256	= 4151,
-    X86_VPABSWrr128	= 4152,
-    X86_VPABSWrr256	= 4153,
-    X86_VPACKSSDWYrm	= 4154,
-    X86_VPACKSSDWYrr	= 4155,
-    X86_VPACKSSDWrm	= 4156,
-    X86_VPACKSSDWrr	= 4157,
-    X86_VPACKSSWBYrm	= 4158,
-    X86_VPACKSSWBYrr	= 4159,
-    X86_VPACKSSWBrm	= 4160,
-    X86_VPACKSSWBrr	= 4161,
-    X86_VPACKUSDWYrm	= 4162,
-    X86_VPACKUSDWYrr	= 4163,
-    X86_VPACKUSDWrm	= 4164,
-    X86_VPACKUSDWrr	= 4165,
-    X86_VPACKUSWBYrm	= 4166,
-    X86_VPACKUSWBYrr	= 4167,
-    X86_VPACKUSWBrm	= 4168,
-    X86_VPACKUSWBrr	= 4169,
-    X86_VPADDBYrm	= 4170,
-    X86_VPADDBYrr	= 4171,
-    X86_VPADDBrm	= 4172,
-    X86_VPADDBrr	= 4173,
-    X86_VPADDDYrm	= 4174,
-    X86_VPADDDYrr	= 4175,
-    X86_VPADDDZrm	= 4176,
-    X86_VPADDDZrmb	= 4177,
-    X86_VPADDDZrr	= 4178,
-    X86_VPADDDrm	= 4179,
-    X86_VPADDDrr	= 4180,
-    X86_VPADDQYrm	= 4181,
-    X86_VPADDQYrr	= 4182,
-    X86_VPADDQZrm	= 4183,
-    X86_VPADDQZrmb	= 4184,
-    X86_VPADDQZrr	= 4185,
-    X86_VPADDQrm	= 4186,
-    X86_VPADDQrr	= 4187,
-    X86_VPADDSBYrm	= 4188,
-    X86_VPADDSBYrr	= 4189,
-    X86_VPADDSBrm	= 4190,
-    X86_VPADDSBrr	= 4191,
-    X86_VPADDSWYrm	= 4192,
-    X86_VPADDSWYrr	= 4193,
-    X86_VPADDSWrm	= 4194,
-    X86_VPADDSWrr	= 4195,
-    X86_VPADDUSBYrm	= 4196,
-    X86_VPADDUSBYrr	= 4197,
-    X86_VPADDUSBrm	= 4198,
-    X86_VPADDUSBrr	= 4199,
-    X86_VPADDUSWYrm	= 4200,
-    X86_VPADDUSWYrr	= 4201,
-    X86_VPADDUSWrm	= 4202,
-    X86_VPADDUSWrr	= 4203,
-    X86_VPADDWYrm	= 4204,
-    X86_VPADDWYrr	= 4205,
-    X86_VPADDWrm	= 4206,
-    X86_VPADDWrr	= 4207,
-    X86_VPALIGNR128rm	= 4208,
-    X86_VPALIGNR128rr	= 4209,
-    X86_VPALIGNR256rm	= 4210,
-    X86_VPALIGNR256rr	= 4211,
-    X86_VPANDDZrm	= 4212,
-    X86_VPANDDZrmb	= 4213,
-    X86_VPANDDZrr	= 4214,
-    X86_VPANDNDZrm	= 4215,
-    X86_VPANDNDZrmb	= 4216,
-    X86_VPANDNDZrr	= 4217,
-    X86_VPANDNQZrm	= 4218,
-    X86_VPANDNQZrmb	= 4219,
-    X86_VPANDNQZrr	= 4220,
-    X86_VPANDNYrm	= 4221,
-    X86_VPANDNYrr	= 4222,
-    X86_VPANDNrm	= 4223,
-    X86_VPANDNrr	= 4224,
-    X86_VPANDQZrm	= 4225,
-    X86_VPANDQZrmb	= 4226,
-    X86_VPANDQZrr	= 4227,
-    X86_VPANDYrm	= 4228,
-    X86_VPANDYrr	= 4229,
-    X86_VPANDrm	= 4230,
-    X86_VPANDrr	= 4231,
-    X86_VPAVGBYrm	= 4232,
-    X86_VPAVGBYrr	= 4233,
-    X86_VPAVGBrm	= 4234,
-    X86_VPAVGBrr	= 4235,
-    X86_VPAVGWYrm	= 4236,
-    X86_VPAVGWYrr	= 4237,
-    X86_VPAVGWrm	= 4238,
-    X86_VPAVGWrr	= 4239,
-    X86_VPBLENDDYrmi	= 4240,
-    X86_VPBLENDDYrri	= 4241,
-    X86_VPBLENDDrmi	= 4242,
-    X86_VPBLENDDrri	= 4243,
-    X86_VPBLENDMDZrm	= 4244,
-    X86_VPBLENDMDZrr	= 4245,
-    X86_VPBLENDMQZrm	= 4246,
-    X86_VPBLENDMQZrr	= 4247,
-    X86_VPBLENDVBYrm	= 4248,
-    X86_VPBLENDVBYrr	= 4249,
-    X86_VPBLENDVBrm	= 4250,
-    X86_VPBLENDVBrr	= 4251,
-    X86_VPBLENDWYrmi	= 4252,
-    X86_VPBLENDWYrri	= 4253,
-    X86_VPBLENDWrmi	= 4254,
-    X86_VPBLENDWrri	= 4255,
-    X86_VPBROADCASTBYrm	= 4256,
-    X86_VPBROADCASTBYrr	= 4257,
-    X86_VPBROADCASTBrm	= 4258,
-    X86_VPBROADCASTBrr	= 4259,
-    X86_VPBROADCASTDYrm	= 4260,
-    X86_VPBROADCASTDYrr	= 4261,
-    X86_VPBROADCASTDZkrm	= 4262,
-    X86_VPBROADCASTDZkrr	= 4263,
-    X86_VPBROADCASTDZrm	= 4264,
-    X86_VPBROADCASTDZrr	= 4265,
-    X86_VPBROADCASTDrZkrr	= 4266,
-    X86_VPBROADCASTDrZrr	= 4267,
-    X86_VPBROADCASTDrm	= 4268,
-    X86_VPBROADCASTDrr	= 4269,
-    X86_VPBROADCASTMB2Qrr	= 4270,
-    X86_VPBROADCASTMW2Drr	= 4271,
-    X86_VPBROADCASTQYrm	= 4272,
-    X86_VPBROADCASTQYrr	= 4273,
-    X86_VPBROADCASTQZkrm	= 4274,
-    X86_VPBROADCASTQZkrr	= 4275,
-    X86_VPBROADCASTQZrm	= 4276,
-    X86_VPBROADCASTQZrr	= 4277,
-    X86_VPBROADCASTQrZkrr	= 4278,
-    X86_VPBROADCASTQrZrr	= 4279,
-    X86_VPBROADCASTQrm	= 4280,
-    X86_VPBROADCASTQrr	= 4281,
-    X86_VPBROADCASTWYrm	= 4282,
-    X86_VPBROADCASTWYrr	= 4283,
-    X86_VPBROADCASTWrm	= 4284,
-    X86_VPBROADCASTWrr	= 4285,
-    X86_VPCLMULQDQrm	= 4286,
-    X86_VPCLMULQDQrr	= 4287,
-    X86_VPCMOVmr	= 4288,
-    X86_VPCMOVmrY	= 4289,
-    X86_VPCMOVrm	= 4290,
-    X86_VPCMOVrmY	= 4291,
-    X86_VPCMOVrr	= 4292,
-    X86_VPCMOVrrY	= 4293,
-    X86_VPCMPDZrmi	= 4294,
-    X86_VPCMPDZrmi_alt	= 4295,
-    X86_VPCMPDZrri	= 4296,
-    X86_VPCMPDZrri_alt	= 4297,
-    X86_VPCMPEQBYrm	= 4298,
-    X86_VPCMPEQBYrr	= 4299,
-    X86_VPCMPEQBrm	= 4300,
-    X86_VPCMPEQBrr	= 4301,
-    X86_VPCMPEQDYrm	= 4302,
-    X86_VPCMPEQDYrr	= 4303,
-    X86_VPCMPEQDZrm	= 4304,
-    X86_VPCMPEQDZrr	= 4305,
-    X86_VPCMPEQDrm	= 4306,
-    X86_VPCMPEQDrr	= 4307,
-    X86_VPCMPEQQYrm	= 4308,
-    X86_VPCMPEQQYrr	= 4309,
-    X86_VPCMPEQQZrm	= 4310,
-    X86_VPCMPEQQZrr	= 4311,
-    X86_VPCMPEQQrm	= 4312,
-    X86_VPCMPEQQrr	= 4313,
-    X86_VPCMPEQWYrm	= 4314,
-    X86_VPCMPEQWYrr	= 4315,
-    X86_VPCMPEQWrm	= 4316,
-    X86_VPCMPEQWrr	= 4317,
-    X86_VPCMPESTRIMEM	= 4318,
-    X86_VPCMPESTRIREG	= 4319,
-    X86_VPCMPESTRIrm	= 4320,
-    X86_VPCMPESTRIrr	= 4321,
-    X86_VPCMPESTRM128MEM	= 4322,
-    X86_VPCMPESTRM128REG	= 4323,
-    X86_VPCMPESTRM128rm	= 4324,
-    X86_VPCMPESTRM128rr	= 4325,
-    X86_VPCMPGTBYrm	= 4326,
-    X86_VPCMPGTBYrr	= 4327,
-    X86_VPCMPGTBrm	= 4328,
-    X86_VPCMPGTBrr	= 4329,
-    X86_VPCMPGTDYrm	= 4330,
-    X86_VPCMPGTDYrr	= 4331,
-    X86_VPCMPGTDZrm	= 4332,
-    X86_VPCMPGTDZrr	= 4333,
-    X86_VPCMPGTDrm	= 4334,
-    X86_VPCMPGTDrr	= 4335,
-    X86_VPCMPGTQYrm	= 4336,
-    X86_VPCMPGTQYrr	= 4337,
-    X86_VPCMPGTQZrm	= 4338,
-    X86_VPCMPGTQZrr	= 4339,
-    X86_VPCMPGTQrm	= 4340,
-    X86_VPCMPGTQrr	= 4341,
-    X86_VPCMPGTWYrm	= 4342,
-    X86_VPCMPGTWYrr	= 4343,
-    X86_VPCMPGTWrm	= 4344,
-    X86_VPCMPGTWrr	= 4345,
-    X86_VPCMPISTRIMEM	= 4346,
-    X86_VPCMPISTRIREG	= 4347,
-    X86_VPCMPISTRIrm	= 4348,
-    X86_VPCMPISTRIrr	= 4349,
-    X86_VPCMPISTRM128MEM	= 4350,
-    X86_VPCMPISTRM128REG	= 4351,
-    X86_VPCMPISTRM128rm	= 4352,
-    X86_VPCMPISTRM128rr	= 4353,
-    X86_VPCMPQZrmi	= 4354,
-    X86_VPCMPQZrmi_alt	= 4355,
-    X86_VPCMPQZrri	= 4356,
-    X86_VPCMPQZrri_alt	= 4357,
-    X86_VPCMPUDZrmi	= 4358,
-    X86_VPCMPUDZrmi_alt	= 4359,
-    X86_VPCMPUDZrri	= 4360,
-    X86_VPCMPUDZrri_alt	= 4361,
-    X86_VPCMPUQZrmi	= 4362,
-    X86_VPCMPUQZrmi_alt	= 4363,
-    X86_VPCMPUQZrri	= 4364,
-    X86_VPCMPUQZrri_alt	= 4365,
-    X86_VPCOMBmi	= 4366,
-    X86_VPCOMBri	= 4367,
-    X86_VPCOMDmi	= 4368,
-    X86_VPCOMDri	= 4369,
-    X86_VPCOMQmi	= 4370,
-    X86_VPCOMQri	= 4371,
-    X86_VPCOMUBmi	= 4372,
-    X86_VPCOMUBri	= 4373,
-    X86_VPCOMUDmi	= 4374,
-    X86_VPCOMUDri	= 4375,
-    X86_VPCOMUQmi	= 4376,
-    X86_VPCOMUQri	= 4377,
-    X86_VPCOMUWmi	= 4378,
-    X86_VPCOMUWri	= 4379,
-    X86_VPCOMWmi	= 4380,
-    X86_VPCOMWri	= 4381,
-    X86_VPCONFLICTDrm	= 4382,
-    X86_VPCONFLICTDrmb	= 4383,
-    X86_VPCONFLICTDrmbk	= 4384,
-    X86_VPCONFLICTDrmbkz	= 4385,
-    X86_VPCONFLICTDrmk	= 4386,
-    X86_VPCONFLICTDrmkz	= 4387,
-    X86_VPCONFLICTDrr	= 4388,
-    X86_VPCONFLICTDrrk	= 4389,
-    X86_VPCONFLICTDrrkz	= 4390,
-    X86_VPCONFLICTQrm	= 4391,
-    X86_VPCONFLICTQrmb	= 4392,
-    X86_VPCONFLICTQrmbk	= 4393,
-    X86_VPCONFLICTQrmbkz	= 4394,
-    X86_VPCONFLICTQrmk	= 4395,
-    X86_VPCONFLICTQrmkz	= 4396,
-    X86_VPCONFLICTQrr	= 4397,
-    X86_VPCONFLICTQrrk	= 4398,
-    X86_VPCONFLICTQrrkz	= 4399,
-    X86_VPERM2F128rm	= 4400,
-    X86_VPERM2F128rr	= 4401,
-    X86_VPERM2I128rm	= 4402,
-    X86_VPERM2I128rr	= 4403,
-    X86_VPERMDYrm	= 4404,
-    X86_VPERMDYrr	= 4405,
-    X86_VPERMDZrm	= 4406,
-    X86_VPERMDZrr	= 4407,
-    X86_VPERMI2Drm	= 4408,
-    X86_VPERMI2Drr	= 4409,
-    X86_VPERMI2PDrm	= 4410,
-    X86_VPERMI2PDrr	= 4411,
-    X86_VPERMI2PSrm	= 4412,
-    X86_VPERMI2PSrr	= 4413,
-    X86_VPERMI2Qrm	= 4414,
-    X86_VPERMI2Qrr	= 4415,
-    X86_VPERMIL2PDmr	= 4416,
-    X86_VPERMIL2PDmrY	= 4417,
-    X86_VPERMIL2PDrm	= 4418,
-    X86_VPERMIL2PDrmY	= 4419,
-    X86_VPERMIL2PDrr	= 4420,
-    X86_VPERMIL2PDrrY	= 4421,
-    X86_VPERMIL2PSmr	= 4422,
-    X86_VPERMIL2PSmrY	= 4423,
-    X86_VPERMIL2PSrm	= 4424,
-    X86_VPERMIL2PSrmY	= 4425,
-    X86_VPERMIL2PSrr	= 4426,
-    X86_VPERMIL2PSrrY	= 4427,
-    X86_VPERMILPDYmi	= 4428,
-    X86_VPERMILPDYri	= 4429,
-    X86_VPERMILPDYrm	= 4430,
-    X86_VPERMILPDYrr	= 4431,
-    X86_VPERMILPDZmi	= 4432,
-    X86_VPERMILPDZri	= 4433,
-    X86_VPERMILPDmi	= 4434,
-    X86_VPERMILPDri	= 4435,
-    X86_VPERMILPDrm	= 4436,
-    X86_VPERMILPDrr	= 4437,
-    X86_VPERMILPSYmi	= 4438,
-    X86_VPERMILPSYri	= 4439,
-    X86_VPERMILPSYrm	= 4440,
-    X86_VPERMILPSYrr	= 4441,
-    X86_VPERMILPSZmi	= 4442,
-    X86_VPERMILPSZri	= 4443,
-    X86_VPERMILPSmi	= 4444,
-    X86_VPERMILPSri	= 4445,
-    X86_VPERMILPSrm	= 4446,
-    X86_VPERMILPSrr	= 4447,
-    X86_VPERMPDYmi	= 4448,
-    X86_VPERMPDYri	= 4449,
-    X86_VPERMPDZmi	= 4450,
-    X86_VPERMPDZri	= 4451,
-    X86_VPERMPDZrm	= 4452,
-    X86_VPERMPDZrr	= 4453,
-    X86_VPERMPSYrm	= 4454,
-    X86_VPERMPSYrr	= 4455,
-    X86_VPERMPSZrm	= 4456,
-    X86_VPERMPSZrr	= 4457,
-    X86_VPERMQYmi	= 4458,
-    X86_VPERMQYri	= 4459,
-    X86_VPERMQZmi	= 4460,
-    X86_VPERMQZri	= 4461,
-    X86_VPERMQZrm	= 4462,
-    X86_VPERMQZrr	= 4463,
-    X86_VPERMT2Drm	= 4464,
-    X86_VPERMT2Drr	= 4465,
-    X86_VPERMT2PDrm	= 4466,
-    X86_VPERMT2PDrr	= 4467,
-    X86_VPERMT2PSrm	= 4468,
-    X86_VPERMT2PSrr	= 4469,
-    X86_VPERMT2Qrm	= 4470,
-    X86_VPERMT2Qrr	= 4471,
-    X86_VPEXTRBmr	= 4472,
-    X86_VPEXTRBrr	= 4473,
-    X86_VPEXTRDmr	= 4474,
-    X86_VPEXTRDrr	= 4475,
-    X86_VPEXTRQmr	= 4476,
-    X86_VPEXTRQrr	= 4477,
-    X86_VPEXTRWmr	= 4478,
-    X86_VPEXTRWri	= 4479,
-    X86_VPEXTRWrr_REV	= 4480,
-    X86_VPGATHERDDYrm	= 4481,
-    X86_VPGATHERDDZrm	= 4482,
-    X86_VPGATHERDDrm	= 4483,
-    X86_VPGATHERDQYrm	= 4484,
-    X86_VPGATHERDQZrm	= 4485,
-    X86_VPGATHERDQrm	= 4486,
-    X86_VPGATHERQDYrm	= 4487,
-    X86_VPGATHERQDZrm	= 4488,
-    X86_VPGATHERQDrm	= 4489,
-    X86_VPGATHERQQYrm	= 4490,
-    X86_VPGATHERQQZrm	= 4491,
-    X86_VPGATHERQQrm	= 4492,
-    X86_VPHADDBDrm	= 4493,
-    X86_VPHADDBDrr	= 4494,
-    X86_VPHADDBQrm	= 4495,
-    X86_VPHADDBQrr	= 4496,
-    X86_VPHADDBWrm	= 4497,
-    X86_VPHADDBWrr	= 4498,
-    X86_VPHADDDQrm	= 4499,
-    X86_VPHADDDQrr	= 4500,
-    X86_VPHADDDYrm	= 4501,
-    X86_VPHADDDYrr	= 4502,
-    X86_VPHADDDrm	= 4503,
-    X86_VPHADDDrr	= 4504,
-    X86_VPHADDSWrm128	= 4505,
-    X86_VPHADDSWrm256	= 4506,
-    X86_VPHADDSWrr128	= 4507,
-    X86_VPHADDSWrr256	= 4508,
-    X86_VPHADDUBDrm	= 4509,
-    X86_VPHADDUBDrr	= 4510,
-    X86_VPHADDUBQrm	= 4511,
-    X86_VPHADDUBQrr	= 4512,
-    X86_VPHADDUBWrm	= 4513,
-    X86_VPHADDUBWrr	= 4514,
-    X86_VPHADDUDQrm	= 4515,
-    X86_VPHADDUDQrr	= 4516,
-    X86_VPHADDUWDrm	= 4517,
-    X86_VPHADDUWDrr	= 4518,
-    X86_VPHADDUWQrm	= 4519,
-    X86_VPHADDUWQrr	= 4520,
-    X86_VPHADDWDrm	= 4521,
-    X86_VPHADDWDrr	= 4522,
-    X86_VPHADDWQrm	= 4523,
-    X86_VPHADDWQrr	= 4524,
-    X86_VPHADDWYrm	= 4525,
-    X86_VPHADDWYrr	= 4526,
-    X86_VPHADDWrm	= 4527,
-    X86_VPHADDWrr	= 4528,
-    X86_VPHMINPOSUWrm128	= 4529,
-    X86_VPHMINPOSUWrr128	= 4530,
-    X86_VPHSUBBWrm	= 4531,
-    X86_VPHSUBBWrr	= 4532,
-    X86_VPHSUBDQrm	= 4533,
-    X86_VPHSUBDQrr	= 4534,
-    X86_VPHSUBDYrm	= 4535,
-    X86_VPHSUBDYrr	= 4536,
-    X86_VPHSUBDrm	= 4537,
-    X86_VPHSUBDrr	= 4538,
-    X86_VPHSUBSWrm128	= 4539,
-    X86_VPHSUBSWrm256	= 4540,
-    X86_VPHSUBSWrr128	= 4541,
-    X86_VPHSUBSWrr256	= 4542,
-    X86_VPHSUBWDrm	= 4543,
-    X86_VPHSUBWDrr	= 4544,
-    X86_VPHSUBWYrm	= 4545,
-    X86_VPHSUBWYrr	= 4546,
-    X86_VPHSUBWrm	= 4547,
-    X86_VPHSUBWrr	= 4548,
-    X86_VPINSRBrm	= 4549,
-    X86_VPINSRBrr	= 4550,
-    X86_VPINSRDrm	= 4551,
-    X86_VPINSRDrr	= 4552,
-    X86_VPINSRQrm	= 4553,
-    X86_VPINSRQrr	= 4554,
-    X86_VPINSRWrmi	= 4555,
-    X86_VPINSRWrri	= 4556,
-    X86_VPMACSDDrm	= 4557,
-    X86_VPMACSDDrr	= 4558,
-    X86_VPMACSDQHrm	= 4559,
-    X86_VPMACSDQHrr	= 4560,
-    X86_VPMACSDQLrm	= 4561,
-    X86_VPMACSDQLrr	= 4562,
-    X86_VPMACSSDDrm	= 4563,
-    X86_VPMACSSDDrr	= 4564,
-    X86_VPMACSSDQHrm	= 4565,
-    X86_VPMACSSDQHrr	= 4566,
-    X86_VPMACSSDQLrm	= 4567,
-    X86_VPMACSSDQLrr	= 4568,
-    X86_VPMACSSWDrm	= 4569,
-    X86_VPMACSSWDrr	= 4570,
-    X86_VPMACSSWWrm	= 4571,
-    X86_VPMACSSWWrr	= 4572,
-    X86_VPMACSWDrm	= 4573,
-    X86_VPMACSWDrr	= 4574,
-    X86_VPMACSWWrm	= 4575,
-    X86_VPMACSWWrr	= 4576,
-    X86_VPMADCSSWDrm	= 4577,
-    X86_VPMADCSSWDrr	= 4578,
-    X86_VPMADCSWDrm	= 4579,
-    X86_VPMADCSWDrr	= 4580,
-    X86_VPMADDUBSWrm128	= 4581,
-    X86_VPMADDUBSWrm256	= 4582,
-    X86_VPMADDUBSWrr128	= 4583,
-    X86_VPMADDUBSWrr256	= 4584,
-    X86_VPMADDWDYrm	= 4585,
-    X86_VPMADDWDYrr	= 4586,
-    X86_VPMADDWDrm	= 4587,
-    X86_VPMADDWDrr	= 4588,
-    X86_VPMASKMOVDYmr	= 4589,
-    X86_VPMASKMOVDYrm	= 4590,
-    X86_VPMASKMOVDmr	= 4591,
-    X86_VPMASKMOVDrm	= 4592,
-    X86_VPMASKMOVQYmr	= 4593,
-    X86_VPMASKMOVQYrm	= 4594,
-    X86_VPMASKMOVQmr	= 4595,
-    X86_VPMASKMOVQrm	= 4596,
-    X86_VPMAXSBYrm	= 4597,
-    X86_VPMAXSBYrr	= 4598,
-    X86_VPMAXSBrm	= 4599,
-    X86_VPMAXSBrr	= 4600,
-    X86_VPMAXSDYrm	= 4601,
-    X86_VPMAXSDYrr	= 4602,
-    X86_VPMAXSDZrm	= 4603,
-    X86_VPMAXSDZrmb	= 4604,
-    X86_VPMAXSDZrr	= 4605,
-    X86_VPMAXSDrm	= 4606,
-    X86_VPMAXSDrr	= 4607,
-    X86_VPMAXSQZrm	= 4608,
-    X86_VPMAXSQZrmb	= 4609,
-    X86_VPMAXSQZrr	= 4610,
-    X86_VPMAXSWYrm	= 4611,
-    X86_VPMAXSWYrr	= 4612,
-    X86_VPMAXSWrm	= 4613,
-    X86_VPMAXSWrr	= 4614,
-    X86_VPMAXUBYrm	= 4615,
-    X86_VPMAXUBYrr	= 4616,
-    X86_VPMAXUBrm	= 4617,
-    X86_VPMAXUBrr	= 4618,
-    X86_VPMAXUDYrm	= 4619,
-    X86_VPMAXUDYrr	= 4620,
-    X86_VPMAXUDZrm	= 4621,
-    X86_VPMAXUDZrmb	= 4622,
-    X86_VPMAXUDZrr	= 4623,
-    X86_VPMAXUDrm	= 4624,
-    X86_VPMAXUDrr	= 4625,
-    X86_VPMAXUQZrm	= 4626,
-    X86_VPMAXUQZrmb	= 4627,
-    X86_VPMAXUQZrr	= 4628,
-    X86_VPMAXUWYrm	= 4629,
-    X86_VPMAXUWYrr	= 4630,
-    X86_VPMAXUWrm	= 4631,
-    X86_VPMAXUWrr	= 4632,
-    X86_VPMINSBYrm	= 4633,
-    X86_VPMINSBYrr	= 4634,
-    X86_VPMINSBrm	= 4635,
-    X86_VPMINSBrr	= 4636,
-    X86_VPMINSDYrm	= 4637,
-    X86_VPMINSDYrr	= 4638,
-    X86_VPMINSDZrm	= 4639,
-    X86_VPMINSDZrmb	= 4640,
-    X86_VPMINSDZrr	= 4641,
-    X86_VPMINSDrm	= 4642,
-    X86_VPMINSDrr	= 4643,
-    X86_VPMINSQZrm	= 4644,
-    X86_VPMINSQZrmb	= 4645,
-    X86_VPMINSQZrr	= 4646,
-    X86_VPMINSWYrm	= 4647,
-    X86_VPMINSWYrr	= 4648,
-    X86_VPMINSWrm	= 4649,
-    X86_VPMINSWrr	= 4650,
-    X86_VPMINUBYrm	= 4651,
-    X86_VPMINUBYrr	= 4652,
-    X86_VPMINUBrm	= 4653,
-    X86_VPMINUBrr	= 4654,
-    X86_VPMINUDYrm	= 4655,
-    X86_VPMINUDYrr	= 4656,
-    X86_VPMINUDZrm	= 4657,
-    X86_VPMINUDZrmb	= 4658,
-    X86_VPMINUDZrr	= 4659,
-    X86_VPMINUDrm	= 4660,
-    X86_VPMINUDrr	= 4661,
-    X86_VPMINUQZrm	= 4662,
-    X86_VPMINUQZrmb	= 4663,
-    X86_VPMINUQZrr	= 4664,
-    X86_VPMINUWYrm	= 4665,
-    X86_VPMINUWYrr	= 4666,
-    X86_VPMINUWrm	= 4667,
-    X86_VPMINUWrr	= 4668,
-    X86_VPMOVDBkrr	= 4669,
-    X86_VPMOVDBmr	= 4670,
-    X86_VPMOVDBrr	= 4671,
-    X86_VPMOVDWkrr	= 4672,
-    X86_VPMOVDWmr	= 4673,
-    X86_VPMOVDWrr	= 4674,
-    X86_VPMOVMSKBYrr	= 4675,
-    X86_VPMOVMSKBrr	= 4676,
-    X86_VPMOVQBkrr	= 4677,
-    X86_VPMOVQBmr	= 4678,
-    X86_VPMOVQBrr	= 4679,
-    X86_VPMOVQDkrr	= 4680,
-    X86_VPMOVQDmr	= 4681,
-    X86_VPMOVQDrr	= 4682,
-    X86_VPMOVQWkrr	= 4683,
-    X86_VPMOVQWmr	= 4684,
-    X86_VPMOVQWrr	= 4685,
-    X86_VPMOVSDBkrr	= 4686,
-    X86_VPMOVSDBmr	= 4687,
-    X86_VPMOVSDBrr	= 4688,
-    X86_VPMOVSDWkrr	= 4689,
-    X86_VPMOVSDWmr	= 4690,
-    X86_VPMOVSDWrr	= 4691,
-    X86_VPMOVSQBkrr	= 4692,
-    X86_VPMOVSQBmr	= 4693,
-    X86_VPMOVSQBrr	= 4694,
-    X86_VPMOVSQDkrr	= 4695,
-    X86_VPMOVSQDmr	= 4696,
-    X86_VPMOVSQDrr	= 4697,
-    X86_VPMOVSQWkrr	= 4698,
-    X86_VPMOVSQWmr	= 4699,
-    X86_VPMOVSQWrr	= 4700,
-    X86_VPMOVSXBDYrm	= 4701,
-    X86_VPMOVSXBDYrr	= 4702,
-    X86_VPMOVSXBDZrm	= 4703,
-    X86_VPMOVSXBDZrr	= 4704,
-    X86_VPMOVSXBDrm	= 4705,
-    X86_VPMOVSXBDrr	= 4706,
-    X86_VPMOVSXBQYrm	= 4707,
-    X86_VPMOVSXBQYrr	= 4708,
-    X86_VPMOVSXBQZrm	= 4709,
-    X86_VPMOVSXBQZrr	= 4710,
-    X86_VPMOVSXBQrm	= 4711,
-    X86_VPMOVSXBQrr	= 4712,
-    X86_VPMOVSXBWYrm	= 4713,
-    X86_VPMOVSXBWYrr	= 4714,
-    X86_VPMOVSXBWrm	= 4715,
-    X86_VPMOVSXBWrr	= 4716,
-    X86_VPMOVSXDQYrm	= 4717,
-    X86_VPMOVSXDQYrr	= 4718,
-    X86_VPMOVSXDQZrm	= 4719,
-    X86_VPMOVSXDQZrr	= 4720,
-    X86_VPMOVSXDQrm	= 4721,
-    X86_VPMOVSXDQrr	= 4722,
-    X86_VPMOVSXWDYrm	= 4723,
-    X86_VPMOVSXWDYrr	= 4724,
-    X86_VPMOVSXWDZrm	= 4725,
-    X86_VPMOVSXWDZrr	= 4726,
-    X86_VPMOVSXWDrm	= 4727,
-    X86_VPMOVSXWDrr	= 4728,
-    X86_VPMOVSXWQYrm	= 4729,
-    X86_VPMOVSXWQYrr	= 4730,
-    X86_VPMOVSXWQZrm	= 4731,
-    X86_VPMOVSXWQZrr	= 4732,
-    X86_VPMOVSXWQrm	= 4733,
-    X86_VPMOVSXWQrr	= 4734,
-    X86_VPMOVUSDBkrr	= 4735,
-    X86_VPMOVUSDBmr	= 4736,
-    X86_VPMOVUSDBrr	= 4737,
-    X86_VPMOVUSDWkrr	= 4738,
-    X86_VPMOVUSDWmr	= 4739,
-    X86_VPMOVUSDWrr	= 4740,
-    X86_VPMOVUSQBkrr	= 4741,
-    X86_VPMOVUSQBmr	= 4742,
-    X86_VPMOVUSQBrr	= 4743,
-    X86_VPMOVUSQDkrr	= 4744,
-    X86_VPMOVUSQDmr	= 4745,
-    X86_VPMOVUSQDrr	= 4746,
-    X86_VPMOVUSQWkrr	= 4747,
-    X86_VPMOVUSQWmr	= 4748,
-    X86_VPMOVUSQWrr	= 4749,
-    X86_VPMOVZXBDYrm	= 4750,
-    X86_VPMOVZXBDYrr	= 4751,
-    X86_VPMOVZXBDZrm	= 4752,
-    X86_VPMOVZXBDZrr	= 4753,
-    X86_VPMOVZXBDrm	= 4754,
-    X86_VPMOVZXBDrr	= 4755,
-    X86_VPMOVZXBQYrm	= 4756,
-    X86_VPMOVZXBQYrr	= 4757,
-    X86_VPMOVZXBQZrm	= 4758,
-    X86_VPMOVZXBQZrr	= 4759,
-    X86_VPMOVZXBQrm	= 4760,
-    X86_VPMOVZXBQrr	= 4761,
-    X86_VPMOVZXBWYrm	= 4762,
-    X86_VPMOVZXBWYrr	= 4763,
-    X86_VPMOVZXBWrm	= 4764,
-    X86_VPMOVZXBWrr	= 4765,
-    X86_VPMOVZXDQYrm	= 4766,
-    X86_VPMOVZXDQYrr	= 4767,
-    X86_VPMOVZXDQZrm	= 4768,
-    X86_VPMOVZXDQZrr	= 4769,
-    X86_VPMOVZXDQrm	= 4770,
-    X86_VPMOVZXDQrr	= 4771,
-    X86_VPMOVZXWDYrm	= 4772,
-    X86_VPMOVZXWDYrr	= 4773,
-    X86_VPMOVZXWDZrm	= 4774,
-    X86_VPMOVZXWDZrr	= 4775,
-    X86_VPMOVZXWDrm	= 4776,
-    X86_VPMOVZXWDrr	= 4777,
-    X86_VPMOVZXWQYrm	= 4778,
-    X86_VPMOVZXWQYrr	= 4779,
-    X86_VPMOVZXWQZrm	= 4780,
-    X86_VPMOVZXWQZrr	= 4781,
-    X86_VPMOVZXWQrm	= 4782,
-    X86_VPMOVZXWQrr	= 4783,
-    X86_VPMULDQYrm	= 4784,
-    X86_VPMULDQYrr	= 4785,
-    X86_VPMULDQZrm	= 4786,
-    X86_VPMULDQZrr	= 4787,
-    X86_VPMULDQrm	= 4788,
-    X86_VPMULDQrr	= 4789,
-    X86_VPMULHRSWrm128	= 4790,
-    X86_VPMULHRSWrm256	= 4791,
-    X86_VPMULHRSWrr128	= 4792,
-    X86_VPMULHRSWrr256	= 4793,
-    X86_VPMULHUWYrm	= 4794,
-    X86_VPMULHUWYrr	= 4795,
-    X86_VPMULHUWrm	= 4796,
-    X86_VPMULHUWrr	= 4797,
-    X86_VPMULHWYrm	= 4798,
-    X86_VPMULHWYrr	= 4799,
-    X86_VPMULHWrm	= 4800,
-    X86_VPMULHWrr	= 4801,
-    X86_VPMULLDYrm	= 4802,
-    X86_VPMULLDYrr	= 4803,
-    X86_VPMULLDZrm	= 4804,
-    X86_VPMULLDZrmb	= 4805,
-    X86_VPMULLDZrr	= 4806,
-    X86_VPMULLDrm	= 4807,
-    X86_VPMULLDrr	= 4808,
-    X86_VPMULLWYrm	= 4809,
-    X86_VPMULLWYrr	= 4810,
-    X86_VPMULLWrm	= 4811,
-    X86_VPMULLWrr	= 4812,
-    X86_VPMULUDQYrm	= 4813,
-    X86_VPMULUDQYrr	= 4814,
-    X86_VPMULUDQZrm	= 4815,
-    X86_VPMULUDQZrr	= 4816,
-    X86_VPMULUDQrm	= 4817,
-    X86_VPMULUDQrr	= 4818,
-    X86_VPORDZrm	= 4819,
-    X86_VPORDZrmb	= 4820,
-    X86_VPORDZrr	= 4821,
-    X86_VPORQZrm	= 4822,
-    X86_VPORQZrmb	= 4823,
-    X86_VPORQZrr	= 4824,
-    X86_VPORYrm	= 4825,
-    X86_VPORYrr	= 4826,
-    X86_VPORrm	= 4827,
-    X86_VPORrr	= 4828,
-    X86_VPPERMmr	= 4829,
-    X86_VPPERMrm	= 4830,
-    X86_VPPERMrr	= 4831,
-    X86_VPROTBmi	= 4832,
-    X86_VPROTBmr	= 4833,
-    X86_VPROTBri	= 4834,
-    X86_VPROTBrm	= 4835,
-    X86_VPROTBrr	= 4836,
-    X86_VPROTDmi	= 4837,
-    X86_VPROTDmr	= 4838,
-    X86_VPROTDri	= 4839,
-    X86_VPROTDrm	= 4840,
-    X86_VPROTDrr	= 4841,
-    X86_VPROTQmi	= 4842,
-    X86_VPROTQmr	= 4843,
-    X86_VPROTQri	= 4844,
-    X86_VPROTQrm	= 4845,
-    X86_VPROTQrr	= 4846,
-    X86_VPROTWmi	= 4847,
-    X86_VPROTWmr	= 4848,
-    X86_VPROTWri	= 4849,
-    X86_VPROTWrm	= 4850,
-    X86_VPROTWrr	= 4851,
-    X86_VPSADBWYrm	= 4852,
-    X86_VPSADBWYrr	= 4853,
-    X86_VPSADBWrm	= 4854,
-    X86_VPSADBWrr	= 4855,
-    X86_VPSCATTERDDZmr	= 4856,
-    X86_VPSCATTERDQZmr	= 4857,
-    X86_VPSCATTERQDZmr	= 4858,
-    X86_VPSCATTERQQZmr	= 4859,
-    X86_VPSHABmr	= 4860,
-    X86_VPSHABrm	= 4861,
-    X86_VPSHABrr	= 4862,
-    X86_VPSHADmr	= 4863,
-    X86_VPSHADrm	= 4864,
-    X86_VPSHADrr	= 4865,
-    X86_VPSHAQmr	= 4866,
-    X86_VPSHAQrm	= 4867,
-    X86_VPSHAQrr	= 4868,
-    X86_VPSHAWmr	= 4869,
-    X86_VPSHAWrm	= 4870,
-    X86_VPSHAWrr	= 4871,
-    X86_VPSHLBmr	= 4872,
-    X86_VPSHLBrm	= 4873,
-    X86_VPSHLBrr	= 4874,
-    X86_VPSHLDmr	= 4875,
-    X86_VPSHLDrm	= 4876,
-    X86_VPSHLDrr	= 4877,
-    X86_VPSHLQmr	= 4878,
-    X86_VPSHLQrm	= 4879,
-    X86_VPSHLQrr	= 4880,
-    X86_VPSHLWmr	= 4881,
-    X86_VPSHLWrm	= 4882,
-    X86_VPSHLWrr	= 4883,
-    X86_VPSHUFBYrm	= 4884,
-    X86_VPSHUFBYrr	= 4885,
-    X86_VPSHUFBrm	= 4886,
-    X86_VPSHUFBrr	= 4887,
-    X86_VPSHUFDYmi	= 4888,
-    X86_VPSHUFDYri	= 4889,
-    X86_VPSHUFDZmi	= 4890,
-    X86_VPSHUFDZri	= 4891,
-    X86_VPSHUFDmi	= 4892,
-    X86_VPSHUFDri	= 4893,
-    X86_VPSHUFHWYmi	= 4894,
-    X86_VPSHUFHWYri	= 4895,
-    X86_VPSHUFHWmi	= 4896,
-    X86_VPSHUFHWri	= 4897,
-    X86_VPSHUFLWYmi	= 4898,
-    X86_VPSHUFLWYri	= 4899,
-    X86_VPSHUFLWmi	= 4900,
-    X86_VPSHUFLWri	= 4901,
-    X86_VPSIGNBYrm	= 4902,
-    X86_VPSIGNBYrr	= 4903,
-    X86_VPSIGNBrm	= 4904,
-    X86_VPSIGNBrr	= 4905,
-    X86_VPSIGNDYrm	= 4906,
-    X86_VPSIGNDYrr	= 4907,
-    X86_VPSIGNDrm	= 4908,
-    X86_VPSIGNDrr	= 4909,
-    X86_VPSIGNWYrm	= 4910,
-    X86_VPSIGNWYrr	= 4911,
-    X86_VPSIGNWrm	= 4912,
-    X86_VPSIGNWrr	= 4913,
-    X86_VPSLLDQYri	= 4914,
-    X86_VPSLLDQri	= 4915,
-    X86_VPSLLDYri	= 4916,
-    X86_VPSLLDYrm	= 4917,
-    X86_VPSLLDYrr	= 4918,
-    X86_VPSLLDZmi	= 4919,
-    X86_VPSLLDZmik	= 4920,
-    X86_VPSLLDZri	= 4921,
-    X86_VPSLLDZrik	= 4922,
-    X86_VPSLLDZrm	= 4923,
-    X86_VPSLLDZrmk	= 4924,
-    X86_VPSLLDZrr	= 4925,
-    X86_VPSLLDZrrk	= 4926,
-    X86_VPSLLDri	= 4927,
-    X86_VPSLLDrm	= 4928,
-    X86_VPSLLDrr	= 4929,
-    X86_VPSLLQYri	= 4930,
-    X86_VPSLLQYrm	= 4931,
-    X86_VPSLLQYrr	= 4932,
-    X86_VPSLLQZmi	= 4933,
-    X86_VPSLLQZmik	= 4934,
-    X86_VPSLLQZri	= 4935,
-    X86_VPSLLQZrik	= 4936,
-    X86_VPSLLQZrm	= 4937,
-    X86_VPSLLQZrmk	= 4938,
-    X86_VPSLLQZrr	= 4939,
-    X86_VPSLLQZrrk	= 4940,
-    X86_VPSLLQri	= 4941,
-    X86_VPSLLQrm	= 4942,
-    X86_VPSLLQrr	= 4943,
-    X86_VPSLLVDYrm	= 4944,
-    X86_VPSLLVDYrr	= 4945,
-    X86_VPSLLVDZrm	= 4946,
-    X86_VPSLLVDZrr	= 4947,
-    X86_VPSLLVDrm	= 4948,
-    X86_VPSLLVDrr	= 4949,
-    X86_VPSLLVQYrm	= 4950,
-    X86_VPSLLVQYrr	= 4951,
-    X86_VPSLLVQZrm	= 4952,
-    X86_VPSLLVQZrr	= 4953,
-    X86_VPSLLVQrm	= 4954,
-    X86_VPSLLVQrr	= 4955,
-    X86_VPSLLWYri	= 4956,
-    X86_VPSLLWYrm	= 4957,
-    X86_VPSLLWYrr	= 4958,
-    X86_VPSLLWri	= 4959,
-    X86_VPSLLWrm	= 4960,
-    X86_VPSLLWrr	= 4961,
-    X86_VPSRADYri	= 4962,
-    X86_VPSRADYrm	= 4963,
-    X86_VPSRADYrr	= 4964,
-    X86_VPSRADZmi	= 4965,
-    X86_VPSRADZmik	= 4966,
-    X86_VPSRADZri	= 4967,
-    X86_VPSRADZrik	= 4968,
-    X86_VPSRADZrm	= 4969,
-    X86_VPSRADZrmk	= 4970,
-    X86_VPSRADZrr	= 4971,
-    X86_VPSRADZrrk	= 4972,
-    X86_VPSRADri	= 4973,
-    X86_VPSRADrm	= 4974,
-    X86_VPSRADrr	= 4975,
-    X86_VPSRAQZmi	= 4976,
-    X86_VPSRAQZmik	= 4977,
-    X86_VPSRAQZri	= 4978,
-    X86_VPSRAQZrik	= 4979,
-    X86_VPSRAQZrm	= 4980,
-    X86_VPSRAQZrmk	= 4981,
-    X86_VPSRAQZrr	= 4982,
-    X86_VPSRAQZrrk	= 4983,
-    X86_VPSRAVDYrm	= 4984,
-    X86_VPSRAVDYrr	= 4985,
-    X86_VPSRAVDZrm	= 4986,
-    X86_VPSRAVDZrr	= 4987,
-    X86_VPSRAVDrm	= 4988,
-    X86_VPSRAVDrr	= 4989,
-    X86_VPSRAVQZrm	= 4990,
-    X86_VPSRAVQZrr	= 4991,
-    X86_VPSRAWYri	= 4992,
-    X86_VPSRAWYrm	= 4993,
-    X86_VPSRAWYrr	= 4994,
-    X86_VPSRAWri	= 4995,
-    X86_VPSRAWrm	= 4996,
-    X86_VPSRAWrr	= 4997,
-    X86_VPSRLDQYri	= 4998,
-    X86_VPSRLDQri	= 4999,
-    X86_VPSRLDYri	= 5000,
-    X86_VPSRLDYrm	= 5001,
-    X86_VPSRLDYrr	= 5002,
-    X86_VPSRLDZmi	= 5003,
-    X86_VPSRLDZmik	= 5004,
-    X86_VPSRLDZri	= 5005,
-    X86_VPSRLDZrik	= 5006,
-    X86_VPSRLDZrm	= 5007,
-    X86_VPSRLDZrmk	= 5008,
-    X86_VPSRLDZrr	= 5009,
-    X86_VPSRLDZrrk	= 5010,
-    X86_VPSRLDri	= 5011,
-    X86_VPSRLDrm	= 5012,
-    X86_VPSRLDrr	= 5013,
-    X86_VPSRLQYri	= 5014,
-    X86_VPSRLQYrm	= 5015,
-    X86_VPSRLQYrr	= 5016,
-    X86_VPSRLQZmi	= 5017,
-    X86_VPSRLQZmik	= 5018,
-    X86_VPSRLQZri	= 5019,
-    X86_VPSRLQZrik	= 5020,
-    X86_VPSRLQZrm	= 5021,
-    X86_VPSRLQZrmk	= 5022,
-    X86_VPSRLQZrr	= 5023,
-    X86_VPSRLQZrrk	= 5024,
-    X86_VPSRLQri	= 5025,
-    X86_VPSRLQrm	= 5026,
-    X86_VPSRLQrr	= 5027,
-    X86_VPSRLVDYrm	= 5028,
-    X86_VPSRLVDYrr	= 5029,
-    X86_VPSRLVDZrm	= 5030,
-    X86_VPSRLVDZrr	= 5031,
-    X86_VPSRLVDrm	= 5032,
-    X86_VPSRLVDrr	= 5033,
-    X86_VPSRLVQYrm	= 5034,
-    X86_VPSRLVQYrr	= 5035,
-    X86_VPSRLVQZrm	= 5036,
-    X86_VPSRLVQZrr	= 5037,
-    X86_VPSRLVQrm	= 5038,
-    X86_VPSRLVQrr	= 5039,
-    X86_VPSRLWYri	= 5040,
-    X86_VPSRLWYrm	= 5041,
-    X86_VPSRLWYrr	= 5042,
-    X86_VPSRLWri	= 5043,
-    X86_VPSRLWrm	= 5044,
-    X86_VPSRLWrr	= 5045,
-    X86_VPSUBBYrm	= 5046,
-    X86_VPSUBBYrr	= 5047,
-    X86_VPSUBBrm	= 5048,
-    X86_VPSUBBrr	= 5049,
-    X86_VPSUBDYrm	= 5050,
-    X86_VPSUBDYrr	= 5051,
-    X86_VPSUBDZrm	= 5052,
-    X86_VPSUBDZrmb	= 5053,
-    X86_VPSUBDZrr	= 5054,
-    X86_VPSUBDrm	= 5055,
-    X86_VPSUBDrr	= 5056,
-    X86_VPSUBQYrm	= 5057,
-    X86_VPSUBQYrr	= 5058,
-    X86_VPSUBQZrm	= 5059,
-    X86_VPSUBQZrmb	= 5060,
-    X86_VPSUBQZrr	= 5061,
-    X86_VPSUBQrm	= 5062,
-    X86_VPSUBQrr	= 5063,
-    X86_VPSUBSBYrm	= 5064,
-    X86_VPSUBSBYrr	= 5065,
-    X86_VPSUBSBrm	= 5066,
-    X86_VPSUBSBrr	= 5067,
-    X86_VPSUBSWYrm	= 5068,
-    X86_VPSUBSWYrr	= 5069,
-    X86_VPSUBSWrm	= 5070,
-    X86_VPSUBSWrr	= 5071,
-    X86_VPSUBUSBYrm	= 5072,
-    X86_VPSUBUSBYrr	= 5073,
-    X86_VPSUBUSBrm	= 5074,
-    X86_VPSUBUSBrr	= 5075,
-    X86_VPSUBUSWYrm	= 5076,
-    X86_VPSUBUSWYrr	= 5077,
-    X86_VPSUBUSWrm	= 5078,
-    X86_VPSUBUSWrr	= 5079,
-    X86_VPSUBWYrm	= 5080,
-    X86_VPSUBWYrr	= 5081,
-    X86_VPSUBWrm	= 5082,
-    X86_VPSUBWrr	= 5083,
-    X86_VPTESTMDZrm	= 5084,
-    X86_VPTESTMDZrr	= 5085,
-    X86_VPTESTMQZrm	= 5086,
-    X86_VPTESTMQZrr	= 5087,
-    X86_VPTESTNMDZrm	= 5088,
-    X86_VPTESTNMDZrr	= 5089,
-    X86_VPTESTNMQZrm	= 5090,
-    X86_VPTESTNMQZrr	= 5091,
-    X86_VPTESTYrm	= 5092,
-    X86_VPTESTYrr	= 5093,
-    X86_VPTESTrm	= 5094,
-    X86_VPTESTrr	= 5095,
-    X86_VPUNPCKHBWYrm	= 5096,
-    X86_VPUNPCKHBWYrr	= 5097,
-    X86_VPUNPCKHBWrm	= 5098,
-    X86_VPUNPCKHBWrr	= 5099,
-    X86_VPUNPCKHDQYrm	= 5100,
-    X86_VPUNPCKHDQYrr	= 5101,
-    X86_VPUNPCKHDQZrm	= 5102,
-    X86_VPUNPCKHDQZrr	= 5103,
-    X86_VPUNPCKHDQrm	= 5104,
-    X86_VPUNPCKHDQrr	= 5105,
-    X86_VPUNPCKHQDQYrm	= 5106,
-    X86_VPUNPCKHQDQYrr	= 5107,
-    X86_VPUNPCKHQDQZrm	= 5108,
-    X86_VPUNPCKHQDQZrr	= 5109,
-    X86_VPUNPCKHQDQrm	= 5110,
-    X86_VPUNPCKHQDQrr	= 5111,
-    X86_VPUNPCKHWDYrm	= 5112,
-    X86_VPUNPCKHWDYrr	= 5113,
-    X86_VPUNPCKHWDrm	= 5114,
-    X86_VPUNPCKHWDrr	= 5115,
-    X86_VPUNPCKLBWYrm	= 5116,
-    X86_VPUNPCKLBWYrr	= 5117,
-    X86_VPUNPCKLBWrm	= 5118,
-    X86_VPUNPCKLBWrr	= 5119,
-    X86_VPUNPCKLDQYrm	= 5120,
-    X86_VPUNPCKLDQYrr	= 5121,
-    X86_VPUNPCKLDQZrm	= 5122,
-    X86_VPUNPCKLDQZrr	= 5123,
-    X86_VPUNPCKLDQrm	= 5124,
-    X86_VPUNPCKLDQrr	= 5125,
-    X86_VPUNPCKLQDQYrm	= 5126,
-    X86_VPUNPCKLQDQYrr	= 5127,
-    X86_VPUNPCKLQDQZrm	= 5128,
-    X86_VPUNPCKLQDQZrr	= 5129,
-    X86_VPUNPCKLQDQrm	= 5130,
-    X86_VPUNPCKLQDQrr	= 5131,
-    X86_VPUNPCKLWDYrm	= 5132,
-    X86_VPUNPCKLWDYrr	= 5133,
-    X86_VPUNPCKLWDrm	= 5134,
-    X86_VPUNPCKLWDrr	= 5135,
-    X86_VPXORDZrm	= 5136,
-    X86_VPXORDZrmb	= 5137,
-    X86_VPXORDZrr	= 5138,
-    X86_VPXORQZrm	= 5139,
-    X86_VPXORQZrmb	= 5140,
-    X86_VPXORQZrr	= 5141,
-    X86_VPXORYrm	= 5142,
-    X86_VPXORYrr	= 5143,
-    X86_VPXORrm	= 5144,
-    X86_VPXORrr	= 5145,
-    X86_VRCP14PDZm	= 5146,
-    X86_VRCP14PDZr	= 5147,
-    X86_VRCP14PSZm	= 5148,
-    X86_VRCP14PSZr	= 5149,
-    X86_VRCP14SDrm	= 5150,
-    X86_VRCP14SDrr	= 5151,
-    X86_VRCP14SSrm	= 5152,
-    X86_VRCP14SSrr	= 5153,
-    X86_VRCP28PDZm	= 5154,
-    X86_VRCP28PDZr	= 5155,
-    X86_VRCP28PDZrb	= 5156,
-    X86_VRCP28PSZm	= 5157,
-    X86_VRCP28PSZr	= 5158,
-    X86_VRCP28PSZrb	= 5159,
-    X86_VRCP28SDrm	= 5160,
-    X86_VRCP28SDrr	= 5161,
-    X86_VRCP28SDrrb	= 5162,
-    X86_VRCP28SSrm	= 5163,
-    X86_VRCP28SSrr	= 5164,
-    X86_VRCP28SSrrb	= 5165,
-    X86_VRCPPSYm	= 5166,
-    X86_VRCPPSYm_Int	= 5167,
-    X86_VRCPPSYr	= 5168,
-    X86_VRCPPSYr_Int	= 5169,
-    X86_VRCPPSm	= 5170,
-    X86_VRCPPSm_Int	= 5171,
-    X86_VRCPPSr	= 5172,
-    X86_VRCPPSr_Int	= 5173,
-    X86_VRCPSSm	= 5174,
-    X86_VRCPSSm_Int	= 5175,
-    X86_VRCPSSr	= 5176,
-    X86_VRNDSCALEPDZm	= 5177,
-    X86_VRNDSCALEPDZr	= 5178,
-    X86_VRNDSCALEPSZm	= 5179,
-    X86_VRNDSCALEPSZr	= 5180,
-    X86_VRNDSCALESDm	= 5181,
-    X86_VRNDSCALESDr	= 5182,
-    X86_VRNDSCALESSm	= 5183,
-    X86_VRNDSCALESSr	= 5184,
-    X86_VROUNDPDm	= 5185,
-    X86_VROUNDPDr	= 5186,
-    X86_VROUNDPSm	= 5187,
-    X86_VROUNDPSr	= 5188,
-    X86_VROUNDSDm	= 5189,
-    X86_VROUNDSDr	= 5190,
-    X86_VROUNDSDr_Int	= 5191,
-    X86_VROUNDSSm	= 5192,
-    X86_VROUNDSSr	= 5193,
-    X86_VROUNDSSr_Int	= 5194,
-    X86_VROUNDYPDm	= 5195,
-    X86_VROUNDYPDr	= 5196,
-    X86_VROUNDYPSm	= 5197,
-    X86_VROUNDYPSr	= 5198,
-    X86_VRSQRT14PDZm	= 5199,
-    X86_VRSQRT14PDZr	= 5200,
-    X86_VRSQRT14PSZm	= 5201,
-    X86_VRSQRT14PSZr	= 5202,
-    X86_VRSQRT14SDrm	= 5203,
-    X86_VRSQRT14SDrr	= 5204,
-    X86_VRSQRT14SSrm	= 5205,
-    X86_VRSQRT14SSrr	= 5206,
-    X86_VRSQRT28PDZm	= 5207,
-    X86_VRSQRT28PDZr	= 5208,
-    X86_VRSQRT28PDZrb	= 5209,
-    X86_VRSQRT28PSZm	= 5210,
-    X86_VRSQRT28PSZr	= 5211,
-    X86_VRSQRT28PSZrb	= 5212,
-    X86_VRSQRT28SDrm	= 5213,
-    X86_VRSQRT28SDrr	= 5214,
-    X86_VRSQRT28SDrrb	= 5215,
-    X86_VRSQRT28SSrm	= 5216,
-    X86_VRSQRT28SSrr	= 5217,
-    X86_VRSQRT28SSrrb	= 5218,
-    X86_VRSQRTPSYm	= 5219,
-    X86_VRSQRTPSYm_Int	= 5220,
-    X86_VRSQRTPSYr	= 5221,
-    X86_VRSQRTPSYr_Int	= 5222,
-    X86_VRSQRTPSm	= 5223,
-    X86_VRSQRTPSm_Int	= 5224,
-    X86_VRSQRTPSr	= 5225,
-    X86_VRSQRTPSr_Int	= 5226,
-    X86_VRSQRTSSm	= 5227,
-    X86_VRSQRTSSm_Int	= 5228,
-    X86_VRSQRTSSr	= 5229,
-    X86_VSCATTERDPDZmr	= 5230,
-    X86_VSCATTERDPSZmr	= 5231,
-    X86_VSCATTERQPDZmr	= 5232,
-    X86_VSCATTERQPSZmr	= 5233,
-    X86_VSHUFPDYrmi	= 5234,
-    X86_VSHUFPDYrri	= 5235,
-    X86_VSHUFPDZrmi	= 5236,
-    X86_VSHUFPDZrri	= 5237,
-    X86_VSHUFPDrmi	= 5238,
-    X86_VSHUFPDrri	= 5239,
-    X86_VSHUFPSYrmi	= 5240,
-    X86_VSHUFPSYrri	= 5241,
-    X86_VSHUFPSZrmi	= 5242,
-    X86_VSHUFPSZrri	= 5243,
-    X86_VSHUFPSrmi	= 5244,
-    X86_VSHUFPSrri	= 5245,
-    X86_VSQRTPDYm	= 5246,
-    X86_VSQRTPDYr	= 5247,
-    X86_VSQRTPDZm_Int	= 5248,
-    X86_VSQRTPDZr_Int	= 5249,
-    X86_VSQRTPDZrm	= 5250,
-    X86_VSQRTPDZrr	= 5251,
-    X86_VSQRTPDm	= 5252,
-    X86_VSQRTPDr	= 5253,
-    X86_VSQRTPSYm	= 5254,
-    X86_VSQRTPSYr	= 5255,
-    X86_VSQRTPSZm_Int	= 5256,
-    X86_VSQRTPSZr_Int	= 5257,
-    X86_VSQRTPSZrm	= 5258,
-    X86_VSQRTPSZrr	= 5259,
-    X86_VSQRTPSm	= 5260,
-    X86_VSQRTPSr	= 5261,
-    X86_VSQRTSDZm	= 5262,
-    X86_VSQRTSDZm_Int	= 5263,
-    X86_VSQRTSDZr	= 5264,
-    X86_VSQRTSDZr_Int	= 5265,
-    X86_VSQRTSDm	= 5266,
-    X86_VSQRTSDm_Int	= 5267,
-    X86_VSQRTSDr	= 5268,
-    X86_VSQRTSSZm	= 5269,
-    X86_VSQRTSSZm_Int	= 5270,
-    X86_VSQRTSSZr	= 5271,
-    X86_VSQRTSSZr_Int	= 5272,
-    X86_VSQRTSSm	= 5273,
-    X86_VSQRTSSm_Int	= 5274,
-    X86_VSQRTSSr	= 5275,
-    X86_VSTMXCSR	= 5276,
-    X86_VSUBPDYrm	= 5277,
-    X86_VSUBPDYrr	= 5278,
-    X86_VSUBPDZrm	= 5279,
-    X86_VSUBPDZrmb	= 5280,
-    X86_VSUBPDZrr	= 5281,
-    X86_VSUBPDrm	= 5282,
-    X86_VSUBPDrr	= 5283,
-    X86_VSUBPSYrm	= 5284,
-    X86_VSUBPSYrr	= 5285,
-    X86_VSUBPSZrm	= 5286,
-    X86_VSUBPSZrmb	= 5287,
-    X86_VSUBPSZrr	= 5288,
-    X86_VSUBPSrm	= 5289,
-    X86_VSUBPSrr	= 5290,
-    X86_VSUBSDZrm	= 5291,
-    X86_VSUBSDZrr	= 5292,
-    X86_VSUBSDrm	= 5293,
-    X86_VSUBSDrm_Int	= 5294,
-    X86_VSUBSDrr	= 5295,
-    X86_VSUBSDrr_Int	= 5296,
-    X86_VSUBSSZrm	= 5297,
-    X86_VSUBSSZrr	= 5298,
-    X86_VSUBSSrm	= 5299,
-    X86_VSUBSSrm_Int	= 5300,
-    X86_VSUBSSrr	= 5301,
-    X86_VSUBSSrr_Int	= 5302,
-    X86_VTESTPDYrm	= 5303,
-    X86_VTESTPDYrr	= 5304,
-    X86_VTESTPDrm	= 5305,
-    X86_VTESTPDrr	= 5306,
-    X86_VTESTPSYrm	= 5307,
-    X86_VTESTPSYrr	= 5308,
-    X86_VTESTPSrm	= 5309,
-    X86_VTESTPSrr	= 5310,
-    X86_VUCOMISDZrm	= 5311,
-    X86_VUCOMISDZrr	= 5312,
-    X86_VUCOMISDrm	= 5313,
-    X86_VUCOMISDrr	= 5314,
-    X86_VUCOMISSZrm	= 5315,
-    X86_VUCOMISSZrr	= 5316,
-    X86_VUCOMISSrm	= 5317,
-    X86_VUCOMISSrr	= 5318,
-    X86_VUNPCKHPDYrm	= 5319,
-    X86_VUNPCKHPDYrr	= 5320,
-    X86_VUNPCKHPDZrm	= 5321,
-    X86_VUNPCKHPDZrr	= 5322,
-    X86_VUNPCKHPDrm	= 5323,
-    X86_VUNPCKHPDrr	= 5324,
-    X86_VUNPCKHPSYrm	= 5325,
-    X86_VUNPCKHPSYrr	= 5326,
-    X86_VUNPCKHPSZrm	= 5327,
-    X86_VUNPCKHPSZrr	= 5328,
-    X86_VUNPCKHPSrm	= 5329,
-    X86_VUNPCKHPSrr	= 5330,
-    X86_VUNPCKLPDYrm	= 5331,
-    X86_VUNPCKLPDYrr	= 5332,
-    X86_VUNPCKLPDZrm	= 5333,
-    X86_VUNPCKLPDZrr	= 5334,
-    X86_VUNPCKLPDrm	= 5335,
-    X86_VUNPCKLPDrr	= 5336,
-    X86_VUNPCKLPSYrm	= 5337,
-    X86_VUNPCKLPSYrr	= 5338,
-    X86_VUNPCKLPSZrm	= 5339,
-    X86_VUNPCKLPSZrr	= 5340,
-    X86_VUNPCKLPSrm	= 5341,
-    X86_VUNPCKLPSrr	= 5342,
-    X86_VXORPDYrm	= 5343,
-    X86_VXORPDYrr	= 5344,
-    X86_VXORPDrm	= 5345,
-    X86_VXORPDrr	= 5346,
-    X86_VXORPSYrm	= 5347,
-    X86_VXORPSYrr	= 5348,
-    X86_VXORPSrm	= 5349,
-    X86_VXORPSrr	= 5350,
-    X86_VZEROALL	= 5351,
-    X86_VZEROUPPER	= 5352,
-    X86_V_SET0	= 5353,
-    X86_V_SETALLONES	= 5354,
-    X86_W64ALLOCA	= 5355,
-    X86_WAIT	= 5356,
-    X86_WBINVD	= 5357,
-    X86_WIN_ALLOCA	= 5358,
-    X86_WIN_FTOL_32	= 5359,
-    X86_WIN_FTOL_64	= 5360,
-    X86_WRFSBASE	= 5361,
-    X86_WRFSBASE64	= 5362,
-    X86_WRGSBASE	= 5363,
-    X86_WRGSBASE64	= 5364,
-    X86_WRMSR	= 5365,
-    X86_XABORT	= 5366,
-    X86_XACQUIRE_PREFIX	= 5367,
-    X86_XADD16rm	= 5368,
-    X86_XADD16rr	= 5369,
-    X86_XADD32rm	= 5370,
-    X86_XADD32rr	= 5371,
-    X86_XADD64rm	= 5372,
-    X86_XADD64rr	= 5373,
-    X86_XADD8rm	= 5374,
-    X86_XADD8rr	= 5375,
-    X86_XBEGIN	= 5376,
-    X86_XBEGIN_4	= 5377,
-    X86_XCHG16ar	= 5378,
-    X86_XCHG16rm	= 5379,
-    X86_XCHG16rr	= 5380,
-    X86_XCHG32ar	= 5381,
-    X86_XCHG32ar64	= 5382,
-    X86_XCHG32rm	= 5383,
-    X86_XCHG32rr	= 5384,
-    X86_XCHG64ar	= 5385,
-    X86_XCHG64rm	= 5386,
-    X86_XCHG64rr	= 5387,
-    X86_XCHG8rm	= 5388,
-    X86_XCHG8rr	= 5389,
-    X86_XCH_F	= 5390,
-    X86_XCRYPTCBC	= 5391,
-    X86_XCRYPTCFB	= 5392,
-    X86_XCRYPTCTR	= 5393,
-    X86_XCRYPTECB	= 5394,
-    X86_XCRYPTOFB	= 5395,
-    X86_XEND	= 5396,
-    X86_XGETBV	= 5397,
-    X86_XLAT	= 5398,
-    X86_XOR16i16	= 5399,
-    X86_XOR16mi	= 5400,
-    X86_XOR16mi8	= 5401,
-    X86_XOR16mr	= 5402,
-    X86_XOR16ri	= 5403,
-    X86_XOR16ri8	= 5404,
-    X86_XOR16rm	= 5405,
-    X86_XOR16rr	= 5406,
-    X86_XOR16rr_REV	= 5407,
-    X86_XOR32i32	= 5408,
-    X86_XOR32mi	= 5409,
-    X86_XOR32mi8	= 5410,
-    X86_XOR32mr	= 5411,
-    X86_XOR32ri	= 5412,
-    X86_XOR32ri8	= 5413,
-    X86_XOR32rm	= 5414,
-    X86_XOR32rr	= 5415,
-    X86_XOR32rr_REV	= 5416,
-    X86_XOR64i32	= 5417,
-    X86_XOR64mi32	= 5418,
-    X86_XOR64mi8	= 5419,
-    X86_XOR64mr	= 5420,
-    X86_XOR64ri32	= 5421,
-    X86_XOR64ri8	= 5422,
-    X86_XOR64rm	= 5423,
-    X86_XOR64rr	= 5424,
-    X86_XOR64rr_REV	= 5425,
-    X86_XOR8i8	= 5426,
-    X86_XOR8mi	= 5427,
-    X86_XOR8mr	= 5428,
-    X86_XOR8ri	= 5429,
-    X86_XOR8ri8	= 5430,
-    X86_XOR8rm	= 5431,
-    X86_XOR8rr	= 5432,
-    X86_XOR8rr_REV	= 5433,
-    X86_XORPDrm	= 5434,
-    X86_XORPDrr	= 5435,
-    X86_XORPSrm	= 5436,
-    X86_XORPSrr	= 5437,
-    X86_XRELEASE_PREFIX	= 5438,
-    X86_XRSTOR	= 5439,
-    X86_XRSTOR64	= 5440,
-    X86_XSAVE	= 5441,
-    X86_XSAVE64	= 5442,
-    X86_XSAVEOPT	= 5443,
-    X86_XSAVEOPT64	= 5444,
-    X86_XSETBV	= 5445,
-    X86_XSHA1	= 5446,
-    X86_XSHA256	= 5447,
-    X86_XSTORE	= 5448,
-    X86_XTEST	= 5449,
-    X86_INSTRUCTION_LIST_END = 5450
+    X86_LOAD_STACK_GUARD	= 19,
+    X86_AAA	= 20,
+    X86_AAD8i8	= 21,
+    X86_AAM8i8	= 22,
+    X86_AAS	= 23,
+    X86_ABS_F	= 24,
+    X86_ABS_Fp32	= 25,
+    X86_ABS_Fp64	= 26,
+    X86_ABS_Fp80	= 27,
+    X86_ACQUIRE_MOV16rm	= 28,
+    X86_ACQUIRE_MOV32rm	= 29,
+    X86_ACQUIRE_MOV64rm	= 30,
+    X86_ACQUIRE_MOV8rm	= 31,
+    X86_ADC16i16	= 32,
+    X86_ADC16mi	= 33,
+    X86_ADC16mi8	= 34,
+    X86_ADC16mr	= 35,
+    X86_ADC16ri	= 36,
+    X86_ADC16ri8	= 37,
+    X86_ADC16rm	= 38,
+    X86_ADC16rr	= 39,
+    X86_ADC16rr_REV	= 40,
+    X86_ADC32i32	= 41,
+    X86_ADC32mi	= 42,
+    X86_ADC32mi8	= 43,
+    X86_ADC32mr	= 44,
+    X86_ADC32ri	= 45,
+    X86_ADC32ri8	= 46,
+    X86_ADC32rm	= 47,
+    X86_ADC32rr	= 48,
+    X86_ADC32rr_REV	= 49,
+    X86_ADC64i32	= 50,
+    X86_ADC64mi32	= 51,
+    X86_ADC64mi8	= 52,
+    X86_ADC64mr	= 53,
+    X86_ADC64ri32	= 54,
+    X86_ADC64ri8	= 55,
+    X86_ADC64rm	= 56,
+    X86_ADC64rr	= 57,
+    X86_ADC64rr_REV	= 58,
+    X86_ADC8i8	= 59,
+    X86_ADC8mi	= 60,
+    X86_ADC8mr	= 61,
+    X86_ADC8ri	= 62,
+    X86_ADC8rm	= 63,
+    X86_ADC8rr	= 64,
+    X86_ADC8rr_REV	= 65,
+    X86_ADCX32rm	= 66,
+    X86_ADCX32rr	= 67,
+    X86_ADCX64rm	= 68,
+    X86_ADCX64rr	= 69,
+    X86_ADD16i16	= 70,
+    X86_ADD16mi	= 71,
+    X86_ADD16mi8	= 72,
+    X86_ADD16mr	= 73,
+    X86_ADD16ri	= 74,
+    X86_ADD16ri8	= 75,
+    X86_ADD16ri8_DB	= 76,
+    X86_ADD16ri_DB	= 77,
+    X86_ADD16rm	= 78,
+    X86_ADD16rr	= 79,
+    X86_ADD16rr_DB	= 80,
+    X86_ADD16rr_REV	= 81,
+    X86_ADD32i32	= 82,
+    X86_ADD32mi	= 83,
+    X86_ADD32mi8	= 84,
+    X86_ADD32mr	= 85,
+    X86_ADD32ri	= 86,
+    X86_ADD32ri8	= 87,
+    X86_ADD32ri8_DB	= 88,
+    X86_ADD32ri_DB	= 89,
+    X86_ADD32rm	= 90,
+    X86_ADD32rr	= 91,
+    X86_ADD32rr_DB	= 92,
+    X86_ADD32rr_REV	= 93,
+    X86_ADD64i32	= 94,
+    X86_ADD64mi32	= 95,
+    X86_ADD64mi8	= 96,
+    X86_ADD64mr	= 97,
+    X86_ADD64ri32	= 98,
+    X86_ADD64ri32_DB	= 99,
+    X86_ADD64ri8	= 100,
+    X86_ADD64ri8_DB	= 101,
+    X86_ADD64rm	= 102,
+    X86_ADD64rr	= 103,
+    X86_ADD64rr_DB	= 104,
+    X86_ADD64rr_REV	= 105,
+    X86_ADD8i8	= 106,
+    X86_ADD8mi	= 107,
+    X86_ADD8mr	= 108,
+    X86_ADD8ri	= 109,
+    X86_ADD8ri8	= 110,
+    X86_ADD8rm	= 111,
+    X86_ADD8rr	= 112,
+    X86_ADD8rr_REV	= 113,
+    X86_ADDPDrm	= 114,
+    X86_ADDPDrr	= 115,
+    X86_ADDPSrm	= 116,
+    X86_ADDPSrr	= 117,
+    X86_ADDSDrm	= 118,
+    X86_ADDSDrm_Int	= 119,
+    X86_ADDSDrr	= 120,
+    X86_ADDSDrr_Int	= 121,
+    X86_ADDSSrm	= 122,
+    X86_ADDSSrm_Int	= 123,
+    X86_ADDSSrr	= 124,
+    X86_ADDSSrr_Int	= 125,
+    X86_ADDSUBPDrm	= 126,
+    X86_ADDSUBPDrr	= 127,
+    X86_ADDSUBPSrm	= 128,
+    X86_ADDSUBPSrr	= 129,
+    X86_ADD_F32m	= 130,
+    X86_ADD_F64m	= 131,
+    X86_ADD_FI16m	= 132,
+    X86_ADD_FI32m	= 133,
+    X86_ADD_FPrST0	= 134,
+    X86_ADD_FST0r	= 135,
+    X86_ADD_Fp32	= 136,
+    X86_ADD_Fp32m	= 137,
+    X86_ADD_Fp64	= 138,
+    X86_ADD_Fp64m	= 139,
+    X86_ADD_Fp64m32	= 140,
+    X86_ADD_Fp80	= 141,
+    X86_ADD_Fp80m32	= 142,
+    X86_ADD_Fp80m64	= 143,
+    X86_ADD_FpI16m32	= 144,
+    X86_ADD_FpI16m64	= 145,
+    X86_ADD_FpI16m80	= 146,
+    X86_ADD_FpI32m32	= 147,
+    X86_ADD_FpI32m64	= 148,
+    X86_ADD_FpI32m80	= 149,
+    X86_ADD_FrST0	= 150,
+    X86_ADJCALLSTACKDOWN32	= 151,
+    X86_ADJCALLSTACKDOWN64	= 152,
+    X86_ADJCALLSTACKUP32	= 153,
+    X86_ADJCALLSTACKUP64	= 154,
+    X86_ADOX32rm	= 155,
+    X86_ADOX32rr	= 156,
+    X86_ADOX64rm	= 157,
+    X86_ADOX64rr	= 158,
+    X86_AESDECLASTrm	= 159,
+    X86_AESDECLASTrr	= 160,
+    X86_AESDECrm	= 161,
+    X86_AESDECrr	= 162,
+    X86_AESENCLASTrm	= 163,
+    X86_AESENCLASTrr	= 164,
+    X86_AESENCrm	= 165,
+    X86_AESENCrr	= 166,
+    X86_AESIMCrm	= 167,
+    X86_AESIMCrr	= 168,
+    X86_AESKEYGENASSIST128rm	= 169,
+    X86_AESKEYGENASSIST128rr	= 170,
+    X86_AND16i16	= 171,
+    X86_AND16mi	= 172,
+    X86_AND16mi8	= 173,
+    X86_AND16mr	= 174,
+    X86_AND16ri	= 175,
+    X86_AND16ri8	= 176,
+    X86_AND16rm	= 177,
+    X86_AND16rr	= 178,
+    X86_AND16rr_REV	= 179,
+    X86_AND32i32	= 180,
+    X86_AND32mi	= 181,
+    X86_AND32mi8	= 182,
+    X86_AND32mr	= 183,
+    X86_AND32ri	= 184,
+    X86_AND32ri8	= 185,
+    X86_AND32rm	= 186,
+    X86_AND32rr	= 187,
+    X86_AND32rr_REV	= 188,
+    X86_AND64i32	= 189,
+    X86_AND64mi32	= 190,
+    X86_AND64mi8	= 191,
+    X86_AND64mr	= 192,
+    X86_AND64ri32	= 193,
+    X86_AND64ri8	= 194,
+    X86_AND64rm	= 195,
+    X86_AND64rr	= 196,
+    X86_AND64rr_REV	= 197,
+    X86_AND8i8	= 198,
+    X86_AND8mi	= 199,
+    X86_AND8mr	= 200,
+    X86_AND8ri	= 201,
+    X86_AND8ri8	= 202,
+    X86_AND8rm	= 203,
+    X86_AND8rr	= 204,
+    X86_AND8rr_REV	= 205,
+    X86_ANDN32rm	= 206,
+    X86_ANDN32rr	= 207,
+    X86_ANDN64rm	= 208,
+    X86_ANDN64rr	= 209,
+    X86_ANDNPDrm	= 210,
+    X86_ANDNPDrr	= 211,
+    X86_ANDNPSrm	= 212,
+    X86_ANDNPSrr	= 213,
+    X86_ANDPDrm	= 214,
+    X86_ANDPDrr	= 215,
+    X86_ANDPSrm	= 216,
+    X86_ANDPSrr	= 217,
+    X86_ARPL16mr	= 218,
+    X86_ARPL16rr	= 219,
+    X86_AVX2_SETALLONES	= 220,
+    X86_AVX512_512_SET0	= 221,
+    X86_AVX_SET0	= 222,
+    X86_BEXTR32rm	= 223,
+    X86_BEXTR32rr	= 224,
+    X86_BEXTR64rm	= 225,
+    X86_BEXTR64rr	= 226,
+    X86_BEXTRI32mi	= 227,
+    X86_BEXTRI32ri	= 228,
+    X86_BEXTRI64mi	= 229,
+    X86_BEXTRI64ri	= 230,
+    X86_BLCFILL32rm	= 231,
+    X86_BLCFILL32rr	= 232,
+    X86_BLCFILL64rm	= 233,
+    X86_BLCFILL64rr	= 234,
+    X86_BLCI32rm	= 235,
+    X86_BLCI32rr	= 236,
+    X86_BLCI64rm	= 237,
+    X86_BLCI64rr	= 238,
+    X86_BLCIC32rm	= 239,
+    X86_BLCIC32rr	= 240,
+    X86_BLCIC64rm	= 241,
+    X86_BLCIC64rr	= 242,
+    X86_BLCMSK32rm	= 243,
+    X86_BLCMSK32rr	= 244,
+    X86_BLCMSK64rm	= 245,
+    X86_BLCMSK64rr	= 246,
+    X86_BLCS32rm	= 247,
+    X86_BLCS32rr	= 248,
+    X86_BLCS64rm	= 249,
+    X86_BLCS64rr	= 250,
+    X86_BLENDPDrmi	= 251,
+    X86_BLENDPDrri	= 252,
+    X86_BLENDPSrmi	= 253,
+    X86_BLENDPSrri	= 254,
+    X86_BLENDVPDrm0	= 255,
+    X86_BLENDVPDrr0	= 256,
+    X86_BLENDVPSrm0	= 257,
+    X86_BLENDVPSrr0	= 258,
+    X86_BLSFILL32rm	= 259,
+    X86_BLSFILL32rr	= 260,
+    X86_BLSFILL64rm	= 261,
+    X86_BLSFILL64rr	= 262,
+    X86_BLSI32rm	= 263,
+    X86_BLSI32rr	= 264,
+    X86_BLSI64rm	= 265,
+    X86_BLSI64rr	= 266,
+    X86_BLSIC32rm	= 267,
+    X86_BLSIC32rr	= 268,
+    X86_BLSIC64rm	= 269,
+    X86_BLSIC64rr	= 270,
+    X86_BLSMSK32rm	= 271,
+    X86_BLSMSK32rr	= 272,
+    X86_BLSMSK64rm	= 273,
+    X86_BLSMSK64rr	= 274,
+    X86_BLSR32rm	= 275,
+    X86_BLSR32rr	= 276,
+    X86_BLSR64rm	= 277,
+    X86_BLSR64rr	= 278,
+    X86_BOUNDS16rm	= 279,
+    X86_BOUNDS32rm	= 280,
+    X86_BSF16rm	= 281,
+    X86_BSF16rr	= 282,
+    X86_BSF32rm	= 283,
+    X86_BSF32rr	= 284,
+    X86_BSF64rm	= 285,
+    X86_BSF64rr	= 286,
+    X86_BSR16rm	= 287,
+    X86_BSR16rr	= 288,
+    X86_BSR32rm	= 289,
+    X86_BSR32rr	= 290,
+    X86_BSR64rm	= 291,
+    X86_BSR64rr	= 292,
+    X86_BSWAP32r	= 293,
+    X86_BSWAP64r	= 294,
+    X86_BT16mi8	= 295,
+    X86_BT16mr	= 296,
+    X86_BT16ri8	= 297,
+    X86_BT16rr	= 298,
+    X86_BT32mi8	= 299,
+    X86_BT32mr	= 300,
+    X86_BT32ri8	= 301,
+    X86_BT32rr	= 302,
+    X86_BT64mi8	= 303,
+    X86_BT64mr	= 304,
+    X86_BT64ri8	= 305,
+    X86_BT64rr	= 306,
+    X86_BTC16mi8	= 307,
+    X86_BTC16mr	= 308,
+    X86_BTC16ri8	= 309,
+    X86_BTC16rr	= 310,
+    X86_BTC32mi8	= 311,
+    X86_BTC32mr	= 312,
+    X86_BTC32ri8	= 313,
+    X86_BTC32rr	= 314,
+    X86_BTC64mi8	= 315,
+    X86_BTC64mr	= 316,
+    X86_BTC64ri8	= 317,
+    X86_BTC64rr	= 318,
+    X86_BTR16mi8	= 319,
+    X86_BTR16mr	= 320,
+    X86_BTR16ri8	= 321,
+    X86_BTR16rr	= 322,
+    X86_BTR32mi8	= 323,
+    X86_BTR32mr	= 324,
+    X86_BTR32ri8	= 325,
+    X86_BTR32rr	= 326,
+    X86_BTR64mi8	= 327,
+    X86_BTR64mr	= 328,
+    X86_BTR64ri8	= 329,
+    X86_BTR64rr	= 330,
+    X86_BTS16mi8	= 331,
+    X86_BTS16mr	= 332,
+    X86_BTS16ri8	= 333,
+    X86_BTS16rr	= 334,
+    X86_BTS32mi8	= 335,
+    X86_BTS32mr	= 336,
+    X86_BTS32ri8	= 337,
+    X86_BTS32rr	= 338,
+    X86_BTS64mi8	= 339,
+    X86_BTS64mr	= 340,
+    X86_BTS64ri8	= 341,
+    X86_BTS64rr	= 342,
+    X86_BZHI32rm	= 343,
+    X86_BZHI32rr	= 344,
+    X86_BZHI64rm	= 345,
+    X86_BZHI64rr	= 346,
+    X86_CALL16m	= 347,
+    X86_CALL16r	= 348,
+    X86_CALL32m	= 349,
+    X86_CALL32r	= 350,
+    X86_CALL64m	= 351,
+    X86_CALL64pcrel32	= 352,
+    X86_CALL64r	= 353,
+    X86_CALLpcrel16	= 354,
+    X86_CALLpcrel32	= 355,
+    X86_CBW	= 356,
+    X86_CDQ	= 357,
+    X86_CDQE	= 358,
+    X86_CHS_F	= 359,
+    X86_CHS_Fp32	= 360,
+    X86_CHS_Fp64	= 361,
+    X86_CHS_Fp80	= 362,
+    X86_CLAC	= 363,
+    X86_CLC	= 364,
+    X86_CLD	= 365,
+    X86_CLFLUSH	= 366,
+    X86_CLGI	= 367,
+    X86_CLI	= 368,
+    X86_CLTS	= 369,
+    X86_CMC	= 370,
+    X86_CMOVA16rm	= 371,
+    X86_CMOVA16rr	= 372,
+    X86_CMOVA32rm	= 373,
+    X86_CMOVA32rr	= 374,
+    X86_CMOVA64rm	= 375,
+    X86_CMOVA64rr	= 376,
+    X86_CMOVAE16rm	= 377,
+    X86_CMOVAE16rr	= 378,
+    X86_CMOVAE32rm	= 379,
+    X86_CMOVAE32rr	= 380,
+    X86_CMOVAE64rm	= 381,
+    X86_CMOVAE64rr	= 382,
+    X86_CMOVB16rm	= 383,
+    X86_CMOVB16rr	= 384,
+    X86_CMOVB32rm	= 385,
+    X86_CMOVB32rr	= 386,
+    X86_CMOVB64rm	= 387,
+    X86_CMOVB64rr	= 388,
+    X86_CMOVBE16rm	= 389,
+    X86_CMOVBE16rr	= 390,
+    X86_CMOVBE32rm	= 391,
+    X86_CMOVBE32rr	= 392,
+    X86_CMOVBE64rm	= 393,
+    X86_CMOVBE64rr	= 394,
+    X86_CMOVBE_F	= 395,
+    X86_CMOVBE_Fp32	= 396,
+    X86_CMOVBE_Fp64	= 397,
+    X86_CMOVBE_Fp80	= 398,
+    X86_CMOVB_F	= 399,
+    X86_CMOVB_Fp32	= 400,
+    X86_CMOVB_Fp64	= 401,
+    X86_CMOVB_Fp80	= 402,
+    X86_CMOVE16rm	= 403,
+    X86_CMOVE16rr	= 404,
+    X86_CMOVE32rm	= 405,
+    X86_CMOVE32rr	= 406,
+    X86_CMOVE64rm	= 407,
+    X86_CMOVE64rr	= 408,
+    X86_CMOVE_F	= 409,
+    X86_CMOVE_Fp32	= 410,
+    X86_CMOVE_Fp64	= 411,
+    X86_CMOVE_Fp80	= 412,
+    X86_CMOVG16rm	= 413,
+    X86_CMOVG16rr	= 414,
+    X86_CMOVG32rm	= 415,
+    X86_CMOVG32rr	= 416,
+    X86_CMOVG64rm	= 417,
+    X86_CMOVG64rr	= 418,
+    X86_CMOVGE16rm	= 419,
+    X86_CMOVGE16rr	= 420,
+    X86_CMOVGE32rm	= 421,
+    X86_CMOVGE32rr	= 422,
+    X86_CMOVGE64rm	= 423,
+    X86_CMOVGE64rr	= 424,
+    X86_CMOVL16rm	= 425,
+    X86_CMOVL16rr	= 426,
+    X86_CMOVL32rm	= 427,
+    X86_CMOVL32rr	= 428,
+    X86_CMOVL64rm	= 429,
+    X86_CMOVL64rr	= 430,
+    X86_CMOVLE16rm	= 431,
+    X86_CMOVLE16rr	= 432,
+    X86_CMOVLE32rm	= 433,
+    X86_CMOVLE32rr	= 434,
+    X86_CMOVLE64rm	= 435,
+    X86_CMOVLE64rr	= 436,
+    X86_CMOVNBE_F	= 437,
+    X86_CMOVNBE_Fp32	= 438,
+    X86_CMOVNBE_Fp64	= 439,
+    X86_CMOVNBE_Fp80	= 440,
+    X86_CMOVNB_F	= 441,
+    X86_CMOVNB_Fp32	= 442,
+    X86_CMOVNB_Fp64	= 443,
+    X86_CMOVNB_Fp80	= 444,
+    X86_CMOVNE16rm	= 445,
+    X86_CMOVNE16rr	= 446,
+    X86_CMOVNE32rm	= 447,
+    X86_CMOVNE32rr	= 448,
+    X86_CMOVNE64rm	= 449,
+    X86_CMOVNE64rr	= 450,
+    X86_CMOVNE_F	= 451,
+    X86_CMOVNE_Fp32	= 452,
+    X86_CMOVNE_Fp64	= 453,
+    X86_CMOVNE_Fp80	= 454,
+    X86_CMOVNO16rm	= 455,
+    X86_CMOVNO16rr	= 456,
+    X86_CMOVNO32rm	= 457,
+    X86_CMOVNO32rr	= 458,
+    X86_CMOVNO64rm	= 459,
+    X86_CMOVNO64rr	= 460,
+    X86_CMOVNP16rm	= 461,
+    X86_CMOVNP16rr	= 462,
+    X86_CMOVNP32rm	= 463,
+    X86_CMOVNP32rr	= 464,
+    X86_CMOVNP64rm	= 465,
+    X86_CMOVNP64rr	= 466,
+    X86_CMOVNP_F	= 467,
+    X86_CMOVNP_Fp32	= 468,
+    X86_CMOVNP_Fp64	= 469,
+    X86_CMOVNP_Fp80	= 470,
+    X86_CMOVNS16rm	= 471,
+    X86_CMOVNS16rr	= 472,
+    X86_CMOVNS32rm	= 473,
+    X86_CMOVNS32rr	= 474,
+    X86_CMOVNS64rm	= 475,
+    X86_CMOVNS64rr	= 476,
+    X86_CMOVO16rm	= 477,
+    X86_CMOVO16rr	= 478,
+    X86_CMOVO32rm	= 479,
+    X86_CMOVO32rr	= 480,
+    X86_CMOVO64rm	= 481,
+    X86_CMOVO64rr	= 482,
+    X86_CMOVP16rm	= 483,
+    X86_CMOVP16rr	= 484,
+    X86_CMOVP32rm	= 485,
+    X86_CMOVP32rr	= 486,
+    X86_CMOVP64rm	= 487,
+    X86_CMOVP64rr	= 488,
+    X86_CMOVP_F	= 489,
+    X86_CMOVP_Fp32	= 490,
+    X86_CMOVP_Fp64	= 491,
+    X86_CMOVP_Fp80	= 492,
+    X86_CMOVS16rm	= 493,
+    X86_CMOVS16rr	= 494,
+    X86_CMOVS32rm	= 495,
+    X86_CMOVS32rr	= 496,
+    X86_CMOVS64rm	= 497,
+    X86_CMOVS64rr	= 498,
+    X86_CMOV_FR32	= 499,
+    X86_CMOV_FR64	= 500,
+    X86_CMOV_GR16	= 501,
+    X86_CMOV_GR32	= 502,
+    X86_CMOV_GR8	= 503,
+    X86_CMOV_RFP32	= 504,
+    X86_CMOV_RFP64	= 505,
+    X86_CMOV_RFP80	= 506,
+    X86_CMOV_V16F32	= 507,
+    X86_CMOV_V2F64	= 508,
+    X86_CMOV_V2I64	= 509,
+    X86_CMOV_V4F32	= 510,
+    X86_CMOV_V4F64	= 511,
+    X86_CMOV_V4I64	= 512,
+    X86_CMOV_V8F32	= 513,
+    X86_CMOV_V8F64	= 514,
+    X86_CMOV_V8I64	= 515,
+    X86_CMP16i16	= 516,
+    X86_CMP16mi	= 517,
+    X86_CMP16mi8	= 518,
+    X86_CMP16mr	= 519,
+    X86_CMP16ri	= 520,
+    X86_CMP16ri8	= 521,
+    X86_CMP16rm	= 522,
+    X86_CMP16rr	= 523,
+    X86_CMP16rr_REV	= 524,
+    X86_CMP32i32	= 525,
+    X86_CMP32mi	= 526,
+    X86_CMP32mi8	= 527,
+    X86_CMP32mr	= 528,
+    X86_CMP32ri	= 529,
+    X86_CMP32ri8	= 530,
+    X86_CMP32rm	= 531,
+    X86_CMP32rr	= 532,
+    X86_CMP32rr_REV	= 533,
+    X86_CMP64i32	= 534,
+    X86_CMP64mi32	= 535,
+    X86_CMP64mi8	= 536,
+    X86_CMP64mr	= 537,
+    X86_CMP64ri32	= 538,
+    X86_CMP64ri8	= 539,
+    X86_CMP64rm	= 540,
+    X86_CMP64rr	= 541,
+    X86_CMP64rr_REV	= 542,
+    X86_CMP8i8	= 543,
+    X86_CMP8mi	= 544,
+    X86_CMP8mr	= 545,
+    X86_CMP8ri	= 546,
+    X86_CMP8rm	= 547,
+    X86_CMP8rr	= 548,
+    X86_CMP8rr_REV	= 549,
+    X86_CMPPDrmi	= 550,
+    X86_CMPPDrmi_alt	= 551,
+    X86_CMPPDrri	= 552,
+    X86_CMPPDrri_alt	= 553,
+    X86_CMPPSrmi	= 554,
+    X86_CMPPSrmi_alt	= 555,
+    X86_CMPPSrri	= 556,
+    X86_CMPPSrri_alt	= 557,
+    X86_CMPSB	= 558,
+    X86_CMPSDrm	= 559,
+    X86_CMPSDrm_alt	= 560,
+    X86_CMPSDrr	= 561,
+    X86_CMPSDrr_alt	= 562,
+    X86_CMPSL	= 563,
+    X86_CMPSQ	= 564,
+    X86_CMPSSrm	= 565,
+    X86_CMPSSrm_alt	= 566,
+    X86_CMPSSrr	= 567,
+    X86_CMPSSrr_alt	= 568,
+    X86_CMPSW	= 569,
+    X86_CMPXCHG16B	= 570,
+    X86_CMPXCHG16rm	= 571,
+    X86_CMPXCHG16rr	= 572,
+    X86_CMPXCHG32rm	= 573,
+    X86_CMPXCHG32rr	= 574,
+    X86_CMPXCHG64rm	= 575,
+    X86_CMPXCHG64rr	= 576,
+    X86_CMPXCHG8B	= 577,
+    X86_CMPXCHG8rm	= 578,
+    X86_CMPXCHG8rr	= 579,
+    X86_COMISDrm	= 580,
+    X86_COMISDrr	= 581,
+    X86_COMISSrm	= 582,
+    X86_COMISSrr	= 583,
+    X86_COMP_FST0r	= 584,
+    X86_COM_FIPr	= 585,
+    X86_COM_FIr	= 586,
+    X86_COM_FST0r	= 587,
+    X86_COS_F	= 588,
+    X86_COS_Fp32	= 589,
+    X86_COS_Fp64	= 590,
+    X86_COS_Fp80	= 591,
+    X86_CPUID32	= 592,
+    X86_CPUID64	= 593,
+    X86_CQO	= 594,
+    X86_CRC32r32m16	= 595,
+    X86_CRC32r32m32	= 596,
+    X86_CRC32r32m8	= 597,
+    X86_CRC32r32r16	= 598,
+    X86_CRC32r32r32	= 599,
+    X86_CRC32r32r8	= 600,
+    X86_CRC32r64m64	= 601,
+    X86_CRC32r64m8	= 602,
+    X86_CRC32r64r64	= 603,
+    X86_CRC32r64r8	= 604,
+    X86_CS_PREFIX	= 605,
+    X86_CVTDQ2PDrm	= 606,
+    X86_CVTDQ2PDrr	= 607,
+    X86_CVTDQ2PSrm	= 608,
+    X86_CVTDQ2PSrr	= 609,
+    X86_CVTPD2DQrm	= 610,
+    X86_CVTPD2DQrr	= 611,
+    X86_CVTPD2PSrm	= 612,
+    X86_CVTPD2PSrr	= 613,
+    X86_CVTPS2DQrm	= 614,
+    X86_CVTPS2DQrr	= 615,
+    X86_CVTPS2PDrm	= 616,
+    X86_CVTPS2PDrr	= 617,
+    X86_CVTSD2SI64rm	= 618,
+    X86_CVTSD2SI64rr	= 619,
+    X86_CVTSD2SIrm	= 620,
+    X86_CVTSD2SIrr	= 621,
+    X86_CVTSD2SSrm	= 622,
+    X86_CVTSD2SSrr	= 623,
+    X86_CVTSI2SD64rm	= 624,
+    X86_CVTSI2SD64rr	= 625,
+    X86_CVTSI2SDrm	= 626,
+    X86_CVTSI2SDrr	= 627,
+    X86_CVTSI2SS64rm	= 628,
+    X86_CVTSI2SS64rr	= 629,
+    X86_CVTSI2SSrm	= 630,
+    X86_CVTSI2SSrr	= 631,
+    X86_CVTSS2SDrm	= 632,
+    X86_CVTSS2SDrr	= 633,
+    X86_CVTSS2SI64rm	= 634,
+    X86_CVTSS2SI64rr	= 635,
+    X86_CVTSS2SIrm	= 636,
+    X86_CVTSS2SIrr	= 637,
+    X86_CVTTPD2DQrm	= 638,
+    X86_CVTTPD2DQrr	= 639,
+    X86_CVTTPS2DQrm	= 640,
+    X86_CVTTPS2DQrr	= 641,
+    X86_CVTTSD2SI64rm	= 642,
+    X86_CVTTSD2SI64rr	= 643,
+    X86_CVTTSD2SIrm	= 644,
+    X86_CVTTSD2SIrr	= 645,
+    X86_CVTTSS2SI64rm	= 646,
+    X86_CVTTSS2SI64rr	= 647,
+    X86_CVTTSS2SIrm	= 648,
+    X86_CVTTSS2SIrr	= 649,
+    X86_CWD	= 650,
+    X86_CWDE	= 651,
+    X86_DAA	= 652,
+    X86_DAS	= 653,
+    X86_DATA16_PREFIX	= 654,
+    X86_DEC16m	= 655,
+    X86_DEC16r	= 656,
+    X86_DEC32_16r	= 657,
+    X86_DEC32_32r	= 658,
+    X86_DEC32m	= 659,
+    X86_DEC32r	= 660,
+    X86_DEC64_16m	= 661,
+    X86_DEC64_16r	= 662,
+    X86_DEC64_32m	= 663,
+    X86_DEC64_32r	= 664,
+    X86_DEC64m	= 665,
+    X86_DEC64r	= 666,
+    X86_DEC8m	= 667,
+    X86_DEC8r	= 668,
+    X86_DIV16m	= 669,
+    X86_DIV16r	= 670,
+    X86_DIV32m	= 671,
+    X86_DIV32r	= 672,
+    X86_DIV64m	= 673,
+    X86_DIV64r	= 674,
+    X86_DIV8m	= 675,
+    X86_DIV8r	= 676,
+    X86_DIVPDrm	= 677,
+    X86_DIVPDrr	= 678,
+    X86_DIVPSrm	= 679,
+    X86_DIVPSrr	= 680,
+    X86_DIVR_F32m	= 681,
+    X86_DIVR_F64m	= 682,
+    X86_DIVR_FI16m	= 683,
+    X86_DIVR_FI32m	= 684,
+    X86_DIVR_FPrST0	= 685,
+    X86_DIVR_FST0r	= 686,
+    X86_DIVR_Fp32m	= 687,
+    X86_DIVR_Fp64m	= 688,
+    X86_DIVR_Fp64m32	= 689,
+    X86_DIVR_Fp80m32	= 690,
+    X86_DIVR_Fp80m64	= 691,
+    X86_DIVR_FpI16m32	= 692,
+    X86_DIVR_FpI16m64	= 693,
+    X86_DIVR_FpI16m80	= 694,
+    X86_DIVR_FpI32m32	= 695,
+    X86_DIVR_FpI32m64	= 696,
+    X86_DIVR_FpI32m80	= 697,
+    X86_DIVR_FrST0	= 698,
+    X86_DIVSDrm	= 699,
+    X86_DIVSDrm_Int	= 700,
+    X86_DIVSDrr	= 701,
+    X86_DIVSDrr_Int	= 702,
+    X86_DIVSSrm	= 703,
+    X86_DIVSSrm_Int	= 704,
+    X86_DIVSSrr	= 705,
+    X86_DIVSSrr_Int	= 706,
+    X86_DIV_F32m	= 707,
+    X86_DIV_F64m	= 708,
+    X86_DIV_FI16m	= 709,
+    X86_DIV_FI32m	= 710,
+    X86_DIV_FPrST0	= 711,
+    X86_DIV_FST0r	= 712,
+    X86_DIV_Fp32	= 713,
+    X86_DIV_Fp32m	= 714,
+    X86_DIV_Fp64	= 715,
+    X86_DIV_Fp64m	= 716,
+    X86_DIV_Fp64m32	= 717,
+    X86_DIV_Fp80	= 718,
+    X86_DIV_Fp80m32	= 719,
+    X86_DIV_Fp80m64	= 720,
+    X86_DIV_FpI16m32	= 721,
+    X86_DIV_FpI16m64	= 722,
+    X86_DIV_FpI16m80	= 723,
+    X86_DIV_FpI32m32	= 724,
+    X86_DIV_FpI32m64	= 725,
+    X86_DIV_FpI32m80	= 726,
+    X86_DIV_FrST0	= 727,
+    X86_DPPDrmi	= 728,
+    X86_DPPDrri	= 729,
+    X86_DPPSrmi	= 730,
+    X86_DPPSrri	= 731,
+    X86_DS_PREFIX	= 732,
+    X86_EH_RETURN	= 733,
+    X86_EH_RETURN64	= 734,
+    X86_EH_SjLj_LongJmp32	= 735,
+    X86_EH_SjLj_LongJmp64	= 736,
+    X86_EH_SjLj_SetJmp32	= 737,
+    X86_EH_SjLj_SetJmp64	= 738,
+    X86_EH_SjLj_Setup	= 739,
+    X86_ENCLS	= 740,
+    X86_ENCLU	= 741,
+    X86_ENTER	= 742,
+    X86_ES_PREFIX	= 743,
+    X86_EXTRACTPSmr	= 744,
+    X86_EXTRACTPSrr	= 745,
+    X86_EXTRQ	= 746,
+    X86_EXTRQI	= 747,
+    X86_F2XM1	= 748,
+    X86_FARCALL16i	= 749,
+    X86_FARCALL16m	= 750,
+    X86_FARCALL32i	= 751,
+    X86_FARCALL32m	= 752,
+    X86_FARCALL64	= 753,
+    X86_FARJMP16i	= 754,
+    X86_FARJMP16m	= 755,
+    X86_FARJMP32i	= 756,
+    X86_FARJMP32m	= 757,
+    X86_FARJMP64	= 758,
+    X86_FBLDm	= 759,
+    X86_FBSTPm	= 760,
+    X86_FCOM32m	= 761,
+    X86_FCOM64m	= 762,
+    X86_FCOMP32m	= 763,
+    X86_FCOMP64m	= 764,
+    X86_FCOMPP	= 765,
+    X86_FDECSTP	= 766,
+    X86_FEMMS	= 767,
+    X86_FFREE	= 768,
+    X86_FICOM16m	= 769,
+    X86_FICOM32m	= 770,
+    X86_FICOMP16m	= 771,
+    X86_FICOMP32m	= 772,
+    X86_FINCSTP	= 773,
+    X86_FLDCW16m	= 774,
+    X86_FLDENVm	= 775,
+    X86_FLDL2E	= 776,
+    X86_FLDL2T	= 777,
+    X86_FLDLG2	= 778,
+    X86_FLDLN2	= 779,
+    X86_FLDPI	= 780,
+    X86_FNCLEX	= 781,
+    X86_FNINIT	= 782,
+    X86_FNOP	= 783,
+    X86_FNSTCW16m	= 784,
+    X86_FNSTSW16r	= 785,
+    X86_FNSTSWm	= 786,
+    X86_FP32_TO_INT16_IN_MEM	= 787,
+    X86_FP32_TO_INT32_IN_MEM	= 788,
+    X86_FP32_TO_INT64_IN_MEM	= 789,
+    X86_FP64_TO_INT16_IN_MEM	= 790,
+    X86_FP64_TO_INT32_IN_MEM	= 791,
+    X86_FP64_TO_INT64_IN_MEM	= 792,
+    X86_FP80_TO_INT16_IN_MEM	= 793,
+    X86_FP80_TO_INT32_IN_MEM	= 794,
+    X86_FP80_TO_INT64_IN_MEM	= 795,
+    X86_FPATAN	= 796,
+    X86_FPREM	= 797,
+    X86_FPREM1	= 798,
+    X86_FPTAN	= 799,
+    X86_FRNDINT	= 800,
+    X86_FRSTORm	= 801,
+    X86_FSAVEm	= 802,
+    X86_FSCALE	= 803,
+    X86_FSETPM	= 804,
+    X86_FSINCOS	= 805,
+    X86_FSTENVm	= 806,
+    X86_FS_PREFIX	= 807,
+    X86_FXAM	= 808,
+    X86_FXRSTOR	= 809,
+    X86_FXRSTOR64	= 810,
+    X86_FXSAVE	= 811,
+    X86_FXSAVE64	= 812,
+    X86_FXTRACT	= 813,
+    X86_FYL2X	= 814,
+    X86_FYL2XP1	= 815,
+    X86_FsANDNPDrm	= 816,
+    X86_FsANDNPDrr	= 817,
+    X86_FsANDNPSrm	= 818,
+    X86_FsANDNPSrr	= 819,
+    X86_FsANDPDrm	= 820,
+    X86_FsANDPDrr	= 821,
+    X86_FsANDPSrm	= 822,
+    X86_FsANDPSrr	= 823,
+    X86_FsFLD0SD	= 824,
+    X86_FsFLD0SS	= 825,
+    X86_FsMOVAPDrm	= 826,
+    X86_FsMOVAPSrm	= 827,
+    X86_FsORPDrm	= 828,
+    X86_FsORPDrr	= 829,
+    X86_FsORPSrm	= 830,
+    X86_FsORPSrr	= 831,
+    X86_FsVMOVAPDrm	= 832,
+    X86_FsVMOVAPSrm	= 833,
+    X86_FsXORPDrm	= 834,
+    X86_FsXORPDrr	= 835,
+    X86_FsXORPSrm	= 836,
+    X86_FsXORPSrr	= 837,
+    X86_GETSEC	= 838,
+    X86_GS_PREFIX	= 839,
+    X86_HADDPDrm	= 840,
+    X86_HADDPDrr	= 841,
+    X86_HADDPSrm	= 842,
+    X86_HADDPSrr	= 843,
+    X86_HLT	= 844,
+    X86_HSUBPDrm	= 845,
+    X86_HSUBPDrr	= 846,
+    X86_HSUBPSrm	= 847,
+    X86_HSUBPSrr	= 848,
+    X86_IDIV16m	= 849,
+    X86_IDIV16r	= 850,
+    X86_IDIV32m	= 851,
+    X86_IDIV32r	= 852,
+    X86_IDIV64m	= 853,
+    X86_IDIV64r	= 854,
+    X86_IDIV8m	= 855,
+    X86_IDIV8r	= 856,
+    X86_ILD_F16m	= 857,
+    X86_ILD_F32m	= 858,
+    X86_ILD_F64m	= 859,
+    X86_ILD_Fp16m32	= 860,
+    X86_ILD_Fp16m64	= 861,
+    X86_ILD_Fp16m80	= 862,
+    X86_ILD_Fp32m32	= 863,
+    X86_ILD_Fp32m64	= 864,
+    X86_ILD_Fp32m80	= 865,
+    X86_ILD_Fp64m32	= 866,
+    X86_ILD_Fp64m64	= 867,
+    X86_ILD_Fp64m80	= 868,
+    X86_IMUL16m	= 869,
+    X86_IMUL16r	= 870,
+    X86_IMUL16rm	= 871,
+    X86_IMUL16rmi	= 872,
+    X86_IMUL16rmi8	= 873,
+    X86_IMUL16rr	= 874,
+    X86_IMUL16rri	= 875,
+    X86_IMUL16rri8	= 876,
+    X86_IMUL32m	= 877,
+    X86_IMUL32r	= 878,
+    X86_IMUL32rm	= 879,
+    X86_IMUL32rmi	= 880,
+    X86_IMUL32rmi8	= 881,
+    X86_IMUL32rr	= 882,
+    X86_IMUL32rri	= 883,
+    X86_IMUL32rri8	= 884,
+    X86_IMUL64m	= 885,
+    X86_IMUL64r	= 886,
+    X86_IMUL64rm	= 887,
+    X86_IMUL64rmi32	= 888,
+    X86_IMUL64rmi8	= 889,
+    X86_IMUL64rr	= 890,
+    X86_IMUL64rri32	= 891,
+    X86_IMUL64rri8	= 892,
+    X86_IMUL8m	= 893,
+    X86_IMUL8r	= 894,
+    X86_IN16ri	= 895,
+    X86_IN16rr	= 896,
+    X86_IN32ri	= 897,
+    X86_IN32rr	= 898,
+    X86_IN8ri	= 899,
+    X86_IN8rr	= 900,
+    X86_INC16m	= 901,
+    X86_INC16r	= 902,
+    X86_INC32_16r	= 903,
+    X86_INC32_32r	= 904,
+    X86_INC32m	= 905,
+    X86_INC32r	= 906,
+    X86_INC64_16m	= 907,
+    X86_INC64_16r	= 908,
+    X86_INC64_32m	= 909,
+    X86_INC64_32r	= 910,
+    X86_INC64m	= 911,
+    X86_INC64r	= 912,
+    X86_INC8m	= 913,
+    X86_INC8r	= 914,
+    X86_INSB	= 915,
+    X86_INSERTPSrm	= 916,
+    X86_INSERTPSrr	= 917,
+    X86_INSERTQ	= 918,
+    X86_INSERTQI	= 919,
+    X86_INSL	= 920,
+    X86_INSW	= 921,
+    X86_INT	= 922,
+    X86_INT1	= 923,
+    X86_INT3	= 924,
+    X86_INTO	= 925,
+    X86_INVD	= 926,
+    X86_INVEPT32	= 927,
+    X86_INVEPT64	= 928,
+    X86_INVLPG	= 929,
+    X86_INVLPGA32	= 930,
+    X86_INVLPGA64	= 931,
+    X86_INVPCID32	= 932,
+    X86_INVPCID64	= 933,
+    X86_INVVPID32	= 934,
+    X86_INVVPID64	= 935,
+    X86_IRET16	= 936,
+    X86_IRET32	= 937,
+    X86_IRET64	= 938,
+    X86_ISTT_FP16m	= 939,
+    X86_ISTT_FP32m	= 940,
+    X86_ISTT_FP64m	= 941,
+    X86_ISTT_Fp16m32	= 942,
+    X86_ISTT_Fp16m64	= 943,
+    X86_ISTT_Fp16m80	= 944,
+    X86_ISTT_Fp32m32	= 945,
+    X86_ISTT_Fp32m64	= 946,
+    X86_ISTT_Fp32m80	= 947,
+    X86_ISTT_Fp64m32	= 948,
+    X86_ISTT_Fp64m64	= 949,
+    X86_ISTT_Fp64m80	= 950,
+    X86_IST_F16m	= 951,
+    X86_IST_F32m	= 952,
+    X86_IST_FP16m	= 953,
+    X86_IST_FP32m	= 954,
+    X86_IST_FP64m	= 955,
+    X86_IST_Fp16m32	= 956,
+    X86_IST_Fp16m64	= 957,
+    X86_IST_Fp16m80	= 958,
+    X86_IST_Fp32m32	= 959,
+    X86_IST_Fp32m64	= 960,
+    X86_IST_Fp32m80	= 961,
+    X86_IST_Fp64m32	= 962,
+    X86_IST_Fp64m64	= 963,
+    X86_IST_Fp64m80	= 964,
+    X86_Int_CMPSDrm	= 965,
+    X86_Int_CMPSDrr	= 966,
+    X86_Int_CMPSSrm	= 967,
+    X86_Int_CMPSSrr	= 968,
+    X86_Int_COMISDrm	= 969,
+    X86_Int_COMISDrr	= 970,
+    X86_Int_COMISSrm	= 971,
+    X86_Int_COMISSrr	= 972,
+    X86_Int_CVTSD2SSrm	= 973,
+    X86_Int_CVTSD2SSrr	= 974,
+    X86_Int_CVTSI2SD64rm	= 975,
+    X86_Int_CVTSI2SD64rr	= 976,
+    X86_Int_CVTSI2SDrm	= 977,
+    X86_Int_CVTSI2SDrr	= 978,
+    X86_Int_CVTSI2SS64rm	= 979,
+    X86_Int_CVTSI2SS64rr	= 980,
+    X86_Int_CVTSI2SSrm	= 981,
+    X86_Int_CVTSI2SSrr	= 982,
+    X86_Int_CVTSS2SDrm	= 983,
+    X86_Int_CVTSS2SDrr	= 984,
+    X86_Int_CVTTSD2SI64rm	= 985,
+    X86_Int_CVTTSD2SI64rr	= 986,
+    X86_Int_CVTTSD2SIrm	= 987,
+    X86_Int_CVTTSD2SIrr	= 988,
+    X86_Int_CVTTSS2SI64rm	= 989,
+    X86_Int_CVTTSS2SI64rr	= 990,
+    X86_Int_CVTTSS2SIrm	= 991,
+    X86_Int_CVTTSS2SIrr	= 992,
+    X86_Int_MemBarrier	= 993,
+    X86_Int_UCOMISDrm	= 994,
+    X86_Int_UCOMISDrr	= 995,
+    X86_Int_UCOMISSrm	= 996,
+    X86_Int_UCOMISSrr	= 997,
+    X86_Int_VCMPSDrm	= 998,
+    X86_Int_VCMPSDrr	= 999,
+    X86_Int_VCMPSSrm	= 1000,
+    X86_Int_VCMPSSrr	= 1001,
+    X86_Int_VCOMISDZrm	= 1002,
+    X86_Int_VCOMISDZrr	= 1003,
+    X86_Int_VCOMISDrm	= 1004,
+    X86_Int_VCOMISDrr	= 1005,
+    X86_Int_VCOMISSZrm	= 1006,
+    X86_Int_VCOMISSZrr	= 1007,
+    X86_Int_VCOMISSrm	= 1008,
+    X86_Int_VCOMISSrr	= 1009,
+    X86_Int_VCVTSD2SSrm	= 1010,
+    X86_Int_VCVTSD2SSrr	= 1011,
+    X86_Int_VCVTSI2SD64Zrm	= 1012,
+    X86_Int_VCVTSI2SD64Zrr	= 1013,
+    X86_Int_VCVTSI2SD64rm	= 1014,
+    X86_Int_VCVTSI2SD64rr	= 1015,
+    X86_Int_VCVTSI2SDZrm	= 1016,
+    X86_Int_VCVTSI2SDZrr	= 1017,
+    X86_Int_VCVTSI2SDrm	= 1018,
+    X86_Int_VCVTSI2SDrr	= 1019,
+    X86_Int_VCVTSI2SS64Zrm	= 1020,
+    X86_Int_VCVTSI2SS64Zrr	= 1021,
+    X86_Int_VCVTSI2SS64rm	= 1022,
+    X86_Int_VCVTSI2SS64rr	= 1023,
+    X86_Int_VCVTSI2SSZrm	= 1024,
+    X86_Int_VCVTSI2SSZrr	= 1025,
+    X86_Int_VCVTSI2SSrm	= 1026,
+    X86_Int_VCVTSI2SSrr	= 1027,
+    X86_Int_VCVTSS2SDrm	= 1028,
+    X86_Int_VCVTSS2SDrr	= 1029,
+    X86_Int_VCVTTSD2SI64Zrm	= 1030,
+    X86_Int_VCVTTSD2SI64Zrr	= 1031,
+    X86_Int_VCVTTSD2SI64rm	= 1032,
+    X86_Int_VCVTTSD2SI64rr	= 1033,
+    X86_Int_VCVTTSD2SIZrm	= 1034,
+    X86_Int_VCVTTSD2SIZrr	= 1035,
+    X86_Int_VCVTTSD2SIrm	= 1036,
+    X86_Int_VCVTTSD2SIrr	= 1037,
+    X86_Int_VCVTTSD2USI64Zrm	= 1038,
+    X86_Int_VCVTTSD2USI64Zrr	= 1039,
+    X86_Int_VCVTTSD2USIZrm	= 1040,
+    X86_Int_VCVTTSD2USIZrr	= 1041,
+    X86_Int_VCVTTSS2SI64Zrm	= 1042,
+    X86_Int_VCVTTSS2SI64Zrr	= 1043,
+    X86_Int_VCVTTSS2SI64rm	= 1044,
+    X86_Int_VCVTTSS2SI64rr	= 1045,
+    X86_Int_VCVTTSS2SIZrm	= 1046,
+    X86_Int_VCVTTSS2SIZrr	= 1047,
+    X86_Int_VCVTTSS2SIrm	= 1048,
+    X86_Int_VCVTTSS2SIrr	= 1049,
+    X86_Int_VCVTTSS2USI64Zrm	= 1050,
+    X86_Int_VCVTTSS2USI64Zrr	= 1051,
+    X86_Int_VCVTTSS2USIZrm	= 1052,
+    X86_Int_VCVTTSS2USIZrr	= 1053,
+    X86_Int_VCVTUSI2SD64Zrm	= 1054,
+    X86_Int_VCVTUSI2SD64Zrr	= 1055,
+    X86_Int_VCVTUSI2SDZrm	= 1056,
+    X86_Int_VCVTUSI2SDZrr	= 1057,
+    X86_Int_VCVTUSI2SS64Zrm	= 1058,
+    X86_Int_VCVTUSI2SS64Zrr	= 1059,
+    X86_Int_VCVTUSI2SSZrm	= 1060,
+    X86_Int_VCVTUSI2SSZrr	= 1061,
+    X86_Int_VUCOMISDZrm	= 1062,
+    X86_Int_VUCOMISDZrr	= 1063,
+    X86_Int_VUCOMISDrm	= 1064,
+    X86_Int_VUCOMISDrr	= 1065,
+    X86_Int_VUCOMISSZrm	= 1066,
+    X86_Int_VUCOMISSZrr	= 1067,
+    X86_Int_VUCOMISSrm	= 1068,
+    X86_Int_VUCOMISSrr	= 1069,
+    X86_JAE_1	= 1070,
+    X86_JAE_2	= 1071,
+    X86_JAE_4	= 1072,
+    X86_JA_1	= 1073,
+    X86_JA_2	= 1074,
+    X86_JA_4	= 1075,
+    X86_JBE_1	= 1076,
+    X86_JBE_2	= 1077,
+    X86_JBE_4	= 1078,
+    X86_JB_1	= 1079,
+    X86_JB_2	= 1080,
+    X86_JB_4	= 1081,
+    X86_JCXZ	= 1082,
+    X86_JECXZ_32	= 1083,
+    X86_JECXZ_64	= 1084,
+    X86_JE_1	= 1085,
+    X86_JE_2	= 1086,
+    X86_JE_4	= 1087,
+    X86_JGE_1	= 1088,
+    X86_JGE_2	= 1089,
+    X86_JGE_4	= 1090,
+    X86_JG_1	= 1091,
+    X86_JG_2	= 1092,
+    X86_JG_4	= 1093,
+    X86_JLE_1	= 1094,
+    X86_JLE_2	= 1095,
+    X86_JLE_4	= 1096,
+    X86_JL_1	= 1097,
+    X86_JL_2	= 1098,
+    X86_JL_4	= 1099,
+    X86_JMP16m	= 1100,
+    X86_JMP16r	= 1101,
+    X86_JMP32m	= 1102,
+    X86_JMP32r	= 1103,
+    X86_JMP64m	= 1104,
+    X86_JMP64r	= 1105,
+    X86_JMP_1	= 1106,
+    X86_JMP_2	= 1107,
+    X86_JMP_4	= 1108,
+    X86_JNE_1	= 1109,
+    X86_JNE_2	= 1110,
+    X86_JNE_4	= 1111,
+    X86_JNO_1	= 1112,
+    X86_JNO_2	= 1113,
+    X86_JNO_4	= 1114,
+    X86_JNP_1	= 1115,
+    X86_JNP_2	= 1116,
+    X86_JNP_4	= 1117,
+    X86_JNS_1	= 1118,
+    X86_JNS_2	= 1119,
+    X86_JNS_4	= 1120,
+    X86_JO_1	= 1121,
+    X86_JO_2	= 1122,
+    X86_JO_4	= 1123,
+    X86_JP_1	= 1124,
+    X86_JP_2	= 1125,
+    X86_JP_4	= 1126,
+    X86_JRCXZ	= 1127,
+    X86_JS_1	= 1128,
+    X86_JS_2	= 1129,
+    X86_JS_4	= 1130,
+    X86_KANDBrr	= 1131,
+    X86_KANDDrr	= 1132,
+    X86_KANDNBrr	= 1133,
+    X86_KANDNDrr	= 1134,
+    X86_KANDNQrr	= 1135,
+    X86_KANDNWrr	= 1136,
+    X86_KANDQrr	= 1137,
+    X86_KANDWrr	= 1138,
+    X86_KMOVBkk	= 1139,
+    X86_KMOVBkm	= 1140,
+    X86_KMOVBkr	= 1141,
+    X86_KMOVBmk	= 1142,
+    X86_KMOVBrk	= 1143,
+    X86_KMOVDkk	= 1144,
+    X86_KMOVDkm	= 1145,
+    X86_KMOVDkr	= 1146,
+    X86_KMOVDmk	= 1147,
+    X86_KMOVDrk	= 1148,
+    X86_KMOVQkk	= 1149,
+    X86_KMOVQkm	= 1150,
+    X86_KMOVQkr	= 1151,
+    X86_KMOVQmk	= 1152,
+    X86_KMOVQrk	= 1153,
+    X86_KMOVWkk	= 1154,
+    X86_KMOVWkm	= 1155,
+    X86_KMOVWkr	= 1156,
+    X86_KMOVWmk	= 1157,
+    X86_KMOVWrk	= 1158,
+    X86_KNOTBrr	= 1159,
+    X86_KNOTDrr	= 1160,
+    X86_KNOTQrr	= 1161,
+    X86_KNOTWrr	= 1162,
+    X86_KORBrr	= 1163,
+    X86_KORDrr	= 1164,
+    X86_KORQrr	= 1165,
+    X86_KORTESTWrr	= 1166,
+    X86_KORWrr	= 1167,
+    X86_KSET0B	= 1168,
+    X86_KSET0W	= 1169,
+    X86_KSET1B	= 1170,
+    X86_KSET1W	= 1171,
+    X86_KSHIFTLWri	= 1172,
+    X86_KSHIFTRWri	= 1173,
+    X86_KUNPCKBWrr	= 1174,
+    X86_KXNORBrr	= 1175,
+    X86_KXNORDrr	= 1176,
+    X86_KXNORQrr	= 1177,
+    X86_KXNORWrr	= 1178,
+    X86_KXORBrr	= 1179,
+    X86_KXORDrr	= 1180,
+    X86_KXORQrr	= 1181,
+    X86_KXORWrr	= 1182,
+    X86_LAHF	= 1183,
+    X86_LAR16rm	= 1184,
+    X86_LAR16rr	= 1185,
+    X86_LAR32rm	= 1186,
+    X86_LAR32rr	= 1187,
+    X86_LAR64rm	= 1188,
+    X86_LAR64rr	= 1189,
+    X86_LCMPXCHG16	= 1190,
+    X86_LCMPXCHG16B	= 1191,
+    X86_LCMPXCHG32	= 1192,
+    X86_LCMPXCHG64	= 1193,
+    X86_LCMPXCHG8	= 1194,
+    X86_LCMPXCHG8B	= 1195,
+    X86_LDDQUrm	= 1196,
+    X86_LDMXCSR	= 1197,
+    X86_LDS16rm	= 1198,
+    X86_LDS32rm	= 1199,
+    X86_LD_F0	= 1200,
+    X86_LD_F1	= 1201,
+    X86_LD_F32m	= 1202,
+    X86_LD_F64m	= 1203,
+    X86_LD_F80m	= 1204,
+    X86_LD_Fp032	= 1205,
+    X86_LD_Fp064	= 1206,
+    X86_LD_Fp080	= 1207,
+    X86_LD_Fp132	= 1208,
+    X86_LD_Fp164	= 1209,
+    X86_LD_Fp180	= 1210,
+    X86_LD_Fp32m	= 1211,
+    X86_LD_Fp32m64	= 1212,
+    X86_LD_Fp32m80	= 1213,
+    X86_LD_Fp64m	= 1214,
+    X86_LD_Fp64m80	= 1215,
+    X86_LD_Fp80m	= 1216,
+    X86_LD_Frr	= 1217,
+    X86_LEA16r	= 1218,
+    X86_LEA32r	= 1219,
+    X86_LEA64_32r	= 1220,
+    X86_LEA64r	= 1221,
+    X86_LEAVE	= 1222,
+    X86_LEAVE64	= 1223,
+    X86_LES16rm	= 1224,
+    X86_LES32rm	= 1225,
+    X86_LFENCE	= 1226,
+    X86_LFS16rm	= 1227,
+    X86_LFS32rm	= 1228,
+    X86_LFS64rm	= 1229,
+    X86_LGDT16m	= 1230,
+    X86_LGDT32m	= 1231,
+    X86_LGDT64m	= 1232,
+    X86_LGS16rm	= 1233,
+    X86_LGS32rm	= 1234,
+    X86_LGS64rm	= 1235,
+    X86_LIDT16m	= 1236,
+    X86_LIDT32m	= 1237,
+    X86_LIDT64m	= 1238,
+    X86_LLDT16m	= 1239,
+    X86_LLDT16r	= 1240,
+    X86_LMSW16m	= 1241,
+    X86_LMSW16r	= 1242,
+    X86_LOCK_ADD16mi	= 1243,
+    X86_LOCK_ADD16mi8	= 1244,
+    X86_LOCK_ADD16mr	= 1245,
+    X86_LOCK_ADD32mi	= 1246,
+    X86_LOCK_ADD32mi8	= 1247,
+    X86_LOCK_ADD32mr	= 1248,
+    X86_LOCK_ADD64mi32	= 1249,
+    X86_LOCK_ADD64mi8	= 1250,
+    X86_LOCK_ADD64mr	= 1251,
+    X86_LOCK_ADD8mi	= 1252,
+    X86_LOCK_ADD8mr	= 1253,
+    X86_LOCK_AND16mi	= 1254,
+    X86_LOCK_AND16mi8	= 1255,
+    X86_LOCK_AND16mr	= 1256,
+    X86_LOCK_AND32mi	= 1257,
+    X86_LOCK_AND32mi8	= 1258,
+    X86_LOCK_AND32mr	= 1259,
+    X86_LOCK_AND64mi32	= 1260,
+    X86_LOCK_AND64mi8	= 1261,
+    X86_LOCK_AND64mr	= 1262,
+    X86_LOCK_AND8mi	= 1263,
+    X86_LOCK_AND8mr	= 1264,
+    X86_LOCK_DEC16m	= 1265,
+    X86_LOCK_DEC32m	= 1266,
+    X86_LOCK_DEC64m	= 1267,
+    X86_LOCK_DEC8m	= 1268,
+    X86_LOCK_INC16m	= 1269,
+    X86_LOCK_INC32m	= 1270,
+    X86_LOCK_INC64m	= 1271,
+    X86_LOCK_INC8m	= 1272,
+    X86_LOCK_OR16mi	= 1273,
+    X86_LOCK_OR16mi8	= 1274,
+    X86_LOCK_OR16mr	= 1275,
+    X86_LOCK_OR32mi	= 1276,
+    X86_LOCK_OR32mi8	= 1277,
+    X86_LOCK_OR32mr	= 1278,
+    X86_LOCK_OR64mi32	= 1279,
+    X86_LOCK_OR64mi8	= 1280,
+    X86_LOCK_OR64mr	= 1281,
+    X86_LOCK_OR8mi	= 1282,
+    X86_LOCK_OR8mr	= 1283,
+    X86_LOCK_PREFIX	= 1284,
+    X86_LOCK_SUB16mi	= 1285,
+    X86_LOCK_SUB16mi8	= 1286,
+    X86_LOCK_SUB16mr	= 1287,
+    X86_LOCK_SUB32mi	= 1288,
+    X86_LOCK_SUB32mi8	= 1289,
+    X86_LOCK_SUB32mr	= 1290,
+    X86_LOCK_SUB64mi32	= 1291,
+    X86_LOCK_SUB64mi8	= 1292,
+    X86_LOCK_SUB64mr	= 1293,
+    X86_LOCK_SUB8mi	= 1294,
+    X86_LOCK_SUB8mr	= 1295,
+    X86_LOCK_XOR16mi	= 1296,
+    X86_LOCK_XOR16mi8	= 1297,
+    X86_LOCK_XOR16mr	= 1298,
+    X86_LOCK_XOR32mi	= 1299,
+    X86_LOCK_XOR32mi8	= 1300,
+    X86_LOCK_XOR32mr	= 1301,
+    X86_LOCK_XOR64mi32	= 1302,
+    X86_LOCK_XOR64mi8	= 1303,
+    X86_LOCK_XOR64mr	= 1304,
+    X86_LOCK_XOR8mi	= 1305,
+    X86_LOCK_XOR8mr	= 1306,
+    X86_LODSB	= 1307,
+    X86_LODSL	= 1308,
+    X86_LODSQ	= 1309,
+    X86_LODSW	= 1310,
+    X86_LOOP	= 1311,
+    X86_LOOPE	= 1312,
+    X86_LOOPNE	= 1313,
+    X86_LRETIL	= 1314,
+    X86_LRETIQ	= 1315,
+    X86_LRETIW	= 1316,
+    X86_LRETL	= 1317,
+    X86_LRETQ	= 1318,
+    X86_LRETW	= 1319,
+    X86_LSL16rm	= 1320,
+    X86_LSL16rr	= 1321,
+    X86_LSL32rm	= 1322,
+    X86_LSL32rr	= 1323,
+    X86_LSL64rm	= 1324,
+    X86_LSL64rr	= 1325,
+    X86_LSS16rm	= 1326,
+    X86_LSS32rm	= 1327,
+    X86_LSS64rm	= 1328,
+    X86_LTRm	= 1329,
+    X86_LTRr	= 1330,
+    X86_LXADD16	= 1331,
+    X86_LXADD32	= 1332,
+    X86_LXADD64	= 1333,
+    X86_LXADD8	= 1334,
+    X86_LZCNT16rm	= 1335,
+    X86_LZCNT16rr	= 1336,
+    X86_LZCNT32rm	= 1337,
+    X86_LZCNT32rr	= 1338,
+    X86_LZCNT64rm	= 1339,
+    X86_LZCNT64rr	= 1340,
+    X86_MASKMOVDQU	= 1341,
+    X86_MASKMOVDQU64	= 1342,
+    X86_MAXCPDrm	= 1343,
+    X86_MAXCPDrr	= 1344,
+    X86_MAXCPSrm	= 1345,
+    X86_MAXCPSrr	= 1346,
+    X86_MAXCSDrm	= 1347,
+    X86_MAXCSDrr	= 1348,
+    X86_MAXCSSrm	= 1349,
+    X86_MAXCSSrr	= 1350,
+    X86_MAXPDrm	= 1351,
+    X86_MAXPDrr	= 1352,
+    X86_MAXPSrm	= 1353,
+    X86_MAXPSrr	= 1354,
+    X86_MAXSDrm	= 1355,
+    X86_MAXSDrm_Int	= 1356,
+    X86_MAXSDrr	= 1357,
+    X86_MAXSDrr_Int	= 1358,
+    X86_MAXSSrm	= 1359,
+    X86_MAXSSrm_Int	= 1360,
+    X86_MAXSSrr	= 1361,
+    X86_MAXSSrr_Int	= 1362,
+    X86_MFENCE	= 1363,
+    X86_MINCPDrm	= 1364,
+    X86_MINCPDrr	= 1365,
+    X86_MINCPSrm	= 1366,
+    X86_MINCPSrr	= 1367,
+    X86_MINCSDrm	= 1368,
+    X86_MINCSDrr	= 1369,
+    X86_MINCSSrm	= 1370,
+    X86_MINCSSrr	= 1371,
+    X86_MINPDrm	= 1372,
+    X86_MINPDrr	= 1373,
+    X86_MINPSrm	= 1374,
+    X86_MINPSrr	= 1375,
+    X86_MINSDrm	= 1376,
+    X86_MINSDrm_Int	= 1377,
+    X86_MINSDrr	= 1378,
+    X86_MINSDrr_Int	= 1379,
+    X86_MINSSrm	= 1380,
+    X86_MINSSrm_Int	= 1381,
+    X86_MINSSrr	= 1382,
+    X86_MINSSrr_Int	= 1383,
+    X86_MMX_CVTPD2PIirm	= 1384,
+    X86_MMX_CVTPD2PIirr	= 1385,
+    X86_MMX_CVTPI2PDirm	= 1386,
+    X86_MMX_CVTPI2PDirr	= 1387,
+    X86_MMX_CVTPI2PSirm	= 1388,
+    X86_MMX_CVTPI2PSirr	= 1389,
+    X86_MMX_CVTPS2PIirm	= 1390,
+    X86_MMX_CVTPS2PIirr	= 1391,
+    X86_MMX_CVTTPD2PIirm	= 1392,
+    X86_MMX_CVTTPD2PIirr	= 1393,
+    X86_MMX_CVTTPS2PIirm	= 1394,
+    X86_MMX_CVTTPS2PIirr	= 1395,
+    X86_MMX_EMMS	= 1396,
+    X86_MMX_MASKMOVQ	= 1397,
+    X86_MMX_MASKMOVQ64	= 1398,
+    X86_MMX_MOVD64from64rr	= 1399,
+    X86_MMX_MOVD64grr	= 1400,
+    X86_MMX_MOVD64mr	= 1401,
+    X86_MMX_MOVD64rm	= 1402,
+    X86_MMX_MOVD64rr	= 1403,
+    X86_MMX_MOVD64to64rr	= 1404,
+    X86_MMX_MOVDQ2Qrr	= 1405,
+    X86_MMX_MOVFR642Qrr	= 1406,
+    X86_MMX_MOVNTQmr	= 1407,
+    X86_MMX_MOVQ2DQrr	= 1408,
+    X86_MMX_MOVQ2FR64rr	= 1409,
+    X86_MMX_MOVQ64mr	= 1410,
+    X86_MMX_MOVQ64rm	= 1411,
+    X86_MMX_MOVQ64rr	= 1412,
+    X86_MMX_MOVQ64rr_REV	= 1413,
+    X86_MMX_PABSBrm64	= 1414,
+    X86_MMX_PABSBrr64	= 1415,
+    X86_MMX_PABSDrm64	= 1416,
+    X86_MMX_PABSDrr64	= 1417,
+    X86_MMX_PABSWrm64	= 1418,
+    X86_MMX_PABSWrr64	= 1419,
+    X86_MMX_PACKSSDWirm	= 1420,
+    X86_MMX_PACKSSDWirr	= 1421,
+    X86_MMX_PACKSSWBirm	= 1422,
+    X86_MMX_PACKSSWBirr	= 1423,
+    X86_MMX_PACKUSWBirm	= 1424,
+    X86_MMX_PACKUSWBirr	= 1425,
+    X86_MMX_PADDBirm	= 1426,
+    X86_MMX_PADDBirr	= 1427,
+    X86_MMX_PADDDirm	= 1428,
+    X86_MMX_PADDDirr	= 1429,
+    X86_MMX_PADDQirm	= 1430,
+    X86_MMX_PADDQirr	= 1431,
+    X86_MMX_PADDSBirm	= 1432,
+    X86_MMX_PADDSBirr	= 1433,
+    X86_MMX_PADDSWirm	= 1434,
+    X86_MMX_PADDSWirr	= 1435,
+    X86_MMX_PADDUSBirm	= 1436,
+    X86_MMX_PADDUSBirr	= 1437,
+    X86_MMX_PADDUSWirm	= 1438,
+    X86_MMX_PADDUSWirr	= 1439,
+    X86_MMX_PADDWirm	= 1440,
+    X86_MMX_PADDWirr	= 1441,
+    X86_MMX_PALIGNR64irm	= 1442,
+    X86_MMX_PALIGNR64irr	= 1443,
+    X86_MMX_PANDNirm	= 1444,
+    X86_MMX_PANDNirr	= 1445,
+    X86_MMX_PANDirm	= 1446,
+    X86_MMX_PANDirr	= 1447,
+    X86_MMX_PAVGBirm	= 1448,
+    X86_MMX_PAVGBirr	= 1449,
+    X86_MMX_PAVGWirm	= 1450,
+    X86_MMX_PAVGWirr	= 1451,
+    X86_MMX_PCMPEQBirm	= 1452,
+    X86_MMX_PCMPEQBirr	= 1453,
+    X86_MMX_PCMPEQDirm	= 1454,
+    X86_MMX_PCMPEQDirr	= 1455,
+    X86_MMX_PCMPEQWirm	= 1456,
+    X86_MMX_PCMPEQWirr	= 1457,
+    X86_MMX_PCMPGTBirm	= 1458,
+    X86_MMX_PCMPGTBirr	= 1459,
+    X86_MMX_PCMPGTDirm	= 1460,
+    X86_MMX_PCMPGTDirr	= 1461,
+    X86_MMX_PCMPGTWirm	= 1462,
+    X86_MMX_PCMPGTWirr	= 1463,
+    X86_MMX_PEXTRWirri	= 1464,
+    X86_MMX_PHADDSWrm64	= 1465,
+    X86_MMX_PHADDSWrr64	= 1466,
+    X86_MMX_PHADDWrm64	= 1467,
+    X86_MMX_PHADDWrr64	= 1468,
+    X86_MMX_PHADDrm64	= 1469,
+    X86_MMX_PHADDrr64	= 1470,
+    X86_MMX_PHSUBDrm64	= 1471,
+    X86_MMX_PHSUBDrr64	= 1472,
+    X86_MMX_PHSUBSWrm64	= 1473,
+    X86_MMX_PHSUBSWrr64	= 1474,
+    X86_MMX_PHSUBWrm64	= 1475,
+    X86_MMX_PHSUBWrr64	= 1476,
+    X86_MMX_PINSRWirmi	= 1477,
+    X86_MMX_PINSRWirri	= 1478,
+    X86_MMX_PMADDUBSWrm64	= 1479,
+    X86_MMX_PMADDUBSWrr64	= 1480,
+    X86_MMX_PMADDWDirm	= 1481,
+    X86_MMX_PMADDWDirr	= 1482,
+    X86_MMX_PMAXSWirm	= 1483,
+    X86_MMX_PMAXSWirr	= 1484,
+    X86_MMX_PMAXUBirm	= 1485,
+    X86_MMX_PMAXUBirr	= 1486,
+    X86_MMX_PMINSWirm	= 1487,
+    X86_MMX_PMINSWirr	= 1488,
+    X86_MMX_PMINUBirm	= 1489,
+    X86_MMX_PMINUBirr	= 1490,
+    X86_MMX_PMOVMSKBrr	= 1491,
+    X86_MMX_PMULHRSWrm64	= 1492,
+    X86_MMX_PMULHRSWrr64	= 1493,
+    X86_MMX_PMULHUWirm	= 1494,
+    X86_MMX_PMULHUWirr	= 1495,
+    X86_MMX_PMULHWirm	= 1496,
+    X86_MMX_PMULHWirr	= 1497,
+    X86_MMX_PMULLWirm	= 1498,
+    X86_MMX_PMULLWirr	= 1499,
+    X86_MMX_PMULUDQirm	= 1500,
+    X86_MMX_PMULUDQirr	= 1501,
+    X86_MMX_PORirm	= 1502,
+    X86_MMX_PORirr	= 1503,
+    X86_MMX_PSADBWirm	= 1504,
+    X86_MMX_PSADBWirr	= 1505,
+    X86_MMX_PSHUFBrm64	= 1506,
+    X86_MMX_PSHUFBrr64	= 1507,
+    X86_MMX_PSHUFWmi	= 1508,
+    X86_MMX_PSHUFWri	= 1509,
+    X86_MMX_PSIGNBrm64	= 1510,
+    X86_MMX_PSIGNBrr64	= 1511,
+    X86_MMX_PSIGNDrm64	= 1512,
+    X86_MMX_PSIGNDrr64	= 1513,
+    X86_MMX_PSIGNWrm64	= 1514,
+    X86_MMX_PSIGNWrr64	= 1515,
+    X86_MMX_PSLLDri	= 1516,
+    X86_MMX_PSLLDrm	= 1517,
+    X86_MMX_PSLLDrr	= 1518,
+    X86_MMX_PSLLQri	= 1519,
+    X86_MMX_PSLLQrm	= 1520,
+    X86_MMX_PSLLQrr	= 1521,
+    X86_MMX_PSLLWri	= 1522,
+    X86_MMX_PSLLWrm	= 1523,
+    X86_MMX_PSLLWrr	= 1524,
+    X86_MMX_PSRADri	= 1525,
+    X86_MMX_PSRADrm	= 1526,
+    X86_MMX_PSRADrr	= 1527,
+    X86_MMX_PSRAWri	= 1528,
+    X86_MMX_PSRAWrm	= 1529,
+    X86_MMX_PSRAWrr	= 1530,
+    X86_MMX_PSRLDri	= 1531,
+    X86_MMX_PSRLDrm	= 1532,
+    X86_MMX_PSRLDrr	= 1533,
+    X86_MMX_PSRLQri	= 1534,
+    X86_MMX_PSRLQrm	= 1535,
+    X86_MMX_PSRLQrr	= 1536,
+    X86_MMX_PSRLWri	= 1537,
+    X86_MMX_PSRLWrm	= 1538,
+    X86_MMX_PSRLWrr	= 1539,
+    X86_MMX_PSUBBirm	= 1540,
+    X86_MMX_PSUBBirr	= 1541,
+    X86_MMX_PSUBDirm	= 1542,
+    X86_MMX_PSUBDirr	= 1543,
+    X86_MMX_PSUBQirm	= 1544,
+    X86_MMX_PSUBQirr	= 1545,
+    X86_MMX_PSUBSBirm	= 1546,
+    X86_MMX_PSUBSBirr	= 1547,
+    X86_MMX_PSUBSWirm	= 1548,
+    X86_MMX_PSUBSWirr	= 1549,
+    X86_MMX_PSUBUSBirm	= 1550,
+    X86_MMX_PSUBUSBirr	= 1551,
+    X86_MMX_PSUBUSWirm	= 1552,
+    X86_MMX_PSUBUSWirr	= 1553,
+    X86_MMX_PSUBWirm	= 1554,
+    X86_MMX_PSUBWirr	= 1555,
+    X86_MMX_PUNPCKHBWirm	= 1556,
+    X86_MMX_PUNPCKHBWirr	= 1557,
+    X86_MMX_PUNPCKHDQirm	= 1558,
+    X86_MMX_PUNPCKHDQirr	= 1559,
+    X86_MMX_PUNPCKHWDirm	= 1560,
+    X86_MMX_PUNPCKHWDirr	= 1561,
+    X86_MMX_PUNPCKLBWirm	= 1562,
+    X86_MMX_PUNPCKLBWirr	= 1563,
+    X86_MMX_PUNPCKLDQirm	= 1564,
+    X86_MMX_PUNPCKLDQirr	= 1565,
+    X86_MMX_PUNPCKLWDirm	= 1566,
+    X86_MMX_PUNPCKLWDirr	= 1567,
+    X86_MMX_PXORirm	= 1568,
+    X86_MMX_PXORirr	= 1569,
+    X86_MONITOR	= 1570,
+    X86_MONITORrrr	= 1571,
+    X86_MONTMUL	= 1572,
+    X86_MORESTACK_RET	= 1573,
+    X86_MORESTACK_RET_RESTORE_R10	= 1574,
+    X86_MOV16ao16	= 1575,
+    X86_MOV16ao16_16	= 1576,
+    X86_MOV16mi	= 1577,
+    X86_MOV16mr	= 1578,
+    X86_MOV16ms	= 1579,
+    X86_MOV16o16a	= 1580,
+    X86_MOV16o16a_16	= 1581,
+    X86_MOV16ri	= 1582,
+    X86_MOV16ri_alt	= 1583,
+    X86_MOV16rm	= 1584,
+    X86_MOV16rr	= 1585,
+    X86_MOV16rr_REV	= 1586,
+    X86_MOV16rs	= 1587,
+    X86_MOV16sm	= 1588,
+    X86_MOV16sr	= 1589,
+    X86_MOV32ao32	= 1590,
+    X86_MOV32ao32_16	= 1591,
+    X86_MOV32cr	= 1592,
+    X86_MOV32dr	= 1593,
+    X86_MOV32mi	= 1594,
+    X86_MOV32mr	= 1595,
+    X86_MOV32ms	= 1596,
+    X86_MOV32o32a	= 1597,
+    X86_MOV32o32a_16	= 1598,
+    X86_MOV32r0	= 1599,
+    X86_MOV32rc	= 1600,
+    X86_MOV32rd	= 1601,
+    X86_MOV32ri	= 1602,
+    X86_MOV32ri64	= 1603,
+    X86_MOV32ri_alt	= 1604,
+    X86_MOV32rm	= 1605,
+    X86_MOV32rr	= 1606,
+    X86_MOV32rr_REV	= 1607,
+    X86_MOV32rs	= 1608,
+    X86_MOV32sm	= 1609,
+    X86_MOV32sr	= 1610,
+    X86_MOV64ao16	= 1611,
+    X86_MOV64ao32	= 1612,
+    X86_MOV64ao64	= 1613,
+    X86_MOV64ao8	= 1614,
+    X86_MOV64cr	= 1615,
+    X86_MOV64dr	= 1616,
+    X86_MOV64mi32	= 1617,
+    X86_MOV64mr	= 1618,
+    X86_MOV64ms	= 1619,
+    X86_MOV64o16a	= 1620,
+    X86_MOV64o32a	= 1621,
+    X86_MOV64o64a	= 1622,
+    X86_MOV64o8a	= 1623,
+    X86_MOV64rc	= 1624,
+    X86_MOV64rd	= 1625,
+    X86_MOV64ri	= 1626,
+    X86_MOV64ri32	= 1627,
+    X86_MOV64rm	= 1628,
+    X86_MOV64rr	= 1629,
+    X86_MOV64rr_REV	= 1630,
+    X86_MOV64rs	= 1631,
+    X86_MOV64sm	= 1632,
+    X86_MOV64sr	= 1633,
+    X86_MOV64toPQIrr	= 1634,
+    X86_MOV64toSDrm	= 1635,
+    X86_MOV64toSDrr	= 1636,
+    X86_MOV8ao8	= 1637,
+    X86_MOV8ao8_16	= 1638,
+    X86_MOV8mi	= 1639,
+    X86_MOV8mr	= 1640,
+    X86_MOV8mr_NOREX	= 1641,
+    X86_MOV8o8a	= 1642,
+    X86_MOV8o8a_16	= 1643,
+    X86_MOV8ri	= 1644,
+    X86_MOV8ri_alt	= 1645,
+    X86_MOV8rm	= 1646,
+    X86_MOV8rm_NOREX	= 1647,
+    X86_MOV8rr	= 1648,
+    X86_MOV8rr_NOREX	= 1649,
+    X86_MOV8rr_REV	= 1650,
+    X86_MOVAPDmr	= 1651,
+    X86_MOVAPDrm	= 1652,
+    X86_MOVAPDrr	= 1653,
+    X86_MOVAPDrr_REV	= 1654,
+    X86_MOVAPSmr	= 1655,
+    X86_MOVAPSrm	= 1656,
+    X86_MOVAPSrr	= 1657,
+    X86_MOVAPSrr_REV	= 1658,
+    X86_MOVBE16mr	= 1659,
+    X86_MOVBE16rm	= 1660,
+    X86_MOVBE32mr	= 1661,
+    X86_MOVBE32rm	= 1662,
+    X86_MOVBE64mr	= 1663,
+    X86_MOVBE64rm	= 1664,
+    X86_MOVDDUPrm	= 1665,
+    X86_MOVDDUPrr	= 1666,
+    X86_MOVDI2PDIrm	= 1667,
+    X86_MOVDI2PDIrr	= 1668,
+    X86_MOVDI2SSrm	= 1669,
+    X86_MOVDI2SSrr	= 1670,
+    X86_MOVDQAmr	= 1671,
+    X86_MOVDQArm	= 1672,
+    X86_MOVDQArr	= 1673,
+    X86_MOVDQArr_REV	= 1674,
+    X86_MOVDQUmr	= 1675,
+    X86_MOVDQUrm	= 1676,
+    X86_MOVDQUrr	= 1677,
+    X86_MOVDQUrr_REV	= 1678,
+    X86_MOVHLPSrr	= 1679,
+    X86_MOVHPDmr	= 1680,
+    X86_MOVHPDrm	= 1681,
+    X86_MOVHPSmr	= 1682,
+    X86_MOVHPSrm	= 1683,
+    X86_MOVLHPSrr	= 1684,
+    X86_MOVLPDmr	= 1685,
+    X86_MOVLPDrm	= 1686,
+    X86_MOVLPSmr	= 1687,
+    X86_MOVLPSrm	= 1688,
+    X86_MOVMSKPDrr	= 1689,
+    X86_MOVMSKPSrr	= 1690,
+    X86_MOVNTDQArm	= 1691,
+    X86_MOVNTDQmr	= 1692,
+    X86_MOVNTI_64mr	= 1693,
+    X86_MOVNTImr	= 1694,
+    X86_MOVNTPDmr	= 1695,
+    X86_MOVNTPSmr	= 1696,
+    X86_MOVNTSD	= 1697,
+    X86_MOVNTSS	= 1698,
+    X86_MOVPC32r	= 1699,
+    X86_MOVPDI2DImr	= 1700,
+    X86_MOVPDI2DIrr	= 1701,
+    X86_MOVPQI2QImr	= 1702,
+    X86_MOVPQI2QIrr	= 1703,
+    X86_MOVPQIto64rr	= 1704,
+    X86_MOVQI2PQIrm	= 1705,
+    X86_MOVSB	= 1706,
+    X86_MOVSDmr	= 1707,
+    X86_MOVSDrm	= 1708,
+    X86_MOVSDrr	= 1709,
+    X86_MOVSDrr_REV	= 1710,
+    X86_MOVSDto64mr	= 1711,
+    X86_MOVSDto64rr	= 1712,
+    X86_MOVSHDUPrm	= 1713,
+    X86_MOVSHDUPrr	= 1714,
+    X86_MOVSL	= 1715,
+    X86_MOVSLDUPrm	= 1716,
+    X86_MOVSLDUPrr	= 1717,
+    X86_MOVSQ	= 1718,
+    X86_MOVSS2DImr	= 1719,
+    X86_MOVSS2DIrr	= 1720,
+    X86_MOVSSmr	= 1721,
+    X86_MOVSSrm	= 1722,
+    X86_MOVSSrr	= 1723,
+    X86_MOVSSrr_REV	= 1724,
+    X86_MOVSW	= 1725,
+    X86_MOVSX16rm8	= 1726,
+    X86_MOVSX16rr8	= 1727,
+    X86_MOVSX32rm16	= 1728,
+    X86_MOVSX32rm8	= 1729,
+    X86_MOVSX32rr16	= 1730,
+    X86_MOVSX32rr8	= 1731,
+    X86_MOVSX64rm16	= 1732,
+    X86_MOVSX64rm32	= 1733,
+    X86_MOVSX64rm8	= 1734,
+    X86_MOVSX64rr16	= 1735,
+    X86_MOVSX64rr32	= 1736,
+    X86_MOVSX64rr8	= 1737,
+    X86_MOVUPDmr	= 1738,
+    X86_MOVUPDrm	= 1739,
+    X86_MOVUPDrr	= 1740,
+    X86_MOVUPDrr_REV	= 1741,
+    X86_MOVUPSmr	= 1742,
+    X86_MOVUPSrm	= 1743,
+    X86_MOVUPSrr	= 1744,
+    X86_MOVUPSrr_REV	= 1745,
+    X86_MOVZPQILo2PQIrm	= 1746,
+    X86_MOVZPQILo2PQIrr	= 1747,
+    X86_MOVZQI2PQIrm	= 1748,
+    X86_MOVZQI2PQIrr	= 1749,
+    X86_MOVZX16rm8	= 1750,
+    X86_MOVZX16rr8	= 1751,
+    X86_MOVZX32_NOREXrm8	= 1752,
+    X86_MOVZX32_NOREXrr8	= 1753,
+    X86_MOVZX32rm16	= 1754,
+    X86_MOVZX32rm8	= 1755,
+    X86_MOVZX32rr16	= 1756,
+    X86_MOVZX32rr8	= 1757,
+    X86_MOVZX64rm16_Q	= 1758,
+    X86_MOVZX64rm8_Q	= 1759,
+    X86_MOVZX64rr16_Q	= 1760,
+    X86_MOVZX64rr8_Q	= 1761,
+    X86_MPSADBWrmi	= 1762,
+    X86_MPSADBWrri	= 1763,
+    X86_MUL16m	= 1764,
+    X86_MUL16r	= 1765,
+    X86_MUL32m	= 1766,
+    X86_MUL32r	= 1767,
+    X86_MUL64m	= 1768,
+    X86_MUL64r	= 1769,
+    X86_MUL8m	= 1770,
+    X86_MUL8r	= 1771,
+    X86_MULPDrm	= 1772,
+    X86_MULPDrr	= 1773,
+    X86_MULPSrm	= 1774,
+    X86_MULPSrr	= 1775,
+    X86_MULSDrm	= 1776,
+    X86_MULSDrm_Int	= 1777,
+    X86_MULSDrr	= 1778,
+    X86_MULSDrr_Int	= 1779,
+    X86_MULSSrm	= 1780,
+    X86_MULSSrm_Int	= 1781,
+    X86_MULSSrr	= 1782,
+    X86_MULSSrr_Int	= 1783,
+    X86_MULX32rm	= 1784,
+    X86_MULX32rr	= 1785,
+    X86_MULX64rm	= 1786,
+    X86_MULX64rr	= 1787,
+    X86_MUL_F32m	= 1788,
+    X86_MUL_F64m	= 1789,
+    X86_MUL_FI16m	= 1790,
+    X86_MUL_FI32m	= 1791,
+    X86_MUL_FPrST0	= 1792,
+    X86_MUL_FST0r	= 1793,
+    X86_MUL_Fp32	= 1794,
+    X86_MUL_Fp32m	= 1795,
+    X86_MUL_Fp64	= 1796,
+    X86_MUL_Fp64m	= 1797,
+    X86_MUL_Fp64m32	= 1798,
+    X86_MUL_Fp80	= 1799,
+    X86_MUL_Fp80m32	= 1800,
+    X86_MUL_Fp80m64	= 1801,
+    X86_MUL_FpI16m32	= 1802,
+    X86_MUL_FpI16m64	= 1803,
+    X86_MUL_FpI16m80	= 1804,
+    X86_MUL_FpI32m32	= 1805,
+    X86_MUL_FpI32m64	= 1806,
+    X86_MUL_FpI32m80	= 1807,
+    X86_MUL_FrST0	= 1808,
+    X86_MWAITrr	= 1809,
+    X86_NEG16m	= 1810,
+    X86_NEG16r	= 1811,
+    X86_NEG32m	= 1812,
+    X86_NEG32r	= 1813,
+    X86_NEG64m	= 1814,
+    X86_NEG64r	= 1815,
+    X86_NEG8m	= 1816,
+    X86_NEG8r	= 1817,
+    X86_NOOP	= 1818,
+    X86_NOOP18_16m4	= 1819,
+    X86_NOOP18_16m5	= 1820,
+    X86_NOOP18_16m6	= 1821,
+    X86_NOOP18_16m7	= 1822,
+    X86_NOOP18_16r4	= 1823,
+    X86_NOOP18_16r5	= 1824,
+    X86_NOOP18_16r6	= 1825,
+    X86_NOOP18_16r7	= 1826,
+    X86_NOOP18_m4	= 1827,
+    X86_NOOP18_m5	= 1828,
+    X86_NOOP18_m6	= 1829,
+    X86_NOOP18_m7	= 1830,
+    X86_NOOP18_r4	= 1831,
+    X86_NOOP18_r5	= 1832,
+    X86_NOOP18_r6	= 1833,
+    X86_NOOP18_r7	= 1834,
+    X86_NOOPL	= 1835,
+    X86_NOOPL_19	= 1836,
+    X86_NOOPL_1a	= 1837,
+    X86_NOOPL_1b	= 1838,
+    X86_NOOPL_1c	= 1839,
+    X86_NOOPL_1d	= 1840,
+    X86_NOOPL_1e	= 1841,
+    X86_NOOPW	= 1842,
+    X86_NOOPW_19	= 1843,
+    X86_NOOPW_1a	= 1844,
+    X86_NOOPW_1b	= 1845,
+    X86_NOOPW_1c	= 1846,
+    X86_NOOPW_1d	= 1847,
+    X86_NOOPW_1e	= 1848,
+    X86_NOT16m	= 1849,
+    X86_NOT16r	= 1850,
+    X86_NOT32m	= 1851,
+    X86_NOT32r	= 1852,
+    X86_NOT64m	= 1853,
+    X86_NOT64r	= 1854,
+    X86_NOT8m	= 1855,
+    X86_NOT8r	= 1856,
+    X86_OR16i16	= 1857,
+    X86_OR16mi	= 1858,
+    X86_OR16mi8	= 1859,
+    X86_OR16mr	= 1860,
+    X86_OR16ri	= 1861,
+    X86_OR16ri8	= 1862,
+    X86_OR16rm	= 1863,
+    X86_OR16rr	= 1864,
+    X86_OR16rr_REV	= 1865,
+    X86_OR32i32	= 1866,
+    X86_OR32mi	= 1867,
+    X86_OR32mi8	= 1868,
+    X86_OR32mr	= 1869,
+    X86_OR32mrLocked	= 1870,
+    X86_OR32ri	= 1871,
+    X86_OR32ri8	= 1872,
+    X86_OR32rm	= 1873,
+    X86_OR32rr	= 1874,
+    X86_OR32rr_REV	= 1875,
+    X86_OR64i32	= 1876,
+    X86_OR64mi32	= 1877,
+    X86_OR64mi8	= 1878,
+    X86_OR64mr	= 1879,
+    X86_OR64ri32	= 1880,
+    X86_OR64ri8	= 1881,
+    X86_OR64rm	= 1882,
+    X86_OR64rr	= 1883,
+    X86_OR64rr_REV	= 1884,
+    X86_OR8i8	= 1885,
+    X86_OR8mi	= 1886,
+    X86_OR8mr	= 1887,
+    X86_OR8ri	= 1888,
+    X86_OR8ri8	= 1889,
+    X86_OR8rm	= 1890,
+    X86_OR8rr	= 1891,
+    X86_OR8rr_REV	= 1892,
+    X86_ORPDrm	= 1893,
+    X86_ORPDrr	= 1894,
+    X86_ORPSrm	= 1895,
+    X86_ORPSrr	= 1896,
+    X86_OUT16ir	= 1897,
+    X86_OUT16rr	= 1898,
+    X86_OUT32ir	= 1899,
+    X86_OUT32rr	= 1900,
+    X86_OUT8ir	= 1901,
+    X86_OUT8rr	= 1902,
+    X86_OUTSB	= 1903,
+    X86_OUTSL	= 1904,
+    X86_OUTSW	= 1905,
+    X86_PABSBrm128	= 1906,
+    X86_PABSBrr128	= 1907,
+    X86_PABSDrm128	= 1908,
+    X86_PABSDrr128	= 1909,
+    X86_PABSWrm128	= 1910,
+    X86_PABSWrr128	= 1911,
+    X86_PACKSSDWrm	= 1912,
+    X86_PACKSSDWrr	= 1913,
+    X86_PACKSSWBrm	= 1914,
+    X86_PACKSSWBrr	= 1915,
+    X86_PACKUSDWrm	= 1916,
+    X86_PACKUSDWrr	= 1917,
+    X86_PACKUSWBrm	= 1918,
+    X86_PACKUSWBrr	= 1919,
+    X86_PADDBrm	= 1920,
+    X86_PADDBrr	= 1921,
+    X86_PADDDrm	= 1922,
+    X86_PADDDrr	= 1923,
+    X86_PADDQrm	= 1924,
+    X86_PADDQrr	= 1925,
+    X86_PADDSBrm	= 1926,
+    X86_PADDSBrr	= 1927,
+    X86_PADDSWrm	= 1928,
+    X86_PADDSWrr	= 1929,
+    X86_PADDUSBrm	= 1930,
+    X86_PADDUSBrr	= 1931,
+    X86_PADDUSWrm	= 1932,
+    X86_PADDUSWrr	= 1933,
+    X86_PADDWrm	= 1934,
+    X86_PADDWrr	= 1935,
+    X86_PALIGNR128rm	= 1936,
+    X86_PALIGNR128rr	= 1937,
+    X86_PANDNrm	= 1938,
+    X86_PANDNrr	= 1939,
+    X86_PANDrm	= 1940,
+    X86_PANDrr	= 1941,
+    X86_PAUSE	= 1942,
+    X86_PAVGBrm	= 1943,
+    X86_PAVGBrr	= 1944,
+    X86_PAVGUSBrm	= 1945,
+    X86_PAVGUSBrr	= 1946,
+    X86_PAVGWrm	= 1947,
+    X86_PAVGWrr	= 1948,
+    X86_PBLENDVBrm0	= 1949,
+    X86_PBLENDVBrr0	= 1950,
+    X86_PBLENDWrmi	= 1951,
+    X86_PBLENDWrri	= 1952,
+    X86_PCLMULQDQrm	= 1953,
+    X86_PCLMULQDQrr	= 1954,
+    X86_PCMPEQBrm	= 1955,
+    X86_PCMPEQBrr	= 1956,
+    X86_PCMPEQDrm	= 1957,
+    X86_PCMPEQDrr	= 1958,
+    X86_PCMPEQQrm	= 1959,
+    X86_PCMPEQQrr	= 1960,
+    X86_PCMPEQWrm	= 1961,
+    X86_PCMPEQWrr	= 1962,
+    X86_PCMPESTRIMEM	= 1963,
+    X86_PCMPESTRIREG	= 1964,
+    X86_PCMPESTRIrm	= 1965,
+    X86_PCMPESTRIrr	= 1966,
+    X86_PCMPESTRM128MEM	= 1967,
+    X86_PCMPESTRM128REG	= 1968,
+    X86_PCMPESTRM128rm	= 1969,
+    X86_PCMPESTRM128rr	= 1970,
+    X86_PCMPGTBrm	= 1971,
+    X86_PCMPGTBrr	= 1972,
+    X86_PCMPGTDrm	= 1973,
+    X86_PCMPGTDrr	= 1974,
+    X86_PCMPGTQrm	= 1975,
+    X86_PCMPGTQrr	= 1976,
+    X86_PCMPGTWrm	= 1977,
+    X86_PCMPGTWrr	= 1978,
+    X86_PCMPISTRIMEM	= 1979,
+    X86_PCMPISTRIREG	= 1980,
+    X86_PCMPISTRIrm	= 1981,
+    X86_PCMPISTRIrr	= 1982,
+    X86_PCMPISTRM128MEM	= 1983,
+    X86_PCMPISTRM128REG	= 1984,
+    X86_PCMPISTRM128rm	= 1985,
+    X86_PCMPISTRM128rr	= 1986,
+    X86_PDEP32rm	= 1987,
+    X86_PDEP32rr	= 1988,
+    X86_PDEP64rm	= 1989,
+    X86_PDEP64rr	= 1990,
+    X86_PEXT32rm	= 1991,
+    X86_PEXT32rr	= 1992,
+    X86_PEXT64rm	= 1993,
+    X86_PEXT64rr	= 1994,
+    X86_PEXTRBmr	= 1995,
+    X86_PEXTRBrr	= 1996,
+    X86_PEXTRDmr	= 1997,
+    X86_PEXTRDrr	= 1998,
+    X86_PEXTRQmr	= 1999,
+    X86_PEXTRQrr	= 2000,
+    X86_PEXTRWmr	= 2001,
+    X86_PEXTRWri	= 2002,
+    X86_PEXTRWrr_REV	= 2003,
+    X86_PF2IDrm	= 2004,
+    X86_PF2IDrr	= 2005,
+    X86_PF2IWrm	= 2006,
+    X86_PF2IWrr	= 2007,
+    X86_PFACCrm	= 2008,
+    X86_PFACCrr	= 2009,
+    X86_PFADDrm	= 2010,
+    X86_PFADDrr	= 2011,
+    X86_PFCMPEQrm	= 2012,
+    X86_PFCMPEQrr	= 2013,
+    X86_PFCMPGErm	= 2014,
+    X86_PFCMPGErr	= 2015,
+    X86_PFCMPGTrm	= 2016,
+    X86_PFCMPGTrr	= 2017,
+    X86_PFMAXrm	= 2018,
+    X86_PFMAXrr	= 2019,
+    X86_PFMINrm	= 2020,
+    X86_PFMINrr	= 2021,
+    X86_PFMULrm	= 2022,
+    X86_PFMULrr	= 2023,
+    X86_PFNACCrm	= 2024,
+    X86_PFNACCrr	= 2025,
+    X86_PFPNACCrm	= 2026,
+    X86_PFPNACCrr	= 2027,
+    X86_PFRCPIT1rm	= 2028,
+    X86_PFRCPIT1rr	= 2029,
+    X86_PFRCPIT2rm	= 2030,
+    X86_PFRCPIT2rr	= 2031,
+    X86_PFRCPrm	= 2032,
+    X86_PFRCPrr	= 2033,
+    X86_PFRSQIT1rm	= 2034,
+    X86_PFRSQIT1rr	= 2035,
+    X86_PFRSQRTrm	= 2036,
+    X86_PFRSQRTrr	= 2037,
+    X86_PFSUBRrm	= 2038,
+    X86_PFSUBRrr	= 2039,
+    X86_PFSUBrm	= 2040,
+    X86_PFSUBrr	= 2041,
+    X86_PHADDDrm	= 2042,
+    X86_PHADDDrr	= 2043,
+    X86_PHADDSWrm128	= 2044,
+    X86_PHADDSWrr128	= 2045,
+    X86_PHADDWrm	= 2046,
+    X86_PHADDWrr	= 2047,
+    X86_PHMINPOSUWrm128	= 2048,
+    X86_PHMINPOSUWrr128	= 2049,
+    X86_PHSUBDrm	= 2050,
+    X86_PHSUBDrr	= 2051,
+    X86_PHSUBSWrm128	= 2052,
+    X86_PHSUBSWrr128	= 2053,
+    X86_PHSUBWrm	= 2054,
+    X86_PHSUBWrr	= 2055,
+    X86_PI2FDrm	= 2056,
+    X86_PI2FDrr	= 2057,
+    X86_PI2FWrm	= 2058,
+    X86_PI2FWrr	= 2059,
+    X86_PINSRBrm	= 2060,
+    X86_PINSRBrr	= 2061,
+    X86_PINSRDrm	= 2062,
+    X86_PINSRDrr	= 2063,
+    X86_PINSRQrm	= 2064,
+    X86_PINSRQrr	= 2065,
+    X86_PINSRWrmi	= 2066,
+    X86_PINSRWrri	= 2067,
+    X86_PMADDUBSWrm128	= 2068,
+    X86_PMADDUBSWrr128	= 2069,
+    X86_PMADDWDrm	= 2070,
+    X86_PMADDWDrr	= 2071,
+    X86_PMAXSBrm	= 2072,
+    X86_PMAXSBrr	= 2073,
+    X86_PMAXSDrm	= 2074,
+    X86_PMAXSDrr	= 2075,
+    X86_PMAXSWrm	= 2076,
+    X86_PMAXSWrr	= 2077,
+    X86_PMAXUBrm	= 2078,
+    X86_PMAXUBrr	= 2079,
+    X86_PMAXUDrm	= 2080,
+    X86_PMAXUDrr	= 2081,
+    X86_PMAXUWrm	= 2082,
+    X86_PMAXUWrr	= 2083,
+    X86_PMINSBrm	= 2084,
+    X86_PMINSBrr	= 2085,
+    X86_PMINSDrm	= 2086,
+    X86_PMINSDrr	= 2087,
+    X86_PMINSWrm	= 2088,
+    X86_PMINSWrr	= 2089,
+    X86_PMINUBrm	= 2090,
+    X86_PMINUBrr	= 2091,
+    X86_PMINUDrm	= 2092,
+    X86_PMINUDrr	= 2093,
+    X86_PMINUWrm	= 2094,
+    X86_PMINUWrr	= 2095,
+    X86_PMOVMSKBrr	= 2096,
+    X86_PMOVSXBDrm	= 2097,
+    X86_PMOVSXBDrr	= 2098,
+    X86_PMOVSXBQrm	= 2099,
+    X86_PMOVSXBQrr	= 2100,
+    X86_PMOVSXBWrm	= 2101,
+    X86_PMOVSXBWrr	= 2102,
+    X86_PMOVSXDQrm	= 2103,
+    X86_PMOVSXDQrr	= 2104,
+    X86_PMOVSXWDrm	= 2105,
+    X86_PMOVSXWDrr	= 2106,
+    X86_PMOVSXWQrm	= 2107,
+    X86_PMOVSXWQrr	= 2108,
+    X86_PMOVZXBDrm	= 2109,
+    X86_PMOVZXBDrr	= 2110,
+    X86_PMOVZXBQrm	= 2111,
+    X86_PMOVZXBQrr	= 2112,
+    X86_PMOVZXBWrm	= 2113,
+    X86_PMOVZXBWrr	= 2114,
+    X86_PMOVZXDQrm	= 2115,
+    X86_PMOVZXDQrr	= 2116,
+    X86_PMOVZXWDrm	= 2117,
+    X86_PMOVZXWDrr	= 2118,
+    X86_PMOVZXWQrm	= 2119,
+    X86_PMOVZXWQrr	= 2120,
+    X86_PMULDQrm	= 2121,
+    X86_PMULDQrr	= 2122,
+    X86_PMULHRSWrm128	= 2123,
+    X86_PMULHRSWrr128	= 2124,
+    X86_PMULHRWrm	= 2125,
+    X86_PMULHRWrr	= 2126,
+    X86_PMULHUWrm	= 2127,
+    X86_PMULHUWrr	= 2128,
+    X86_PMULHWrm	= 2129,
+    X86_PMULHWrr	= 2130,
+    X86_PMULLDrm	= 2131,
+    X86_PMULLDrr	= 2132,
+    X86_PMULLWrm	= 2133,
+    X86_PMULLWrr	= 2134,
+    X86_PMULUDQrm	= 2135,
+    X86_PMULUDQrr	= 2136,
+    X86_POP16r	= 2137,
+    X86_POP16rmm	= 2138,
+    X86_POP16rmr	= 2139,
+    X86_POP32r	= 2140,
+    X86_POP32rmm	= 2141,
+    X86_POP32rmr	= 2142,
+    X86_POP64r	= 2143,
+    X86_POP64rmm	= 2144,
+    X86_POP64rmr	= 2145,
+    X86_POPA16	= 2146,
+    X86_POPA32	= 2147,
+    X86_POPCNT16rm	= 2148,
+    X86_POPCNT16rr	= 2149,
+    X86_POPCNT32rm	= 2150,
+    X86_POPCNT32rr	= 2151,
+    X86_POPCNT64rm	= 2152,
+    X86_POPCNT64rr	= 2153,
+    X86_POPDS16	= 2154,
+    X86_POPDS32	= 2155,
+    X86_POPES16	= 2156,
+    X86_POPES32	= 2157,
+    X86_POPF16	= 2158,
+    X86_POPF32	= 2159,
+    X86_POPF64	= 2160,
+    X86_POPFS16	= 2161,
+    X86_POPFS32	= 2162,
+    X86_POPFS64	= 2163,
+    X86_POPGS16	= 2164,
+    X86_POPGS32	= 2165,
+    X86_POPGS64	= 2166,
+    X86_POPSS16	= 2167,
+    X86_POPSS32	= 2168,
+    X86_PORrm	= 2169,
+    X86_PORrr	= 2170,
+    X86_PREFETCH	= 2171,
+    X86_PREFETCHNTA	= 2172,
+    X86_PREFETCHT0	= 2173,
+    X86_PREFETCHT1	= 2174,
+    X86_PREFETCHT2	= 2175,
+    X86_PREFETCHW	= 2176,
+    X86_PSADBWrm	= 2177,
+    X86_PSADBWrr	= 2178,
+    X86_PSHUFBrm	= 2179,
+    X86_PSHUFBrr	= 2180,
+    X86_PSHUFDmi	= 2181,
+    X86_PSHUFDri	= 2182,
+    X86_PSHUFHWmi	= 2183,
+    X86_PSHUFHWri	= 2184,
+    X86_PSHUFLWmi	= 2185,
+    X86_PSHUFLWri	= 2186,
+    X86_PSIGNBrm	= 2187,
+    X86_PSIGNBrr	= 2188,
+    X86_PSIGNDrm	= 2189,
+    X86_PSIGNDrr	= 2190,
+    X86_PSIGNWrm	= 2191,
+    X86_PSIGNWrr	= 2192,
+    X86_PSLLDQri	= 2193,
+    X86_PSLLDri	= 2194,
+    X86_PSLLDrm	= 2195,
+    X86_PSLLDrr	= 2196,
+    X86_PSLLQri	= 2197,
+    X86_PSLLQrm	= 2198,
+    X86_PSLLQrr	= 2199,
+    X86_PSLLWri	= 2200,
+    X86_PSLLWrm	= 2201,
+    X86_PSLLWrr	= 2202,
+    X86_PSRADri	= 2203,
+    X86_PSRADrm	= 2204,
+    X86_PSRADrr	= 2205,
+    X86_PSRAWri	= 2206,
+    X86_PSRAWrm	= 2207,
+    X86_PSRAWrr	= 2208,
+    X86_PSRLDQri	= 2209,
+    X86_PSRLDri	= 2210,
+    X86_PSRLDrm	= 2211,
+    X86_PSRLDrr	= 2212,
+    X86_PSRLQri	= 2213,
+    X86_PSRLQrm	= 2214,
+    X86_PSRLQrr	= 2215,
+    X86_PSRLWri	= 2216,
+    X86_PSRLWrm	= 2217,
+    X86_PSRLWrr	= 2218,
+    X86_PSUBBrm	= 2219,
+    X86_PSUBBrr	= 2220,
+    X86_PSUBDrm	= 2221,
+    X86_PSUBDrr	= 2222,
+    X86_PSUBQrm	= 2223,
+    X86_PSUBQrr	= 2224,
+    X86_PSUBSBrm	= 2225,
+    X86_PSUBSBrr	= 2226,
+    X86_PSUBSWrm	= 2227,
+    X86_PSUBSWrr	= 2228,
+    X86_PSUBUSBrm	= 2229,
+    X86_PSUBUSBrr	= 2230,
+    X86_PSUBUSWrm	= 2231,
+    X86_PSUBUSWrr	= 2232,
+    X86_PSUBWrm	= 2233,
+    X86_PSUBWrr	= 2234,
+    X86_PSWAPDrm	= 2235,
+    X86_PSWAPDrr	= 2236,
+    X86_PTESTrm	= 2237,
+    X86_PTESTrr	= 2238,
+    X86_PUNPCKHBWrm	= 2239,
+    X86_PUNPCKHBWrr	= 2240,
+    X86_PUNPCKHDQrm	= 2241,
+    X86_PUNPCKHDQrr	= 2242,
+    X86_PUNPCKHQDQrm	= 2243,
+    X86_PUNPCKHQDQrr	= 2244,
+    X86_PUNPCKHWDrm	= 2245,
+    X86_PUNPCKHWDrr	= 2246,
+    X86_PUNPCKLBWrm	= 2247,
+    X86_PUNPCKLBWrr	= 2248,
+    X86_PUNPCKLDQrm	= 2249,
+    X86_PUNPCKLDQrr	= 2250,
+    X86_PUNPCKLQDQrm	= 2251,
+    X86_PUNPCKLQDQrr	= 2252,
+    X86_PUNPCKLWDrm	= 2253,
+    X86_PUNPCKLWDrr	= 2254,
+    X86_PUSH16i8	= 2255,
+    X86_PUSH16r	= 2256,
+    X86_PUSH16rmm	= 2257,
+    X86_PUSH16rmr	= 2258,
+    X86_PUSH32i8	= 2259,
+    X86_PUSH32r	= 2260,
+    X86_PUSH32rmm	= 2261,
+    X86_PUSH32rmr	= 2262,
+    X86_PUSH64i16	= 2263,
+    X86_PUSH64i32	= 2264,
+    X86_PUSH64i8	= 2265,
+    X86_PUSH64r	= 2266,
+    X86_PUSH64rmm	= 2267,
+    X86_PUSH64rmr	= 2268,
+    X86_PUSHA16	= 2269,
+    X86_PUSHA32	= 2270,
+    X86_PUSHCS16	= 2271,
+    X86_PUSHCS32	= 2272,
+    X86_PUSHDS16	= 2273,
+    X86_PUSHDS32	= 2274,
+    X86_PUSHES16	= 2275,
+    X86_PUSHES32	= 2276,
+    X86_PUSHF16	= 2277,
+    X86_PUSHF32	= 2278,
+    X86_PUSHF64	= 2279,
+    X86_PUSHFS16	= 2280,
+    X86_PUSHFS32	= 2281,
+    X86_PUSHFS64	= 2282,
+    X86_PUSHGS16	= 2283,
+    X86_PUSHGS32	= 2284,
+    X86_PUSHGS64	= 2285,
+    X86_PUSHSS16	= 2286,
+    X86_PUSHSS32	= 2287,
+    X86_PUSHi16	= 2288,
+    X86_PUSHi32	= 2289,
+    X86_PXORrm	= 2290,
+    X86_PXORrr	= 2291,
+    X86_RCL16m1	= 2292,
+    X86_RCL16mCL	= 2293,
+    X86_RCL16mi	= 2294,
+    X86_RCL16r1	= 2295,
+    X86_RCL16rCL	= 2296,
+    X86_RCL16ri	= 2297,
+    X86_RCL32m1	= 2298,
+    X86_RCL32mCL	= 2299,
+    X86_RCL32mi	= 2300,
+    X86_RCL32r1	= 2301,
+    X86_RCL32rCL	= 2302,
+    X86_RCL32ri	= 2303,
+    X86_RCL64m1	= 2304,
+    X86_RCL64mCL	= 2305,
+    X86_RCL64mi	= 2306,
+    X86_RCL64r1	= 2307,
+    X86_RCL64rCL	= 2308,
+    X86_RCL64ri	= 2309,
+    X86_RCL8m1	= 2310,
+    X86_RCL8mCL	= 2311,
+    X86_RCL8mi	= 2312,
+    X86_RCL8r1	= 2313,
+    X86_RCL8rCL	= 2314,
+    X86_RCL8ri	= 2315,
+    X86_RCPPSm	= 2316,
+    X86_RCPPSm_Int	= 2317,
+    X86_RCPPSr	= 2318,
+    X86_RCPPSr_Int	= 2319,
+    X86_RCPSSm	= 2320,
+    X86_RCPSSm_Int	= 2321,
+    X86_RCPSSr	= 2322,
+    X86_RCPSSr_Int	= 2323,
+    X86_RCR16m1	= 2324,
+    X86_RCR16mCL	= 2325,
+    X86_RCR16mi	= 2326,
+    X86_RCR16r1	= 2327,
+    X86_RCR16rCL	= 2328,
+    X86_RCR16ri	= 2329,
+    X86_RCR32m1	= 2330,
+    X86_RCR32mCL	= 2331,
+    X86_RCR32mi	= 2332,
+    X86_RCR32r1	= 2333,
+    X86_RCR32rCL	= 2334,
+    X86_RCR32ri	= 2335,
+    X86_RCR64m1	= 2336,
+    X86_RCR64mCL	= 2337,
+    X86_RCR64mi	= 2338,
+    X86_RCR64r1	= 2339,
+    X86_RCR64rCL	= 2340,
+    X86_RCR64ri	= 2341,
+    X86_RCR8m1	= 2342,
+    X86_RCR8mCL	= 2343,
+    X86_RCR8mi	= 2344,
+    X86_RCR8r1	= 2345,
+    X86_RCR8rCL	= 2346,
+    X86_RCR8ri	= 2347,
+    X86_RDFSBASE	= 2348,
+    X86_RDFSBASE64	= 2349,
+    X86_RDGSBASE	= 2350,
+    X86_RDGSBASE64	= 2351,
+    X86_RDMSR	= 2352,
+    X86_RDPMC	= 2353,
+    X86_RDRAND16r	= 2354,
+    X86_RDRAND32r	= 2355,
+    X86_RDRAND64r	= 2356,
+    X86_RDSEED16r	= 2357,
+    X86_RDSEED32r	= 2358,
+    X86_RDSEED64r	= 2359,
+    X86_RDTSC	= 2360,
+    X86_RDTSCP	= 2361,
+    X86_RELEASE_MOV16mr	= 2362,
+    X86_RELEASE_MOV32mr	= 2363,
+    X86_RELEASE_MOV64mr	= 2364,
+    X86_RELEASE_MOV8mr	= 2365,
+    X86_REPNE_PREFIX	= 2366,
+    X86_REP_MOVSB_32	= 2367,
+    X86_REP_MOVSB_64	= 2368,
+    X86_REP_MOVSD_32	= 2369,
+    X86_REP_MOVSD_64	= 2370,
+    X86_REP_MOVSQ_64	= 2371,
+    X86_REP_MOVSW_32	= 2372,
+    X86_REP_MOVSW_64	= 2373,
+    X86_REP_PREFIX	= 2374,
+    X86_REP_STOSB_32	= 2375,
+    X86_REP_STOSB_64	= 2376,
+    X86_REP_STOSD_32	= 2377,
+    X86_REP_STOSD_64	= 2378,
+    X86_REP_STOSQ_64	= 2379,
+    X86_REP_STOSW_32	= 2380,
+    X86_REP_STOSW_64	= 2381,
+    X86_RETIL	= 2382,
+    X86_RETIQ	= 2383,
+    X86_RETIW	= 2384,
+    X86_RETL	= 2385,
+    X86_RETQ	= 2386,
+    X86_RETW	= 2387,
+    X86_REX64_PREFIX	= 2388,
+    X86_ROL16m1	= 2389,
+    X86_ROL16mCL	= 2390,
+    X86_ROL16mi	= 2391,
+    X86_ROL16r1	= 2392,
+    X86_ROL16rCL	= 2393,
+    X86_ROL16ri	= 2394,
+    X86_ROL32m1	= 2395,
+    X86_ROL32mCL	= 2396,
+    X86_ROL32mi	= 2397,
+    X86_ROL32r1	= 2398,
+    X86_ROL32rCL	= 2399,
+    X86_ROL32ri	= 2400,
+    X86_ROL64m1	= 2401,
+    X86_ROL64mCL	= 2402,
+    X86_ROL64mi	= 2403,
+    X86_ROL64r1	= 2404,
+    X86_ROL64rCL	= 2405,
+    X86_ROL64ri	= 2406,
+    X86_ROL8m1	= 2407,
+    X86_ROL8mCL	= 2408,
+    X86_ROL8mi	= 2409,
+    X86_ROL8r1	= 2410,
+    X86_ROL8rCL	= 2411,
+    X86_ROL8ri	= 2412,
+    X86_ROR16m1	= 2413,
+    X86_ROR16mCL	= 2414,
+    X86_ROR16mi	= 2415,
+    X86_ROR16r1	= 2416,
+    X86_ROR16rCL	= 2417,
+    X86_ROR16ri	= 2418,
+    X86_ROR32m1	= 2419,
+    X86_ROR32mCL	= 2420,
+    X86_ROR32mi	= 2421,
+    X86_ROR32r1	= 2422,
+    X86_ROR32rCL	= 2423,
+    X86_ROR32ri	= 2424,
+    X86_ROR64m1	= 2425,
+    X86_ROR64mCL	= 2426,
+    X86_ROR64mi	= 2427,
+    X86_ROR64r1	= 2428,
+    X86_ROR64rCL	= 2429,
+    X86_ROR64ri	= 2430,
+    X86_ROR8m1	= 2431,
+    X86_ROR8mCL	= 2432,
+    X86_ROR8mi	= 2433,
+    X86_ROR8r1	= 2434,
+    X86_ROR8rCL	= 2435,
+    X86_ROR8ri	= 2436,
+    X86_RORX32mi	= 2437,
+    X86_RORX32ri	= 2438,
+    X86_RORX64mi	= 2439,
+    X86_RORX64ri	= 2440,
+    X86_ROUNDPDm	= 2441,
+    X86_ROUNDPDr	= 2442,
+    X86_ROUNDPSm	= 2443,
+    X86_ROUNDPSr	= 2444,
+    X86_ROUNDSDm	= 2445,
+    X86_ROUNDSDr	= 2446,
+    X86_ROUNDSDr_Int	= 2447,
+    X86_ROUNDSSm	= 2448,
+    X86_ROUNDSSr	= 2449,
+    X86_ROUNDSSr_Int	= 2450,
+    X86_RSM	= 2451,
+    X86_RSQRTPSm	= 2452,
+    X86_RSQRTPSm_Int	= 2453,
+    X86_RSQRTPSr	= 2454,
+    X86_RSQRTPSr_Int	= 2455,
+    X86_RSQRTSSm	= 2456,
+    X86_RSQRTSSm_Int	= 2457,
+    X86_RSQRTSSr	= 2458,
+    X86_RSQRTSSr_Int	= 2459,
+    X86_SAHF	= 2460,
+    X86_SAL16m1	= 2461,
+    X86_SAL16mCL	= 2462,
+    X86_SAL16mi	= 2463,
+    X86_SAL16r1	= 2464,
+    X86_SAL16rCL	= 2465,
+    X86_SAL16ri	= 2466,
+    X86_SAL32m1	= 2467,
+    X86_SAL32mCL	= 2468,
+    X86_SAL32mi	= 2469,
+    X86_SAL32r1	= 2470,
+    X86_SAL32rCL	= 2471,
+    X86_SAL32ri	= 2472,
+    X86_SAL64m1	= 2473,
+    X86_SAL64mCL	= 2474,
+    X86_SAL64mi	= 2475,
+    X86_SAL64r1	= 2476,
+    X86_SAL64rCL	= 2477,
+    X86_SAL64ri	= 2478,
+    X86_SAL8m1	= 2479,
+    X86_SAL8mCL	= 2480,
+    X86_SAL8mi	= 2481,
+    X86_SAL8r1	= 2482,
+    X86_SAL8rCL	= 2483,
+    X86_SAL8ri	= 2484,
+    X86_SALC	= 2485,
+    X86_SAR16m1	= 2486,
+    X86_SAR16mCL	= 2487,
+    X86_SAR16mi	= 2488,
+    X86_SAR16r1	= 2489,
+    X86_SAR16rCL	= 2490,
+    X86_SAR16ri	= 2491,
+    X86_SAR32m1	= 2492,
+    X86_SAR32mCL	= 2493,
+    X86_SAR32mi	= 2494,
+    X86_SAR32r1	= 2495,
+    X86_SAR32rCL	= 2496,
+    X86_SAR32ri	= 2497,
+    X86_SAR64m1	= 2498,
+    X86_SAR64mCL	= 2499,
+    X86_SAR64mi	= 2500,
+    X86_SAR64r1	= 2501,
+    X86_SAR64rCL	= 2502,
+    X86_SAR64ri	= 2503,
+    X86_SAR8m1	= 2504,
+    X86_SAR8mCL	= 2505,
+    X86_SAR8mi	= 2506,
+    X86_SAR8r1	= 2507,
+    X86_SAR8rCL	= 2508,
+    X86_SAR8ri	= 2509,
+    X86_SARX32rm	= 2510,
+    X86_SARX32rr	= 2511,
+    X86_SARX64rm	= 2512,
+    X86_SARX64rr	= 2513,
+    X86_SBB16i16	= 2514,
+    X86_SBB16mi	= 2515,
+    X86_SBB16mi8	= 2516,
+    X86_SBB16mr	= 2517,
+    X86_SBB16ri	= 2518,
+    X86_SBB16ri8	= 2519,
+    X86_SBB16rm	= 2520,
+    X86_SBB16rr	= 2521,
+    X86_SBB16rr_REV	= 2522,
+    X86_SBB32i32	= 2523,
+    X86_SBB32mi	= 2524,
+    X86_SBB32mi8	= 2525,
+    X86_SBB32mr	= 2526,
+    X86_SBB32ri	= 2527,
+    X86_SBB32ri8	= 2528,
+    X86_SBB32rm	= 2529,
+    X86_SBB32rr	= 2530,
+    X86_SBB32rr_REV	= 2531,
+    X86_SBB64i32	= 2532,
+    X86_SBB64mi32	= 2533,
+    X86_SBB64mi8	= 2534,
+    X86_SBB64mr	= 2535,
+    X86_SBB64ri32	= 2536,
+    X86_SBB64ri8	= 2537,
+    X86_SBB64rm	= 2538,
+    X86_SBB64rr	= 2539,
+    X86_SBB64rr_REV	= 2540,
+    X86_SBB8i8	= 2541,
+    X86_SBB8mi	= 2542,
+    X86_SBB8mr	= 2543,
+    X86_SBB8ri	= 2544,
+    X86_SBB8rm	= 2545,
+    X86_SBB8rr	= 2546,
+    X86_SBB8rr_REV	= 2547,
+    X86_SCASB	= 2548,
+    X86_SCASL	= 2549,
+    X86_SCASQ	= 2550,
+    X86_SCASW	= 2551,
+    X86_SEG_ALLOCA_32	= 2552,
+    X86_SEG_ALLOCA_64	= 2553,
+    X86_SEH_EndPrologue	= 2554,
+    X86_SEH_Epilogue	= 2555,
+    X86_SEH_PushFrame	= 2556,
+    X86_SEH_PushReg	= 2557,
+    X86_SEH_SaveReg	= 2558,
+    X86_SEH_SaveXMM	= 2559,
+    X86_SEH_SetFrame	= 2560,
+    X86_SEH_StackAlloc	= 2561,
+    X86_SETAEm	= 2562,
+    X86_SETAEr	= 2563,
+    X86_SETAm	= 2564,
+    X86_SETAr	= 2565,
+    X86_SETBEm	= 2566,
+    X86_SETBEr	= 2567,
+    X86_SETB_C16r	= 2568,
+    X86_SETB_C32r	= 2569,
+    X86_SETB_C64r	= 2570,
+    X86_SETB_C8r	= 2571,
+    X86_SETBm	= 2572,
+    X86_SETBr	= 2573,
+    X86_SETEm	= 2574,
+    X86_SETEr	= 2575,
+    X86_SETGEm	= 2576,
+    X86_SETGEr	= 2577,
+    X86_SETGm	= 2578,
+    X86_SETGr	= 2579,
+    X86_SETLEm	= 2580,
+    X86_SETLEr	= 2581,
+    X86_SETLm	= 2582,
+    X86_SETLr	= 2583,
+    X86_SETNEm	= 2584,
+    X86_SETNEr	= 2585,
+    X86_SETNOm	= 2586,
+    X86_SETNOr	= 2587,
+    X86_SETNPm	= 2588,
+    X86_SETNPr	= 2589,
+    X86_SETNSm	= 2590,
+    X86_SETNSr	= 2591,
+    X86_SETOm	= 2592,
+    X86_SETOr	= 2593,
+    X86_SETPm	= 2594,
+    X86_SETPr	= 2595,
+    X86_SETSm	= 2596,
+    X86_SETSr	= 2597,
+    X86_SFENCE	= 2598,
+    X86_SGDT16m	= 2599,
+    X86_SGDT32m	= 2600,
+    X86_SGDT64m	= 2601,
+    X86_SHA1MSG1rm	= 2602,
+    X86_SHA1MSG1rr	= 2603,
+    X86_SHA1MSG2rm	= 2604,
+    X86_SHA1MSG2rr	= 2605,
+    X86_SHA1NEXTErm	= 2606,
+    X86_SHA1NEXTErr	= 2607,
+    X86_SHA1RNDS4rmi	= 2608,
+    X86_SHA1RNDS4rri	= 2609,
+    X86_SHA256MSG1rm	= 2610,
+    X86_SHA256MSG1rr	= 2611,
+    X86_SHA256MSG2rm	= 2612,
+    X86_SHA256MSG2rr	= 2613,
+    X86_SHA256RNDS2rm	= 2614,
+    X86_SHA256RNDS2rr	= 2615,
+    X86_SHL16m1	= 2616,
+    X86_SHL16mCL	= 2617,
+    X86_SHL16mi	= 2618,
+    X86_SHL16r1	= 2619,
+    X86_SHL16rCL	= 2620,
+    X86_SHL16ri	= 2621,
+    X86_SHL32m1	= 2622,
+    X86_SHL32mCL	= 2623,
+    X86_SHL32mi	= 2624,
+    X86_SHL32r1	= 2625,
+    X86_SHL32rCL	= 2626,
+    X86_SHL32ri	= 2627,
+    X86_SHL64m1	= 2628,
+    X86_SHL64mCL	= 2629,
+    X86_SHL64mi	= 2630,
+    X86_SHL64r1	= 2631,
+    X86_SHL64rCL	= 2632,
+    X86_SHL64ri	= 2633,
+    X86_SHL8m1	= 2634,
+    X86_SHL8mCL	= 2635,
+    X86_SHL8mi	= 2636,
+    X86_SHL8r1	= 2637,
+    X86_SHL8rCL	= 2638,
+    X86_SHL8ri	= 2639,
+    X86_SHLD16mrCL	= 2640,
+    X86_SHLD16mri8	= 2641,
+    X86_SHLD16rrCL	= 2642,
+    X86_SHLD16rri8	= 2643,
+    X86_SHLD32mrCL	= 2644,
+    X86_SHLD32mri8	= 2645,
+    X86_SHLD32rrCL	= 2646,
+    X86_SHLD32rri8	= 2647,
+    X86_SHLD64mrCL	= 2648,
+    X86_SHLD64mri8	= 2649,
+    X86_SHLD64rrCL	= 2650,
+    X86_SHLD64rri8	= 2651,
+    X86_SHLX32rm	= 2652,
+    X86_SHLX32rr	= 2653,
+    X86_SHLX64rm	= 2654,
+    X86_SHLX64rr	= 2655,
+    X86_SHR16m1	= 2656,
+    X86_SHR16mCL	= 2657,
+    X86_SHR16mi	= 2658,
+    X86_SHR16r1	= 2659,
+    X86_SHR16rCL	= 2660,
+    X86_SHR16ri	= 2661,
+    X86_SHR32m1	= 2662,
+    X86_SHR32mCL	= 2663,
+    X86_SHR32mi	= 2664,
+    X86_SHR32r1	= 2665,
+    X86_SHR32rCL	= 2666,
+    X86_SHR32ri	= 2667,
+    X86_SHR64m1	= 2668,
+    X86_SHR64mCL	= 2669,
+    X86_SHR64mi	= 2670,
+    X86_SHR64r1	= 2671,
+    X86_SHR64rCL	= 2672,
+    X86_SHR64ri	= 2673,
+    X86_SHR8m1	= 2674,
+    X86_SHR8mCL	= 2675,
+    X86_SHR8mi	= 2676,
+    X86_SHR8r1	= 2677,
+    X86_SHR8rCL	= 2678,
+    X86_SHR8ri	= 2679,
+    X86_SHRD16mrCL	= 2680,
+    X86_SHRD16mri8	= 2681,
+    X86_SHRD16rrCL	= 2682,
+    X86_SHRD16rri8	= 2683,
+    X86_SHRD32mrCL	= 2684,
+    X86_SHRD32mri8	= 2685,
+    X86_SHRD32rrCL	= 2686,
+    X86_SHRD32rri8	= 2687,
+    X86_SHRD64mrCL	= 2688,
+    X86_SHRD64mri8	= 2689,
+    X86_SHRD64rrCL	= 2690,
+    X86_SHRD64rri8	= 2691,
+    X86_SHRX32rm	= 2692,
+    X86_SHRX32rr	= 2693,
+    X86_SHRX64rm	= 2694,
+    X86_SHRX64rr	= 2695,
+    X86_SHUFPDrmi	= 2696,
+    X86_SHUFPDrri	= 2697,
+    X86_SHUFPSrmi	= 2698,
+    X86_SHUFPSrri	= 2699,
+    X86_SIDT16m	= 2700,
+    X86_SIDT32m	= 2701,
+    X86_SIDT64m	= 2702,
+    X86_SIN_F	= 2703,
+    X86_SIN_Fp32	= 2704,
+    X86_SIN_Fp64	= 2705,
+    X86_SIN_Fp80	= 2706,
+    X86_SKINIT	= 2707,
+    X86_SLDT16m	= 2708,
+    X86_SLDT16r	= 2709,
+    X86_SLDT32r	= 2710,
+    X86_SLDT64m	= 2711,
+    X86_SLDT64r	= 2712,
+    X86_SMSW16m	= 2713,
+    X86_SMSW16r	= 2714,
+    X86_SMSW32r	= 2715,
+    X86_SMSW64r	= 2716,
+    X86_SQRTPDm	= 2717,
+    X86_SQRTPDr	= 2718,
+    X86_SQRTPSm	= 2719,
+    X86_SQRTPSr	= 2720,
+    X86_SQRTSDm	= 2721,
+    X86_SQRTSDm_Int	= 2722,
+    X86_SQRTSDr	= 2723,
+    X86_SQRTSDr_Int	= 2724,
+    X86_SQRTSSm	= 2725,
+    X86_SQRTSSm_Int	= 2726,
+    X86_SQRTSSr	= 2727,
+    X86_SQRTSSr_Int	= 2728,
+    X86_SQRT_F	= 2729,
+    X86_SQRT_Fp32	= 2730,
+    X86_SQRT_Fp64	= 2731,
+    X86_SQRT_Fp80	= 2732,
+    X86_SS_PREFIX	= 2733,
+    X86_STAC	= 2734,
+    X86_STC	= 2735,
+    X86_STD	= 2736,
+    X86_STGI	= 2737,
+    X86_STI	= 2738,
+    X86_STMXCSR	= 2739,
+    X86_STOSB	= 2740,
+    X86_STOSL	= 2741,
+    X86_STOSQ	= 2742,
+    X86_STOSW	= 2743,
+    X86_STR16r	= 2744,
+    X86_STR32r	= 2745,
+    X86_STR64r	= 2746,
+    X86_STRm	= 2747,
+    X86_ST_F32m	= 2748,
+    X86_ST_F64m	= 2749,
+    X86_ST_FP32m	= 2750,
+    X86_ST_FP64m	= 2751,
+    X86_ST_FP80m	= 2752,
+    X86_ST_FPNCE	= 2753,
+    X86_ST_FPr0r7	= 2754,
+    X86_ST_FPrr	= 2755,
+    X86_ST_Fp32m	= 2756,
+    X86_ST_Fp64m	= 2757,
+    X86_ST_Fp64m32	= 2758,
+    X86_ST_Fp80m32	= 2759,
+    X86_ST_Fp80m64	= 2760,
+    X86_ST_FpP32m	= 2761,
+    X86_ST_FpP64m	= 2762,
+    X86_ST_FpP64m32	= 2763,
+    X86_ST_FpP80m	= 2764,
+    X86_ST_FpP80m32	= 2765,
+    X86_ST_FpP80m64	= 2766,
+    X86_ST_Frr	= 2767,
+    X86_SUB16i16	= 2768,
+    X86_SUB16mi	= 2769,
+    X86_SUB16mi8	= 2770,
+    X86_SUB16mr	= 2771,
+    X86_SUB16ri	= 2772,
+    X86_SUB16ri8	= 2773,
+    X86_SUB16rm	= 2774,
+    X86_SUB16rr	= 2775,
+    X86_SUB16rr_REV	= 2776,
+    X86_SUB32i32	= 2777,
+    X86_SUB32mi	= 2778,
+    X86_SUB32mi8	= 2779,
+    X86_SUB32mr	= 2780,
+    X86_SUB32ri	= 2781,
+    X86_SUB32ri8	= 2782,
+    X86_SUB32rm	= 2783,
+    X86_SUB32rr	= 2784,
+    X86_SUB32rr_REV	= 2785,
+    X86_SUB64i32	= 2786,
+    X86_SUB64mi32	= 2787,
+    X86_SUB64mi8	= 2788,
+    X86_SUB64mr	= 2789,
+    X86_SUB64ri32	= 2790,
+    X86_SUB64ri8	= 2791,
+    X86_SUB64rm	= 2792,
+    X86_SUB64rr	= 2793,
+    X86_SUB64rr_REV	= 2794,
+    X86_SUB8i8	= 2795,
+    X86_SUB8mi	= 2796,
+    X86_SUB8mr	= 2797,
+    X86_SUB8ri	= 2798,
+    X86_SUB8ri8	= 2799,
+    X86_SUB8rm	= 2800,
+    X86_SUB8rr	= 2801,
+    X86_SUB8rr_REV	= 2802,
+    X86_SUBPDrm	= 2803,
+    X86_SUBPDrr	= 2804,
+    X86_SUBPSrm	= 2805,
+    X86_SUBPSrr	= 2806,
+    X86_SUBR_F32m	= 2807,
+    X86_SUBR_F64m	= 2808,
+    X86_SUBR_FI16m	= 2809,
+    X86_SUBR_FI32m	= 2810,
+    X86_SUBR_FPrST0	= 2811,
+    X86_SUBR_FST0r	= 2812,
+    X86_SUBR_Fp32m	= 2813,
+    X86_SUBR_Fp64m	= 2814,
+    X86_SUBR_Fp64m32	= 2815,
+    X86_SUBR_Fp80m32	= 2816,
+    X86_SUBR_Fp80m64	= 2817,
+    X86_SUBR_FpI16m32	= 2818,
+    X86_SUBR_FpI16m64	= 2819,
+    X86_SUBR_FpI16m80	= 2820,
+    X86_SUBR_FpI32m32	= 2821,
+    X86_SUBR_FpI32m64	= 2822,
+    X86_SUBR_FpI32m80	= 2823,
+    X86_SUBR_FrST0	= 2824,
+    X86_SUBSDrm	= 2825,
+    X86_SUBSDrm_Int	= 2826,
+    X86_SUBSDrr	= 2827,
+    X86_SUBSDrr_Int	= 2828,
+    X86_SUBSSrm	= 2829,
+    X86_SUBSSrm_Int	= 2830,
+    X86_SUBSSrr	= 2831,
+    X86_SUBSSrr_Int	= 2832,
+    X86_SUB_F32m	= 2833,
+    X86_SUB_F64m	= 2834,
+    X86_SUB_FI16m	= 2835,
+    X86_SUB_FI32m	= 2836,
+    X86_SUB_FPrST0	= 2837,
+    X86_SUB_FST0r	= 2838,
+    X86_SUB_Fp32	= 2839,
+    X86_SUB_Fp32m	= 2840,
+    X86_SUB_Fp64	= 2841,
+    X86_SUB_Fp64m	= 2842,
+    X86_SUB_Fp64m32	= 2843,
+    X86_SUB_Fp80	= 2844,
+    X86_SUB_Fp80m32	= 2845,
+    X86_SUB_Fp80m64	= 2846,
+    X86_SUB_FpI16m32	= 2847,
+    X86_SUB_FpI16m64	= 2848,
+    X86_SUB_FpI16m80	= 2849,
+    X86_SUB_FpI32m32	= 2850,
+    X86_SUB_FpI32m64	= 2851,
+    X86_SUB_FpI32m80	= 2852,
+    X86_SUB_FrST0	= 2853,
+    X86_SWAPGS	= 2854,
+    X86_SYSCALL	= 2855,
+    X86_SYSENTER	= 2856,
+    X86_SYSEXIT	= 2857,
+    X86_SYSEXIT64	= 2858,
+    X86_SYSRET	= 2859,
+    X86_SYSRET64	= 2860,
+    X86_T1MSKC32rm	= 2861,
+    X86_T1MSKC32rr	= 2862,
+    X86_T1MSKC64rm	= 2863,
+    X86_T1MSKC64rr	= 2864,
+    X86_TAILJMPd	= 2865,
+    X86_TAILJMPd64	= 2866,
+    X86_TAILJMPm	= 2867,
+    X86_TAILJMPm64	= 2868,
+    X86_TAILJMPr	= 2869,
+    X86_TAILJMPr64	= 2870,
+    X86_TCRETURNdi	= 2871,
+    X86_TCRETURNdi64	= 2872,
+    X86_TCRETURNmi	= 2873,
+    X86_TCRETURNmi64	= 2874,
+    X86_TCRETURNri	= 2875,
+    X86_TCRETURNri64	= 2876,
+    X86_TEST16i16	= 2877,
+    X86_TEST16mi	= 2878,
+    X86_TEST16mi_alt	= 2879,
+    X86_TEST16ri	= 2880,
+    X86_TEST16ri_alt	= 2881,
+    X86_TEST16rm	= 2882,
+    X86_TEST16rr	= 2883,
+    X86_TEST32i32	= 2884,
+    X86_TEST32mi	= 2885,
+    X86_TEST32mi_alt	= 2886,
+    X86_TEST32ri	= 2887,
+    X86_TEST32ri_alt	= 2888,
+    X86_TEST32rm	= 2889,
+    X86_TEST32rr	= 2890,
+    X86_TEST64i32	= 2891,
+    X86_TEST64mi32	= 2892,
+    X86_TEST64mi32_alt	= 2893,
+    X86_TEST64ri32	= 2894,
+    X86_TEST64ri32_alt	= 2895,
+    X86_TEST64rm	= 2896,
+    X86_TEST64rr	= 2897,
+    X86_TEST8i8	= 2898,
+    X86_TEST8mi	= 2899,
+    X86_TEST8mi_alt	= 2900,
+    X86_TEST8ri	= 2901,
+    X86_TEST8ri_NOREX	= 2902,
+    X86_TEST8ri_alt	= 2903,
+    X86_TEST8rm	= 2904,
+    X86_TEST8rr	= 2905,
+    X86_TLSCall_32	= 2906,
+    X86_TLSCall_64	= 2907,
+    X86_TLS_addr32	= 2908,
+    X86_TLS_addr64	= 2909,
+    X86_TLS_base_addr32	= 2910,
+    X86_TLS_base_addr64	= 2911,
+    X86_TRAP	= 2912,
+    X86_TST_F	= 2913,
+    X86_TST_Fp32	= 2914,
+    X86_TST_Fp64	= 2915,
+    X86_TST_Fp80	= 2916,
+    X86_TZCNT16rm	= 2917,
+    X86_TZCNT16rr	= 2918,
+    X86_TZCNT32rm	= 2919,
+    X86_TZCNT32rr	= 2920,
+    X86_TZCNT64rm	= 2921,
+    X86_TZCNT64rr	= 2922,
+    X86_TZMSK32rm	= 2923,
+    X86_TZMSK32rr	= 2924,
+    X86_TZMSK64rm	= 2925,
+    X86_TZMSK64rr	= 2926,
+    X86_UCOMISDrm	= 2927,
+    X86_UCOMISDrr	= 2928,
+    X86_UCOMISSrm	= 2929,
+    X86_UCOMISSrr	= 2930,
+    X86_UCOM_FIPr	= 2931,
+    X86_UCOM_FIr	= 2932,
+    X86_UCOM_FPPr	= 2933,
+    X86_UCOM_FPr	= 2934,
+    X86_UCOM_FpIr32	= 2935,
+    X86_UCOM_FpIr64	= 2936,
+    X86_UCOM_FpIr80	= 2937,
+    X86_UCOM_Fpr32	= 2938,
+    X86_UCOM_Fpr64	= 2939,
+    X86_UCOM_Fpr80	= 2940,
+    X86_UCOM_Fr	= 2941,
+    X86_UD2B	= 2942,
+    X86_UNPCKHPDrm	= 2943,
+    X86_UNPCKHPDrr	= 2944,
+    X86_UNPCKHPSrm	= 2945,
+    X86_UNPCKHPSrr	= 2946,
+    X86_UNPCKLPDrm	= 2947,
+    X86_UNPCKLPDrr	= 2948,
+    X86_UNPCKLPSrm	= 2949,
+    X86_UNPCKLPSrr	= 2950,
+    X86_VAARG_64	= 2951,
+    X86_VADDPDYrm	= 2952,
+    X86_VADDPDYrr	= 2953,
+    X86_VADDPDZrm	= 2954,
+    X86_VADDPDZrmb	= 2955,
+    X86_VADDPDZrmbk	= 2956,
+    X86_VADDPDZrmbkz	= 2957,
+    X86_VADDPDZrmk	= 2958,
+    X86_VADDPDZrmkz	= 2959,
+    X86_VADDPDZrr	= 2960,
+    X86_VADDPDZrrk	= 2961,
+    X86_VADDPDZrrkz	= 2962,
+    X86_VADDPDrm	= 2963,
+    X86_VADDPDrr	= 2964,
+    X86_VADDPSYrm	= 2965,
+    X86_VADDPSYrr	= 2966,
+    X86_VADDPSZrm	= 2967,
+    X86_VADDPSZrmb	= 2968,
+    X86_VADDPSZrmbk	= 2969,
+    X86_VADDPSZrmbkz	= 2970,
+    X86_VADDPSZrmk	= 2971,
+    X86_VADDPSZrmkz	= 2972,
+    X86_VADDPSZrr	= 2973,
+    X86_VADDPSZrrk	= 2974,
+    X86_VADDPSZrrkz	= 2975,
+    X86_VADDPSrm	= 2976,
+    X86_VADDPSrr	= 2977,
+    X86_VADDSDZrm	= 2978,
+    X86_VADDSDZrr	= 2979,
+    X86_VADDSDrm	= 2980,
+    X86_VADDSDrm_Int	= 2981,
+    X86_VADDSDrr	= 2982,
+    X86_VADDSDrr_Int	= 2983,
+    X86_VADDSSZrm	= 2984,
+    X86_VADDSSZrr	= 2985,
+    X86_VADDSSrm	= 2986,
+    X86_VADDSSrm_Int	= 2987,
+    X86_VADDSSrr	= 2988,
+    X86_VADDSSrr_Int	= 2989,
+    X86_VADDSUBPDYrm	= 2990,
+    X86_VADDSUBPDYrr	= 2991,
+    X86_VADDSUBPDrm	= 2992,
+    X86_VADDSUBPDrr	= 2993,
+    X86_VADDSUBPSYrm	= 2994,
+    X86_VADDSUBPSYrr	= 2995,
+    X86_VADDSUBPSrm	= 2996,
+    X86_VADDSUBPSrr	= 2997,
+    X86_VAESDECLASTrm	= 2998,
+    X86_VAESDECLASTrr	= 2999,
+    X86_VAESDECrm	= 3000,
+    X86_VAESDECrr	= 3001,
+    X86_VAESENCLASTrm	= 3002,
+    X86_VAESENCLASTrr	= 3003,
+    X86_VAESENCrm	= 3004,
+    X86_VAESENCrr	= 3005,
+    X86_VAESIMCrm	= 3006,
+    X86_VAESIMCrr	= 3007,
+    X86_VAESKEYGENASSIST128rm	= 3008,
+    X86_VAESKEYGENASSIST128rr	= 3009,
+    X86_VALIGNDrmi	= 3010,
+    X86_VALIGNDrri	= 3011,
+    X86_VALIGNDrrik	= 3012,
+    X86_VALIGNDrrikz	= 3013,
+    X86_VALIGNQrmi	= 3014,
+    X86_VALIGNQrri	= 3015,
+    X86_VALIGNQrrik	= 3016,
+    X86_VALIGNQrrikz	= 3017,
+    X86_VANDNPDYrm	= 3018,
+    X86_VANDNPDYrr	= 3019,
+    X86_VANDNPDrm	= 3020,
+    X86_VANDNPDrr	= 3021,
+    X86_VANDNPSYrm	= 3022,
+    X86_VANDNPSYrr	= 3023,
+    X86_VANDNPSrm	= 3024,
+    X86_VANDNPSrr	= 3025,
+    X86_VANDPDYrm	= 3026,
+    X86_VANDPDYrr	= 3027,
+    X86_VANDPDrm	= 3028,
+    X86_VANDPDrr	= 3029,
+    X86_VANDPSYrm	= 3030,
+    X86_VANDPSYrr	= 3031,
+    X86_VANDPSrm	= 3032,
+    X86_VANDPSrr	= 3033,
+    X86_VASTART_SAVE_XMM_REGS	= 3034,
+    X86_VBLENDMPDZrm	= 3035,
+    X86_VBLENDMPDZrr	= 3036,
+    X86_VBLENDMPSZrm	= 3037,
+    X86_VBLENDMPSZrr	= 3038,
+    X86_VBLENDPDYrmi	= 3039,
+    X86_VBLENDPDYrri	= 3040,
+    X86_VBLENDPDrmi	= 3041,
+    X86_VBLENDPDrri	= 3042,
+    X86_VBLENDPSYrmi	= 3043,
+    X86_VBLENDPSYrri	= 3044,
+    X86_VBLENDPSrmi	= 3045,
+    X86_VBLENDPSrri	= 3046,
+    X86_VBLENDVPDYrm	= 3047,
+    X86_VBLENDVPDYrr	= 3048,
+    X86_VBLENDVPDrm	= 3049,
+    X86_VBLENDVPDrr	= 3050,
+    X86_VBLENDVPSYrm	= 3051,
+    X86_VBLENDVPSYrr	= 3052,
+    X86_VBLENDVPSrm	= 3053,
+    X86_VBLENDVPSrr	= 3054,
+    X86_VBROADCASTF128	= 3055,
+    X86_VBROADCASTI128	= 3056,
+    X86_VBROADCASTI32X4krm	= 3057,
+    X86_VBROADCASTI32X4rm	= 3058,
+    X86_VBROADCASTI64X4krm	= 3059,
+    X86_VBROADCASTI64X4rm	= 3060,
+    X86_VBROADCASTSDYrm	= 3061,
+    X86_VBROADCASTSDYrr	= 3062,
+    X86_VBROADCASTSDZrm	= 3063,
+    X86_VBROADCASTSDZrr	= 3064,
+    X86_VBROADCASTSSYrm	= 3065,
+    X86_VBROADCASTSSYrr	= 3066,
+    X86_VBROADCASTSSZrm	= 3067,
+    X86_VBROADCASTSSZrr	= 3068,
+    X86_VBROADCASTSSrm	= 3069,
+    X86_VBROADCASTSSrr	= 3070,
+    X86_VCMPPDYrmi	= 3071,
+    X86_VCMPPDYrmi_alt	= 3072,
+    X86_VCMPPDYrri	= 3073,
+    X86_VCMPPDYrri_alt	= 3074,
+    X86_VCMPPDZrmi	= 3075,
+    X86_VCMPPDZrmi_alt	= 3076,
+    X86_VCMPPDZrri	= 3077,
+    X86_VCMPPDZrri_alt	= 3078,
+    X86_VCMPPDZrrib	= 3079,
+    X86_VCMPPDrmi	= 3080,
+    X86_VCMPPDrmi_alt	= 3081,
+    X86_VCMPPDrri	= 3082,
+    X86_VCMPPDrri_alt	= 3083,
+    X86_VCMPPSYrmi	= 3084,
+    X86_VCMPPSYrmi_alt	= 3085,
+    X86_VCMPPSYrri	= 3086,
+    X86_VCMPPSYrri_alt	= 3087,
+    X86_VCMPPSZrmi	= 3088,
+    X86_VCMPPSZrmi_alt	= 3089,
+    X86_VCMPPSZrri	= 3090,
+    X86_VCMPPSZrri_alt	= 3091,
+    X86_VCMPPSZrrib	= 3092,
+    X86_VCMPPSrmi	= 3093,
+    X86_VCMPPSrmi_alt	= 3094,
+    X86_VCMPPSrri	= 3095,
+    X86_VCMPPSrri_alt	= 3096,
+    X86_VCMPSDZrm	= 3097,
+    X86_VCMPSDZrmi_alt	= 3098,
+    X86_VCMPSDZrr	= 3099,
+    X86_VCMPSDZrri_alt	= 3100,
+    X86_VCMPSDrm	= 3101,
+    X86_VCMPSDrm_alt	= 3102,
+    X86_VCMPSDrr	= 3103,
+    X86_VCMPSDrr_alt	= 3104,
+    X86_VCMPSSZrm	= 3105,
+    X86_VCMPSSZrmi_alt	= 3106,
+    X86_VCMPSSZrr	= 3107,
+    X86_VCMPSSZrri_alt	= 3108,
+    X86_VCMPSSrm	= 3109,
+    X86_VCMPSSrm_alt	= 3110,
+    X86_VCMPSSrr	= 3111,
+    X86_VCMPSSrr_alt	= 3112,
+    X86_VCOMISDZrm	= 3113,
+    X86_VCOMISDZrr	= 3114,
+    X86_VCOMISDrm	= 3115,
+    X86_VCOMISDrr	= 3116,
+    X86_VCOMISSZrm	= 3117,
+    X86_VCOMISSZrr	= 3118,
+    X86_VCOMISSrm	= 3119,
+    X86_VCOMISSrr	= 3120,
+    X86_VCVTDQ2PDYrm	= 3121,
+    X86_VCVTDQ2PDYrr	= 3122,
+    X86_VCVTDQ2PDZrm	= 3123,
+    X86_VCVTDQ2PDZrr	= 3124,
+    X86_VCVTDQ2PDrm	= 3125,
+    X86_VCVTDQ2PDrr	= 3126,
+    X86_VCVTDQ2PSYrm	= 3127,
+    X86_VCVTDQ2PSYrr	= 3128,
+    X86_VCVTDQ2PSZrm	= 3129,
+    X86_VCVTDQ2PSZrr	= 3130,
+    X86_VCVTDQ2PSZrrb	= 3131,
+    X86_VCVTDQ2PSrm	= 3132,
+    X86_VCVTDQ2PSrr	= 3133,
+    X86_VCVTPD2DQXrm	= 3134,
+    X86_VCVTPD2DQYrm	= 3135,
+    X86_VCVTPD2DQYrr	= 3136,
+    X86_VCVTPD2DQZrm	= 3137,
+    X86_VCVTPD2DQZrr	= 3138,
+    X86_VCVTPD2DQZrrb	= 3139,
+    X86_VCVTPD2DQrr	= 3140,
+    X86_VCVTPD2PSXrm	= 3141,
+    X86_VCVTPD2PSYrm	= 3142,
+    X86_VCVTPD2PSYrr	= 3143,
+    X86_VCVTPD2PSZrm	= 3144,
+    X86_VCVTPD2PSZrr	= 3145,
+    X86_VCVTPD2PSZrrb	= 3146,
+    X86_VCVTPD2PSrr	= 3147,
+    X86_VCVTPD2UDQZrm	= 3148,
+    X86_VCVTPD2UDQZrr	= 3149,
+    X86_VCVTPD2UDQZrrb	= 3150,
+    X86_VCVTPH2PSYrm	= 3151,
+    X86_VCVTPH2PSYrr	= 3152,
+    X86_VCVTPH2PSZrm	= 3153,
+    X86_VCVTPH2PSZrr	= 3154,
+    X86_VCVTPH2PSrm	= 3155,
+    X86_VCVTPH2PSrr	= 3156,
+    X86_VCVTPS2DQYrm	= 3157,
+    X86_VCVTPS2DQYrr	= 3158,
+    X86_VCVTPS2DQZrm	= 3159,
+    X86_VCVTPS2DQZrr	= 3160,
+    X86_VCVTPS2DQZrrb	= 3161,
+    X86_VCVTPS2DQrm	= 3162,
+    X86_VCVTPS2DQrr	= 3163,
+    X86_VCVTPS2PDYrm	= 3164,
+    X86_VCVTPS2PDYrr	= 3165,
+    X86_VCVTPS2PDZrm	= 3166,
+    X86_VCVTPS2PDZrr	= 3167,
+    X86_VCVTPS2PDrm	= 3168,
+    X86_VCVTPS2PDrr	= 3169,
+    X86_VCVTPS2PHYmr	= 3170,
+    X86_VCVTPS2PHYrr	= 3171,
+    X86_VCVTPS2PHZmr	= 3172,
+    X86_VCVTPS2PHZrr	= 3173,
+    X86_VCVTPS2PHmr	= 3174,
+    X86_VCVTPS2PHrr	= 3175,
+    X86_VCVTPS2UDQZrm	= 3176,
+    X86_VCVTPS2UDQZrr	= 3177,
+    X86_VCVTPS2UDQZrrb	= 3178,
+    X86_VCVTSD2SI64Zrm	= 3179,
+    X86_VCVTSD2SI64Zrr	= 3180,
+    X86_VCVTSD2SI64rm	= 3181,
+    X86_VCVTSD2SI64rr	= 3182,
+    X86_VCVTSD2SIZrm	= 3183,
+    X86_VCVTSD2SIZrr	= 3184,
+    X86_VCVTSD2SIrm	= 3185,
+    X86_VCVTSD2SIrr	= 3186,
+    X86_VCVTSD2SSZrm	= 3187,
+    X86_VCVTSD2SSZrr	= 3188,
+    X86_VCVTSD2SSrm	= 3189,
+    X86_VCVTSD2SSrr	= 3190,
+    X86_VCVTSD2USI64Zrm	= 3191,
+    X86_VCVTSD2USI64Zrr	= 3192,
+    X86_VCVTSD2USIZrm	= 3193,
+    X86_VCVTSD2USIZrr	= 3194,
+    X86_VCVTSI2SD64rm	= 3195,
+    X86_VCVTSI2SD64rr	= 3196,
+    X86_VCVTSI2SDZrm	= 3197,
+    X86_VCVTSI2SDZrr	= 3198,
+    X86_VCVTSI2SDrm	= 3199,
+    X86_VCVTSI2SDrr	= 3200,
+    X86_VCVTSI2SS64rm	= 3201,
+    X86_VCVTSI2SS64rr	= 3202,
+    X86_VCVTSI2SSZrm	= 3203,
+    X86_VCVTSI2SSZrr	= 3204,
+    X86_VCVTSI2SSrm	= 3205,
+    X86_VCVTSI2SSrr	= 3206,
+    X86_VCVTSI642SDZrm	= 3207,
+    X86_VCVTSI642SDZrr	= 3208,
+    X86_VCVTSI642SSZrm	= 3209,
+    X86_VCVTSI642SSZrr	= 3210,
+    X86_VCVTSS2SDZrm	= 3211,
+    X86_VCVTSS2SDZrr	= 3212,
+    X86_VCVTSS2SDrm	= 3213,
+    X86_VCVTSS2SDrr	= 3214,
+    X86_VCVTSS2SI64Zrm	= 3215,
+    X86_VCVTSS2SI64Zrr	= 3216,
+    X86_VCVTSS2SI64rm	= 3217,
+    X86_VCVTSS2SI64rr	= 3218,
+    X86_VCVTSS2SIZrm	= 3219,
+    X86_VCVTSS2SIZrr	= 3220,
+    X86_VCVTSS2SIrm	= 3221,
+    X86_VCVTSS2SIrr	= 3222,
+    X86_VCVTSS2USI64Zrm	= 3223,
+    X86_VCVTSS2USI64Zrr	= 3224,
+    X86_VCVTSS2USIZrm	= 3225,
+    X86_VCVTSS2USIZrr	= 3226,
+    X86_VCVTTPD2DQXrm	= 3227,
+    X86_VCVTTPD2DQYrm	= 3228,
+    X86_VCVTTPD2DQYrr	= 3229,
+    X86_VCVTTPD2DQZrm	= 3230,
+    X86_VCVTTPD2DQZrr	= 3231,
+    X86_VCVTTPD2DQrr	= 3232,
+    X86_VCVTTPD2UDQZrm	= 3233,
+    X86_VCVTTPD2UDQZrr	= 3234,
+    X86_VCVTTPS2DQYrm	= 3235,
+    X86_VCVTTPS2DQYrr	= 3236,
+    X86_VCVTTPS2DQZrm	= 3237,
+    X86_VCVTTPS2DQZrr	= 3238,
+    X86_VCVTTPS2DQrm	= 3239,
+    X86_VCVTTPS2DQrr	= 3240,
+    X86_VCVTTPS2UDQZrm	= 3241,
+    X86_VCVTTPS2UDQZrr	= 3242,
+    X86_VCVTTSD2SI64Zrm	= 3243,
+    X86_VCVTTSD2SI64Zrr	= 3244,
+    X86_VCVTTSD2SI64rm	= 3245,
+    X86_VCVTTSD2SI64rr	= 3246,
+    X86_VCVTTSD2SIZrm	= 3247,
+    X86_VCVTTSD2SIZrr	= 3248,
+    X86_VCVTTSD2SIrm	= 3249,
+    X86_VCVTTSD2SIrr	= 3250,
+    X86_VCVTTSD2USI64Zrm	= 3251,
+    X86_VCVTTSD2USI64Zrr	= 3252,
+    X86_VCVTTSD2USIZrm	= 3253,
+    X86_VCVTTSD2USIZrr	= 3254,
+    X86_VCVTTSS2SI64Zrm	= 3255,
+    X86_VCVTTSS2SI64Zrr	= 3256,
+    X86_VCVTTSS2SI64rm	= 3257,
+    X86_VCVTTSS2SI64rr	= 3258,
+    X86_VCVTTSS2SIZrm	= 3259,
+    X86_VCVTTSS2SIZrr	= 3260,
+    X86_VCVTTSS2SIrm	= 3261,
+    X86_VCVTTSS2SIrr	= 3262,
+    X86_VCVTTSS2USI64Zrm	= 3263,
+    X86_VCVTTSS2USI64Zrr	= 3264,
+    X86_VCVTTSS2USIZrm	= 3265,
+    X86_VCVTTSS2USIZrr	= 3266,
+    X86_VCVTUDQ2PDZrm	= 3267,
+    X86_VCVTUDQ2PDZrr	= 3268,
+    X86_VCVTUDQ2PSZrm	= 3269,
+    X86_VCVTUDQ2PSZrr	= 3270,
+    X86_VCVTUDQ2PSZrrb	= 3271,
+    X86_VCVTUSI2SDZrm	= 3272,
+    X86_VCVTUSI2SDZrr	= 3273,
+    X86_VCVTUSI2SSZrm	= 3274,
+    X86_VCVTUSI2SSZrr	= 3275,
+    X86_VCVTUSI642SDZrm	= 3276,
+    X86_VCVTUSI642SDZrr	= 3277,
+    X86_VCVTUSI642SSZrm	= 3278,
+    X86_VCVTUSI642SSZrr	= 3279,
+    X86_VDIVPDYrm	= 3280,
+    X86_VDIVPDYrr	= 3281,
+    X86_VDIVPDZrm	= 3282,
+    X86_VDIVPDZrmb	= 3283,
+    X86_VDIVPDZrmbk	= 3284,
+    X86_VDIVPDZrmbkz	= 3285,
+    X86_VDIVPDZrmk	= 3286,
+    X86_VDIVPDZrmkz	= 3287,
+    X86_VDIVPDZrr	= 3288,
+    X86_VDIVPDZrrk	= 3289,
+    X86_VDIVPDZrrkz	= 3290,
+    X86_VDIVPDrm	= 3291,
+    X86_VDIVPDrr	= 3292,
+    X86_VDIVPSYrm	= 3293,
+    X86_VDIVPSYrr	= 3294,
+    X86_VDIVPSZrm	= 3295,
+    X86_VDIVPSZrmb	= 3296,
+    X86_VDIVPSZrmbk	= 3297,
+    X86_VDIVPSZrmbkz	= 3298,
+    X86_VDIVPSZrmk	= 3299,
+    X86_VDIVPSZrmkz	= 3300,
+    X86_VDIVPSZrr	= 3301,
+    X86_VDIVPSZrrk	= 3302,
+    X86_VDIVPSZrrkz	= 3303,
+    X86_VDIVPSrm	= 3304,
+    X86_VDIVPSrr	= 3305,
+    X86_VDIVSDZrm	= 3306,
+    X86_VDIVSDZrr	= 3307,
+    X86_VDIVSDrm	= 3308,
+    X86_VDIVSDrm_Int	= 3309,
+    X86_VDIVSDrr	= 3310,
+    X86_VDIVSDrr_Int	= 3311,
+    X86_VDIVSSZrm	= 3312,
+    X86_VDIVSSZrr	= 3313,
+    X86_VDIVSSrm	= 3314,
+    X86_VDIVSSrm_Int	= 3315,
+    X86_VDIVSSrr	= 3316,
+    X86_VDIVSSrr_Int	= 3317,
+    X86_VDPPDrmi	= 3318,
+    X86_VDPPDrri	= 3319,
+    X86_VDPPSYrmi	= 3320,
+    X86_VDPPSYrri	= 3321,
+    X86_VDPPSrmi	= 3322,
+    X86_VDPPSrri	= 3323,
+    X86_VERRm	= 3324,
+    X86_VERRr	= 3325,
+    X86_VERWm	= 3326,
+    X86_VERWr	= 3327,
+    X86_VEXTRACTF128mr	= 3328,
+    X86_VEXTRACTF128rr	= 3329,
+    X86_VEXTRACTF32x4mr	= 3330,
+    X86_VEXTRACTF32x4rr	= 3331,
+    X86_VEXTRACTF64x4mr	= 3332,
+    X86_VEXTRACTF64x4rr	= 3333,
+    X86_VEXTRACTI128mr	= 3334,
+    X86_VEXTRACTI128rr	= 3335,
+    X86_VEXTRACTI32x4mr	= 3336,
+    X86_VEXTRACTI32x4rr	= 3337,
+    X86_VEXTRACTI64x4mr	= 3338,
+    X86_VEXTRACTI64x4rr	= 3339,
+    X86_VEXTRACTPSmr	= 3340,
+    X86_VEXTRACTPSrr	= 3341,
+    X86_VEXTRACTPSzmr	= 3342,
+    X86_VEXTRACTPSzrr	= 3343,
+    X86_VFMADD132PDZm	= 3344,
+    X86_VFMADD132PDZmb	= 3345,
+    X86_VFMADD132PSZm	= 3346,
+    X86_VFMADD132PSZmb	= 3347,
+    X86_VFMADD213PDZm	= 3348,
+    X86_VFMADD213PDZmb	= 3349,
+    X86_VFMADD213PDZr	= 3350,
+    X86_VFMADD213PSZm	= 3351,
+    X86_VFMADD213PSZmb	= 3352,
+    X86_VFMADD213PSZr	= 3353,
+    X86_VFMADDPD4mr	= 3354,
+    X86_VFMADDPD4mrY	= 3355,
+    X86_VFMADDPD4rm	= 3356,
+    X86_VFMADDPD4rmY	= 3357,
+    X86_VFMADDPD4rr	= 3358,
+    X86_VFMADDPD4rrY	= 3359,
+    X86_VFMADDPD4rrY_REV	= 3360,
+    X86_VFMADDPD4rr_REV	= 3361,
+    X86_VFMADDPDr132m	= 3362,
+    X86_VFMADDPDr132mY	= 3363,
+    X86_VFMADDPDr132r	= 3364,
+    X86_VFMADDPDr132rY	= 3365,
+    X86_VFMADDPDr213m	= 3366,
+    X86_VFMADDPDr213mY	= 3367,
+    X86_VFMADDPDr213r	= 3368,
+    X86_VFMADDPDr213rY	= 3369,
+    X86_VFMADDPDr231m	= 3370,
+    X86_VFMADDPDr231mY	= 3371,
+    X86_VFMADDPDr231r	= 3372,
+    X86_VFMADDPDr231rY	= 3373,
+    X86_VFMADDPS4mr	= 3374,
+    X86_VFMADDPS4mrY	= 3375,
+    X86_VFMADDPS4rm	= 3376,
+    X86_VFMADDPS4rmY	= 3377,
+    X86_VFMADDPS4rr	= 3378,
+    X86_VFMADDPS4rrY	= 3379,
+    X86_VFMADDPS4rrY_REV	= 3380,
+    X86_VFMADDPS4rr_REV	= 3381,
+    X86_VFMADDPSr132m	= 3382,
+    X86_VFMADDPSr132mY	= 3383,
+    X86_VFMADDPSr132r	= 3384,
+    X86_VFMADDPSr132rY	= 3385,
+    X86_VFMADDPSr213m	= 3386,
+    X86_VFMADDPSr213mY	= 3387,
+    X86_VFMADDPSr213r	= 3388,
+    X86_VFMADDPSr213rY	= 3389,
+    X86_VFMADDPSr231m	= 3390,
+    X86_VFMADDPSr231mY	= 3391,
+    X86_VFMADDPSr231r	= 3392,
+    X86_VFMADDPSr231rY	= 3393,
+    X86_VFMADDSD4mr	= 3394,
+    X86_VFMADDSD4mr_Int	= 3395,
+    X86_VFMADDSD4rm	= 3396,
+    X86_VFMADDSD4rm_Int	= 3397,
+    X86_VFMADDSD4rr	= 3398,
+    X86_VFMADDSD4rr_Int	= 3399,
+    X86_VFMADDSD4rr_REV	= 3400,
+    X86_VFMADDSDZm	= 3401,
+    X86_VFMADDSDZr	= 3402,
+    X86_VFMADDSDr132m	= 3403,
+    X86_VFMADDSDr132r	= 3404,
+    X86_VFMADDSDr213m	= 3405,
+    X86_VFMADDSDr213r	= 3406,
+    X86_VFMADDSDr231m	= 3407,
+    X86_VFMADDSDr231r	= 3408,
+    X86_VFMADDSS4mr	= 3409,
+    X86_VFMADDSS4mr_Int	= 3410,
+    X86_VFMADDSS4rm	= 3411,
+    X86_VFMADDSS4rm_Int	= 3412,
+    X86_VFMADDSS4rr	= 3413,
+    X86_VFMADDSS4rr_Int	= 3414,
+    X86_VFMADDSS4rr_REV	= 3415,
+    X86_VFMADDSSZm	= 3416,
+    X86_VFMADDSSZr	= 3417,
+    X86_VFMADDSSr132m	= 3418,
+    X86_VFMADDSSr132r	= 3419,
+    X86_VFMADDSSr213m	= 3420,
+    X86_VFMADDSSr213r	= 3421,
+    X86_VFMADDSSr231m	= 3422,
+    X86_VFMADDSSr231r	= 3423,
+    X86_VFMADDSUB132PDZm	= 3424,
+    X86_VFMADDSUB132PDZmb	= 3425,
+    X86_VFMADDSUB132PSZm	= 3426,
+    X86_VFMADDSUB132PSZmb	= 3427,
+    X86_VFMADDSUB213PDZm	= 3428,
+    X86_VFMADDSUB213PDZmb	= 3429,
+    X86_VFMADDSUB213PDZr	= 3430,
+    X86_VFMADDSUB213PSZm	= 3431,
+    X86_VFMADDSUB213PSZmb	= 3432,
+    X86_VFMADDSUB213PSZr	= 3433,
+    X86_VFMADDSUBPD4mr	= 3434,
+    X86_VFMADDSUBPD4mrY	= 3435,
+    X86_VFMADDSUBPD4rm	= 3436,
+    X86_VFMADDSUBPD4rmY	= 3437,
+    X86_VFMADDSUBPD4rr	= 3438,
+    X86_VFMADDSUBPD4rrY	= 3439,
+    X86_VFMADDSUBPD4rrY_REV	= 3440,
+    X86_VFMADDSUBPD4rr_REV	= 3441,
+    X86_VFMADDSUBPDr132m	= 3442,
+    X86_VFMADDSUBPDr132mY	= 3443,
+    X86_VFMADDSUBPDr132r	= 3444,
+    X86_VFMADDSUBPDr132rY	= 3445,
+    X86_VFMADDSUBPDr213m	= 3446,
+    X86_VFMADDSUBPDr213mY	= 3447,
+    X86_VFMADDSUBPDr213r	= 3448,
+    X86_VFMADDSUBPDr213rY	= 3449,
+    X86_VFMADDSUBPDr231m	= 3450,
+    X86_VFMADDSUBPDr231mY	= 3451,
+    X86_VFMADDSUBPDr231r	= 3452,
+    X86_VFMADDSUBPDr231rY	= 3453,
+    X86_VFMADDSUBPS4mr	= 3454,
+    X86_VFMADDSUBPS4mrY	= 3455,
+    X86_VFMADDSUBPS4rm	= 3456,
+    X86_VFMADDSUBPS4rmY	= 3457,
+    X86_VFMADDSUBPS4rr	= 3458,
+    X86_VFMADDSUBPS4rrY	= 3459,
+    X86_VFMADDSUBPS4rrY_REV	= 3460,
+    X86_VFMADDSUBPS4rr_REV	= 3461,
+    X86_VFMADDSUBPSr132m	= 3462,
+    X86_VFMADDSUBPSr132mY	= 3463,
+    X86_VFMADDSUBPSr132r	= 3464,
+    X86_VFMADDSUBPSr132rY	= 3465,
+    X86_VFMADDSUBPSr213m	= 3466,
+    X86_VFMADDSUBPSr213mY	= 3467,
+    X86_VFMADDSUBPSr213r	= 3468,
+    X86_VFMADDSUBPSr213rY	= 3469,
+    X86_VFMADDSUBPSr231m	= 3470,
+    X86_VFMADDSUBPSr231mY	= 3471,
+    X86_VFMADDSUBPSr231r	= 3472,
+    X86_VFMADDSUBPSr231rY	= 3473,
+    X86_VFMSUB132PDZm	= 3474,
+    X86_VFMSUB132PDZmb	= 3475,
+    X86_VFMSUB132PSZm	= 3476,
+    X86_VFMSUB132PSZmb	= 3477,
+    X86_VFMSUB213PDZm	= 3478,
+    X86_VFMSUB213PDZmb	= 3479,
+    X86_VFMSUB213PDZr	= 3480,
+    X86_VFMSUB213PSZm	= 3481,
+    X86_VFMSUB213PSZmb	= 3482,
+    X86_VFMSUB213PSZr	= 3483,
+    X86_VFMSUBADD132PDZm	= 3484,
+    X86_VFMSUBADD132PDZmb	= 3485,
+    X86_VFMSUBADD132PSZm	= 3486,
+    X86_VFMSUBADD132PSZmb	= 3487,
+    X86_VFMSUBADD213PDZm	= 3488,
+    X86_VFMSUBADD213PDZmb	= 3489,
+    X86_VFMSUBADD213PDZr	= 3490,
+    X86_VFMSUBADD213PSZm	= 3491,
+    X86_VFMSUBADD213PSZmb	= 3492,
+    X86_VFMSUBADD213PSZr	= 3493,
+    X86_VFMSUBADDPD4mr	= 3494,
+    X86_VFMSUBADDPD4mrY	= 3495,
+    X86_VFMSUBADDPD4rm	= 3496,
+    X86_VFMSUBADDPD4rmY	= 3497,
+    X86_VFMSUBADDPD4rr	= 3498,
+    X86_VFMSUBADDPD4rrY	= 3499,
+    X86_VFMSUBADDPD4rrY_REV	= 3500,
+    X86_VFMSUBADDPD4rr_REV	= 3501,
+    X86_VFMSUBADDPDr132m	= 3502,
+    X86_VFMSUBADDPDr132mY	= 3503,
+    X86_VFMSUBADDPDr132r	= 3504,
+    X86_VFMSUBADDPDr132rY	= 3505,
+    X86_VFMSUBADDPDr213m	= 3506,
+    X86_VFMSUBADDPDr213mY	= 3507,
+    X86_VFMSUBADDPDr213r	= 3508,
+    X86_VFMSUBADDPDr213rY	= 3509,
+    X86_VFMSUBADDPDr231m	= 3510,
+    X86_VFMSUBADDPDr231mY	= 3511,
+    X86_VFMSUBADDPDr231r	= 3512,
+    X86_VFMSUBADDPDr231rY	= 3513,
+    X86_VFMSUBADDPS4mr	= 3514,
+    X86_VFMSUBADDPS4mrY	= 3515,
+    X86_VFMSUBADDPS4rm	= 3516,
+    X86_VFMSUBADDPS4rmY	= 3517,
+    X86_VFMSUBADDPS4rr	= 3518,
+    X86_VFMSUBADDPS4rrY	= 3519,
+    X86_VFMSUBADDPS4rrY_REV	= 3520,
+    X86_VFMSUBADDPS4rr_REV	= 3521,
+    X86_VFMSUBADDPSr132m	= 3522,
+    X86_VFMSUBADDPSr132mY	= 3523,
+    X86_VFMSUBADDPSr132r	= 3524,
+    X86_VFMSUBADDPSr132rY	= 3525,
+    X86_VFMSUBADDPSr213m	= 3526,
+    X86_VFMSUBADDPSr213mY	= 3527,
+    X86_VFMSUBADDPSr213r	= 3528,
+    X86_VFMSUBADDPSr213rY	= 3529,
+    X86_VFMSUBADDPSr231m	= 3530,
+    X86_VFMSUBADDPSr231mY	= 3531,
+    X86_VFMSUBADDPSr231r	= 3532,
+    X86_VFMSUBADDPSr231rY	= 3533,
+    X86_VFMSUBPD4mr	= 3534,
+    X86_VFMSUBPD4mrY	= 3535,
+    X86_VFMSUBPD4rm	= 3536,
+    X86_VFMSUBPD4rmY	= 3537,
+    X86_VFMSUBPD4rr	= 3538,
+    X86_VFMSUBPD4rrY	= 3539,
+    X86_VFMSUBPD4rrY_REV	= 3540,
+    X86_VFMSUBPD4rr_REV	= 3541,
+    X86_VFMSUBPDr132m	= 3542,
+    X86_VFMSUBPDr132mY	= 3543,
+    X86_VFMSUBPDr132r	= 3544,
+    X86_VFMSUBPDr132rY	= 3545,
+    X86_VFMSUBPDr213m	= 3546,
+    X86_VFMSUBPDr213mY	= 3547,
+    X86_VFMSUBPDr213r	= 3548,
+    X86_VFMSUBPDr213rY	= 3549,
+    X86_VFMSUBPDr231m	= 3550,
+    X86_VFMSUBPDr231mY	= 3551,
+    X86_VFMSUBPDr231r	= 3552,
+    X86_VFMSUBPDr231rY	= 3553,
+    X86_VFMSUBPS4mr	= 3554,
+    X86_VFMSUBPS4mrY	= 3555,
+    X86_VFMSUBPS4rm	= 3556,
+    X86_VFMSUBPS4rmY	= 3557,
+    X86_VFMSUBPS4rr	= 3558,
+    X86_VFMSUBPS4rrY	= 3559,
+    X86_VFMSUBPS4rrY_REV	= 3560,
+    X86_VFMSUBPS4rr_REV	= 3561,
+    X86_VFMSUBPSr132m	= 3562,
+    X86_VFMSUBPSr132mY	= 3563,
+    X86_VFMSUBPSr132r	= 3564,
+    X86_VFMSUBPSr132rY	= 3565,
+    X86_VFMSUBPSr213m	= 3566,
+    X86_VFMSUBPSr213mY	= 3567,
+    X86_VFMSUBPSr213r	= 3568,
+    X86_VFMSUBPSr213rY	= 3569,
+    X86_VFMSUBPSr231m	= 3570,
+    X86_VFMSUBPSr231mY	= 3571,
+    X86_VFMSUBPSr231r	= 3572,
+    X86_VFMSUBPSr231rY	= 3573,
+    X86_VFMSUBSD4mr	= 3574,
+    X86_VFMSUBSD4mr_Int	= 3575,
+    X86_VFMSUBSD4rm	= 3576,
+    X86_VFMSUBSD4rm_Int	= 3577,
+    X86_VFMSUBSD4rr	= 3578,
+    X86_VFMSUBSD4rr_Int	= 3579,
+    X86_VFMSUBSD4rr_REV	= 3580,
+    X86_VFMSUBSDZm	= 3581,
+    X86_VFMSUBSDZr	= 3582,
+    X86_VFMSUBSDr132m	= 3583,
+    X86_VFMSUBSDr132r	= 3584,
+    X86_VFMSUBSDr213m	= 3585,
+    X86_VFMSUBSDr213r	= 3586,
+    X86_VFMSUBSDr231m	= 3587,
+    X86_VFMSUBSDr231r	= 3588,
+    X86_VFMSUBSS4mr	= 3589,
+    X86_VFMSUBSS4mr_Int	= 3590,
+    X86_VFMSUBSS4rm	= 3591,
+    X86_VFMSUBSS4rm_Int	= 3592,
+    X86_VFMSUBSS4rr	= 3593,
+    X86_VFMSUBSS4rr_Int	= 3594,
+    X86_VFMSUBSS4rr_REV	= 3595,
+    X86_VFMSUBSSZm	= 3596,
+    X86_VFMSUBSSZr	= 3597,
+    X86_VFMSUBSSr132m	= 3598,
+    X86_VFMSUBSSr132r	= 3599,
+    X86_VFMSUBSSr213m	= 3600,
+    X86_VFMSUBSSr213r	= 3601,
+    X86_VFMSUBSSr231m	= 3602,
+    X86_VFMSUBSSr231r	= 3603,
+    X86_VFNMADD132PDZm	= 3604,
+    X86_VFNMADD132PDZmb	= 3605,
+    X86_VFNMADD132PSZm	= 3606,
+    X86_VFNMADD132PSZmb	= 3607,
+    X86_VFNMADD213PDZm	= 3608,
+    X86_VFNMADD213PDZmb	= 3609,
+    X86_VFNMADD213PDZr	= 3610,
+    X86_VFNMADD213PSZm	= 3611,
+    X86_VFNMADD213PSZmb	= 3612,
+    X86_VFNMADD213PSZr	= 3613,
+    X86_VFNMADDPD4mr	= 3614,
+    X86_VFNMADDPD4mrY	= 3615,
+    X86_VFNMADDPD4rm	= 3616,
+    X86_VFNMADDPD4rmY	= 3617,
+    X86_VFNMADDPD4rr	= 3618,
+    X86_VFNMADDPD4rrY	= 3619,
+    X86_VFNMADDPD4rrY_REV	= 3620,
+    X86_VFNMADDPD4rr_REV	= 3621,
+    X86_VFNMADDPDr132m	= 3622,
+    X86_VFNMADDPDr132mY	= 3623,
+    X86_VFNMADDPDr132r	= 3624,
+    X86_VFNMADDPDr132rY	= 3625,
+    X86_VFNMADDPDr213m	= 3626,
+    X86_VFNMADDPDr213mY	= 3627,
+    X86_VFNMADDPDr213r	= 3628,
+    X86_VFNMADDPDr213rY	= 3629,
+    X86_VFNMADDPDr231m	= 3630,
+    X86_VFNMADDPDr231mY	= 3631,
+    X86_VFNMADDPDr231r	= 3632,
+    X86_VFNMADDPDr231rY	= 3633,
+    X86_VFNMADDPS4mr	= 3634,
+    X86_VFNMADDPS4mrY	= 3635,
+    X86_VFNMADDPS4rm	= 3636,
+    X86_VFNMADDPS4rmY	= 3637,
+    X86_VFNMADDPS4rr	= 3638,
+    X86_VFNMADDPS4rrY	= 3639,
+    X86_VFNMADDPS4rrY_REV	= 3640,
+    X86_VFNMADDPS4rr_REV	= 3641,
+    X86_VFNMADDPSr132m	= 3642,
+    X86_VFNMADDPSr132mY	= 3643,
+    X86_VFNMADDPSr132r	= 3644,
+    X86_VFNMADDPSr132rY	= 3645,
+    X86_VFNMADDPSr213m	= 3646,
+    X86_VFNMADDPSr213mY	= 3647,
+    X86_VFNMADDPSr213r	= 3648,
+    X86_VFNMADDPSr213rY	= 3649,
+    X86_VFNMADDPSr231m	= 3650,
+    X86_VFNMADDPSr231mY	= 3651,
+    X86_VFNMADDPSr231r	= 3652,
+    X86_VFNMADDPSr231rY	= 3653,
+    X86_VFNMADDSD4mr	= 3654,
+    X86_VFNMADDSD4mr_Int	= 3655,
+    X86_VFNMADDSD4rm	= 3656,
+    X86_VFNMADDSD4rm_Int	= 3657,
+    X86_VFNMADDSD4rr	= 3658,
+    X86_VFNMADDSD4rr_Int	= 3659,
+    X86_VFNMADDSD4rr_REV	= 3660,
+    X86_VFNMADDSDZm	= 3661,
+    X86_VFNMADDSDZr	= 3662,
+    X86_VFNMADDSDr132m	= 3663,
+    X86_VFNMADDSDr132r	= 3664,
+    X86_VFNMADDSDr213m	= 3665,
+    X86_VFNMADDSDr213r	= 3666,
+    X86_VFNMADDSDr231m	= 3667,
+    X86_VFNMADDSDr231r	= 3668,
+    X86_VFNMADDSS4mr	= 3669,
+    X86_VFNMADDSS4mr_Int	= 3670,
+    X86_VFNMADDSS4rm	= 3671,
+    X86_VFNMADDSS4rm_Int	= 3672,
+    X86_VFNMADDSS4rr	= 3673,
+    X86_VFNMADDSS4rr_Int	= 3674,
+    X86_VFNMADDSS4rr_REV	= 3675,
+    X86_VFNMADDSSZm	= 3676,
+    X86_VFNMADDSSZr	= 3677,
+    X86_VFNMADDSSr132m	= 3678,
+    X86_VFNMADDSSr132r	= 3679,
+    X86_VFNMADDSSr213m	= 3680,
+    X86_VFNMADDSSr213r	= 3681,
+    X86_VFNMADDSSr231m	= 3682,
+    X86_VFNMADDSSr231r	= 3683,
+    X86_VFNMSUB132PDZm	= 3684,
+    X86_VFNMSUB132PDZmb	= 3685,
+    X86_VFNMSUB132PSZm	= 3686,
+    X86_VFNMSUB132PSZmb	= 3687,
+    X86_VFNMSUB213PDZm	= 3688,
+    X86_VFNMSUB213PDZmb	= 3689,
+    X86_VFNMSUB213PDZr	= 3690,
+    X86_VFNMSUB213PSZm	= 3691,
+    X86_VFNMSUB213PSZmb	= 3692,
+    X86_VFNMSUB213PSZr	= 3693,
+    X86_VFNMSUBPD4mr	= 3694,
+    X86_VFNMSUBPD4mrY	= 3695,
+    X86_VFNMSUBPD4rm	= 3696,
+    X86_VFNMSUBPD4rmY	= 3697,
+    X86_VFNMSUBPD4rr	= 3698,
+    X86_VFNMSUBPD4rrY	= 3699,
+    X86_VFNMSUBPD4rrY_REV	= 3700,
+    X86_VFNMSUBPD4rr_REV	= 3701,
+    X86_VFNMSUBPDr132m	= 3702,
+    X86_VFNMSUBPDr132mY	= 3703,
+    X86_VFNMSUBPDr132r	= 3704,
+    X86_VFNMSUBPDr132rY	= 3705,
+    X86_VFNMSUBPDr213m	= 3706,
+    X86_VFNMSUBPDr213mY	= 3707,
+    X86_VFNMSUBPDr213r	= 3708,
+    X86_VFNMSUBPDr213rY	= 3709,
+    X86_VFNMSUBPDr231m	= 3710,
+    X86_VFNMSUBPDr231mY	= 3711,
+    X86_VFNMSUBPDr231r	= 3712,
+    X86_VFNMSUBPDr231rY	= 3713,
+    X86_VFNMSUBPS4mr	= 3714,
+    X86_VFNMSUBPS4mrY	= 3715,
+    X86_VFNMSUBPS4rm	= 3716,
+    X86_VFNMSUBPS4rmY	= 3717,
+    X86_VFNMSUBPS4rr	= 3718,
+    X86_VFNMSUBPS4rrY	= 3719,
+    X86_VFNMSUBPS4rrY_REV	= 3720,
+    X86_VFNMSUBPS4rr_REV	= 3721,
+    X86_VFNMSUBPSr132m	= 3722,
+    X86_VFNMSUBPSr132mY	= 3723,
+    X86_VFNMSUBPSr132r	= 3724,
+    X86_VFNMSUBPSr132rY	= 3725,
+    X86_VFNMSUBPSr213m	= 3726,
+    X86_VFNMSUBPSr213mY	= 3727,
+    X86_VFNMSUBPSr213r	= 3728,
+    X86_VFNMSUBPSr213rY	= 3729,
+    X86_VFNMSUBPSr231m	= 3730,
+    X86_VFNMSUBPSr231mY	= 3731,
+    X86_VFNMSUBPSr231r	= 3732,
+    X86_VFNMSUBPSr231rY	= 3733,
+    X86_VFNMSUBSD4mr	= 3734,
+    X86_VFNMSUBSD4mr_Int	= 3735,
+    X86_VFNMSUBSD4rm	= 3736,
+    X86_VFNMSUBSD4rm_Int	= 3737,
+    X86_VFNMSUBSD4rr	= 3738,
+    X86_VFNMSUBSD4rr_Int	= 3739,
+    X86_VFNMSUBSD4rr_REV	= 3740,
+    X86_VFNMSUBSDZm	= 3741,
+    X86_VFNMSUBSDZr	= 3742,
+    X86_VFNMSUBSDr132m	= 3743,
+    X86_VFNMSUBSDr132r	= 3744,
+    X86_VFNMSUBSDr213m	= 3745,
+    X86_VFNMSUBSDr213r	= 3746,
+    X86_VFNMSUBSDr231m	= 3747,
+    X86_VFNMSUBSDr231r	= 3748,
+    X86_VFNMSUBSS4mr	= 3749,
+    X86_VFNMSUBSS4mr_Int	= 3750,
+    X86_VFNMSUBSS4rm	= 3751,
+    X86_VFNMSUBSS4rm_Int	= 3752,
+    X86_VFNMSUBSS4rr	= 3753,
+    X86_VFNMSUBSS4rr_Int	= 3754,
+    X86_VFNMSUBSS4rr_REV	= 3755,
+    X86_VFNMSUBSSZm	= 3756,
+    X86_VFNMSUBSSZr	= 3757,
+    X86_VFNMSUBSSr132m	= 3758,
+    X86_VFNMSUBSSr132r	= 3759,
+    X86_VFNMSUBSSr213m	= 3760,
+    X86_VFNMSUBSSr213r	= 3761,
+    X86_VFNMSUBSSr231m	= 3762,
+    X86_VFNMSUBSSr231r	= 3763,
+    X86_VFRCZPDrm	= 3764,
+    X86_VFRCZPDrmY	= 3765,
+    X86_VFRCZPDrr	= 3766,
+    X86_VFRCZPDrrY	= 3767,
+    X86_VFRCZPSrm	= 3768,
+    X86_VFRCZPSrmY	= 3769,
+    X86_VFRCZPSrr	= 3770,
+    X86_VFRCZPSrrY	= 3771,
+    X86_VFRCZSDrm	= 3772,
+    X86_VFRCZSDrr	= 3773,
+    X86_VFRCZSSrm	= 3774,
+    X86_VFRCZSSrr	= 3775,
+    X86_VFsANDNPDrm	= 3776,
+    X86_VFsANDNPDrr	= 3777,
+    X86_VFsANDNPSrm	= 3778,
+    X86_VFsANDNPSrr	= 3779,
+    X86_VFsANDPDrm	= 3780,
+    X86_VFsANDPDrr	= 3781,
+    X86_VFsANDPSrm	= 3782,
+    X86_VFsANDPSrr	= 3783,
+    X86_VFsORPDrm	= 3784,
+    X86_VFsORPDrr	= 3785,
+    X86_VFsORPSrm	= 3786,
+    X86_VFsORPSrr	= 3787,
+    X86_VFsXORPDrm	= 3788,
+    X86_VFsXORPDrr	= 3789,
+    X86_VFsXORPSrm	= 3790,
+    X86_VFsXORPSrr	= 3791,
+    X86_VGATHERDPDYrm	= 3792,
+    X86_VGATHERDPDZrm	= 3793,
+    X86_VGATHERDPDrm	= 3794,
+    X86_VGATHERDPSYrm	= 3795,
+    X86_VGATHERDPSZrm	= 3796,
+    X86_VGATHERDPSrm	= 3797,
+    X86_VGATHERPF0DPDm	= 3798,
+    X86_VGATHERPF0DPSm	= 3799,
+    X86_VGATHERPF0QPDm	= 3800,
+    X86_VGATHERPF0QPSm	= 3801,
+    X86_VGATHERPF1DPDm	= 3802,
+    X86_VGATHERPF1DPSm	= 3803,
+    X86_VGATHERPF1QPDm	= 3804,
+    X86_VGATHERPF1QPSm	= 3805,
+    X86_VGATHERQPDYrm	= 3806,
+    X86_VGATHERQPDZrm	= 3807,
+    X86_VGATHERQPDrm	= 3808,
+    X86_VGATHERQPSYrm	= 3809,
+    X86_VGATHERQPSZrm	= 3810,
+    X86_VGATHERQPSrm	= 3811,
+    X86_VHADDPDYrm	= 3812,
+    X86_VHADDPDYrr	= 3813,
+    X86_VHADDPDrm	= 3814,
+    X86_VHADDPDrr	= 3815,
+    X86_VHADDPSYrm	= 3816,
+    X86_VHADDPSYrr	= 3817,
+    X86_VHADDPSrm	= 3818,
+    X86_VHADDPSrr	= 3819,
+    X86_VHSUBPDYrm	= 3820,
+    X86_VHSUBPDYrr	= 3821,
+    X86_VHSUBPDrm	= 3822,
+    X86_VHSUBPDrr	= 3823,
+    X86_VHSUBPSYrm	= 3824,
+    X86_VHSUBPSYrr	= 3825,
+    X86_VHSUBPSrm	= 3826,
+    X86_VHSUBPSrr	= 3827,
+    X86_VINSERTF128rm	= 3828,
+    X86_VINSERTF128rr	= 3829,
+    X86_VINSERTF32x4rm	= 3830,
+    X86_VINSERTF32x4rr	= 3831,
+    X86_VINSERTF64x4rm	= 3832,
+    X86_VINSERTF64x4rr	= 3833,
+    X86_VINSERTI128rm	= 3834,
+    X86_VINSERTI128rr	= 3835,
+    X86_VINSERTI32x4rm	= 3836,
+    X86_VINSERTI32x4rr	= 3837,
+    X86_VINSERTI64x4rm	= 3838,
+    X86_VINSERTI64x4rr	= 3839,
+    X86_VINSERTPSrm	= 3840,
+    X86_VINSERTPSrr	= 3841,
+    X86_VINSERTPSzrm	= 3842,
+    X86_VINSERTPSzrr	= 3843,
+    X86_VLDDQUYrm	= 3844,
+    X86_VLDDQUrm	= 3845,
+    X86_VLDMXCSR	= 3846,
+    X86_VMASKMOVDQU	= 3847,
+    X86_VMASKMOVDQU64	= 3848,
+    X86_VMASKMOVPDYmr	= 3849,
+    X86_VMASKMOVPDYrm	= 3850,
+    X86_VMASKMOVPDmr	= 3851,
+    X86_VMASKMOVPDrm	= 3852,
+    X86_VMASKMOVPSYmr	= 3853,
+    X86_VMASKMOVPSYrm	= 3854,
+    X86_VMASKMOVPSmr	= 3855,
+    X86_VMASKMOVPSrm	= 3856,
+    X86_VMAXCPDYrm	= 3857,
+    X86_VMAXCPDYrr	= 3858,
+    X86_VMAXCPDrm	= 3859,
+    X86_VMAXCPDrr	= 3860,
+    X86_VMAXCPSYrm	= 3861,
+    X86_VMAXCPSYrr	= 3862,
+    X86_VMAXCPSrm	= 3863,
+    X86_VMAXCPSrr	= 3864,
+    X86_VMAXCSDrm	= 3865,
+    X86_VMAXCSDrr	= 3866,
+    X86_VMAXCSSrm	= 3867,
+    X86_VMAXCSSrr	= 3868,
+    X86_VMAXPDYrm	= 3869,
+    X86_VMAXPDYrr	= 3870,
+    X86_VMAXPDZrm	= 3871,
+    X86_VMAXPDZrmb	= 3872,
+    X86_VMAXPDZrmbk	= 3873,
+    X86_VMAXPDZrmbkz	= 3874,
+    X86_VMAXPDZrmk	= 3875,
+    X86_VMAXPDZrmkz	= 3876,
+    X86_VMAXPDZrr	= 3877,
+    X86_VMAXPDZrrk	= 3878,
+    X86_VMAXPDZrrkz	= 3879,
+    X86_VMAXPDrm	= 3880,
+    X86_VMAXPDrr	= 3881,
+    X86_VMAXPSYrm	= 3882,
+    X86_VMAXPSYrr	= 3883,
+    X86_VMAXPSZrm	= 3884,
+    X86_VMAXPSZrmb	= 3885,
+    X86_VMAXPSZrmbk	= 3886,
+    X86_VMAXPSZrmbkz	= 3887,
+    X86_VMAXPSZrmk	= 3888,
+    X86_VMAXPSZrmkz	= 3889,
+    X86_VMAXPSZrr	= 3890,
+    X86_VMAXPSZrrk	= 3891,
+    X86_VMAXPSZrrkz	= 3892,
+    X86_VMAXPSrm	= 3893,
+    X86_VMAXPSrr	= 3894,
+    X86_VMAXSDZrm	= 3895,
+    X86_VMAXSDZrr	= 3896,
+    X86_VMAXSDrm	= 3897,
+    X86_VMAXSDrm_Int	= 3898,
+    X86_VMAXSDrr	= 3899,
+    X86_VMAXSDrr_Int	= 3900,
+    X86_VMAXSSZrm	= 3901,
+    X86_VMAXSSZrr	= 3902,
+    X86_VMAXSSrm	= 3903,
+    X86_VMAXSSrm_Int	= 3904,
+    X86_VMAXSSrr	= 3905,
+    X86_VMAXSSrr_Int	= 3906,
+    X86_VMCALL	= 3907,
+    X86_VMCLEARm	= 3908,
+    X86_VMFUNC	= 3909,
+    X86_VMINCPDYrm	= 3910,
+    X86_VMINCPDYrr	= 3911,
+    X86_VMINCPDrm	= 3912,
+    X86_VMINCPDrr	= 3913,
+    X86_VMINCPSYrm	= 3914,
+    X86_VMINCPSYrr	= 3915,
+    X86_VMINCPSrm	= 3916,
+    X86_VMINCPSrr	= 3917,
+    X86_VMINCSDrm	= 3918,
+    X86_VMINCSDrr	= 3919,
+    X86_VMINCSSrm	= 3920,
+    X86_VMINCSSrr	= 3921,
+    X86_VMINPDYrm	= 3922,
+    X86_VMINPDYrr	= 3923,
+    X86_VMINPDZrm	= 3924,
+    X86_VMINPDZrmb	= 3925,
+    X86_VMINPDZrmbk	= 3926,
+    X86_VMINPDZrmbkz	= 3927,
+    X86_VMINPDZrmk	= 3928,
+    X86_VMINPDZrmkz	= 3929,
+    X86_VMINPDZrr	= 3930,
+    X86_VMINPDZrrk	= 3931,
+    X86_VMINPDZrrkz	= 3932,
+    X86_VMINPDrm	= 3933,
+    X86_VMINPDrr	= 3934,
+    X86_VMINPSYrm	= 3935,
+    X86_VMINPSYrr	= 3936,
+    X86_VMINPSZrm	= 3937,
+    X86_VMINPSZrmb	= 3938,
+    X86_VMINPSZrmbk	= 3939,
+    X86_VMINPSZrmbkz	= 3940,
+    X86_VMINPSZrmk	= 3941,
+    X86_VMINPSZrmkz	= 3942,
+    X86_VMINPSZrr	= 3943,
+    X86_VMINPSZrrk	= 3944,
+    X86_VMINPSZrrkz	= 3945,
+    X86_VMINPSrm	= 3946,
+    X86_VMINPSrr	= 3947,
+    X86_VMINSDZrm	= 3948,
+    X86_VMINSDZrr	= 3949,
+    X86_VMINSDrm	= 3950,
+    X86_VMINSDrm_Int	= 3951,
+    X86_VMINSDrr	= 3952,
+    X86_VMINSDrr_Int	= 3953,
+    X86_VMINSSZrm	= 3954,
+    X86_VMINSSZrr	= 3955,
+    X86_VMINSSrm	= 3956,
+    X86_VMINSSrm_Int	= 3957,
+    X86_VMINSSrr	= 3958,
+    X86_VMINSSrr_Int	= 3959,
+    X86_VMLAUNCH	= 3960,
+    X86_VMLOAD32	= 3961,
+    X86_VMLOAD64	= 3962,
+    X86_VMMCALL	= 3963,
+    X86_VMOV64toPQIZrr	= 3964,
+    X86_VMOV64toPQIrr	= 3965,
+    X86_VMOV64toSDZrr	= 3966,
+    X86_VMOV64toSDrm	= 3967,
+    X86_VMOV64toSDrr	= 3968,
+    X86_VMOVAPDYmr	= 3969,
+    X86_VMOVAPDYrm	= 3970,
+    X86_VMOVAPDYrr	= 3971,
+    X86_VMOVAPDYrr_REV	= 3972,
+    X86_VMOVAPDZ128mr	= 3973,
+    X86_VMOVAPDZ128mrk	= 3974,
+    X86_VMOVAPDZ128rm	= 3975,
+    X86_VMOVAPDZ128rmk	= 3976,
+    X86_VMOVAPDZ128rmkz	= 3977,
+    X86_VMOVAPDZ128rr	= 3978,
+    X86_VMOVAPDZ128rr_alt	= 3979,
+    X86_VMOVAPDZ128rrk	= 3980,
+    X86_VMOVAPDZ128rrk_alt	= 3981,
+    X86_VMOVAPDZ128rrkz	= 3982,
+    X86_VMOVAPDZ128rrkz_alt	= 3983,
+    X86_VMOVAPDZ256mr	= 3984,
+    X86_VMOVAPDZ256mrk	= 3985,
+    X86_VMOVAPDZ256rm	= 3986,
+    X86_VMOVAPDZ256rmk	= 3987,
+    X86_VMOVAPDZ256rmkz	= 3988,
+    X86_VMOVAPDZ256rr	= 3989,
+    X86_VMOVAPDZ256rr_alt	= 3990,
+    X86_VMOVAPDZ256rrk	= 3991,
+    X86_VMOVAPDZ256rrk_alt	= 3992,
+    X86_VMOVAPDZ256rrkz	= 3993,
+    X86_VMOVAPDZ256rrkz_alt	= 3994,
+    X86_VMOVAPDZmr	= 3995,
+    X86_VMOVAPDZmrk	= 3996,
+    X86_VMOVAPDZrm	= 3997,
+    X86_VMOVAPDZrmk	= 3998,
+    X86_VMOVAPDZrmkz	= 3999,
+    X86_VMOVAPDZrr	= 4000,
+    X86_VMOVAPDZrr_alt	= 4001,
+    X86_VMOVAPDZrrk	= 4002,
+    X86_VMOVAPDZrrk_alt	= 4003,
+    X86_VMOVAPDZrrkz	= 4004,
+    X86_VMOVAPDZrrkz_alt	= 4005,
+    X86_VMOVAPDmr	= 4006,
+    X86_VMOVAPDrm	= 4007,
+    X86_VMOVAPDrr	= 4008,
+    X86_VMOVAPDrr_REV	= 4009,
+    X86_VMOVAPSYmr	= 4010,
+    X86_VMOVAPSYrm	= 4011,
+    X86_VMOVAPSYrr	= 4012,
+    X86_VMOVAPSYrr_REV	= 4013,
+    X86_VMOVAPSZ128mr	= 4014,
+    X86_VMOVAPSZ128mrk	= 4015,
+    X86_VMOVAPSZ128rm	= 4016,
+    X86_VMOVAPSZ128rmk	= 4017,
+    X86_VMOVAPSZ128rmkz	= 4018,
+    X86_VMOVAPSZ128rr	= 4019,
+    X86_VMOVAPSZ128rr_alt	= 4020,
+    X86_VMOVAPSZ128rrk	= 4021,
+    X86_VMOVAPSZ128rrk_alt	= 4022,
+    X86_VMOVAPSZ128rrkz	= 4023,
+    X86_VMOVAPSZ128rrkz_alt	= 4024,
+    X86_VMOVAPSZ256mr	= 4025,
+    X86_VMOVAPSZ256mrk	= 4026,
+    X86_VMOVAPSZ256rm	= 4027,
+    X86_VMOVAPSZ256rmk	= 4028,
+    X86_VMOVAPSZ256rmkz	= 4029,
+    X86_VMOVAPSZ256rr	= 4030,
+    X86_VMOVAPSZ256rr_alt	= 4031,
+    X86_VMOVAPSZ256rrk	= 4032,
+    X86_VMOVAPSZ256rrk_alt	= 4033,
+    X86_VMOVAPSZ256rrkz	= 4034,
+    X86_VMOVAPSZ256rrkz_alt	= 4035,
+    X86_VMOVAPSZmr	= 4036,
+    X86_VMOVAPSZmrk	= 4037,
+    X86_VMOVAPSZrm	= 4038,
+    X86_VMOVAPSZrmk	= 4039,
+    X86_VMOVAPSZrmkz	= 4040,
+    X86_VMOVAPSZrr	= 4041,
+    X86_VMOVAPSZrr_alt	= 4042,
+    X86_VMOVAPSZrrk	= 4043,
+    X86_VMOVAPSZrrk_alt	= 4044,
+    X86_VMOVAPSZrrkz	= 4045,
+    X86_VMOVAPSZrrkz_alt	= 4046,
+    X86_VMOVAPSmr	= 4047,
+    X86_VMOVAPSrm	= 4048,
+    X86_VMOVAPSrr	= 4049,
+    X86_VMOVAPSrr_REV	= 4050,
+    X86_VMOVDDUPYrm	= 4051,
+    X86_VMOVDDUPYrr	= 4052,
+    X86_VMOVDDUPZrm	= 4053,
+    X86_VMOVDDUPZrr	= 4054,
+    X86_VMOVDDUPrm	= 4055,
+    X86_VMOVDDUPrr	= 4056,
+    X86_VMOVDI2PDIZrm	= 4057,
+    X86_VMOVDI2PDIZrr	= 4058,
+    X86_VMOVDI2PDIrm	= 4059,
+    X86_VMOVDI2PDIrr	= 4060,
+    X86_VMOVDI2SSZrm	= 4061,
+    X86_VMOVDI2SSZrr	= 4062,
+    X86_VMOVDI2SSrm	= 4063,
+    X86_VMOVDI2SSrr	= 4064,
+    X86_VMOVDQA32Z128mr	= 4065,
+    X86_VMOVDQA32Z128mrk	= 4066,
+    X86_VMOVDQA32Z128rm	= 4067,
+    X86_VMOVDQA32Z128rmk	= 4068,
+    X86_VMOVDQA32Z128rmkz	= 4069,
+    X86_VMOVDQA32Z128rr	= 4070,
+    X86_VMOVDQA32Z128rr_alt	= 4071,
+    X86_VMOVDQA32Z128rrk	= 4072,
+    X86_VMOVDQA32Z128rrk_alt	= 4073,
+    X86_VMOVDQA32Z128rrkz	= 4074,
+    X86_VMOVDQA32Z128rrkz_alt	= 4075,
+    X86_VMOVDQA32Z256mr	= 4076,
+    X86_VMOVDQA32Z256mrk	= 4077,
+    X86_VMOVDQA32Z256rm	= 4078,
+    X86_VMOVDQA32Z256rmk	= 4079,
+    X86_VMOVDQA32Z256rmkz	= 4080,
+    X86_VMOVDQA32Z256rr	= 4081,
+    X86_VMOVDQA32Z256rr_alt	= 4082,
+    X86_VMOVDQA32Z256rrk	= 4083,
+    X86_VMOVDQA32Z256rrk_alt	= 4084,
+    X86_VMOVDQA32Z256rrkz	= 4085,
+    X86_VMOVDQA32Z256rrkz_alt	= 4086,
+    X86_VMOVDQA32Zmr	= 4087,
+    X86_VMOVDQA32Zmrk	= 4088,
+    X86_VMOVDQA32Zrm	= 4089,
+    X86_VMOVDQA32Zrmk	= 4090,
+    X86_VMOVDQA32Zrmkz	= 4091,
+    X86_VMOVDQA32Zrr	= 4092,
+    X86_VMOVDQA32Zrr_alt	= 4093,
+    X86_VMOVDQA32Zrrk	= 4094,
+    X86_VMOVDQA32Zrrk_alt	= 4095,
+    X86_VMOVDQA32Zrrkz	= 4096,
+    X86_VMOVDQA32Zrrkz_alt	= 4097,
+    X86_VMOVDQA64Z128mr	= 4098,
+    X86_VMOVDQA64Z128mrk	= 4099,
+    X86_VMOVDQA64Z128rm	= 4100,
+    X86_VMOVDQA64Z128rmk	= 4101,
+    X86_VMOVDQA64Z128rmkz	= 4102,
+    X86_VMOVDQA64Z128rr	= 4103,
+    X86_VMOVDQA64Z128rr_alt	= 4104,
+    X86_VMOVDQA64Z128rrk	= 4105,
+    X86_VMOVDQA64Z128rrk_alt	= 4106,
+    X86_VMOVDQA64Z128rrkz	= 4107,
+    X86_VMOVDQA64Z128rrkz_alt	= 4108,
+    X86_VMOVDQA64Z256mr	= 4109,
+    X86_VMOVDQA64Z256mrk	= 4110,
+    X86_VMOVDQA64Z256rm	= 4111,
+    X86_VMOVDQA64Z256rmk	= 4112,
+    X86_VMOVDQA64Z256rmkz	= 4113,
+    X86_VMOVDQA64Z256rr	= 4114,
+    X86_VMOVDQA64Z256rr_alt	= 4115,
+    X86_VMOVDQA64Z256rrk	= 4116,
+    X86_VMOVDQA64Z256rrk_alt	= 4117,
+    X86_VMOVDQA64Z256rrkz	= 4118,
+    X86_VMOVDQA64Z256rrkz_alt	= 4119,
+    X86_VMOVDQA64Zmr	= 4120,
+    X86_VMOVDQA64Zmrk	= 4121,
+    X86_VMOVDQA64Zrm	= 4122,
+    X86_VMOVDQA64Zrmk	= 4123,
+    X86_VMOVDQA64Zrmkz	= 4124,
+    X86_VMOVDQA64Zrr	= 4125,
+    X86_VMOVDQA64Zrr_alt	= 4126,
+    X86_VMOVDQA64Zrrk	= 4127,
+    X86_VMOVDQA64Zrrk_alt	= 4128,
+    X86_VMOVDQA64Zrrkz	= 4129,
+    X86_VMOVDQA64Zrrkz_alt	= 4130,
+    X86_VMOVDQAYmr	= 4131,
+    X86_VMOVDQAYrm	= 4132,
+    X86_VMOVDQAYrr	= 4133,
+    X86_VMOVDQAYrr_REV	= 4134,
+    X86_VMOVDQAmr	= 4135,
+    X86_VMOVDQArm	= 4136,
+    X86_VMOVDQArr	= 4137,
+    X86_VMOVDQArr_REV	= 4138,
+    X86_VMOVDQU16Z128mr	= 4139,
+    X86_VMOVDQU16Z128mrk	= 4140,
+    X86_VMOVDQU16Z128rm	= 4141,
+    X86_VMOVDQU16Z128rmk	= 4142,
+    X86_VMOVDQU16Z128rmkz	= 4143,
+    X86_VMOVDQU16Z128rr	= 4144,
+    X86_VMOVDQU16Z128rr_alt	= 4145,
+    X86_VMOVDQU16Z128rrk	= 4146,
+    X86_VMOVDQU16Z128rrk_alt	= 4147,
+    X86_VMOVDQU16Z128rrkz	= 4148,
+    X86_VMOVDQU16Z128rrkz_alt	= 4149,
+    X86_VMOVDQU16Z256mr	= 4150,
+    X86_VMOVDQU16Z256mrk	= 4151,
+    X86_VMOVDQU16Z256rm	= 4152,
+    X86_VMOVDQU16Z256rmk	= 4153,
+    X86_VMOVDQU16Z256rmkz	= 4154,
+    X86_VMOVDQU16Z256rr	= 4155,
+    X86_VMOVDQU16Z256rr_alt	= 4156,
+    X86_VMOVDQU16Z256rrk	= 4157,
+    X86_VMOVDQU16Z256rrk_alt	= 4158,
+    X86_VMOVDQU16Z256rrkz	= 4159,
+    X86_VMOVDQU16Z256rrkz_alt	= 4160,
+    X86_VMOVDQU16Zmr	= 4161,
+    X86_VMOVDQU16Zmrk	= 4162,
+    X86_VMOVDQU16Zrm	= 4163,
+    X86_VMOVDQU16Zrmk	= 4164,
+    X86_VMOVDQU16Zrmkz	= 4165,
+    X86_VMOVDQU16Zrr	= 4166,
+    X86_VMOVDQU16Zrr_alt	= 4167,
+    X86_VMOVDQU16Zrrk	= 4168,
+    X86_VMOVDQU16Zrrk_alt	= 4169,
+    X86_VMOVDQU16Zrrkz	= 4170,
+    X86_VMOVDQU16Zrrkz_alt	= 4171,
+    X86_VMOVDQU32Z128mr	= 4172,
+    X86_VMOVDQU32Z128mrk	= 4173,
+    X86_VMOVDQU32Z128rm	= 4174,
+    X86_VMOVDQU32Z128rmk	= 4175,
+    X86_VMOVDQU32Z128rmkz	= 4176,
+    X86_VMOVDQU32Z128rr	= 4177,
+    X86_VMOVDQU32Z128rr_alt	= 4178,
+    X86_VMOVDQU32Z128rrk	= 4179,
+    X86_VMOVDQU32Z128rrk_alt	= 4180,
+    X86_VMOVDQU32Z128rrkz	= 4181,
+    X86_VMOVDQU32Z128rrkz_alt	= 4182,
+    X86_VMOVDQU32Z256mr	= 4183,
+    X86_VMOVDQU32Z256mrk	= 4184,
+    X86_VMOVDQU32Z256rm	= 4185,
+    X86_VMOVDQU32Z256rmk	= 4186,
+    X86_VMOVDQU32Z256rmkz	= 4187,
+    X86_VMOVDQU32Z256rr	= 4188,
+    X86_VMOVDQU32Z256rr_alt	= 4189,
+    X86_VMOVDQU32Z256rrk	= 4190,
+    X86_VMOVDQU32Z256rrk_alt	= 4191,
+    X86_VMOVDQU32Z256rrkz	= 4192,
+    X86_VMOVDQU32Z256rrkz_alt	= 4193,
+    X86_VMOVDQU32Zmr	= 4194,
+    X86_VMOVDQU32Zmrk	= 4195,
+    X86_VMOVDQU32Zrm	= 4196,
+    X86_VMOVDQU32Zrmk	= 4197,
+    X86_VMOVDQU32Zrmkz	= 4198,
+    X86_VMOVDQU32Zrr	= 4199,
+    X86_VMOVDQU32Zrr_alt	= 4200,
+    X86_VMOVDQU32Zrrk	= 4201,
+    X86_VMOVDQU32Zrrk_alt	= 4202,
+    X86_VMOVDQU32Zrrkz	= 4203,
+    X86_VMOVDQU32Zrrkz_alt	= 4204,
+    X86_VMOVDQU64Z128mr	= 4205,
+    X86_VMOVDQU64Z128mrk	= 4206,
+    X86_VMOVDQU64Z128rm	= 4207,
+    X86_VMOVDQU64Z128rmk	= 4208,
+    X86_VMOVDQU64Z128rmkz	= 4209,
+    X86_VMOVDQU64Z128rr	= 4210,
+    X86_VMOVDQU64Z128rr_alt	= 4211,
+    X86_VMOVDQU64Z128rrk	= 4212,
+    X86_VMOVDQU64Z128rrk_alt	= 4213,
+    X86_VMOVDQU64Z128rrkz	= 4214,
+    X86_VMOVDQU64Z128rrkz_alt	= 4215,
+    X86_VMOVDQU64Z256mr	= 4216,
+    X86_VMOVDQU64Z256mrk	= 4217,
+    X86_VMOVDQU64Z256rm	= 4218,
+    X86_VMOVDQU64Z256rmk	= 4219,
+    X86_VMOVDQU64Z256rmkz	= 4220,
+    X86_VMOVDQU64Z256rr	= 4221,
+    X86_VMOVDQU64Z256rr_alt	= 4222,
+    X86_VMOVDQU64Z256rrk	= 4223,
+    X86_VMOVDQU64Z256rrk_alt	= 4224,
+    X86_VMOVDQU64Z256rrkz	= 4225,
+    X86_VMOVDQU64Z256rrkz_alt	= 4226,
+    X86_VMOVDQU64Zmr	= 4227,
+    X86_VMOVDQU64Zmrk	= 4228,
+    X86_VMOVDQU64Zrm	= 4229,
+    X86_VMOVDQU64Zrmk	= 4230,
+    X86_VMOVDQU64Zrmkz	= 4231,
+    X86_VMOVDQU64Zrr	= 4232,
+    X86_VMOVDQU64Zrr_alt	= 4233,
+    X86_VMOVDQU64Zrrk	= 4234,
+    X86_VMOVDQU64Zrrk_alt	= 4235,
+    X86_VMOVDQU64Zrrkz	= 4236,
+    X86_VMOVDQU64Zrrkz_alt	= 4237,
+    X86_VMOVDQU8Z128mr	= 4238,
+    X86_VMOVDQU8Z128mrk	= 4239,
+    X86_VMOVDQU8Z128rm	= 4240,
+    X86_VMOVDQU8Z128rmk	= 4241,
+    X86_VMOVDQU8Z128rmkz	= 4242,
+    X86_VMOVDQU8Z128rr	= 4243,
+    X86_VMOVDQU8Z128rr_alt	= 4244,
+    X86_VMOVDQU8Z128rrk	= 4245,
+    X86_VMOVDQU8Z128rrk_alt	= 4246,
+    X86_VMOVDQU8Z128rrkz	= 4247,
+    X86_VMOVDQU8Z128rrkz_alt	= 4248,
+    X86_VMOVDQU8Z256mr	= 4249,
+    X86_VMOVDQU8Z256mrk	= 4250,
+    X86_VMOVDQU8Z256rm	= 4251,
+    X86_VMOVDQU8Z256rmk	= 4252,
+    X86_VMOVDQU8Z256rmkz	= 4253,
+    X86_VMOVDQU8Z256rr	= 4254,
+    X86_VMOVDQU8Z256rr_alt	= 4255,
+    X86_VMOVDQU8Z256rrk	= 4256,
+    X86_VMOVDQU8Z256rrk_alt	= 4257,
+    X86_VMOVDQU8Z256rrkz	= 4258,
+    X86_VMOVDQU8Z256rrkz_alt	= 4259,
+    X86_VMOVDQU8Zmr	= 4260,
+    X86_VMOVDQU8Zmrk	= 4261,
+    X86_VMOVDQU8Zrm	= 4262,
+    X86_VMOVDQU8Zrmk	= 4263,
+    X86_VMOVDQU8Zrmkz	= 4264,
+    X86_VMOVDQU8Zrr	= 4265,
+    X86_VMOVDQU8Zrr_alt	= 4266,
+    X86_VMOVDQU8Zrrk	= 4267,
+    X86_VMOVDQU8Zrrk_alt	= 4268,
+    X86_VMOVDQU8Zrrkz	= 4269,
+    X86_VMOVDQU8Zrrkz_alt	= 4270,
+    X86_VMOVDQUYmr	= 4271,
+    X86_VMOVDQUYrm	= 4272,
+    X86_VMOVDQUYrr	= 4273,
+    X86_VMOVDQUYrr_REV	= 4274,
+    X86_VMOVDQUmr	= 4275,
+    X86_VMOVDQUrm	= 4276,
+    X86_VMOVDQUrr	= 4277,
+    X86_VMOVDQUrr_REV	= 4278,
+    X86_VMOVHLPSZrr	= 4279,
+    X86_VMOVHLPSrr	= 4280,
+    X86_VMOVHPDmr	= 4281,
+    X86_VMOVHPDrm	= 4282,
+    X86_VMOVHPSmr	= 4283,
+    X86_VMOVHPSrm	= 4284,
+    X86_VMOVLHPSZrr	= 4285,
+    X86_VMOVLHPSrr	= 4286,
+    X86_VMOVLPDmr	= 4287,
+    X86_VMOVLPDrm	= 4288,
+    X86_VMOVLPSmr	= 4289,
+    X86_VMOVLPSrm	= 4290,
+    X86_VMOVMSKPDYrr	= 4291,
+    X86_VMOVMSKPDrr	= 4292,
+    X86_VMOVMSKPSYrr	= 4293,
+    X86_VMOVMSKPSrr	= 4294,
+    X86_VMOVNTDQAYrm	= 4295,
+    X86_VMOVNTDQAZrm	= 4296,
+    X86_VMOVNTDQArm	= 4297,
+    X86_VMOVNTDQYmr	= 4298,
+    X86_VMOVNTDQZmr	= 4299,
+    X86_VMOVNTDQmr	= 4300,
+    X86_VMOVNTPDYmr	= 4301,
+    X86_VMOVNTPDZmr	= 4302,
+    X86_VMOVNTPDmr	= 4303,
+    X86_VMOVNTPSYmr	= 4304,
+    X86_VMOVNTPSZmr	= 4305,
+    X86_VMOVNTPSmr	= 4306,
+    X86_VMOVPDI2DIZmr	= 4307,
+    X86_VMOVPDI2DIZrr	= 4308,
+    X86_VMOVPDI2DImr	= 4309,
+    X86_VMOVPDI2DIrr	= 4310,
+    X86_VMOVPQI2QImr	= 4311,
+    X86_VMOVPQI2QIrr	= 4312,
+    X86_VMOVPQIto64Zmr	= 4313,
+    X86_VMOVPQIto64Zrr	= 4314,
+    X86_VMOVPQIto64rr	= 4315,
+    X86_VMOVQI2PQIZrm	= 4316,
+    X86_VMOVQI2PQIrm	= 4317,
+    X86_VMOVSDZmr	= 4318,
+    X86_VMOVSDZrm	= 4319,
+    X86_VMOVSDZrr	= 4320,
+    X86_VMOVSDZrr_REV	= 4321,
+    X86_VMOVSDZrrk	= 4322,
+    X86_VMOVSDmr	= 4323,
+    X86_VMOVSDrm	= 4324,
+    X86_VMOVSDrr	= 4325,
+    X86_VMOVSDrr_REV	= 4326,
+    X86_VMOVSDto64Zmr	= 4327,
+    X86_VMOVSDto64Zrr	= 4328,
+    X86_VMOVSDto64mr	= 4329,
+    X86_VMOVSDto64rr	= 4330,
+    X86_VMOVSHDUPYrm	= 4331,
+    X86_VMOVSHDUPYrr	= 4332,
+    X86_VMOVSHDUPZrm	= 4333,
+    X86_VMOVSHDUPZrr	= 4334,
+    X86_VMOVSHDUPrm	= 4335,
+    X86_VMOVSHDUPrr	= 4336,
+    X86_VMOVSLDUPYrm	= 4337,
+    X86_VMOVSLDUPYrr	= 4338,
+    X86_VMOVSLDUPZrm	= 4339,
+    X86_VMOVSLDUPZrr	= 4340,
+    X86_VMOVSLDUPrm	= 4341,
+    X86_VMOVSLDUPrr	= 4342,
+    X86_VMOVSS2DIZmr	= 4343,
+    X86_VMOVSS2DIZrr	= 4344,
+    X86_VMOVSS2DImr	= 4345,
+    X86_VMOVSS2DIrr	= 4346,
+    X86_VMOVSSZmr	= 4347,
+    X86_VMOVSSZrm	= 4348,
+    X86_VMOVSSZrr	= 4349,
+    X86_VMOVSSZrr_REV	= 4350,
+    X86_VMOVSSZrrk	= 4351,
+    X86_VMOVSSmr	= 4352,
+    X86_VMOVSSrm	= 4353,
+    X86_VMOVSSrr	= 4354,
+    X86_VMOVSSrr_REV	= 4355,
+    X86_VMOVUPDYmr	= 4356,
+    X86_VMOVUPDYrm	= 4357,
+    X86_VMOVUPDYrr	= 4358,
+    X86_VMOVUPDYrr_REV	= 4359,
+    X86_VMOVUPDZ128mr	= 4360,
+    X86_VMOVUPDZ128mrk	= 4361,
+    X86_VMOVUPDZ128rm	= 4362,
+    X86_VMOVUPDZ128rmk	= 4363,
+    X86_VMOVUPDZ128rmkz	= 4364,
+    X86_VMOVUPDZ128rr	= 4365,
+    X86_VMOVUPDZ128rr_alt	= 4366,
+    X86_VMOVUPDZ128rrk	= 4367,
+    X86_VMOVUPDZ128rrk_alt	= 4368,
+    X86_VMOVUPDZ128rrkz	= 4369,
+    X86_VMOVUPDZ128rrkz_alt	= 4370,
+    X86_VMOVUPDZ256mr	= 4371,
+    X86_VMOVUPDZ256mrk	= 4372,
+    X86_VMOVUPDZ256rm	= 4373,
+    X86_VMOVUPDZ256rmk	= 4374,
+    X86_VMOVUPDZ256rmkz	= 4375,
+    X86_VMOVUPDZ256rr	= 4376,
+    X86_VMOVUPDZ256rr_alt	= 4377,
+    X86_VMOVUPDZ256rrk	= 4378,
+    X86_VMOVUPDZ256rrk_alt	= 4379,
+    X86_VMOVUPDZ256rrkz	= 4380,
+    X86_VMOVUPDZ256rrkz_alt	= 4381,
+    X86_VMOVUPDZmr	= 4382,
+    X86_VMOVUPDZmrk	= 4383,
+    X86_VMOVUPDZrm	= 4384,
+    X86_VMOVUPDZrmk	= 4385,
+    X86_VMOVUPDZrmkz	= 4386,
+    X86_VMOVUPDZrr	= 4387,
+    X86_VMOVUPDZrr_alt	= 4388,
+    X86_VMOVUPDZrrk	= 4389,
+    X86_VMOVUPDZrrk_alt	= 4390,
+    X86_VMOVUPDZrrkz	= 4391,
+    X86_VMOVUPDZrrkz_alt	= 4392,
+    X86_VMOVUPDmr	= 4393,
+    X86_VMOVUPDrm	= 4394,
+    X86_VMOVUPDrr	= 4395,
+    X86_VMOVUPDrr_REV	= 4396,
+    X86_VMOVUPSYmr	= 4397,
+    X86_VMOVUPSYrm	= 4398,
+    X86_VMOVUPSYrr	= 4399,
+    X86_VMOVUPSYrr_REV	= 4400,
+    X86_VMOVUPSZ128mr	= 4401,
+    X86_VMOVUPSZ128mrk	= 4402,
+    X86_VMOVUPSZ128rm	= 4403,
+    X86_VMOVUPSZ128rmk	= 4404,
+    X86_VMOVUPSZ128rmkz	= 4405,
+    X86_VMOVUPSZ128rr	= 4406,
+    X86_VMOVUPSZ128rr_alt	= 4407,
+    X86_VMOVUPSZ128rrk	= 4408,
+    X86_VMOVUPSZ128rrk_alt	= 4409,
+    X86_VMOVUPSZ128rrkz	= 4410,
+    X86_VMOVUPSZ128rrkz_alt	= 4411,
+    X86_VMOVUPSZ256mr	= 4412,
+    X86_VMOVUPSZ256mrk	= 4413,
+    X86_VMOVUPSZ256rm	= 4414,
+    X86_VMOVUPSZ256rmk	= 4415,
+    X86_VMOVUPSZ256rmkz	= 4416,
+    X86_VMOVUPSZ256rr	= 4417,
+    X86_VMOVUPSZ256rr_alt	= 4418,
+    X86_VMOVUPSZ256rrk	= 4419,
+    X86_VMOVUPSZ256rrk_alt	= 4420,
+    X86_VMOVUPSZ256rrkz	= 4421,
+    X86_VMOVUPSZ256rrkz_alt	= 4422,
+    X86_VMOVUPSZmr	= 4423,
+    X86_VMOVUPSZmrk	= 4424,
+    X86_VMOVUPSZrm	= 4425,
+    X86_VMOVUPSZrmk	= 4426,
+    X86_VMOVUPSZrmkz	= 4427,
+    X86_VMOVUPSZrr	= 4428,
+    X86_VMOVUPSZrr_alt	= 4429,
+    X86_VMOVUPSZrrk	= 4430,
+    X86_VMOVUPSZrrk_alt	= 4431,
+    X86_VMOVUPSZrrkz	= 4432,
+    X86_VMOVUPSZrrkz_alt	= 4433,
+    X86_VMOVUPSmr	= 4434,
+    X86_VMOVUPSrm	= 4435,
+    X86_VMOVUPSrr	= 4436,
+    X86_VMOVUPSrr_REV	= 4437,
+    X86_VMOVZPQILo2PQIZrm	= 4438,
+    X86_VMOVZPQILo2PQIZrr	= 4439,
+    X86_VMOVZPQILo2PQIrm	= 4440,
+    X86_VMOVZPQILo2PQIrr	= 4441,
+    X86_VMOVZQI2PQIrm	= 4442,
+    X86_VMOVZQI2PQIrr	= 4443,
+    X86_VMPSADBWYrmi	= 4444,
+    X86_VMPSADBWYrri	= 4445,
+    X86_VMPSADBWrmi	= 4446,
+    X86_VMPSADBWrri	= 4447,
+    X86_VMPTRLDm	= 4448,
+    X86_VMPTRSTm	= 4449,
+    X86_VMREAD32rm	= 4450,
+    X86_VMREAD32rr	= 4451,
+    X86_VMREAD64rm	= 4452,
+    X86_VMREAD64rr	= 4453,
+    X86_VMRESUME	= 4454,
+    X86_VMRUN32	= 4455,
+    X86_VMRUN64	= 4456,
+    X86_VMSAVE32	= 4457,
+    X86_VMSAVE64	= 4458,
+    X86_VMULPDYrm	= 4459,
+    X86_VMULPDYrr	= 4460,
+    X86_VMULPDZrm	= 4461,
+    X86_VMULPDZrmb	= 4462,
+    X86_VMULPDZrmbk	= 4463,
+    X86_VMULPDZrmbkz	= 4464,
+    X86_VMULPDZrmk	= 4465,
+    X86_VMULPDZrmkz	= 4466,
+    X86_VMULPDZrr	= 4467,
+    X86_VMULPDZrrk	= 4468,
+    X86_VMULPDZrrkz	= 4469,
+    X86_VMULPDrm	= 4470,
+    X86_VMULPDrr	= 4471,
+    X86_VMULPSYrm	= 4472,
+    X86_VMULPSYrr	= 4473,
+    X86_VMULPSZrm	= 4474,
+    X86_VMULPSZrmb	= 4475,
+    X86_VMULPSZrmbk	= 4476,
+    X86_VMULPSZrmbkz	= 4477,
+    X86_VMULPSZrmk	= 4478,
+    X86_VMULPSZrmkz	= 4479,
+    X86_VMULPSZrr	= 4480,
+    X86_VMULPSZrrk	= 4481,
+    X86_VMULPSZrrkz	= 4482,
+    X86_VMULPSrm	= 4483,
+    X86_VMULPSrr	= 4484,
+    X86_VMULSDZrm	= 4485,
+    X86_VMULSDZrr	= 4486,
+    X86_VMULSDrm	= 4487,
+    X86_VMULSDrm_Int	= 4488,
+    X86_VMULSDrr	= 4489,
+    X86_VMULSDrr_Int	= 4490,
+    X86_VMULSSZrm	= 4491,
+    X86_VMULSSZrr	= 4492,
+    X86_VMULSSrm	= 4493,
+    X86_VMULSSrm_Int	= 4494,
+    X86_VMULSSrr	= 4495,
+    X86_VMULSSrr_Int	= 4496,
+    X86_VMWRITE32rm	= 4497,
+    X86_VMWRITE32rr	= 4498,
+    X86_VMWRITE64rm	= 4499,
+    X86_VMWRITE64rr	= 4500,
+    X86_VMXOFF	= 4501,
+    X86_VMXON	= 4502,
+    X86_VORPDYrm	= 4503,
+    X86_VORPDYrr	= 4504,
+    X86_VORPDrm	= 4505,
+    X86_VORPDrr	= 4506,
+    X86_VORPSYrm	= 4507,
+    X86_VORPSYrr	= 4508,
+    X86_VORPSrm	= 4509,
+    X86_VORPSrr	= 4510,
+    X86_VPABSBrm128	= 4511,
+    X86_VPABSBrm256	= 4512,
+    X86_VPABSBrr128	= 4513,
+    X86_VPABSBrr256	= 4514,
+    X86_VPABSDZrm	= 4515,
+    X86_VPABSDZrmb	= 4516,
+    X86_VPABSDZrmbk	= 4517,
+    X86_VPABSDZrmbkz	= 4518,
+    X86_VPABSDZrmk	= 4519,
+    X86_VPABSDZrmkz	= 4520,
+    X86_VPABSDZrr	= 4521,
+    X86_VPABSDZrrk	= 4522,
+    X86_VPABSDZrrkz	= 4523,
+    X86_VPABSDrm128	= 4524,
+    X86_VPABSDrm256	= 4525,
+    X86_VPABSDrr128	= 4526,
+    X86_VPABSDrr256	= 4527,
+    X86_VPABSQZrm	= 4528,
+    X86_VPABSQZrmb	= 4529,
+    X86_VPABSQZrmbk	= 4530,
+    X86_VPABSQZrmbkz	= 4531,
+    X86_VPABSQZrmk	= 4532,
+    X86_VPABSQZrmkz	= 4533,
+    X86_VPABSQZrr	= 4534,
+    X86_VPABSQZrrk	= 4535,
+    X86_VPABSQZrrkz	= 4536,
+    X86_VPABSWrm128	= 4537,
+    X86_VPABSWrm256	= 4538,
+    X86_VPABSWrr128	= 4539,
+    X86_VPABSWrr256	= 4540,
+    X86_VPACKSSDWYrm	= 4541,
+    X86_VPACKSSDWYrr	= 4542,
+    X86_VPACKSSDWrm	= 4543,
+    X86_VPACKSSDWrr	= 4544,
+    X86_VPACKSSWBYrm	= 4545,
+    X86_VPACKSSWBYrr	= 4546,
+    X86_VPACKSSWBrm	= 4547,
+    X86_VPACKSSWBrr	= 4548,
+    X86_VPACKUSDWYrm	= 4549,
+    X86_VPACKUSDWYrr	= 4550,
+    X86_VPACKUSDWrm	= 4551,
+    X86_VPACKUSDWrr	= 4552,
+    X86_VPACKUSWBYrm	= 4553,
+    X86_VPACKUSWBYrr	= 4554,
+    X86_VPACKUSWBrm	= 4555,
+    X86_VPACKUSWBrr	= 4556,
+    X86_VPADDBYrm	= 4557,
+    X86_VPADDBYrr	= 4558,
+    X86_VPADDBrm	= 4559,
+    X86_VPADDBrr	= 4560,
+    X86_VPADDDYrm	= 4561,
+    X86_VPADDDYrr	= 4562,
+    X86_VPADDDZrm	= 4563,
+    X86_VPADDDZrmb	= 4564,
+    X86_VPADDDZrmbk	= 4565,
+    X86_VPADDDZrmbkz	= 4566,
+    X86_VPADDDZrmk	= 4567,
+    X86_VPADDDZrmkz	= 4568,
+    X86_VPADDDZrr	= 4569,
+    X86_VPADDDZrrk	= 4570,
+    X86_VPADDDZrrkz	= 4571,
+    X86_VPADDDrm	= 4572,
+    X86_VPADDDrr	= 4573,
+    X86_VPADDQYrm	= 4574,
+    X86_VPADDQYrr	= 4575,
+    X86_VPADDQZrm	= 4576,
+    X86_VPADDQZrmb	= 4577,
+    X86_VPADDQZrmbk	= 4578,
+    X86_VPADDQZrmbkz	= 4579,
+    X86_VPADDQZrmk	= 4580,
+    X86_VPADDQZrmkz	= 4581,
+    X86_VPADDQZrr	= 4582,
+    X86_VPADDQZrrk	= 4583,
+    X86_VPADDQZrrkz	= 4584,
+    X86_VPADDQrm	= 4585,
+    X86_VPADDQrr	= 4586,
+    X86_VPADDSBYrm	= 4587,
+    X86_VPADDSBYrr	= 4588,
+    X86_VPADDSBrm	= 4589,
+    X86_VPADDSBrr	= 4590,
+    X86_VPADDSWYrm	= 4591,
+    X86_VPADDSWYrr	= 4592,
+    X86_VPADDSWrm	= 4593,
+    X86_VPADDSWrr	= 4594,
+    X86_VPADDUSBYrm	= 4595,
+    X86_VPADDUSBYrr	= 4596,
+    X86_VPADDUSBrm	= 4597,
+    X86_VPADDUSBrr	= 4598,
+    X86_VPADDUSWYrm	= 4599,
+    X86_VPADDUSWYrr	= 4600,
+    X86_VPADDUSWrm	= 4601,
+    X86_VPADDUSWrr	= 4602,
+    X86_VPADDWYrm	= 4603,
+    X86_VPADDWYrr	= 4604,
+    X86_VPADDWrm	= 4605,
+    X86_VPADDWrr	= 4606,
+    X86_VPALIGNR128rm	= 4607,
+    X86_VPALIGNR128rr	= 4608,
+    X86_VPALIGNR256rm	= 4609,
+    X86_VPALIGNR256rr	= 4610,
+    X86_VPANDDZrm	= 4611,
+    X86_VPANDDZrmb	= 4612,
+    X86_VPANDDZrmbk	= 4613,
+    X86_VPANDDZrmbkz	= 4614,
+    X86_VPANDDZrmk	= 4615,
+    X86_VPANDDZrmkz	= 4616,
+    X86_VPANDDZrr	= 4617,
+    X86_VPANDDZrrk	= 4618,
+    X86_VPANDDZrrkz	= 4619,
+    X86_VPANDNDZrm	= 4620,
+    X86_VPANDNDZrmb	= 4621,
+    X86_VPANDNDZrmbk	= 4622,
+    X86_VPANDNDZrmbkz	= 4623,
+    X86_VPANDNDZrmk	= 4624,
+    X86_VPANDNDZrmkz	= 4625,
+    X86_VPANDNDZrr	= 4626,
+    X86_VPANDNDZrrk	= 4627,
+    X86_VPANDNDZrrkz	= 4628,
+    X86_VPANDNQZrm	= 4629,
+    X86_VPANDNQZrmb	= 4630,
+    X86_VPANDNQZrmbk	= 4631,
+    X86_VPANDNQZrmbkz	= 4632,
+    X86_VPANDNQZrmk	= 4633,
+    X86_VPANDNQZrmkz	= 4634,
+    X86_VPANDNQZrr	= 4635,
+    X86_VPANDNQZrrk	= 4636,
+    X86_VPANDNQZrrkz	= 4637,
+    X86_VPANDNYrm	= 4638,
+    X86_VPANDNYrr	= 4639,
+    X86_VPANDNrm	= 4640,
+    X86_VPANDNrr	= 4641,
+    X86_VPANDQZrm	= 4642,
+    X86_VPANDQZrmb	= 4643,
+    X86_VPANDQZrmbk	= 4644,
+    X86_VPANDQZrmbkz	= 4645,
+    X86_VPANDQZrmk	= 4646,
+    X86_VPANDQZrmkz	= 4647,
+    X86_VPANDQZrr	= 4648,
+    X86_VPANDQZrrk	= 4649,
+    X86_VPANDQZrrkz	= 4650,
+    X86_VPANDYrm	= 4651,
+    X86_VPANDYrr	= 4652,
+    X86_VPANDrm	= 4653,
+    X86_VPANDrr	= 4654,
+    X86_VPAVGBYrm	= 4655,
+    X86_VPAVGBYrr	= 4656,
+    X86_VPAVGBrm	= 4657,
+    X86_VPAVGBrr	= 4658,
+    X86_VPAVGWYrm	= 4659,
+    X86_VPAVGWYrr	= 4660,
+    X86_VPAVGWrm	= 4661,
+    X86_VPAVGWrr	= 4662,
+    X86_VPBLENDDYrmi	= 4663,
+    X86_VPBLENDDYrri	= 4664,
+    X86_VPBLENDDrmi	= 4665,
+    X86_VPBLENDDrri	= 4666,
+    X86_VPBLENDMDZrm	= 4667,
+    X86_VPBLENDMDZrr	= 4668,
+    X86_VPBLENDMQZrm	= 4669,
+    X86_VPBLENDMQZrr	= 4670,
+    X86_VPBLENDVBYrm	= 4671,
+    X86_VPBLENDVBYrr	= 4672,
+    X86_VPBLENDVBrm	= 4673,
+    X86_VPBLENDVBrr	= 4674,
+    X86_VPBLENDWYrmi	= 4675,
+    X86_VPBLENDWYrri	= 4676,
+    X86_VPBLENDWrmi	= 4677,
+    X86_VPBLENDWrri	= 4678,
+    X86_VPBROADCASTBYrm	= 4679,
+    X86_VPBROADCASTBYrr	= 4680,
+    X86_VPBROADCASTBrm	= 4681,
+    X86_VPBROADCASTBrr	= 4682,
+    X86_VPBROADCASTDYrm	= 4683,
+    X86_VPBROADCASTDYrr	= 4684,
+    X86_VPBROADCASTDZkrm	= 4685,
+    X86_VPBROADCASTDZkrr	= 4686,
+    X86_VPBROADCASTDZrm	= 4687,
+    X86_VPBROADCASTDZrr	= 4688,
+    X86_VPBROADCASTDrZkrr	= 4689,
+    X86_VPBROADCASTDrZrr	= 4690,
+    X86_VPBROADCASTDrm	= 4691,
+    X86_VPBROADCASTDrr	= 4692,
+    X86_VPBROADCASTMB2Qrr	= 4693,
+    X86_VPBROADCASTMW2Drr	= 4694,
+    X86_VPBROADCASTQYrm	= 4695,
+    X86_VPBROADCASTQYrr	= 4696,
+    X86_VPBROADCASTQZkrm	= 4697,
+    X86_VPBROADCASTQZkrr	= 4698,
+    X86_VPBROADCASTQZrm	= 4699,
+    X86_VPBROADCASTQZrr	= 4700,
+    X86_VPBROADCASTQrZkrr	= 4701,
+    X86_VPBROADCASTQrZrr	= 4702,
+    X86_VPBROADCASTQrm	= 4703,
+    X86_VPBROADCASTQrr	= 4704,
+    X86_VPBROADCASTWYrm	= 4705,
+    X86_VPBROADCASTWYrr	= 4706,
+    X86_VPBROADCASTWrm	= 4707,
+    X86_VPBROADCASTWrr	= 4708,
+    X86_VPCLMULQDQrm	= 4709,
+    X86_VPCLMULQDQrr	= 4710,
+    X86_VPCMOVmr	= 4711,
+    X86_VPCMOVmrY	= 4712,
+    X86_VPCMOVrm	= 4713,
+    X86_VPCMOVrmY	= 4714,
+    X86_VPCMOVrr	= 4715,
+    X86_VPCMOVrrY	= 4716,
+    X86_VPCMPDZrmi	= 4717,
+    X86_VPCMPDZrmi_alt	= 4718,
+    X86_VPCMPDZrmik_alt	= 4719,
+    X86_VPCMPDZrri	= 4720,
+    X86_VPCMPDZrri_alt	= 4721,
+    X86_VPCMPDZrrik_alt	= 4722,
+    X86_VPCMPEQBYrm	= 4723,
+    X86_VPCMPEQBYrr	= 4724,
+    X86_VPCMPEQBrm	= 4725,
+    X86_VPCMPEQBrr	= 4726,
+    X86_VPCMPEQDYrm	= 4727,
+    X86_VPCMPEQDYrr	= 4728,
+    X86_VPCMPEQDZrm	= 4729,
+    X86_VPCMPEQDZrr	= 4730,
+    X86_VPCMPEQDrm	= 4731,
+    X86_VPCMPEQDrr	= 4732,
+    X86_VPCMPEQQYrm	= 4733,
+    X86_VPCMPEQQYrr	= 4734,
+    X86_VPCMPEQQZrm	= 4735,
+    X86_VPCMPEQQZrr	= 4736,
+    X86_VPCMPEQQrm	= 4737,
+    X86_VPCMPEQQrr	= 4738,
+    X86_VPCMPEQWYrm	= 4739,
+    X86_VPCMPEQWYrr	= 4740,
+    X86_VPCMPEQWrm	= 4741,
+    X86_VPCMPEQWrr	= 4742,
+    X86_VPCMPESTRIMEM	= 4743,
+    X86_VPCMPESTRIREG	= 4744,
+    X86_VPCMPESTRIrm	= 4745,
+    X86_VPCMPESTRIrr	= 4746,
+    X86_VPCMPESTRM128MEM	= 4747,
+    X86_VPCMPESTRM128REG	= 4748,
+    X86_VPCMPESTRM128rm	= 4749,
+    X86_VPCMPESTRM128rr	= 4750,
+    X86_VPCMPGTBYrm	= 4751,
+    X86_VPCMPGTBYrr	= 4752,
+    X86_VPCMPGTBrm	= 4753,
+    X86_VPCMPGTBrr	= 4754,
+    X86_VPCMPGTDYrm	= 4755,
+    X86_VPCMPGTDYrr	= 4756,
+    X86_VPCMPGTDZrm	= 4757,
+    X86_VPCMPGTDZrr	= 4758,
+    X86_VPCMPGTDrm	= 4759,
+    X86_VPCMPGTDrr	= 4760,
+    X86_VPCMPGTQYrm	= 4761,
+    X86_VPCMPGTQYrr	= 4762,
+    X86_VPCMPGTQZrm	= 4763,
+    X86_VPCMPGTQZrr	= 4764,
+    X86_VPCMPGTQrm	= 4765,
+    X86_VPCMPGTQrr	= 4766,
+    X86_VPCMPGTWYrm	= 4767,
+    X86_VPCMPGTWYrr	= 4768,
+    X86_VPCMPGTWrm	= 4769,
+    X86_VPCMPGTWrr	= 4770,
+    X86_VPCMPISTRIMEM	= 4771,
+    X86_VPCMPISTRIREG	= 4772,
+    X86_VPCMPISTRIrm	= 4773,
+    X86_VPCMPISTRIrr	= 4774,
+    X86_VPCMPISTRM128MEM	= 4775,
+    X86_VPCMPISTRM128REG	= 4776,
+    X86_VPCMPISTRM128rm	= 4777,
+    X86_VPCMPISTRM128rr	= 4778,
+    X86_VPCMPQZrmi	= 4779,
+    X86_VPCMPQZrmi_alt	= 4780,
+    X86_VPCMPQZrmik_alt	= 4781,
+    X86_VPCMPQZrri	= 4782,
+    X86_VPCMPQZrri_alt	= 4783,
+    X86_VPCMPQZrrik_alt	= 4784,
+    X86_VPCMPUDZrmi	= 4785,
+    X86_VPCMPUDZrmi_alt	= 4786,
+    X86_VPCMPUDZrmik_alt	= 4787,
+    X86_VPCMPUDZrri	= 4788,
+    X86_VPCMPUDZrri_alt	= 4789,
+    X86_VPCMPUDZrrik_alt	= 4790,
+    X86_VPCMPUQZrmi	= 4791,
+    X86_VPCMPUQZrmi_alt	= 4792,
+    X86_VPCMPUQZrmik_alt	= 4793,
+    X86_VPCMPUQZrri	= 4794,
+    X86_VPCMPUQZrri_alt	= 4795,
+    X86_VPCMPUQZrrik_alt	= 4796,
+    X86_VPCOMBmi	= 4797,
+    X86_VPCOMBri	= 4798,
+    X86_VPCOMDmi	= 4799,
+    X86_VPCOMDri	= 4800,
+    X86_VPCOMQmi	= 4801,
+    X86_VPCOMQri	= 4802,
+    X86_VPCOMUBmi	= 4803,
+    X86_VPCOMUBri	= 4804,
+    X86_VPCOMUDmi	= 4805,
+    X86_VPCOMUDri	= 4806,
+    X86_VPCOMUQmi	= 4807,
+    X86_VPCOMUQri	= 4808,
+    X86_VPCOMUWmi	= 4809,
+    X86_VPCOMUWri	= 4810,
+    X86_VPCOMWmi	= 4811,
+    X86_VPCOMWri	= 4812,
+    X86_VPCONFLICTDrm	= 4813,
+    X86_VPCONFLICTDrmb	= 4814,
+    X86_VPCONFLICTDrmbk	= 4815,
+    X86_VPCONFLICTDrmbkz	= 4816,
+    X86_VPCONFLICTDrmk	= 4817,
+    X86_VPCONFLICTDrmkz	= 4818,
+    X86_VPCONFLICTDrr	= 4819,
+    X86_VPCONFLICTDrrk	= 4820,
+    X86_VPCONFLICTDrrkz	= 4821,
+    X86_VPCONFLICTQrm	= 4822,
+    X86_VPCONFLICTQrmb	= 4823,
+    X86_VPCONFLICTQrmbk	= 4824,
+    X86_VPCONFLICTQrmbkz	= 4825,
+    X86_VPCONFLICTQrmk	= 4826,
+    X86_VPCONFLICTQrmkz	= 4827,
+    X86_VPCONFLICTQrr	= 4828,
+    X86_VPCONFLICTQrrk	= 4829,
+    X86_VPCONFLICTQrrkz	= 4830,
+    X86_VPERM2F128rm	= 4831,
+    X86_VPERM2F128rr	= 4832,
+    X86_VPERM2I128rm	= 4833,
+    X86_VPERM2I128rr	= 4834,
+    X86_VPERMDYrm	= 4835,
+    X86_VPERMDYrr	= 4836,
+    X86_VPERMDZrm	= 4837,
+    X86_VPERMDZrr	= 4838,
+    X86_VPERMI2Drm	= 4839,
+    X86_VPERMI2Drmk	= 4840,
+    X86_VPERMI2Drmkz	= 4841,
+    X86_VPERMI2Drr	= 4842,
+    X86_VPERMI2Drrk	= 4843,
+    X86_VPERMI2Drrkz	= 4844,
+    X86_VPERMI2PDrm	= 4845,
+    X86_VPERMI2PDrmk	= 4846,
+    X86_VPERMI2PDrmkz	= 4847,
+    X86_VPERMI2PDrr	= 4848,
+    X86_VPERMI2PDrrk	= 4849,
+    X86_VPERMI2PDrrkz	= 4850,
+    X86_VPERMI2PSrm	= 4851,
+    X86_VPERMI2PSrmk	= 4852,
+    X86_VPERMI2PSrmkz	= 4853,
+    X86_VPERMI2PSrr	= 4854,
+    X86_VPERMI2PSrrk	= 4855,
+    X86_VPERMI2PSrrkz	= 4856,
+    X86_VPERMI2Qrm	= 4857,
+    X86_VPERMI2Qrmk	= 4858,
+    X86_VPERMI2Qrmkz	= 4859,
+    X86_VPERMI2Qrr	= 4860,
+    X86_VPERMI2Qrrk	= 4861,
+    X86_VPERMI2Qrrkz	= 4862,
+    X86_VPERMIL2PDmr	= 4863,
+    X86_VPERMIL2PDmrY	= 4864,
+    X86_VPERMIL2PDrm	= 4865,
+    X86_VPERMIL2PDrmY	= 4866,
+    X86_VPERMIL2PDrr	= 4867,
+    X86_VPERMIL2PDrrY	= 4868,
+    X86_VPERMIL2PSmr	= 4869,
+    X86_VPERMIL2PSmrY	= 4870,
+    X86_VPERMIL2PSrm	= 4871,
+    X86_VPERMIL2PSrmY	= 4872,
+    X86_VPERMIL2PSrr	= 4873,
+    X86_VPERMIL2PSrrY	= 4874,
+    X86_VPERMILPDYmi	= 4875,
+    X86_VPERMILPDYri	= 4876,
+    X86_VPERMILPDYrm	= 4877,
+    X86_VPERMILPDYrr	= 4878,
+    X86_VPERMILPDZmi	= 4879,
+    X86_VPERMILPDZri	= 4880,
+    X86_VPERMILPDmi	= 4881,
+    X86_VPERMILPDri	= 4882,
+    X86_VPERMILPDrm	= 4883,
+    X86_VPERMILPDrr	= 4884,
+    X86_VPERMILPSYmi	= 4885,
+    X86_VPERMILPSYri	= 4886,
+    X86_VPERMILPSYrm	= 4887,
+    X86_VPERMILPSYrr	= 4888,
+    X86_VPERMILPSZmi	= 4889,
+    X86_VPERMILPSZri	= 4890,
+    X86_VPERMILPSmi	= 4891,
+    X86_VPERMILPSri	= 4892,
+    X86_VPERMILPSrm	= 4893,
+    X86_VPERMILPSrr	= 4894,
+    X86_VPERMPDYmi	= 4895,
+    X86_VPERMPDYri	= 4896,
+    X86_VPERMPDZmi	= 4897,
+    X86_VPERMPDZri	= 4898,
+    X86_VPERMPDZrm	= 4899,
+    X86_VPERMPDZrr	= 4900,
+    X86_VPERMPSYrm	= 4901,
+    X86_VPERMPSYrr	= 4902,
+    X86_VPERMPSZrm	= 4903,
+    X86_VPERMPSZrr	= 4904,
+    X86_VPERMQYmi	= 4905,
+    X86_VPERMQYri	= 4906,
+    X86_VPERMQZmi	= 4907,
+    X86_VPERMQZri	= 4908,
+    X86_VPERMQZrm	= 4909,
+    X86_VPERMQZrr	= 4910,
+    X86_VPERMT2Drm	= 4911,
+    X86_VPERMT2Drmk	= 4912,
+    X86_VPERMT2Drmkz	= 4913,
+    X86_VPERMT2Drr	= 4914,
+    X86_VPERMT2Drrk	= 4915,
+    X86_VPERMT2Drrkz	= 4916,
+    X86_VPERMT2PDrm	= 4917,
+    X86_VPERMT2PDrmk	= 4918,
+    X86_VPERMT2PDrmkz	= 4919,
+    X86_VPERMT2PDrr	= 4920,
+    X86_VPERMT2PDrrk	= 4921,
+    X86_VPERMT2PDrrkz	= 4922,
+    X86_VPERMT2PSrm	= 4923,
+    X86_VPERMT2PSrmk	= 4924,
+    X86_VPERMT2PSrmkz	= 4925,
+    X86_VPERMT2PSrr	= 4926,
+    X86_VPERMT2PSrrk	= 4927,
+    X86_VPERMT2PSrrkz	= 4928,
+    X86_VPERMT2Qrm	= 4929,
+    X86_VPERMT2Qrmk	= 4930,
+    X86_VPERMT2Qrmkz	= 4931,
+    X86_VPERMT2Qrr	= 4932,
+    X86_VPERMT2Qrrk	= 4933,
+    X86_VPERMT2Qrrkz	= 4934,
+    X86_VPEXTRBmr	= 4935,
+    X86_VPEXTRBrr	= 4936,
+    X86_VPEXTRDmr	= 4937,
+    X86_VPEXTRDrr	= 4938,
+    X86_VPEXTRQmr	= 4939,
+    X86_VPEXTRQrr	= 4940,
+    X86_VPEXTRWmr	= 4941,
+    X86_VPEXTRWri	= 4942,
+    X86_VPEXTRWrr_REV	= 4943,
+    X86_VPGATHERDDYrm	= 4944,
+    X86_VPGATHERDDZrm	= 4945,
+    X86_VPGATHERDDrm	= 4946,
+    X86_VPGATHERDQYrm	= 4947,
+    X86_VPGATHERDQZrm	= 4948,
+    X86_VPGATHERDQrm	= 4949,
+    X86_VPGATHERQDYrm	= 4950,
+    X86_VPGATHERQDZrm	= 4951,
+    X86_VPGATHERQDrm	= 4952,
+    X86_VPGATHERQQYrm	= 4953,
+    X86_VPGATHERQQZrm	= 4954,
+    X86_VPGATHERQQrm	= 4955,
+    X86_VPHADDBDrm	= 4956,
+    X86_VPHADDBDrr	= 4957,
+    X86_VPHADDBQrm	= 4958,
+    X86_VPHADDBQrr	= 4959,
+    X86_VPHADDBWrm	= 4960,
+    X86_VPHADDBWrr	= 4961,
+    X86_VPHADDDQrm	= 4962,
+    X86_VPHADDDQrr	= 4963,
+    X86_VPHADDDYrm	= 4964,
+    X86_VPHADDDYrr	= 4965,
+    X86_VPHADDDrm	= 4966,
+    X86_VPHADDDrr	= 4967,
+    X86_VPHADDSWrm128	= 4968,
+    X86_VPHADDSWrm256	= 4969,
+    X86_VPHADDSWrr128	= 4970,
+    X86_VPHADDSWrr256	= 4971,
+    X86_VPHADDUBDrm	= 4972,
+    X86_VPHADDUBDrr	= 4973,
+    X86_VPHADDUBQrm	= 4974,
+    X86_VPHADDUBQrr	= 4975,
+    X86_VPHADDUBWrm	= 4976,
+    X86_VPHADDUBWrr	= 4977,
+    X86_VPHADDUDQrm	= 4978,
+    X86_VPHADDUDQrr	= 4979,
+    X86_VPHADDUWDrm	= 4980,
+    X86_VPHADDUWDrr	= 4981,
+    X86_VPHADDUWQrm	= 4982,
+    X86_VPHADDUWQrr	= 4983,
+    X86_VPHADDWDrm	= 4984,
+    X86_VPHADDWDrr	= 4985,
+    X86_VPHADDWQrm	= 4986,
+    X86_VPHADDWQrr	= 4987,
+    X86_VPHADDWYrm	= 4988,
+    X86_VPHADDWYrr	= 4989,
+    X86_VPHADDWrm	= 4990,
+    X86_VPHADDWrr	= 4991,
+    X86_VPHMINPOSUWrm128	= 4992,
+    X86_VPHMINPOSUWrr128	= 4993,
+    X86_VPHSUBBWrm	= 4994,
+    X86_VPHSUBBWrr	= 4995,
+    X86_VPHSUBDQrm	= 4996,
+    X86_VPHSUBDQrr	= 4997,
+    X86_VPHSUBDYrm	= 4998,
+    X86_VPHSUBDYrr	= 4999,
+    X86_VPHSUBDrm	= 5000,
+    X86_VPHSUBDrr	= 5001,
+    X86_VPHSUBSWrm128	= 5002,
+    X86_VPHSUBSWrm256	= 5003,
+    X86_VPHSUBSWrr128	= 5004,
+    X86_VPHSUBSWrr256	= 5005,
+    X86_VPHSUBWDrm	= 5006,
+    X86_VPHSUBWDrr	= 5007,
+    X86_VPHSUBWYrm	= 5008,
+    X86_VPHSUBWYrr	= 5009,
+    X86_VPHSUBWrm	= 5010,
+    X86_VPHSUBWrr	= 5011,
+    X86_VPINSRBrm	= 5012,
+    X86_VPINSRBrr	= 5013,
+    X86_VPINSRDrm	= 5014,
+    X86_VPINSRDrr	= 5015,
+    X86_VPINSRQrm	= 5016,
+    X86_VPINSRQrr	= 5017,
+    X86_VPINSRWrmi	= 5018,
+    X86_VPINSRWrri	= 5019,
+    X86_VPLZCNTDrm	= 5020,
+    X86_VPLZCNTDrmb	= 5021,
+    X86_VPLZCNTDrmbk	= 5022,
+    X86_VPLZCNTDrmbkz	= 5023,
+    X86_VPLZCNTDrmk	= 5024,
+    X86_VPLZCNTDrmkz	= 5025,
+    X86_VPLZCNTDrr	= 5026,
+    X86_VPLZCNTDrrk	= 5027,
+    X86_VPLZCNTDrrkz	= 5028,
+    X86_VPLZCNTQrm	= 5029,
+    X86_VPLZCNTQrmb	= 5030,
+    X86_VPLZCNTQrmbk	= 5031,
+    X86_VPLZCNTQrmbkz	= 5032,
+    X86_VPLZCNTQrmk	= 5033,
+    X86_VPLZCNTQrmkz	= 5034,
+    X86_VPLZCNTQrr	= 5035,
+    X86_VPLZCNTQrrk	= 5036,
+    X86_VPLZCNTQrrkz	= 5037,
+    X86_VPMACSDDrm	= 5038,
+    X86_VPMACSDDrr	= 5039,
+    X86_VPMACSDQHrm	= 5040,
+    X86_VPMACSDQHrr	= 5041,
+    X86_VPMACSDQLrm	= 5042,
+    X86_VPMACSDQLrr	= 5043,
+    X86_VPMACSSDDrm	= 5044,
+    X86_VPMACSSDDrr	= 5045,
+    X86_VPMACSSDQHrm	= 5046,
+    X86_VPMACSSDQHrr	= 5047,
+    X86_VPMACSSDQLrm	= 5048,
+    X86_VPMACSSDQLrr	= 5049,
+    X86_VPMACSSWDrm	= 5050,
+    X86_VPMACSSWDrr	= 5051,
+    X86_VPMACSSWWrm	= 5052,
+    X86_VPMACSSWWrr	= 5053,
+    X86_VPMACSWDrm	= 5054,
+    X86_VPMACSWDrr	= 5055,
+    X86_VPMACSWWrm	= 5056,
+    X86_VPMACSWWrr	= 5057,
+    X86_VPMADCSSWDrm	= 5058,
+    X86_VPMADCSSWDrr	= 5059,
+    X86_VPMADCSWDrm	= 5060,
+    X86_VPMADCSWDrr	= 5061,
+    X86_VPMADDUBSWrm128	= 5062,
+    X86_VPMADDUBSWrm256	= 5063,
+    X86_VPMADDUBSWrr128	= 5064,
+    X86_VPMADDUBSWrr256	= 5065,
+    X86_VPMADDWDYrm	= 5066,
+    X86_VPMADDWDYrr	= 5067,
+    X86_VPMADDWDrm	= 5068,
+    X86_VPMADDWDrr	= 5069,
+    X86_VPMASKMOVDYmr	= 5070,
+    X86_VPMASKMOVDYrm	= 5071,
+    X86_VPMASKMOVDmr	= 5072,
+    X86_VPMASKMOVDrm	= 5073,
+    X86_VPMASKMOVQYmr	= 5074,
+    X86_VPMASKMOVQYrm	= 5075,
+    X86_VPMASKMOVQmr	= 5076,
+    X86_VPMASKMOVQrm	= 5077,
+    X86_VPMAXSBYrm	= 5078,
+    X86_VPMAXSBYrr	= 5079,
+    X86_VPMAXSBrm	= 5080,
+    X86_VPMAXSBrr	= 5081,
+    X86_VPMAXSDYrm	= 5082,
+    X86_VPMAXSDYrr	= 5083,
+    X86_VPMAXSDZrm	= 5084,
+    X86_VPMAXSDZrmb	= 5085,
+    X86_VPMAXSDZrmbk	= 5086,
+    X86_VPMAXSDZrmbkz	= 5087,
+    X86_VPMAXSDZrmk	= 5088,
+    X86_VPMAXSDZrmkz	= 5089,
+    X86_VPMAXSDZrr	= 5090,
+    X86_VPMAXSDZrrk	= 5091,
+    X86_VPMAXSDZrrkz	= 5092,
+    X86_VPMAXSDrm	= 5093,
+    X86_VPMAXSDrr	= 5094,
+    X86_VPMAXSQZrm	= 5095,
+    X86_VPMAXSQZrmb	= 5096,
+    X86_VPMAXSQZrmbk	= 5097,
+    X86_VPMAXSQZrmbkz	= 5098,
+    X86_VPMAXSQZrmk	= 5099,
+    X86_VPMAXSQZrmkz	= 5100,
+    X86_VPMAXSQZrr	= 5101,
+    X86_VPMAXSQZrrk	= 5102,
+    X86_VPMAXSQZrrkz	= 5103,
+    X86_VPMAXSWYrm	= 5104,
+    X86_VPMAXSWYrr	= 5105,
+    X86_VPMAXSWrm	= 5106,
+    X86_VPMAXSWrr	= 5107,
+    X86_VPMAXUBYrm	= 5108,
+    X86_VPMAXUBYrr	= 5109,
+    X86_VPMAXUBrm	= 5110,
+    X86_VPMAXUBrr	= 5111,
+    X86_VPMAXUDYrm	= 5112,
+    X86_VPMAXUDYrr	= 5113,
+    X86_VPMAXUDZrm	= 5114,
+    X86_VPMAXUDZrmb	= 5115,
+    X86_VPMAXUDZrmbk	= 5116,
+    X86_VPMAXUDZrmbkz	= 5117,
+    X86_VPMAXUDZrmk	= 5118,
+    X86_VPMAXUDZrmkz	= 5119,
+    X86_VPMAXUDZrr	= 5120,
+    X86_VPMAXUDZrrk	= 5121,
+    X86_VPMAXUDZrrkz	= 5122,
+    X86_VPMAXUDrm	= 5123,
+    X86_VPMAXUDrr	= 5124,
+    X86_VPMAXUQZrm	= 5125,
+    X86_VPMAXUQZrmb	= 5126,
+    X86_VPMAXUQZrmbk	= 5127,
+    X86_VPMAXUQZrmbkz	= 5128,
+    X86_VPMAXUQZrmk	= 5129,
+    X86_VPMAXUQZrmkz	= 5130,
+    X86_VPMAXUQZrr	= 5131,
+    X86_VPMAXUQZrrk	= 5132,
+    X86_VPMAXUQZrrkz	= 5133,
+    X86_VPMAXUWYrm	= 5134,
+    X86_VPMAXUWYrr	= 5135,
+    X86_VPMAXUWrm	= 5136,
+    X86_VPMAXUWrr	= 5137,
+    X86_VPMINSBYrm	= 5138,
+    X86_VPMINSBYrr	= 5139,
+    X86_VPMINSBrm	= 5140,
+    X86_VPMINSBrr	= 5141,
+    X86_VPMINSDYrm	= 5142,
+    X86_VPMINSDYrr	= 5143,
+    X86_VPMINSDZrm	= 5144,
+    X86_VPMINSDZrmb	= 5145,
+    X86_VPMINSDZrmbk	= 5146,
+    X86_VPMINSDZrmbkz	= 5147,
+    X86_VPMINSDZrmk	= 5148,
+    X86_VPMINSDZrmkz	= 5149,
+    X86_VPMINSDZrr	= 5150,
+    X86_VPMINSDZrrk	= 5151,
+    X86_VPMINSDZrrkz	= 5152,
+    X86_VPMINSDrm	= 5153,
+    X86_VPMINSDrr	= 5154,
+    X86_VPMINSQZrm	= 5155,
+    X86_VPMINSQZrmb	= 5156,
+    X86_VPMINSQZrmbk	= 5157,
+    X86_VPMINSQZrmbkz	= 5158,
+    X86_VPMINSQZrmk	= 5159,
+    X86_VPMINSQZrmkz	= 5160,
+    X86_VPMINSQZrr	= 5161,
+    X86_VPMINSQZrrk	= 5162,
+    X86_VPMINSQZrrkz	= 5163,
+    X86_VPMINSWYrm	= 5164,
+    X86_VPMINSWYrr	= 5165,
+    X86_VPMINSWrm	= 5166,
+    X86_VPMINSWrr	= 5167,
+    X86_VPMINUBYrm	= 5168,
+    X86_VPMINUBYrr	= 5169,
+    X86_VPMINUBrm	= 5170,
+    X86_VPMINUBrr	= 5171,
+    X86_VPMINUDYrm	= 5172,
+    X86_VPMINUDYrr	= 5173,
+    X86_VPMINUDZrm	= 5174,
+    X86_VPMINUDZrmb	= 5175,
+    X86_VPMINUDZrmbk	= 5176,
+    X86_VPMINUDZrmbkz	= 5177,
+    X86_VPMINUDZrmk	= 5178,
+    X86_VPMINUDZrmkz	= 5179,
+    X86_VPMINUDZrr	= 5180,
+    X86_VPMINUDZrrk	= 5181,
+    X86_VPMINUDZrrkz	= 5182,
+    X86_VPMINUDrm	= 5183,
+    X86_VPMINUDrr	= 5184,
+    X86_VPMINUQZrm	= 5185,
+    X86_VPMINUQZrmb	= 5186,
+    X86_VPMINUQZrmbk	= 5187,
+    X86_VPMINUQZrmbkz	= 5188,
+    X86_VPMINUQZrmk	= 5189,
+    X86_VPMINUQZrmkz	= 5190,
+    X86_VPMINUQZrr	= 5191,
+    X86_VPMINUQZrrk	= 5192,
+    X86_VPMINUQZrrkz	= 5193,
+    X86_VPMINUWYrm	= 5194,
+    X86_VPMINUWYrr	= 5195,
+    X86_VPMINUWrm	= 5196,
+    X86_VPMINUWrr	= 5197,
+    X86_VPMOVDBmr	= 5198,
+    X86_VPMOVDBmrk	= 5199,
+    X86_VPMOVDBrr	= 5200,
+    X86_VPMOVDBrrk	= 5201,
+    X86_VPMOVDBrrkz	= 5202,
+    X86_VPMOVDWmr	= 5203,
+    X86_VPMOVDWmrk	= 5204,
+    X86_VPMOVDWrr	= 5205,
+    X86_VPMOVDWrrk	= 5206,
+    X86_VPMOVDWrrkz	= 5207,
+    X86_VPMOVMSKBYrr	= 5208,
+    X86_VPMOVMSKBrr	= 5209,
+    X86_VPMOVQBmr	= 5210,
+    X86_VPMOVQBmrk	= 5211,
+    X86_VPMOVQBrr	= 5212,
+    X86_VPMOVQBrrk	= 5213,
+    X86_VPMOVQBrrkz	= 5214,
+    X86_VPMOVQDmr	= 5215,
+    X86_VPMOVQDmrk	= 5216,
+    X86_VPMOVQDrr	= 5217,
+    X86_VPMOVQDrrk	= 5218,
+    X86_VPMOVQDrrkz	= 5219,
+    X86_VPMOVQWmr	= 5220,
+    X86_VPMOVQWmrk	= 5221,
+    X86_VPMOVQWrr	= 5222,
+    X86_VPMOVQWrrk	= 5223,
+    X86_VPMOVQWrrkz	= 5224,
+    X86_VPMOVSDBmr	= 5225,
+    X86_VPMOVSDBmrk	= 5226,
+    X86_VPMOVSDBrr	= 5227,
+    X86_VPMOVSDBrrk	= 5228,
+    X86_VPMOVSDBrrkz	= 5229,
+    X86_VPMOVSDWmr	= 5230,
+    X86_VPMOVSDWmrk	= 5231,
+    X86_VPMOVSDWrr	= 5232,
+    X86_VPMOVSDWrrk	= 5233,
+    X86_VPMOVSDWrrkz	= 5234,
+    X86_VPMOVSQBmr	= 5235,
+    X86_VPMOVSQBmrk	= 5236,
+    X86_VPMOVSQBrr	= 5237,
+    X86_VPMOVSQBrrk	= 5238,
+    X86_VPMOVSQBrrkz	= 5239,
+    X86_VPMOVSQDmr	= 5240,
+    X86_VPMOVSQDmrk	= 5241,
+    X86_VPMOVSQDrr	= 5242,
+    X86_VPMOVSQDrrk	= 5243,
+    X86_VPMOVSQDrrkz	= 5244,
+    X86_VPMOVSQWmr	= 5245,
+    X86_VPMOVSQWmrk	= 5246,
+    X86_VPMOVSQWrr	= 5247,
+    X86_VPMOVSQWrrk	= 5248,
+    X86_VPMOVSQWrrkz	= 5249,
+    X86_VPMOVSXBDYrm	= 5250,
+    X86_VPMOVSXBDYrr	= 5251,
+    X86_VPMOVSXBDZrm	= 5252,
+    X86_VPMOVSXBDZrmk	= 5253,
+    X86_VPMOVSXBDZrmkz	= 5254,
+    X86_VPMOVSXBDZrr	= 5255,
+    X86_VPMOVSXBDZrrk	= 5256,
+    X86_VPMOVSXBDZrrkz	= 5257,
+    X86_VPMOVSXBDrm	= 5258,
+    X86_VPMOVSXBDrr	= 5259,
+    X86_VPMOVSXBQYrm	= 5260,
+    X86_VPMOVSXBQYrr	= 5261,
+    X86_VPMOVSXBQZrm	= 5262,
+    X86_VPMOVSXBQZrmk	= 5263,
+    X86_VPMOVSXBQZrmkz	= 5264,
+    X86_VPMOVSXBQZrr	= 5265,
+    X86_VPMOVSXBQZrrk	= 5266,
+    X86_VPMOVSXBQZrrkz	= 5267,
+    X86_VPMOVSXBQrm	= 5268,
+    X86_VPMOVSXBQrr	= 5269,
+    X86_VPMOVSXBWYrm	= 5270,
+    X86_VPMOVSXBWYrr	= 5271,
+    X86_VPMOVSXBWrm	= 5272,
+    X86_VPMOVSXBWrr	= 5273,
+    X86_VPMOVSXDQYrm	= 5274,
+    X86_VPMOVSXDQYrr	= 5275,
+    X86_VPMOVSXDQZrm	= 5276,
+    X86_VPMOVSXDQZrmk	= 5277,
+    X86_VPMOVSXDQZrmkz	= 5278,
+    X86_VPMOVSXDQZrr	= 5279,
+    X86_VPMOVSXDQZrrk	= 5280,
+    X86_VPMOVSXDQZrrkz	= 5281,
+    X86_VPMOVSXDQrm	= 5282,
+    X86_VPMOVSXDQrr	= 5283,
+    X86_VPMOVSXWDYrm	= 5284,
+    X86_VPMOVSXWDYrr	= 5285,
+    X86_VPMOVSXWDZrm	= 5286,
+    X86_VPMOVSXWDZrmk	= 5287,
+    X86_VPMOVSXWDZrmkz	= 5288,
+    X86_VPMOVSXWDZrr	= 5289,
+    X86_VPMOVSXWDZrrk	= 5290,
+    X86_VPMOVSXWDZrrkz	= 5291,
+    X86_VPMOVSXWDrm	= 5292,
+    X86_VPMOVSXWDrr	= 5293,
+    X86_VPMOVSXWQYrm	= 5294,
+    X86_VPMOVSXWQYrr	= 5295,
+    X86_VPMOVSXWQZrm	= 5296,
+    X86_VPMOVSXWQZrmk	= 5297,
+    X86_VPMOVSXWQZrmkz	= 5298,
+    X86_VPMOVSXWQZrr	= 5299,
+    X86_VPMOVSXWQZrrk	= 5300,
+    X86_VPMOVSXWQZrrkz	= 5301,
+    X86_VPMOVSXWQrm	= 5302,
+    X86_VPMOVSXWQrr	= 5303,
+    X86_VPMOVUSDBmr	= 5304,
+    X86_VPMOVUSDBmrk	= 5305,
+    X86_VPMOVUSDBrr	= 5306,
+    X86_VPMOVUSDBrrk	= 5307,
+    X86_VPMOVUSDBrrkz	= 5308,
+    X86_VPMOVUSDWmr	= 5309,
+    X86_VPMOVUSDWmrk	= 5310,
+    X86_VPMOVUSDWrr	= 5311,
+    X86_VPMOVUSDWrrk	= 5312,
+    X86_VPMOVUSDWrrkz	= 5313,
+    X86_VPMOVUSQBmr	= 5314,
+    X86_VPMOVUSQBmrk	= 5315,
+    X86_VPMOVUSQBrr	= 5316,
+    X86_VPMOVUSQBrrk	= 5317,
+    X86_VPMOVUSQBrrkz	= 5318,
+    X86_VPMOVUSQDmr	= 5319,
+    X86_VPMOVUSQDmrk	= 5320,
+    X86_VPMOVUSQDrr	= 5321,
+    X86_VPMOVUSQDrrk	= 5322,
+    X86_VPMOVUSQDrrkz	= 5323,
+    X86_VPMOVUSQWmr	= 5324,
+    X86_VPMOVUSQWmrk	= 5325,
+    X86_VPMOVUSQWrr	= 5326,
+    X86_VPMOVUSQWrrk	= 5327,
+    X86_VPMOVUSQWrrkz	= 5328,
+    X86_VPMOVZXBDYrm	= 5329,
+    X86_VPMOVZXBDYrr	= 5330,
+    X86_VPMOVZXBDZrm	= 5331,
+    X86_VPMOVZXBDZrmk	= 5332,
+    X86_VPMOVZXBDZrmkz	= 5333,
+    X86_VPMOVZXBDZrr	= 5334,
+    X86_VPMOVZXBDZrrk	= 5335,
+    X86_VPMOVZXBDZrrkz	= 5336,
+    X86_VPMOVZXBDrm	= 5337,
+    X86_VPMOVZXBDrr	= 5338,
+    X86_VPMOVZXBQYrm	= 5339,
+    X86_VPMOVZXBQYrr	= 5340,
+    X86_VPMOVZXBQZrm	= 5341,
+    X86_VPMOVZXBQZrmk	= 5342,
+    X86_VPMOVZXBQZrmkz	= 5343,
+    X86_VPMOVZXBQZrr	= 5344,
+    X86_VPMOVZXBQZrrk	= 5345,
+    X86_VPMOVZXBQZrrkz	= 5346,
+    X86_VPMOVZXBQrm	= 5347,
+    X86_VPMOVZXBQrr	= 5348,
+    X86_VPMOVZXBWYrm	= 5349,
+    X86_VPMOVZXBWYrr	= 5350,
+    X86_VPMOVZXBWrm	= 5351,
+    X86_VPMOVZXBWrr	= 5352,
+    X86_VPMOVZXDQYrm	= 5353,
+    X86_VPMOVZXDQYrr	= 5354,
+    X86_VPMOVZXDQZrm	= 5355,
+    X86_VPMOVZXDQZrmk	= 5356,
+    X86_VPMOVZXDQZrmkz	= 5357,
+    X86_VPMOVZXDQZrr	= 5358,
+    X86_VPMOVZXDQZrrk	= 5359,
+    X86_VPMOVZXDQZrrkz	= 5360,
+    X86_VPMOVZXDQrm	= 5361,
+    X86_VPMOVZXDQrr	= 5362,
+    X86_VPMOVZXWDYrm	= 5363,
+    X86_VPMOVZXWDYrr	= 5364,
+    X86_VPMOVZXWDZrm	= 5365,
+    X86_VPMOVZXWDZrmk	= 5366,
+    X86_VPMOVZXWDZrmkz	= 5367,
+    X86_VPMOVZXWDZrr	= 5368,
+    X86_VPMOVZXWDZrrk	= 5369,
+    X86_VPMOVZXWDZrrkz	= 5370,
+    X86_VPMOVZXWDrm	= 5371,
+    X86_VPMOVZXWDrr	= 5372,
+    X86_VPMOVZXWQYrm	= 5373,
+    X86_VPMOVZXWQYrr	= 5374,
+    X86_VPMOVZXWQZrm	= 5375,
+    X86_VPMOVZXWQZrmk	= 5376,
+    X86_VPMOVZXWQZrmkz	= 5377,
+    X86_VPMOVZXWQZrr	= 5378,
+    X86_VPMOVZXWQZrrk	= 5379,
+    X86_VPMOVZXWQZrrkz	= 5380,
+    X86_VPMOVZXWQrm	= 5381,
+    X86_VPMOVZXWQrr	= 5382,
+    X86_VPMULDQYrm	= 5383,
+    X86_VPMULDQYrr	= 5384,
+    X86_VPMULDQZrm	= 5385,
+    X86_VPMULDQZrmb	= 5386,
+    X86_VPMULDQZrmbk	= 5387,
+    X86_VPMULDQZrmbkz	= 5388,
+    X86_VPMULDQZrmk	= 5389,
+    X86_VPMULDQZrmkz	= 5390,
+    X86_VPMULDQZrr	= 5391,
+    X86_VPMULDQZrrk	= 5392,
+    X86_VPMULDQZrrkz	= 5393,
+    X86_VPMULDQrm	= 5394,
+    X86_VPMULDQrr	= 5395,
+    X86_VPMULHRSWrm128	= 5396,
+    X86_VPMULHRSWrm256	= 5397,
+    X86_VPMULHRSWrr128	= 5398,
+    X86_VPMULHRSWrr256	= 5399,
+    X86_VPMULHUWYrm	= 5400,
+    X86_VPMULHUWYrr	= 5401,
+    X86_VPMULHUWrm	= 5402,
+    X86_VPMULHUWrr	= 5403,
+    X86_VPMULHWYrm	= 5404,
+    X86_VPMULHWYrr	= 5405,
+    X86_VPMULHWrm	= 5406,
+    X86_VPMULHWrr	= 5407,
+    X86_VPMULLDYrm	= 5408,
+    X86_VPMULLDYrr	= 5409,
+    X86_VPMULLDZrm	= 5410,
+    X86_VPMULLDZrmb	= 5411,
+    X86_VPMULLDZrmbk	= 5412,
+    X86_VPMULLDZrmbkz	= 5413,
+    X86_VPMULLDZrmk	= 5414,
+    X86_VPMULLDZrmkz	= 5415,
+    X86_VPMULLDZrr	= 5416,
+    X86_VPMULLDZrrk	= 5417,
+    X86_VPMULLDZrrkz	= 5418,
+    X86_VPMULLDrm	= 5419,
+    X86_VPMULLDrr	= 5420,
+    X86_VPMULLWYrm	= 5421,
+    X86_VPMULLWYrr	= 5422,
+    X86_VPMULLWrm	= 5423,
+    X86_VPMULLWrr	= 5424,
+    X86_VPMULUDQYrm	= 5425,
+    X86_VPMULUDQYrr	= 5426,
+    X86_VPMULUDQZrm	= 5427,
+    X86_VPMULUDQZrmb	= 5428,
+    X86_VPMULUDQZrmbk	= 5429,
+    X86_VPMULUDQZrmbkz	= 5430,
+    X86_VPMULUDQZrmk	= 5431,
+    X86_VPMULUDQZrmkz	= 5432,
+    X86_VPMULUDQZrr	= 5433,
+    X86_VPMULUDQZrrk	= 5434,
+    X86_VPMULUDQZrrkz	= 5435,
+    X86_VPMULUDQrm	= 5436,
+    X86_VPMULUDQrr	= 5437,
+    X86_VPORDZrm	= 5438,
+    X86_VPORDZrmb	= 5439,
+    X86_VPORDZrmbk	= 5440,
+    X86_VPORDZrmbkz	= 5441,
+    X86_VPORDZrmk	= 5442,
+    X86_VPORDZrmkz	= 5443,
+    X86_VPORDZrr	= 5444,
+    X86_VPORDZrrk	= 5445,
+    X86_VPORDZrrkz	= 5446,
+    X86_VPORQZrm	= 5447,
+    X86_VPORQZrmb	= 5448,
+    X86_VPORQZrmbk	= 5449,
+    X86_VPORQZrmbkz	= 5450,
+    X86_VPORQZrmk	= 5451,
+    X86_VPORQZrmkz	= 5452,
+    X86_VPORQZrr	= 5453,
+    X86_VPORQZrrk	= 5454,
+    X86_VPORQZrrkz	= 5455,
+    X86_VPORYrm	= 5456,
+    X86_VPORYrr	= 5457,
+    X86_VPORrm	= 5458,
+    X86_VPORrr	= 5459,
+    X86_VPPERMmr	= 5460,
+    X86_VPPERMrm	= 5461,
+    X86_VPPERMrr	= 5462,
+    X86_VPROTBmi	= 5463,
+    X86_VPROTBmr	= 5464,
+    X86_VPROTBri	= 5465,
+    X86_VPROTBrm	= 5466,
+    X86_VPROTBrr	= 5467,
+    X86_VPROTDmi	= 5468,
+    X86_VPROTDmr	= 5469,
+    X86_VPROTDri	= 5470,
+    X86_VPROTDrm	= 5471,
+    X86_VPROTDrr	= 5472,
+    X86_VPROTQmi	= 5473,
+    X86_VPROTQmr	= 5474,
+    X86_VPROTQri	= 5475,
+    X86_VPROTQrm	= 5476,
+    X86_VPROTQrr	= 5477,
+    X86_VPROTWmi	= 5478,
+    X86_VPROTWmr	= 5479,
+    X86_VPROTWri	= 5480,
+    X86_VPROTWrm	= 5481,
+    X86_VPROTWrr	= 5482,
+    X86_VPSADBWYrm	= 5483,
+    X86_VPSADBWYrr	= 5484,
+    X86_VPSADBWrm	= 5485,
+    X86_VPSADBWrr	= 5486,
+    X86_VPSCATTERDDZmr	= 5487,
+    X86_VPSCATTERDQZmr	= 5488,
+    X86_VPSCATTERQDZmr	= 5489,
+    X86_VPSCATTERQQZmr	= 5490,
+    X86_VPSHABmr	= 5491,
+    X86_VPSHABrm	= 5492,
+    X86_VPSHABrr	= 5493,
+    X86_VPSHADmr	= 5494,
+    X86_VPSHADrm	= 5495,
+    X86_VPSHADrr	= 5496,
+    X86_VPSHAQmr	= 5497,
+    X86_VPSHAQrm	= 5498,
+    X86_VPSHAQrr	= 5499,
+    X86_VPSHAWmr	= 5500,
+    X86_VPSHAWrm	= 5501,
+    X86_VPSHAWrr	= 5502,
+    X86_VPSHLBmr	= 5503,
+    X86_VPSHLBrm	= 5504,
+    X86_VPSHLBrr	= 5505,
+    X86_VPSHLDmr	= 5506,
+    X86_VPSHLDrm	= 5507,
+    X86_VPSHLDrr	= 5508,
+    X86_VPSHLQmr	= 5509,
+    X86_VPSHLQrm	= 5510,
+    X86_VPSHLQrr	= 5511,
+    X86_VPSHLWmr	= 5512,
+    X86_VPSHLWrm	= 5513,
+    X86_VPSHLWrr	= 5514,
+    X86_VPSHUFBYrm	= 5515,
+    X86_VPSHUFBYrr	= 5516,
+    X86_VPSHUFBrm	= 5517,
+    X86_VPSHUFBrr	= 5518,
+    X86_VPSHUFDYmi	= 5519,
+    X86_VPSHUFDYri	= 5520,
+    X86_VPSHUFDZmi	= 5521,
+    X86_VPSHUFDZri	= 5522,
+    X86_VPSHUFDmi	= 5523,
+    X86_VPSHUFDri	= 5524,
+    X86_VPSHUFHWYmi	= 5525,
+    X86_VPSHUFHWYri	= 5526,
+    X86_VPSHUFHWmi	= 5527,
+    X86_VPSHUFHWri	= 5528,
+    X86_VPSHUFLWYmi	= 5529,
+    X86_VPSHUFLWYri	= 5530,
+    X86_VPSHUFLWmi	= 5531,
+    X86_VPSHUFLWri	= 5532,
+    X86_VPSIGNBYrm	= 5533,
+    X86_VPSIGNBYrr	= 5534,
+    X86_VPSIGNBrm	= 5535,
+    X86_VPSIGNBrr	= 5536,
+    X86_VPSIGNDYrm	= 5537,
+    X86_VPSIGNDYrr	= 5538,
+    X86_VPSIGNDrm	= 5539,
+    X86_VPSIGNDrr	= 5540,
+    X86_VPSIGNWYrm	= 5541,
+    X86_VPSIGNWYrr	= 5542,
+    X86_VPSIGNWrm	= 5543,
+    X86_VPSIGNWrr	= 5544,
+    X86_VPSLLDQYri	= 5545,
+    X86_VPSLLDQri	= 5546,
+    X86_VPSLLDYri	= 5547,
+    X86_VPSLLDYrm	= 5548,
+    X86_VPSLLDYrr	= 5549,
+    X86_VPSLLDZmi	= 5550,
+    X86_VPSLLDZmik	= 5551,
+    X86_VPSLLDZri	= 5552,
+    X86_VPSLLDZrik	= 5553,
+    X86_VPSLLDZrm	= 5554,
+    X86_VPSLLDZrmk	= 5555,
+    X86_VPSLLDZrr	= 5556,
+    X86_VPSLLDZrrk	= 5557,
+    X86_VPSLLDri	= 5558,
+    X86_VPSLLDrm	= 5559,
+    X86_VPSLLDrr	= 5560,
+    X86_VPSLLQYri	= 5561,
+    X86_VPSLLQYrm	= 5562,
+    X86_VPSLLQYrr	= 5563,
+    X86_VPSLLQZmi	= 5564,
+    X86_VPSLLQZmik	= 5565,
+    X86_VPSLLQZri	= 5566,
+    X86_VPSLLQZrik	= 5567,
+    X86_VPSLLQZrm	= 5568,
+    X86_VPSLLQZrmk	= 5569,
+    X86_VPSLLQZrr	= 5570,
+    X86_VPSLLQZrrk	= 5571,
+    X86_VPSLLQri	= 5572,
+    X86_VPSLLQrm	= 5573,
+    X86_VPSLLQrr	= 5574,
+    X86_VPSLLVDYrm	= 5575,
+    X86_VPSLLVDYrr	= 5576,
+    X86_VPSLLVDZrm	= 5577,
+    X86_VPSLLVDZrr	= 5578,
+    X86_VPSLLVDrm	= 5579,
+    X86_VPSLLVDrr	= 5580,
+    X86_VPSLLVQYrm	= 5581,
+    X86_VPSLLVQYrr	= 5582,
+    X86_VPSLLVQZrm	= 5583,
+    X86_VPSLLVQZrr	= 5584,
+    X86_VPSLLVQrm	= 5585,
+    X86_VPSLLVQrr	= 5586,
+    X86_VPSLLWYri	= 5587,
+    X86_VPSLLWYrm	= 5588,
+    X86_VPSLLWYrr	= 5589,
+    X86_VPSLLWri	= 5590,
+    X86_VPSLLWrm	= 5591,
+    X86_VPSLLWrr	= 5592,
+    X86_VPSRADYri	= 5593,
+    X86_VPSRADYrm	= 5594,
+    X86_VPSRADYrr	= 5595,
+    X86_VPSRADZmi	= 5596,
+    X86_VPSRADZmik	= 5597,
+    X86_VPSRADZri	= 5598,
+    X86_VPSRADZrik	= 5599,
+    X86_VPSRADZrm	= 5600,
+    X86_VPSRADZrmk	= 5601,
+    X86_VPSRADZrr	= 5602,
+    X86_VPSRADZrrk	= 5603,
+    X86_VPSRADri	= 5604,
+    X86_VPSRADrm	= 5605,
+    X86_VPSRADrr	= 5606,
+    X86_VPSRAQZmi	= 5607,
+    X86_VPSRAQZmik	= 5608,
+    X86_VPSRAQZri	= 5609,
+    X86_VPSRAQZrik	= 5610,
+    X86_VPSRAQZrm	= 5611,
+    X86_VPSRAQZrmk	= 5612,
+    X86_VPSRAQZrr	= 5613,
+    X86_VPSRAQZrrk	= 5614,
+    X86_VPSRAVDYrm	= 5615,
+    X86_VPSRAVDYrr	= 5616,
+    X86_VPSRAVDZrm	= 5617,
+    X86_VPSRAVDZrr	= 5618,
+    X86_VPSRAVDrm	= 5619,
+    X86_VPSRAVDrr	= 5620,
+    X86_VPSRAVQZrm	= 5621,
+    X86_VPSRAVQZrr	= 5622,
+    X86_VPSRAWYri	= 5623,
+    X86_VPSRAWYrm	= 5624,
+    X86_VPSRAWYrr	= 5625,
+    X86_VPSRAWri	= 5626,
+    X86_VPSRAWrm	= 5627,
+    X86_VPSRAWrr	= 5628,
+    X86_VPSRLDQYri	= 5629,
+    X86_VPSRLDQri	= 5630,
+    X86_VPSRLDYri	= 5631,
+    X86_VPSRLDYrm	= 5632,
+    X86_VPSRLDYrr	= 5633,
+    X86_VPSRLDZmi	= 5634,
+    X86_VPSRLDZmik	= 5635,
+    X86_VPSRLDZri	= 5636,
+    X86_VPSRLDZrik	= 5637,
+    X86_VPSRLDZrm	= 5638,
+    X86_VPSRLDZrmk	= 5639,
+    X86_VPSRLDZrr	= 5640,
+    X86_VPSRLDZrrk	= 5641,
+    X86_VPSRLDri	= 5642,
+    X86_VPSRLDrm	= 5643,
+    X86_VPSRLDrr	= 5644,
+    X86_VPSRLQYri	= 5645,
+    X86_VPSRLQYrm	= 5646,
+    X86_VPSRLQYrr	= 5647,
+    X86_VPSRLQZmi	= 5648,
+    X86_VPSRLQZmik	= 5649,
+    X86_VPSRLQZri	= 5650,
+    X86_VPSRLQZrik	= 5651,
+    X86_VPSRLQZrm	= 5652,
+    X86_VPSRLQZrmk	= 5653,
+    X86_VPSRLQZrr	= 5654,
+    X86_VPSRLQZrrk	= 5655,
+    X86_VPSRLQri	= 5656,
+    X86_VPSRLQrm	= 5657,
+    X86_VPSRLQrr	= 5658,
+    X86_VPSRLVDYrm	= 5659,
+    X86_VPSRLVDYrr	= 5660,
+    X86_VPSRLVDZrm	= 5661,
+    X86_VPSRLVDZrr	= 5662,
+    X86_VPSRLVDrm	= 5663,
+    X86_VPSRLVDrr	= 5664,
+    X86_VPSRLVQYrm	= 5665,
+    X86_VPSRLVQYrr	= 5666,
+    X86_VPSRLVQZrm	= 5667,
+    X86_VPSRLVQZrr	= 5668,
+    X86_VPSRLVQrm	= 5669,
+    X86_VPSRLVQrr	= 5670,
+    X86_VPSRLWYri	= 5671,
+    X86_VPSRLWYrm	= 5672,
+    X86_VPSRLWYrr	= 5673,
+    X86_VPSRLWri	= 5674,
+    X86_VPSRLWrm	= 5675,
+    X86_VPSRLWrr	= 5676,
+    X86_VPSUBBYrm	= 5677,
+    X86_VPSUBBYrr	= 5678,
+    X86_VPSUBBrm	= 5679,
+    X86_VPSUBBrr	= 5680,
+    X86_VPSUBDYrm	= 5681,
+    X86_VPSUBDYrr	= 5682,
+    X86_VPSUBDZrm	= 5683,
+    X86_VPSUBDZrmb	= 5684,
+    X86_VPSUBDZrmbk	= 5685,
+    X86_VPSUBDZrmbkz	= 5686,
+    X86_VPSUBDZrmk	= 5687,
+    X86_VPSUBDZrmkz	= 5688,
+    X86_VPSUBDZrr	= 5689,
+    X86_VPSUBDZrrk	= 5690,
+    X86_VPSUBDZrrkz	= 5691,
+    X86_VPSUBDrm	= 5692,
+    X86_VPSUBDrr	= 5693,
+    X86_VPSUBQYrm	= 5694,
+    X86_VPSUBQYrr	= 5695,
+    X86_VPSUBQZrm	= 5696,
+    X86_VPSUBQZrmb	= 5697,
+    X86_VPSUBQZrmbk	= 5698,
+    X86_VPSUBQZrmbkz	= 5699,
+    X86_VPSUBQZrmk	= 5700,
+    X86_VPSUBQZrmkz	= 5701,
+    X86_VPSUBQZrr	= 5702,
+    X86_VPSUBQZrrk	= 5703,
+    X86_VPSUBQZrrkz	= 5704,
+    X86_VPSUBQrm	= 5705,
+    X86_VPSUBQrr	= 5706,
+    X86_VPSUBSBYrm	= 5707,
+    X86_VPSUBSBYrr	= 5708,
+    X86_VPSUBSBrm	= 5709,
+    X86_VPSUBSBrr	= 5710,
+    X86_VPSUBSWYrm	= 5711,
+    X86_VPSUBSWYrr	= 5712,
+    X86_VPSUBSWrm	= 5713,
+    X86_VPSUBSWrr	= 5714,
+    X86_VPSUBUSBYrm	= 5715,
+    X86_VPSUBUSBYrr	= 5716,
+    X86_VPSUBUSBrm	= 5717,
+    X86_VPSUBUSBrr	= 5718,
+    X86_VPSUBUSWYrm	= 5719,
+    X86_VPSUBUSWYrr	= 5720,
+    X86_VPSUBUSWrm	= 5721,
+    X86_VPSUBUSWrr	= 5722,
+    X86_VPSUBWYrm	= 5723,
+    X86_VPSUBWYrr	= 5724,
+    X86_VPSUBWrm	= 5725,
+    X86_VPSUBWrr	= 5726,
+    X86_VPTESTMDZrm	= 5727,
+    X86_VPTESTMDZrr	= 5728,
+    X86_VPTESTMQZrm	= 5729,
+    X86_VPTESTMQZrr	= 5730,
+    X86_VPTESTNMDZrm	= 5731,
+    X86_VPTESTNMDZrr	= 5732,
+    X86_VPTESTNMQZrm	= 5733,
+    X86_VPTESTNMQZrr	= 5734,
+    X86_VPTESTYrm	= 5735,
+    X86_VPTESTYrr	= 5736,
+    X86_VPTESTrm	= 5737,
+    X86_VPTESTrr	= 5738,
+    X86_VPUNPCKHBWYrm	= 5739,
+    X86_VPUNPCKHBWYrr	= 5740,
+    X86_VPUNPCKHBWrm	= 5741,
+    X86_VPUNPCKHBWrr	= 5742,
+    X86_VPUNPCKHDQYrm	= 5743,
+    X86_VPUNPCKHDQYrr	= 5744,
+    X86_VPUNPCKHDQZrm	= 5745,
+    X86_VPUNPCKHDQZrr	= 5746,
+    X86_VPUNPCKHDQrm	= 5747,
+    X86_VPUNPCKHDQrr	= 5748,
+    X86_VPUNPCKHQDQYrm	= 5749,
+    X86_VPUNPCKHQDQYrr	= 5750,
+    X86_VPUNPCKHQDQZrm	= 5751,
+    X86_VPUNPCKHQDQZrr	= 5752,
+    X86_VPUNPCKHQDQrm	= 5753,
+    X86_VPUNPCKHQDQrr	= 5754,
+    X86_VPUNPCKHWDYrm	= 5755,
+    X86_VPUNPCKHWDYrr	= 5756,
+    X86_VPUNPCKHWDrm	= 5757,
+    X86_VPUNPCKHWDrr	= 5758,
+    X86_VPUNPCKLBWYrm	= 5759,
+    X86_VPUNPCKLBWYrr	= 5760,
+    X86_VPUNPCKLBWrm	= 5761,
+    X86_VPUNPCKLBWrr	= 5762,
+    X86_VPUNPCKLDQYrm	= 5763,
+    X86_VPUNPCKLDQYrr	= 5764,
+    X86_VPUNPCKLDQZrm	= 5765,
+    X86_VPUNPCKLDQZrr	= 5766,
+    X86_VPUNPCKLDQrm	= 5767,
+    X86_VPUNPCKLDQrr	= 5768,
+    X86_VPUNPCKLQDQYrm	= 5769,
+    X86_VPUNPCKLQDQYrr	= 5770,
+    X86_VPUNPCKLQDQZrm	= 5771,
+    X86_VPUNPCKLQDQZrr	= 5772,
+    X86_VPUNPCKLQDQrm	= 5773,
+    X86_VPUNPCKLQDQrr	= 5774,
+    X86_VPUNPCKLWDYrm	= 5775,
+    X86_VPUNPCKLWDYrr	= 5776,
+    X86_VPUNPCKLWDrm	= 5777,
+    X86_VPUNPCKLWDrr	= 5778,
+    X86_VPXORDZrm	= 5779,
+    X86_VPXORDZrmb	= 5780,
+    X86_VPXORDZrmbk	= 5781,
+    X86_VPXORDZrmbkz	= 5782,
+    X86_VPXORDZrmk	= 5783,
+    X86_VPXORDZrmkz	= 5784,
+    X86_VPXORDZrr	= 5785,
+    X86_VPXORDZrrk	= 5786,
+    X86_VPXORDZrrkz	= 5787,
+    X86_VPXORQZrm	= 5788,
+    X86_VPXORQZrmb	= 5789,
+    X86_VPXORQZrmbk	= 5790,
+    X86_VPXORQZrmbkz	= 5791,
+    X86_VPXORQZrmk	= 5792,
+    X86_VPXORQZrmkz	= 5793,
+    X86_VPXORQZrr	= 5794,
+    X86_VPXORQZrrk	= 5795,
+    X86_VPXORQZrrkz	= 5796,
+    X86_VPXORYrm	= 5797,
+    X86_VPXORYrr	= 5798,
+    X86_VPXORrm	= 5799,
+    X86_VPXORrr	= 5800,
+    X86_VRCP14PDZm	= 5801,
+    X86_VRCP14PDZr	= 5802,
+    X86_VRCP14PSZm	= 5803,
+    X86_VRCP14PSZr	= 5804,
+    X86_VRCP14SDrm	= 5805,
+    X86_VRCP14SDrr	= 5806,
+    X86_VRCP14SSrm	= 5807,
+    X86_VRCP14SSrr	= 5808,
+    X86_VRCP28PDZm	= 5809,
+    X86_VRCP28PDZr	= 5810,
+    X86_VRCP28PDZrb	= 5811,
+    X86_VRCP28PSZm	= 5812,
+    X86_VRCP28PSZr	= 5813,
+    X86_VRCP28PSZrb	= 5814,
+    X86_VRCP28SDrm	= 5815,
+    X86_VRCP28SDrr	= 5816,
+    X86_VRCP28SDrrb	= 5817,
+    X86_VRCP28SSrm	= 5818,
+    X86_VRCP28SSrr	= 5819,
+    X86_VRCP28SSrrb	= 5820,
+    X86_VRCPPSYm	= 5821,
+    X86_VRCPPSYm_Int	= 5822,
+    X86_VRCPPSYr	= 5823,
+    X86_VRCPPSYr_Int	= 5824,
+    X86_VRCPPSm	= 5825,
+    X86_VRCPPSm_Int	= 5826,
+    X86_VRCPPSr	= 5827,
+    X86_VRCPPSr_Int	= 5828,
+    X86_VRCPSSm	= 5829,
+    X86_VRCPSSm_Int	= 5830,
+    X86_VRCPSSr	= 5831,
+    X86_VRNDSCALEPDZm	= 5832,
+    X86_VRNDSCALEPDZr	= 5833,
+    X86_VRNDSCALEPSZm	= 5834,
+    X86_VRNDSCALEPSZr	= 5835,
+    X86_VRNDSCALESDm	= 5836,
+    X86_VRNDSCALESDr	= 5837,
+    X86_VRNDSCALESSm	= 5838,
+    X86_VRNDSCALESSr	= 5839,
+    X86_VROUNDPDm	= 5840,
+    X86_VROUNDPDr	= 5841,
+    X86_VROUNDPSm	= 5842,
+    X86_VROUNDPSr	= 5843,
+    X86_VROUNDSDm	= 5844,
+    X86_VROUNDSDr	= 5845,
+    X86_VROUNDSDr_Int	= 5846,
+    X86_VROUNDSSm	= 5847,
+    X86_VROUNDSSr	= 5848,
+    X86_VROUNDSSr_Int	= 5849,
+    X86_VROUNDYPDm	= 5850,
+    X86_VROUNDYPDr	= 5851,
+    X86_VROUNDYPSm	= 5852,
+    X86_VROUNDYPSr	= 5853,
+    X86_VRSQRT14PDZm	= 5854,
+    X86_VRSQRT14PDZr	= 5855,
+    X86_VRSQRT14PSZm	= 5856,
+    X86_VRSQRT14PSZr	= 5857,
+    X86_VRSQRT14SDrm	= 5858,
+    X86_VRSQRT14SDrr	= 5859,
+    X86_VRSQRT14SSrm	= 5860,
+    X86_VRSQRT14SSrr	= 5861,
+    X86_VRSQRT28PDZm	= 5862,
+    X86_VRSQRT28PDZr	= 5863,
+    X86_VRSQRT28PDZrb	= 5864,
+    X86_VRSQRT28PSZm	= 5865,
+    X86_VRSQRT28PSZr	= 5866,
+    X86_VRSQRT28PSZrb	= 5867,
+    X86_VRSQRT28SDrm	= 5868,
+    X86_VRSQRT28SDrr	= 5869,
+    X86_VRSQRT28SDrrb	= 5870,
+    X86_VRSQRT28SSrm	= 5871,
+    X86_VRSQRT28SSrr	= 5872,
+    X86_VRSQRT28SSrrb	= 5873,
+    X86_VRSQRTPSYm	= 5874,
+    X86_VRSQRTPSYm_Int	= 5875,
+    X86_VRSQRTPSYr	= 5876,
+    X86_VRSQRTPSYr_Int	= 5877,
+    X86_VRSQRTPSm	= 5878,
+    X86_VRSQRTPSm_Int	= 5879,
+    X86_VRSQRTPSr	= 5880,
+    X86_VRSQRTPSr_Int	= 5881,
+    X86_VRSQRTSSm	= 5882,
+    X86_VRSQRTSSm_Int	= 5883,
+    X86_VRSQRTSSr	= 5884,
+    X86_VSCATTERDPDZmr	= 5885,
+    X86_VSCATTERDPSZmr	= 5886,
+    X86_VSCATTERPF0DPDm	= 5887,
+    X86_VSCATTERPF0DPSm	= 5888,
+    X86_VSCATTERPF0QPDm	= 5889,
+    X86_VSCATTERPF0QPSm	= 5890,
+    X86_VSCATTERPF1DPDm	= 5891,
+    X86_VSCATTERPF1DPSm	= 5892,
+    X86_VSCATTERPF1QPDm	= 5893,
+    X86_VSCATTERPF1QPSm	= 5894,
+    X86_VSCATTERQPDZmr	= 5895,
+    X86_VSCATTERQPSZmr	= 5896,
+    X86_VSHUFPDYrmi	= 5897,
+    X86_VSHUFPDYrri	= 5898,
+    X86_VSHUFPDZrmi	= 5899,
+    X86_VSHUFPDZrri	= 5900,
+    X86_VSHUFPDrmi	= 5901,
+    X86_VSHUFPDrri	= 5902,
+    X86_VSHUFPSYrmi	= 5903,
+    X86_VSHUFPSYrri	= 5904,
+    X86_VSHUFPSZrmi	= 5905,
+    X86_VSHUFPSZrri	= 5906,
+    X86_VSHUFPSrmi	= 5907,
+    X86_VSHUFPSrri	= 5908,
+    X86_VSQRTPDYm	= 5909,
+    X86_VSQRTPDYr	= 5910,
+    X86_VSQRTPDZrm	= 5911,
+    X86_VSQRTPDZrr	= 5912,
+    X86_VSQRTPDm	= 5913,
+    X86_VSQRTPDr	= 5914,
+    X86_VSQRTPSYm	= 5915,
+    X86_VSQRTPSYr	= 5916,
+    X86_VSQRTPSZrm	= 5917,
+    X86_VSQRTPSZrr	= 5918,
+    X86_VSQRTPSm	= 5919,
+    X86_VSQRTPSr	= 5920,
+    X86_VSQRTSDZm	= 5921,
+    X86_VSQRTSDZm_Int	= 5922,
+    X86_VSQRTSDZr	= 5923,
+    X86_VSQRTSDZr_Int	= 5924,
+    X86_VSQRTSDm	= 5925,
+    X86_VSQRTSDm_Int	= 5926,
+    X86_VSQRTSDr	= 5927,
+    X86_VSQRTSSZm	= 5928,
+    X86_VSQRTSSZm_Int	= 5929,
+    X86_VSQRTSSZr	= 5930,
+    X86_VSQRTSSZr_Int	= 5931,
+    X86_VSQRTSSm	= 5932,
+    X86_VSQRTSSm_Int	= 5933,
+    X86_VSQRTSSr	= 5934,
+    X86_VSTMXCSR	= 5935,
+    X86_VSUBPDYrm	= 5936,
+    X86_VSUBPDYrr	= 5937,
+    X86_VSUBPDZrm	= 5938,
+    X86_VSUBPDZrmb	= 5939,
+    X86_VSUBPDZrmbk	= 5940,
+    X86_VSUBPDZrmbkz	= 5941,
+    X86_VSUBPDZrmk	= 5942,
+    X86_VSUBPDZrmkz	= 5943,
+    X86_VSUBPDZrr	= 5944,
+    X86_VSUBPDZrrk	= 5945,
+    X86_VSUBPDZrrkz	= 5946,
+    X86_VSUBPDrm	= 5947,
+    X86_VSUBPDrr	= 5948,
+    X86_VSUBPSYrm	= 5949,
+    X86_VSUBPSYrr	= 5950,
+    X86_VSUBPSZrm	= 5951,
+    X86_VSUBPSZrmb	= 5952,
+    X86_VSUBPSZrmbk	= 5953,
+    X86_VSUBPSZrmbkz	= 5954,
+    X86_VSUBPSZrmk	= 5955,
+    X86_VSUBPSZrmkz	= 5956,
+    X86_VSUBPSZrr	= 5957,
+    X86_VSUBPSZrrk	= 5958,
+    X86_VSUBPSZrrkz	= 5959,
+    X86_VSUBPSrm	= 5960,
+    X86_VSUBPSrr	= 5961,
+    X86_VSUBSDZrm	= 5962,
+    X86_VSUBSDZrr	= 5963,
+    X86_VSUBSDrm	= 5964,
+    X86_VSUBSDrm_Int	= 5965,
+    X86_VSUBSDrr	= 5966,
+    X86_VSUBSDrr_Int	= 5967,
+    X86_VSUBSSZrm	= 5968,
+    X86_VSUBSSZrr	= 5969,
+    X86_VSUBSSrm	= 5970,
+    X86_VSUBSSrm_Int	= 5971,
+    X86_VSUBSSrr	= 5972,
+    X86_VSUBSSrr_Int	= 5973,
+    X86_VTESTPDYrm	= 5974,
+    X86_VTESTPDYrr	= 5975,
+    X86_VTESTPDrm	= 5976,
+    X86_VTESTPDrr	= 5977,
+    X86_VTESTPSYrm	= 5978,
+    X86_VTESTPSYrr	= 5979,
+    X86_VTESTPSrm	= 5980,
+    X86_VTESTPSrr	= 5981,
+    X86_VUCOMISDZrm	= 5982,
+    X86_VUCOMISDZrr	= 5983,
+    X86_VUCOMISDrm	= 5984,
+    X86_VUCOMISDrr	= 5985,
+    X86_VUCOMISSZrm	= 5986,
+    X86_VUCOMISSZrr	= 5987,
+    X86_VUCOMISSrm	= 5988,
+    X86_VUCOMISSrr	= 5989,
+    X86_VUNPCKHPDYrm	= 5990,
+    X86_VUNPCKHPDYrr	= 5991,
+    X86_VUNPCKHPDZrm	= 5992,
+    X86_VUNPCKHPDZrr	= 5993,
+    X86_VUNPCKHPDrm	= 5994,
+    X86_VUNPCKHPDrr	= 5995,
+    X86_VUNPCKHPSYrm	= 5996,
+    X86_VUNPCKHPSYrr	= 5997,
+    X86_VUNPCKHPSZrm	= 5998,
+    X86_VUNPCKHPSZrr	= 5999,
+    X86_VUNPCKHPSrm	= 6000,
+    X86_VUNPCKHPSrr	= 6001,
+    X86_VUNPCKLPDYrm	= 6002,
+    X86_VUNPCKLPDYrr	= 6003,
+    X86_VUNPCKLPDZrm	= 6004,
+    X86_VUNPCKLPDZrr	= 6005,
+    X86_VUNPCKLPDrm	= 6006,
+    X86_VUNPCKLPDrr	= 6007,
+    X86_VUNPCKLPSYrm	= 6008,
+    X86_VUNPCKLPSYrr	= 6009,
+    X86_VUNPCKLPSZrm	= 6010,
+    X86_VUNPCKLPSZrr	= 6011,
+    X86_VUNPCKLPSrm	= 6012,
+    X86_VUNPCKLPSrr	= 6013,
+    X86_VXORPDYrm	= 6014,
+    X86_VXORPDYrr	= 6015,
+    X86_VXORPDrm	= 6016,
+    X86_VXORPDrr	= 6017,
+    X86_VXORPSYrm	= 6018,
+    X86_VXORPSYrr	= 6019,
+    X86_VXORPSrm	= 6020,
+    X86_VXORPSrr	= 6021,
+    X86_VZEROALL	= 6022,
+    X86_VZEROUPPER	= 6023,
+    X86_V_SET0	= 6024,
+    X86_V_SETALLONES	= 6025,
+    X86_W64ALLOCA	= 6026,
+    X86_WAIT	= 6027,
+    X86_WBINVD	= 6028,
+    X86_WIN_ALLOCA	= 6029,
+    X86_WIN_FTOL_32	= 6030,
+    X86_WIN_FTOL_64	= 6031,
+    X86_WRFSBASE	= 6032,
+    X86_WRFSBASE64	= 6033,
+    X86_WRGSBASE	= 6034,
+    X86_WRGSBASE64	= 6035,
+    X86_WRMSR	= 6036,
+    X86_XABORT	= 6037,
+    X86_XACQUIRE_PREFIX	= 6038,
+    X86_XADD16rm	= 6039,
+    X86_XADD16rr	= 6040,
+    X86_XADD32rm	= 6041,
+    X86_XADD32rr	= 6042,
+    X86_XADD64rm	= 6043,
+    X86_XADD64rr	= 6044,
+    X86_XADD8rm	= 6045,
+    X86_XADD8rr	= 6046,
+    X86_XBEGIN	= 6047,
+    X86_XBEGIN_4	= 6048,
+    X86_XCHG16ar	= 6049,
+    X86_XCHG16rm	= 6050,
+    X86_XCHG16rr	= 6051,
+    X86_XCHG32ar	= 6052,
+    X86_XCHG32ar64	= 6053,
+    X86_XCHG32rm	= 6054,
+    X86_XCHG32rr	= 6055,
+    X86_XCHG64ar	= 6056,
+    X86_XCHG64rm	= 6057,
+    X86_XCHG64rr	= 6058,
+    X86_XCHG8rm	= 6059,
+    X86_XCHG8rr	= 6060,
+    X86_XCH_F	= 6061,
+    X86_XCRYPTCBC	= 6062,
+    X86_XCRYPTCFB	= 6063,
+    X86_XCRYPTCTR	= 6064,
+    X86_XCRYPTECB	= 6065,
+    X86_XCRYPTOFB	= 6066,
+    X86_XEND	= 6067,
+    X86_XGETBV	= 6068,
+    X86_XLAT	= 6069,
+    X86_XOR16i16	= 6070,
+    X86_XOR16mi	= 6071,
+    X86_XOR16mi8	= 6072,
+    X86_XOR16mr	= 6073,
+    X86_XOR16ri	= 6074,
+    X86_XOR16ri8	= 6075,
+    X86_XOR16rm	= 6076,
+    X86_XOR16rr	= 6077,
+    X86_XOR16rr_REV	= 6078,
+    X86_XOR32i32	= 6079,
+    X86_XOR32mi	= 6080,
+    X86_XOR32mi8	= 6081,
+    X86_XOR32mr	= 6082,
+    X86_XOR32ri	= 6083,
+    X86_XOR32ri8	= 6084,
+    X86_XOR32rm	= 6085,
+    X86_XOR32rr	= 6086,
+    X86_XOR32rr_REV	= 6087,
+    X86_XOR64i32	= 6088,
+    X86_XOR64mi32	= 6089,
+    X86_XOR64mi8	= 6090,
+    X86_XOR64mr	= 6091,
+    X86_XOR64ri32	= 6092,
+    X86_XOR64ri8	= 6093,
+    X86_XOR64rm	= 6094,
+    X86_XOR64rr	= 6095,
+    X86_XOR64rr_REV	= 6096,
+    X86_XOR8i8	= 6097,
+    X86_XOR8mi	= 6098,
+    X86_XOR8mr	= 6099,
+    X86_XOR8ri	= 6100,
+    X86_XOR8ri8	= 6101,
+    X86_XOR8rm	= 6102,
+    X86_XOR8rr	= 6103,
+    X86_XOR8rr_REV	= 6104,
+    X86_XORPDrm	= 6105,
+    X86_XORPDrr	= 6106,
+    X86_XORPSrm	= 6107,
+    X86_XORPSrr	= 6108,
+    X86_XRELEASE_PREFIX	= 6109,
+    X86_XRSTOR	= 6110,
+    X86_XRSTOR64	= 6111,
+    X86_XSAVE	= 6112,
+    X86_XSAVE64	= 6113,
+    X86_XSAVEOPT	= 6114,
+    X86_XSAVEOPT64	= 6115,
+    X86_XSETBV	= 6116,
+    X86_XSHA1	= 6117,
+    X86_XSHA256	= 6118,
+    X86_XSTORE	= 6119,
+    X86_XTEST	= 6120,
+    X86_INSTRUCTION_LIST_END = 6121
 };
 
 #endif // GET_INSTRINFO_ENUM
@@ -5633,5149 +6304,5907 @@
   /* 1425 */ 'R', 'O', 'R', '8', 'r', '1', 0,
   /* 1432 */ 'L', 'D', '_', 'F', 'p', '0', '3', '2', 0,
   /* 1441 */ 'L', 'D', '_', 'F', 'p', '1', '3', '2', 0,
-  /* 1450 */ 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 0,
-  /* 1462 */ 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 0,
-  /* 1474 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 1486 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 1499 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 1511 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 1524 */ 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 0,
-  /* 1537 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 1548 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 1560 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 1572 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 1585 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '3', '2', 0,
-  /* 1595 */ 'P', 'U', 'S', 'H', 'A', '3', '2', 0,
-  /* 1603 */ 'P', 'O', 'P', 'A', '3', '2', 0,
-  /* 1610 */ 'V', 'M', 'L', 'O', 'A', 'D', '3', '2', 0,
-  /* 1619 */ 'L', 'X', 'A', 'D', 'D', '3', '2', 0,
-  /* 1627 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '3', '2', 0,
-  /* 1637 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '3', '2', 0,
-  /* 1647 */ 'C', 'P', 'U', 'I', 'D', '3', '2', 0,
-  /* 1655 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 0,
-  /* 1665 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 0,
-  /* 1676 */ 'V', 'M', 'S', 'A', 'V', 'E', '3', '2', 0,
-  /* 1685 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 0,
-  /* 1696 */ 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 0,
-  /* 1708 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 0,
-  /* 1719 */ 'P', 'U', 'S', 'H', 'F', '3', '2', 0,
-  /* 1727 */ 'P', 'O', 'P', 'F', '3', '2', 0,
-  /* 1734 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 0,
-  /* 1745 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 0,
-  /* 1755 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 0,
-  /* 1766 */ 'V', 'M', 'R', 'U', 'N', '3', '2', 0,
-  /* 1774 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '3', '2', 0,
-  /* 1793 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 0,
-  /* 1804 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '3', '2', 0,
-  /* 1821 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 0,
-  /* 1831 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', 0,
-  /* 1841 */ 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 0,
-  /* 1850 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 0,
-  /* 1860 */ 'S', 'C', 'A', 'S', '3', '2', 0,
-  /* 1867 */ 'P', 'U', 'S', 'H', 'C', 'S', '3', '2', 0,
-  /* 1876 */ 'P', 'U', 'S', 'H', 'D', 'S', '3', '2', 0,
-  /* 1885 */ 'P', 'O', 'P', 'D', 'S', '3', '2', 0,
-  /* 1893 */ 'P', 'U', 'S', 'H', 'E', 'S', '3', '2', 0,
-  /* 1902 */ 'P', 'O', 'P', 'E', 'S', '3', '2', 0,
-  /* 1910 */ 'P', 'U', 'S', 'H', 'F', 'S', '3', '2', 0,
-  /* 1919 */ 'P', 'O', 'P', 'F', 'S', '3', '2', 0,
-  /* 1927 */ 'P', 'U', 'S', 'H', 'G', 'S', '3', '2', 0,
-  /* 1936 */ 'P', 'O', 'P', 'G', 'S', '3', '2', 0,
-  /* 1944 */ 'C', 'M', 'P', 'S', '3', '2', 0,
-  /* 1951 */ 'P', 'U', 'S', 'H', 'S', 'S', '3', '2', 0,
-  /* 1960 */ 'P', 'O', 'P', 'S', 'S', '3', '2', 0,
-  /* 1968 */ 'I', 'R', 'E', 'T', '3', '2', 0,
-  /* 1975 */ 'I', 'N', 'V', 'E', 'P', 'T', '3', '2', 0,
-  /* 1984 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 0,
-  /* 1994 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 0,
-  /* 2005 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '3', '2', 0,
-  /* 2019 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '3', '2', 0,
-  /* 2032 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '3', '2', 0,
-  /* 2045 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '3', '2', 0,
-  /* 2058 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '3', '2', 0,
-  /* 2071 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '3', '2', 0,
-  /* 2083 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '3', '2', 0,
-  /* 2096 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '3', '2', 0,
-  /* 2109 */ 'J', 'E', 'C', 'X', 'Z', '_', '3', '2', 0,
-  /* 2118 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 2129 */ 'S', 'B', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 2138 */ 'S', 'U', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 2147 */ 'A', 'D', 'C', '3', '2', 'i', '3', '2', 0,
-  /* 2156 */ 'A', 'D', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 2165 */ 'A', 'N', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 2174 */ 'C', 'M', 'P', '3', '2', 'i', '3', '2', 0,
-  /* 2183 */ 'X', 'O', 'R', '3', '2', 'i', '3', '2', 0,
-  /* 2192 */ 'T', 'E', 'S', 'T', '3', '2', 'i', '3', '2', 0,
-  /* 2202 */ 'S', 'B', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 2211 */ 'S', 'U', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 2220 */ 'A', 'D', 'C', '6', '4', 'i', '3', '2', 0,
-  /* 2229 */ 'A', 'D', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 2238 */ 'A', 'N', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 2247 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '3', '2', 0,
-  /* 2257 */ 'C', 'M', 'P', '6', '4', 'i', '3', '2', 0,
-  /* 2266 */ 'X', 'O', 'R', '6', '4', 'i', '3', '2', 0,
-  /* 2275 */ 'T', 'E', 'S', 'T', '6', '4', 'i', '3', '2', 0,
-  /* 2285 */ 'P', 'U', 'S', 'H', 'i', '3', '2', 0,
-  /* 2293 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2303 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2318 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2328 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2343 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2358 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2368 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2383 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2397 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2408 */ 'M', 'O', 'V', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2418 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '3', '2', 0,
-  /* 2430 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2440 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2450 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2460 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2470 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2480 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2490 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2500 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2511 */ 'M', 'O', 'V', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2521 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '3', '2', 0,
-  /* 2533 */ 'C', 'A', 'L', 'L', '6', '4', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 2547 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 2559 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', '3', '2', 0,
-  /* 2571 */ 'S', 'U', 'B', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2583 */ 'A', 'D', 'D', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2595 */ 'M', 'U', 'L', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2607 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2620 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2633 */ 'S', 'T', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2644 */ 'D', 'I', 'V', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2656 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2669 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2682 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2695 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2709 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2723 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2736 */ 'I', 'L', 'D', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
-  /* 2748 */ 'I', 'S', 'T', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
-  /* 2760 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
-  /* 2773 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '3', '2', 0,
-  /* 2785 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', '3', '2', 0,
-  /* 2797 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2809 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2821 */ 'I', 'L', 'D', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2833 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2845 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2858 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2871 */ 'I', 'S', 'T', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2883 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2896 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2908 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2921 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2934 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2947 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2961 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2975 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2988 */ 'I', 'L', 'D', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
-  /* 3000 */ 'I', 'S', 'T', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
-  /* 3012 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
-  /* 3025 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '3', '2', 0,
-  /* 3037 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', 0,
-  /* 3047 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '3', '2', 0,
-  /* 3057 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 'p', '3', '2', 0,
-  /* 3069 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 0,
-  /* 3078 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 'p', '3', '2', 0,
-  /* 3089 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 0,
-  /* 3098 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3111 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3123 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3135 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3146 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 0,
-  /* 3155 */ 'S', 'I', 'N', '_', 'F', 'p', '3', '2', 0,
-  /* 3164 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 'p', '3', '2', 0,
-  /* 3176 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 'p', '3', '2', 0,
-  /* 3187 */ 'A', 'B', 'S', '_', 'F', 'p', '3', '2', 0,
-  /* 3196 */ 'C', 'H', 'S', '_', 'F', 'p', '3', '2', 0,
-  /* 3205 */ 'C', 'O', 'S', '_', 'F', 'p', '3', '2', 0,
-  /* 3214 */ 'S', 'Q', 'R', 'T', '_', 'F', 'p', '3', '2', 0,
-  /* 3224 */ 'T', 'S', 'T', '_', 'F', 'p', '3', '2', 0,
-  /* 3233 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 0,
-  /* 3242 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '3', '2', 0,
-  /* 3260 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '3', '2', 0,
-  /* 3277 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '3', '2', 0,
-  /* 3289 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'I', 'r', '3', '2', 0,
-  /* 3301 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3312 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3328 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'r', '3', '2', 0,
-  /* 3339 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '3', '2', 0,
-  /* 3351 */ 'F', 'L', 'D', 'L', 'G', '2', 0,
-  /* 3358 */ 'F', 'L', 'D', 'L', 'N', '2', 0,
-  /* 3365 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'T', '2', 0,
-  /* 3376 */ 'J', 'A', '_', '2', 0,
-  /* 3381 */ 'J', 'B', '_', '2', 0,
-  /* 3386 */ 'J', 'A', 'E', '_', '2', 0,
-  /* 3392 */ 'J', 'B', 'E', '_', '2', 0,
-  /* 3398 */ 'J', 'G', 'E', '_', '2', 0,
-  /* 3404 */ 'J', 'E', '_', '2', 0,
-  /* 3409 */ 'J', 'L', 'E', '_', '2', 0,
-  /* 3415 */ 'J', 'N', 'E', '_', '2', 0,
-  /* 3421 */ 'J', 'G', '_', '2', 0,
-  /* 3426 */ 'J', 'L', '_', '2', 0,
-  /* 3431 */ 'J', 'O', '_', '2', 0,
-  /* 3436 */ 'J', 'N', 'O', '_', '2', 0,
-  /* 3442 */ 'J', 'P', '_', '2', 0,
-  /* 3447 */ 'J', 'M', 'P', '_', '2', 0,
-  /* 3453 */ 'J', 'N', 'P', '_', '2', 0,
-  /* 3459 */ 'J', 'S', '_', '2', 0,
-  /* 3464 */ 'J', 'N', 'S', '_', '2', 0,
-  /* 3470 */ 'I', 'N', 'T', '3', 0,
-  /* 3475 */ 'L', 'D', '_', 'F', 'p', '0', '6', '4', 0,
-  /* 3484 */ 'L', 'D', '_', 'F', 'p', '1', '6', '4', 0,
-  /* 3493 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '6', '4', 0,
-  /* 3503 */ 'V', 'M', 'L', 'O', 'A', 'D', '6', '4', 0,
-  /* 3512 */ 'L', 'X', 'A', 'D', 'D', '6', '4', 0,
-  /* 3520 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '6', '4', 0,
-  /* 3530 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '6', '4', 0,
-  /* 3540 */ 'C', 'P', 'U', 'I', 'D', '6', '4', 0,
-  /* 3548 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 0,
-  /* 3558 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 0,
-  /* 3569 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3580 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3591 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3602 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3613 */ 'L', 'E', 'A', 'V', 'E', '6', '4', 0,
-  /* 3621 */ 'V', 'M', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 3630 */ 'F', 'X', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 3639 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 0,
-  /* 3650 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 0,
-  /* 3661 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 0,
-  /* 3672 */ 'P', 'U', 'S', 'H', 'F', '6', '4', 0,
-  /* 3680 */ 'P', 'O', 'P', 'F', '6', '4', 0,
-  /* 3687 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 0,
-  /* 3698 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 0,
-  /* 3709 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 0,
-  /* 3720 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 0,
-  /* 3731 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '6', '4', 0,
-  /* 3741 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 0,
-  /* 3751 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 0,
-  /* 3762 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', '6', '4', 0,
-  /* 3774 */ 'V', 'M', 'R', 'U', 'N', '6', '4', 0,
-  /* 3782 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '6', '4', 0,
-  /* 3801 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 0,
-  /* 3812 */ 'F', 'A', 'R', 'J', 'M', 'P', '6', '4', 0,
-  /* 3821 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '6', '4', 0,
-  /* 3838 */ 'M', 'M', 'X', '_', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', '6', '4', 0,
-  /* 3853 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 0,
-  /* 3863 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 0,
-  /* 3872 */ 'F', 'X', 'R', 'S', 'T', 'O', 'R', '6', '4', 0,
-  /* 3882 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 0,
-  /* 3892 */ 'S', 'C', 'A', 'S', '6', '4', 0,
-  /* 3899 */ 'P', 'U', 'S', 'H', 'F', 'S', '6', '4', 0,
-  /* 3908 */ 'P', 'O', 'P', 'F', 'S', '6', '4', 0,
-  /* 3916 */ 'P', 'U', 'S', 'H', 'G', 'S', '6', '4', 0,
-  /* 3925 */ 'P', 'O', 'P', 'G', 'S', '6', '4', 0,
-  /* 3933 */ 'C', 'M', 'P', 'S', '6', '4', 0,
-  /* 3940 */ 'I', 'R', 'E', 'T', '6', '4', 0,
-  /* 3947 */ 'S', 'Y', 'S', 'R', 'E', 'T', '6', '4', 0,
-  /* 3956 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', '6', '4', 0,
-  /* 3966 */ 'I', 'N', 'V', 'E', 'P', 'T', '6', '4', 0,
-  /* 3975 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', '6', '4', 0,
-  /* 3986 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 0,
-  /* 4000 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 0,
-  /* 4010 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 0,
-  /* 4021 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '6', '4', 0,
-  /* 4035 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '6', '4', 0,
-  /* 4048 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '6', '4', 0,
-  /* 4061 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '6', '4', 0,
-  /* 4074 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '6', '4', 0,
-  /* 4087 */ 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 0,
-  /* 4096 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '6', '4', 0,
-  /* 4108 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'Q', '_', '6', '4', 0,
-  /* 4121 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'Q', '_', '6', '4', 0,
-  /* 4134 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '6', '4', 0,
-  /* 4147 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '6', '4', 0,
-  /* 4160 */ 'J', 'E', 'C', 'X', 'Z', '_', '6', '4', 0,
-  /* 4169 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 4180 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', '6', '4', 0,
-  /* 4191 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', '6', '4', 0,
-  /* 4204 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', '6', '4', 0,
-  /* 4217 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '6', '4', 0,
-  /* 4227 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', '6', '4', 0,
-  /* 4240 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', '6', '4', 0,
-  /* 4252 */ 'S', 'U', 'B', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4264 */ 'A', 'D', 'D', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4276 */ 'M', 'U', 'L', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4288 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4301 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4314 */ 'S', 'T', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4325 */ 'D', 'I', 'V', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4337 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4350 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4363 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4376 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4390 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4404 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4417 */ 'I', 'L', 'D', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
-  /* 4429 */ 'I', 'S', 'T', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
-  /* 4441 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
-  /* 4454 */ 'I', 'L', 'D', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
-  /* 4466 */ 'I', 'S', 'T', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
-  /* 4478 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
-  /* 4491 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'm', '6', '4', 0,
-  /* 4503 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4516 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4529 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4542 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4556 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4570 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4583 */ 'I', 'L', 'D', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
-  /* 4595 */ 'I', 'S', 'T', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
-  /* 4607 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
-  /* 4620 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', '6', '4', 0,
-  /* 4631 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', '6', '4', 0,
-  /* 4646 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', '6', '4', 0,
-  /* 4661 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '6', '4', 0,
-  /* 4675 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', '6', '4', 0,
-  /* 4690 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'r', 'm', '6', '4', 0,
-  /* 4704 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', '6', '4', 0,
-  /* 4719 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '6', '4', 0,
-  /* 4733 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', '6', '4', 0,
-  /* 4748 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', '6', '4', 0,
-  /* 4763 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', '6', '4', 0,
-  /* 4778 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4792 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4810 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4826 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4842 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4859 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '6', '4', 0,
-  /* 4869 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 'p', '6', '4', 0,
-  /* 4881 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 0,
-  /* 4890 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 'p', '6', '4', 0,
-  /* 4901 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 0,
-  /* 4910 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4923 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4935 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4947 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4958 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 0,
-  /* 4967 */ 'S', 'I', 'N', '_', 'F', 'p', '6', '4', 0,
-  /* 4976 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 'p', '6', '4', 0,
-  /* 4988 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 'p', '6', '4', 0,
-  /* 4999 */ 'A', 'B', 'S', '_', 'F', 'p', '6', '4', 0,
-  /* 5008 */ 'C', 'H', 'S', '_', 'F', 'p', '6', '4', 0,
-  /* 5017 */ 'C', 'O', 'S', '_', 'F', 'p', '6', '4', 0,
-  /* 5026 */ 'S', 'Q', 'R', 'T', '_', 'F', 'p', '6', '4', 0,
-  /* 5036 */ 'T', 'S', 'T', '_', 'F', 'p', '6', '4', 0,
-  /* 5045 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 0,
-  /* 5054 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '6', '4', 0,
-  /* 5072 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '6', '4', 0,
-  /* 5089 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'r', '6', '4', 0,
-  /* 5101 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'I', 'r', '6', '4', 0,
-  /* 5113 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', '6', '4', 0,
-  /* 5124 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', '6', '4', 0,
-  /* 5135 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 5146 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 5162 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'r', '6', '4', 0,
-  /* 5173 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', '6', '4', 0,
-  /* 5188 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', '6', '4', 0,
-  /* 5203 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '6', '4', 0,
-  /* 5217 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', '6', '4', 0,
-  /* 5232 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'r', 'r', '6', '4', 0,
-  /* 5246 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', '6', '4', 0,
-  /* 5261 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '6', '4', 0,
-  /* 5275 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', '6', '4', 0,
-  /* 5290 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', '6', '4', 0,
-  /* 5305 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', '6', '4', 0,
-  /* 5320 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5334 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5352 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5368 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5384 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5401 */ 'J', 'A', '_', '4', 0,
-  /* 5406 */ 'J', 'B', '_', '4', 0,
-  /* 5411 */ 'J', 'A', 'E', '_', '4', 0,
-  /* 5417 */ 'J', 'B', 'E', '_', '4', 0,
-  /* 5423 */ 'J', 'G', 'E', '_', '4', 0,
-  /* 5429 */ 'J', 'E', '_', '4', 0,
-  /* 5434 */ 'J', 'L', 'E', '_', '4', 0,
-  /* 5440 */ 'J', 'N', 'E', '_', '4', 0,
-  /* 5446 */ 'J', 'G', '_', '4', 0,
-  /* 5451 */ 'J', 'L', '_', '4', 0,
-  /* 5456 */ 'X', 'B', 'E', 'G', 'I', 'N', '_', '4', 0,
-  /* 5465 */ 'J', 'O', '_', '4', 0,
-  /* 5470 */ 'J', 'N', 'O', '_', '4', 0,
-  /* 5476 */ 'J', 'P', '_', '4', 0,
-  /* 5481 */ 'J', 'M', 'P', '_', '4', 0,
-  /* 5487 */ 'J', 'N', 'P', '_', '4', 0,
-  /* 5493 */ 'J', 'S', '_', '4', 0,
-  /* 5498 */ 'J', 'N', 'S', '_', '4', 0,
-  /* 5504 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '4', 0,
-  /* 5516 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '4', 0,
-  /* 5526 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '4', 0,
-  /* 5538 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '4', 0,
-  /* 5548 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '5', 0,
-  /* 5560 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '5', 0,
-  /* 5570 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '5', 0,
-  /* 5582 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '5', 0,
-  /* 5592 */ 'P', 'U', 'S', 'H', 'A', '1', '6', 0,
-  /* 5600 */ 'P', 'O', 'P', 'A', '1', '6', 0,
-  /* 5607 */ 'L', 'X', 'A', 'D', 'D', '1', '6', 0,
-  /* 5615 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 0,
-  /* 5625 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 0,
-  /* 5636 */ 'P', 'U', 'S', 'H', 'F', '1', '6', 0,
-  /* 5644 */ 'P', 'O', 'P', 'F', '1', '6', 0,
-  /* 5651 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 0,
-  /* 5662 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 0,
-  /* 5672 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 0,
-  /* 5683 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', 0,
-  /* 5693 */ 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 0,
-  /* 5702 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 0,
-  /* 5712 */ 'S', 'C', 'A', 'S', '1', '6', 0,
-  /* 5719 */ 'P', 'U', 'S', 'H', 'C', 'S', '1', '6', 0,
-  /* 5728 */ 'P', 'U', 'S', 'H', 'D', 'S', '1', '6', 0,
-  /* 5737 */ 'P', 'O', 'P', 'D', 'S', '1', '6', 0,
-  /* 5745 */ 'P', 'U', 'S', 'H', 'E', 'S', '1', '6', 0,
-  /* 5754 */ 'P', 'O', 'P', 'E', 'S', '1', '6', 0,
-  /* 5762 */ 'P', 'U', 'S', 'H', 'F', 'S', '1', '6', 0,
-  /* 5771 */ 'P', 'O', 'P', 'F', 'S', '1', '6', 0,
-  /* 5779 */ 'P', 'U', 'S', 'H', 'G', 'S', '1', '6', 0,
-  /* 5788 */ 'P', 'O', 'P', 'G', 'S', '1', '6', 0,
-  /* 5796 */ 'C', 'M', 'P', 'S', '1', '6', 0,
-  /* 5803 */ 'P', 'U', 'S', 'H', 'S', 'S', '1', '6', 0,
-  /* 5812 */ 'P', 'O', 'P', 'S', 'S', '1', '6', 0,
-  /* 5820 */ 'I', 'R', 'E', 'T', '1', '6', 0,
-  /* 5827 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 0,
-  /* 5837 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 0,
-  /* 5848 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', '_', '1', '6', 0,
-  /* 5861 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', '_', '1', '6', 0,
-  /* 5874 */ 'M', 'O', 'V', '8', 'a', 'o', '8', '_', '1', '6', 0,
-  /* 5885 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', '_', '1', '6', 0,
-  /* 5898 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', '_', '1', '6', 0,
-  /* 5911 */ 'M', 'O', 'V', '8', 'o', '8', 'a', '_', '1', '6', 0,
-  /* 5922 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '1', '6', 0,
-  /* 5932 */ 'S', 'B', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 5941 */ 'S', 'U', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 5950 */ 'A', 'D', 'C', '1', '6', 'i', '1', '6', 0,
-  /* 5959 */ 'A', 'D', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 5968 */ 'A', 'N', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 5977 */ 'C', 'M', 'P', '1', '6', 'i', '1', '6', 0,
-  /* 5986 */ 'X', 'O', 'R', '1', '6', 'i', '1', '6', 0,
-  /* 5995 */ 'T', 'E', 'S', 'T', '1', '6', 'i', '1', '6', 0,
-  /* 6005 */ 'P', 'U', 'S', 'H', 'i', '1', '6', 0,
-  /* 6013 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '1', '6', 0,
-  /* 6025 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '1', '6', 0,
-  /* 6037 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 6049 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 6061 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '1', '6', 0,
-  /* 6073 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '1', '6', 0,
-  /* 6083 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', 0,
-  /* 6093 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '1', '6', 0,
-  /* 6105 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 6117 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 6129 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '1', '6', 0,
-  /* 6141 */ 'X', 'S', 'H', 'A', '2', '5', '6', 0,
-  /* 6149 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '2', '5', '6', 0,
-  /* 6161 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '2', '5', '6', 0,
-  /* 6173 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6185 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6201 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6215 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6229 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6244 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '2', '5', '6', 0,
-  /* 6256 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '2', '5', '6', 0,
-  /* 6268 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6280 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6296 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6310 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6324 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6339 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '6', 0,
-  /* 6351 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '6', 0,
-  /* 6361 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '6', 0,
-  /* 6373 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '6', 0,
-  /* 6383 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '7', 0,
-  /* 6395 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '7', 0,
-  /* 6405 */ 'S', 'T', '_', 'F', 'P', 'r', '0', 'r', '7', 0,
-  /* 6415 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '7', 0,
-  /* 6427 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '7', 0,
-  /* 6437 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'F', '1', '2', '8', 0,
-  /* 6452 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '1', '2', '8', 0,
-  /* 6467 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '1', '2', '8', 0,
-  /* 6479 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '1', '2', '8', 0,
-  /* 6491 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6503 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6519 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6533 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6547 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6562 */ 'V', 'P', 'H', 'M', 'I', 'N', 'P', 'O', 'S', 'U', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6579 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '1', '2', '8', 0,
-  /* 6591 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '1', '2', '8', 0,
-  /* 6603 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6615 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6631 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6645 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6659 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6674 */ 'V', 'P', 'H', 'M', 'I', 'N', 'P', 'O', 'S', 'U', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6691 */ 'L', 'X', 'A', 'D', 'D', '8', 0,
-  /* 6698 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 0,
-  /* 6707 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 0,
-  /* 6717 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 0,
-  /* 6727 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 0,
-  /* 6736 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 0,
-  /* 6746 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 0,
-  /* 6755 */ 'A', 'T', 'O', 'M', 'O', 'R', '8', 0,
-  /* 6763 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 0,
-  /* 6772 */ 'S', 'C', 'A', 'S', '8', 0,
-  /* 6778 */ 'C', 'M', 'P', 'S', '8', 0,
-  /* 6784 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 0,
-  /* 6793 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 0,
-  /* 6803 */ 'P', 'U', 'S', 'H', '3', '2', 'i', '8', 0,
-  /* 6812 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '8', 0,
-  /* 6821 */ 'P', 'U', 'S', 'H', '1', '6', 'i', '8', 0,
-  /* 6830 */ 'S', 'B', 'B', '8', 'i', '8', 0,
-  /* 6837 */ 'S', 'U', 'B', '8', 'i', '8', 0,
-  /* 6844 */ 'A', 'D', 'C', '8', 'i', '8', 0,
-  /* 6851 */ 'A', 'A', 'D', '8', 'i', '8', 0,
-  /* 6858 */ 'A', 'D', 'D', '8', 'i', '8', 0,
-  /* 6865 */ 'A', 'N', 'D', '8', 'i', '8', 0,
-  /* 6872 */ 'A', 'A', 'M', '8', 'i', '8', 0,
-  /* 6879 */ 'C', 'M', 'P', '8', 'i', '8', 0,
-  /* 6886 */ 'X', 'O', 'R', '8', 'i', '8', 0,
-  /* 6893 */ 'T', 'E', 'S', 'T', '8', 'i', '8', 0,
-  /* 6901 */ 'S', 'B', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 6910 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 6924 */ 'A', 'D', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 6933 */ 'B', 'T', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 6942 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 6956 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 6970 */ 'C', 'M', 'P', '3', '2', 'm', 'i', '8', 0,
-  /* 6979 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 6993 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 7006 */ 'B', 'T', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 7015 */ 'B', 'T', 'S', '3', '2', 'm', 'i', '8', 0,
-  /* 7024 */ 'B', 'T', '3', '2', 'm', 'i', '8', 0,
-  /* 7032 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 7041 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 7055 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 7064 */ 'B', 'T', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 7073 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 7087 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 7101 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '8', 0,
-  /* 7110 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 7124 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 7137 */ 'B', 'T', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 7146 */ 'B', 'T', 'S', '6', '4', 'm', 'i', '8', 0,
-  /* 7155 */ 'B', 'T', '6', '4', 'm', 'i', '8', 0,
-  /* 7163 */ 'S', 'B', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 7172 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 7186 */ 'A', 'D', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 7195 */ 'B', 'T', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 7204 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 7218 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 7232 */ 'C', 'M', 'P', '1', '6', 'm', 'i', '8', 0,
-  /* 7241 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 7255 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 7268 */ 'B', 'T', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 7277 */ 'B', 'T', 'S', '1', '6', 'm', 'i', '8', 0,
-  /* 7286 */ 'B', 'T', '1', '6', 'm', 'i', '8', 0,
-  /* 7294 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', '8', 0,
-  /* 7305 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '8', 0,
-  /* 7316 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', '8', 0,
-  /* 7327 */ 'S', 'B', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 7336 */ 'S', 'U', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 7345 */ 'A', 'D', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 7354 */ 'B', 'T', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 7363 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 7372 */ 'A', 'N', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 7381 */ 'C', 'M', 'P', '3', '2', 'r', 'i', '8', 0,
-  /* 7390 */ 'X', 'O', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 7399 */ 'B', 'T', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 7408 */ 'B', 'T', 'S', '3', '2', 'r', 'i', '8', 0,
-  /* 7417 */ 'B', 'T', '3', '2', 'r', 'i', '8', 0,
-  /* 7425 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 7434 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 7443 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 7452 */ 'B', 'T', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 7461 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 7470 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 7479 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '8', 0,
-  /* 7488 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 7497 */ 'B', 'T', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 7506 */ 'B', 'T', 'S', '6', '4', 'r', 'i', '8', 0,
-  /* 7515 */ 'B', 'T', '6', '4', 'r', 'i', '8', 0,
-  /* 7523 */ 'S', 'B', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 7532 */ 'S', 'U', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 7541 */ 'A', 'D', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 7550 */ 'B', 'T', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 7559 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 7568 */ 'A', 'N', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 7577 */ 'C', 'M', 'P', '1', '6', 'r', 'i', '8', 0,
-  /* 7586 */ 'X', 'O', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 7595 */ 'B', 'T', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 7604 */ 'B', 'T', 'S', '1', '6', 'r', 'i', '8', 0,
-  /* 7613 */ 'B', 'T', '1', '6', 'r', 'i', '8', 0,
-  /* 7621 */ 'S', 'U', 'B', '8', 'r', 'i', '8', 0,
-  /* 7629 */ 'A', 'D', 'D', '8', 'r', 'i', '8', 0,
-  /* 7637 */ 'A', 'N', 'D', '8', 'r', 'i', '8', 0,
-  /* 7645 */ 'X', 'O', 'R', '8', 'r', 'i', '8', 0,
-  /* 7653 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 7664 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 7675 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 7686 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 7697 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 7708 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 7719 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 7730 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 7741 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 7752 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 7763 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 7774 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 7785 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 7796 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 7807 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 7818 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '8', 0,
-  /* 7829 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'm', '8', 0,
-  /* 7840 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 7851 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 7862 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '8', 0,
-  /* 7873 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 7884 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 7895 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'm', '8', 0,
-  /* 7912 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '8', 0,
-  /* 7921 */ 'M', 'O', 'V', '8', 'a', 'o', '8', 0,
-  /* 7929 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '8', 0,
-  /* 7940 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'r', '8', 0,
-  /* 7951 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 7962 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 7973 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '8', 0,
-  /* 7984 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 7995 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 8006 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'r', '8', 0,
-  /* 8023 */ 'N', 'O', 'O', 'P', 'L', '_', '1', '9', 0,
-  /* 8032 */ 'N', 'O', 'O', 'P', 'W', '_', '1', '9', 0,
-  /* 8041 */ 'A', 'A', 'A', 0,
-  /* 8045 */ 'D', 'A', 'A', 0,
-  /* 8049 */ 'W', '6', '4', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 8059 */ 'W', 'I', 'N', '_', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 8070 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'N', 'T', 'A', 0,
-  /* 8082 */ 'K', 'S', 'E', 'T', '0', 'B', 0,
-  /* 8089 */ 'K', 'S', 'E', 'T', '1', 'B', 0,
-  /* 8096 */ 'U', 'D', '2', 'B', 0,
-  /* 8101 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'B', 0,
-  /* 8113 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'B', 0,
-  /* 8124 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'E', 'C', 'B', 0,
-  /* 8134 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', '_', 'D', 'B', 0,
-  /* 8147 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 8159 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 8171 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 8183 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '_', 'D', 'B', 0,
-  /* 8194 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '_', 'D', 'B', 0,
-  /* 8205 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'D', 'B', 0,
-  /* 8216 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'D', 'B', 0,
-  /* 8227 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'D', 'B', 0,
-  /* 8238 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'F', 'B', 0,
-  /* 8248 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'O', 'F', 'B', 0,
-  /* 8258 */ 'L', 'O', 'D', 'S', 'B', 0,
-  /* 8264 */ 'S', 'T', 'O', 'S', 'B', 0,
-  /* 8270 */ 'O', 'U', 'T', 'S', 'B', 0,
-  /* 8276 */ 'M', 'O', 'V', 'S', 'B', 0,
-  /* 8282 */ 'C', 'L', 'A', 'C', 0,
-  /* 8287 */ 'S', 'T', 'A', 'C', 0,
-  /* 8292 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'B', 'C', 0,
-  /* 8302 */ 'G', 'E', 'T', 'S', 'E', 'C', 0,
-  /* 8309 */ 'S', 'A', 'L', 'C', 0,
-  /* 8314 */ 'C', 'L', 'C', 0,
-  /* 8318 */ 'C', 'M', 'C', 0,
-  /* 8322 */ 'R', 'D', 'P', 'M', 'C', 0,
-  /* 8328 */ 'V', 'M', 'F', 'U', 'N', 'C', 0,
-  /* 8335 */ 'R', 'D', 'T', 'S', 'C', 0,
-  /* 8341 */ 'S', 'T', 'C', 0,
-  /* 8345 */ 'C', 'L', 'D', 0,
-  /* 8349 */ 'X', 'E', 'N', 'D', 0,
-  /* 8354 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 8367 */ 'F', 's', 'F', 'L', 'D', '0', 'S', 'D', 0,
-  /* 8376 */ 'M', 'O', 'V', 'N', 'T', 'S', 'D', 0,
-  /* 8384 */ 'S', 'T', 'D', 0,
-  /* 8388 */ 'W', 'B', 'I', 'N', 'V', 'D', 0,
-  /* 8395 */ 'C', 'W', 'D', 0,
-  /* 8399 */ 'F', 'L', 'D', 'L', '2', 'E', 0,
-  /* 8406 */ 'L', 'F', 'E', 'N', 'C', 'E', 0,
-  /* 8413 */ 'M', 'F', 'E', 'N', 'C', 'E', 0,
-  /* 8420 */ 'S', 'F', 'E', 'N', 'C', 'E', 0,
-  /* 8427 */ 'R', 'E', 'G', '_', 'S', 'E', 'Q', 'U', 'E', 'N', 'C', 'E', 0,
-  /* 8440 */ 'S', 'T', '_', 'F', 'P', 'N', 'C', 'E', 0,
-  /* 8449 */ 'C', 'W', 'D', 'E', 0,
-  /* 8454 */ 'F', 'F', 'R', 'E', 'E', 0,
-  /* 8460 */ 'F', 'S', 'C', 'A', 'L', 'E', 0,
-  /* 8467 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 8474 */ 'V', 'M', 'R', 'E', 'S', 'U', 'M', 'E', 0,
-  /* 8483 */ 'L', 'O', 'O', 'P', 'N', 'E', 0,
-  /* 8490 */ 'L', 'O', 'O', 'P', 'E', 0,
-  /* 8496 */ 'C', 'D', 'Q', 'E', 0,
-  /* 8501 */ 'X', 'S', 'T', 'O', 'R', 'E', 0,
-  /* 8508 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8517 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8526 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8535 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8544 */ 'P', 'A', 'U', 'S', 'E', 0,
-  /* 8550 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 8560 */ 'L', 'E', 'A', 'V', 'E', 0,
-  /* 8566 */ 'F', 'X', 'S', 'A', 'V', 'E', 0,
-  /* 8573 */ 'I', 'M', 'P', 'L', 'I', 'C', 'I', 'T', '_', 'D', 'E', 'F', 0,
-  /* 8586 */ 'V', 'M', 'X', 'O', 'F', 'F', 0,
-  /* 8593 */ 'L', 'A', 'H', 'F', 0,
-  /* 8598 */ 'S', 'A', 'H', 'F', 0,
-  /* 8603 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 0,
-  /* 8612 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 0,
-  /* 8620 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 0,
-  /* 8630 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 0,
-  /* 8639 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 0,
-  /* 8648 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 0,
-  /* 8656 */ 'X', 'C', 'H', '_', 'F', 0,
-  /* 8662 */ 'S', 'I', 'N', '_', 'F', 0,
-  /* 8668 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 0,
-  /* 8677 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 0,
-  /* 8685 */ 'A', 'B', 'S', '_', 'F', 0,
-  /* 8691 */ 'C', 'H', 'S', '_', 'F', 0,
-  /* 8697 */ 'C', 'O', 'S', '_', 'F', 0,
-  /* 8703 */ 'S', 'Q', 'R', 'T', '_', 'F', 0,
-  /* 8710 */ 'T', 'S', 'T', '_', 'F', 0,
-  /* 8716 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'R', 'E', 'G', 0,
-  /* 8733 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'R', 'E', 'G', 0,
-  /* 8750 */ 'E', 'X', 'T', 'R', 'A', 'C', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 8765 */ 'I', 'N', 'S', 'E', 'R', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 8779 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'R', 'E', 'G', 0,
-  /* 8793 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'R', 'E', 'G', 0,
-  /* 8807 */ 'S', 'U', 'B', 'R', 'E', 'G', '_', 'T', 'O', '_', 'R', 'E', 'G', 0,
-  /* 8821 */ 'I', 'N', 'V', 'L', 'P', 'G', 0,
-  /* 8828 */ 'V', 'M', 'L', 'A', 'U', 'N', 'C', 'H', 0,
-  /* 8837 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 0,
-  /* 8846 */ 'C', 'L', 'F', 'L', 'U', 'S', 'H', 0,
-  /* 8854 */ 'C', 'L', 'G', 'I', 0,
-  /* 8859 */ 'S', 'T', 'G', 'I', 0,
-  /* 8864 */ 'P', 'H', 'I', 0,
-  /* 8868 */ 'C', 'L', 'I', 0,
-  /* 8872 */ 'F', 'L', 'D', 'P', 'I', 0,
-  /* 8878 */ 'E', 'X', 'T', 'R', 'Q', 'I', 0,
-  /* 8885 */ 'I', 'N', 'S', 'E', 'R', 'T', 'Q', 'I', 0,
-  /* 8894 */ 'S', 'T', 'I', 0,
-  /* 8898 */ 'F', 'p', 'P', 'O', 'P', '_', 'R', 'E', 'T', 'V', 'A', 'L', 0,
-  /* 8911 */ 'S', 'A', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8920 */ 'R', 'C', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8929 */ 'S', 'H', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8938 */ 'R', 'O', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8947 */ 'S', 'A', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8956 */ 'R', 'C', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8965 */ 'S', 'H', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8974 */ 'R', 'O', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8983 */ 'S', 'A', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 8992 */ 'R', 'C', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 9001 */ 'S', 'H', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 9010 */ 'R', 'O', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 9019 */ 'S', 'A', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9028 */ 'R', 'C', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9037 */ 'S', 'H', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9046 */ 'R', 'O', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9055 */ 'S', 'A', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9064 */ 'R', 'C', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9073 */ 'S', 'H', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9082 */ 'R', 'O', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9091 */ 'S', 'A', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9100 */ 'R', 'C', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9109 */ 'S', 'H', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9118 */ 'R', 'O', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9127 */ 'S', 'A', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9135 */ 'R', 'C', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9143 */ 'S', 'H', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9151 */ 'R', 'O', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9159 */ 'S', 'A', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9167 */ 'R', 'C', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9175 */ 'S', 'H', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9183 */ 'R', 'O', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9191 */ 'S', 'A', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9200 */ 'R', 'C', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9209 */ 'S', 'H', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9218 */ 'R', 'O', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9227 */ 'S', 'A', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9236 */ 'R', 'C', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9245 */ 'S', 'H', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9254 */ 'R', 'O', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9263 */ 'S', 'A', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9272 */ 'R', 'C', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9281 */ 'S', 'H', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9290 */ 'R', 'O', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9299 */ 'S', 'A', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9308 */ 'R', 'C', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9317 */ 'S', 'H', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9326 */ 'R', 'O', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9335 */ 'S', 'A', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9344 */ 'R', 'C', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9353 */ 'S', 'H', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9362 */ 'R', 'O', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9371 */ 'S', 'A', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9380 */ 'R', 'C', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9389 */ 'S', 'H', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9398 */ 'R', 'O', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9407 */ 'S', 'A', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9415 */ 'R', 'C', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9423 */ 'S', 'H', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9431 */ 'R', 'O', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9439 */ 'S', 'A', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9447 */ 'R', 'C', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9455 */ 'S', 'H', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9463 */ 'R', 'O', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9471 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 9482 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 9493 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 9504 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 9515 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 9526 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 9537 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 9548 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 9559 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 9570 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 9581 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 9592 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 9603 */ 'G', 'C', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 9612 */ 'P', 'R', 'O', 'L', 'O', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 9625 */ 'E', 'H', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 9634 */ 'L', 'R', 'E', 'T', 'I', 'L', 0,
-  /* 9641 */ 'V', 'M', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 9649 */ 'V', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 9656 */ 'S', 'Y', 'S', 'C', 'A', 'L', 'L', 0,
-  /* 9664 */ 'V', 'Z', 'E', 'R', 'O', 'A', 'L', 'L', 0,
-  /* 9673 */ 'K', 'I', 'L', 'L', 0,
-  /* 9678 */ 'N', 'O', 'O', 'P', 'L', 0,
-  /* 9684 */ 'L', 'O', 'D', 'S', 'L', 0,
-  /* 9690 */ 'S', 'T', 'O', 'S', 'L', 0,
-  /* 9696 */ 'O', 'U', 'T', 'S', 'L', 0,
-  /* 9702 */ 'M', 'O', 'V', 'S', 'L', 0,
-  /* 9708 */ 'L', 'R', 'E', 'T', 'L', 0,
-  /* 9714 */ 'M', 'O', 'N', 'T', 'M', 'U', 'L', 0,
-  /* 9722 */ 'F', 'X', 'A', 'M', 0,
-  /* 9727 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'M', 'E', 'M', 0,
-  /* 9744 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'M', 'E', 'M', 0,
-  /* 9761 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'M', 'E', 'M', 0,
-  /* 9775 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'M', 'E', 'M', 0,
-  /* 9789 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9810 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9831 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9852 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9873 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9894 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9915 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9936 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9957 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9978 */ 'F', 'P', 'R', 'E', 'M', 0,
-  /* 9984 */ 'F', 'S', 'E', 'T', 'P', 'M', 0,
-  /* 9991 */ 'I', 'N', 'L', 'I', 'N', 'E', 'A', 'S', 'M', 0,
-  /* 10001 */ 'R', 'S', 'M', 0,
-  /* 10005 */ 'F', 'P', 'A', 'T', 'A', 'N', 0,
-  /* 10012 */ 'F', 'P', 'T', 'A', 'N', 0,
-  /* 10018 */ 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 10025 */ 'V', 'M', 'X', 'O', 'N', 0,
-  /* 10031 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', 0,
-  /* 10041 */ 'C', 'Q', 'O', 0,
-  /* 10045 */ 'I', 'N', 'T', 'O', 0,
-  /* 10050 */ 'S', 'T', 'A', 'C', 'K', 'M', 'A', 'P', 0,
-  /* 10059 */ 'T', 'R', 'A', 'P', 0,
-  /* 10064 */ 'R', 'D', 'T', 'S', 'C', 'P', 0,
-  /* 10071 */ 'F', 'N', 'O', 'P', 0,
-  /* 10076 */ 'L', 'O', 'O', 'P', 0,
-  /* 10081 */ 'N', 'O', 'O', 'P', 0,
-  /* 10086 */ 'F', 'C', 'O', 'M', 'P', 'P', 0,
-  /* 10093 */ 'F', 'D', 'E', 'C', 'S', 'T', 'P', 0,
-  /* 10101 */ 'F', 'I', 'N', 'C', 'S', 'T', 'P', 0,
-  /* 10109 */ 'C', 'D', 'Q', 0,
-  /* 10113 */ 'L', 'R', 'E', 'T', 'I', 'Q', 0,
-  /* 10120 */ 'E', 'X', 'T', 'R', 'Q', 0,
-  /* 10126 */ 'L', 'O', 'D', 'S', 'Q', 0,
-  /* 10132 */ 'S', 'T', 'O', 'S', 'Q', 0,
-  /* 10138 */ 'M', 'O', 'V', 'S', 'Q', 0,
-  /* 10144 */ 'L', 'R', 'E', 'T', 'Q', 0,
-  /* 10150 */ 'I', 'N', 'S', 'E', 'R', 'T', 'Q', 0,
-  /* 10158 */ 'M', 'M', 'X', '_', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 0,
-  /* 10171 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '1', '6', '_', 'Q', 0,
-  /* 10185 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '1', '6', '_', 'Q', 0,
-  /* 10199 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '8', '_', 'Q', 0,
-  /* 10212 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '8', '_', 'Q', 0,
-  /* 10225 */ 'V', 'Z', 'E', 'R', 'O', 'U', 'P', 'P', 'E', 'R', 0,
-  /* 10236 */ 'S', 'Y', 'S', 'E', 'N', 'T', 'E', 'R', 0,
-  /* 10245 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 0,
-  /* 10253 */ 'F', 'X', 'R', 'S', 'T', 'O', 'R', 0,
-  /* 10261 */ 'V', 'L', 'D', 'M', 'X', 'C', 'S', 'R', 0,
-  /* 10270 */ 'V', 'S', 'T', 'M', 'X', 'C', 'S', 'R', 0,
-  /* 10279 */ 'R', 'D', 'M', 'S', 'R', 0,
-  /* 10285 */ 'W', 'R', 'M', 'S', 'R', 0,
-  /* 10291 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'T', 'R', 0,
-  /* 10301 */ 'A', 'A', 'S', 0,
-  /* 10305 */ 'D', 'A', 'S', 0,
-  /* 10309 */ 'A', 'V', 'X', '2', '_', 'S', 'E', 'T', 'A', 'L', 'L', 'O', 'N', 'E', 'S', 0,
-  /* 10325 */ 'V', '_', 'S', 'E', 'T', 'A', 'L', 'L', 'O', 'N', 'E', 'S', 0,
-  /* 10338 */ 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 0,
-  /* 10360 */ 'S', 'W', 'A', 'P', 'G', 'S', 0,
-  /* 10367 */ 'F', 'E', 'M', 'M', 'S', 0,
-  /* 10373 */ 'M', 'M', 'X', '_', 'E', 'M', 'M', 'S', 0,
-  /* 10382 */ 'F', 'S', 'I', 'N', 'C', 'O', 'S', 0,
-  /* 10390 */ 'F', 's', 'F', 'L', 'D', '0', 'S', 'S', 0,
-  /* 10399 */ 'C', 'O', 'P', 'Y', '_', 'T', 'O', '_', 'R', 'E', 'G', 'C', 'L', 'A', 'S', 'S', 0,
-  /* 10416 */ 'M', 'O', 'V', 'N', 'T', 'S', 'S', 0,
-  /* 10424 */ 'C', 'L', 'T', 'S', 0,
-  /* 10429 */ 'F', 'L', 'D', 'L', '2', 'T', 0,
-  /* 10436 */ 'X', 'L', 'A', 'T', 0,
-  /* 10441 */ 'F', 'X', 'T', 'R', 'A', 'C', 'T', 0,
-  /* 10449 */ 'S', 'Y', 'S', 'R', 'E', 'T', 0,
-  /* 10456 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', 0,
-  /* 10470 */ 'W', 'A', 'I', 'T', 0,
-  /* 10475 */ 'S', 'K', 'I', 'N', 'I', 'T', 0,
-  /* 10482 */ 'F', 'N', 'I', 'N', 'I', 'T', 0,
-  /* 10489 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', 0,
-  /* 10497 */ 'H', 'L', 'T', 0,
-  /* 10501 */ 'F', 'R', 'N', 'D', 'I', 'N', 'T', 0,
-  /* 10509 */ 'P', 'A', 'T', 'C', 'H', 'P', 'O', 'I', 'N', 'T', 0,
-  /* 10520 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', 0,
-  /* 10529 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 10544 */ 'X', 'A', 'B', 'O', 'R', 'T', 0,
-  /* 10551 */ 'X', 'T', 'E', 'S', 'T', 0,
-  /* 10557 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Q', 'U', 0,
-  /* 10569 */ 'X', 'G', 'E', 'T', 'B', 'V', 0,
-  /* 10576 */ 'X', 'S', 'E', 'T', 'B', 'V', 0,
-  /* 10583 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10603 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10620 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10638 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10658 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10675 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10693 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10713 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10730 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10748 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10768 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10785 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10803 */ 'S', 'B', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10815 */ 'S', 'U', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10827 */ 'A', 'D', 'C', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10839 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10851 */ 'A', 'N', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10863 */ 'C', 'M', 'P', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10875 */ 'X', 'O', 'R', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10887 */ 'M', 'O', 'V', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10899 */ 'S', 'B', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10911 */ 'S', 'U', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10923 */ 'A', 'D', 'C', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10935 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10947 */ 'A', 'N', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10959 */ 'C', 'M', 'P', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10971 */ 'X', 'O', 'R', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10983 */ 'M', 'O', 'V', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10995 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11014 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11030 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11047 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11066 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11082 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11099 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11115 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11132 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11148 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11165 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11184 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11200 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11217 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11236 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11252 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11269 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11285 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11302 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11318 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11335 */ 'S', 'B', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11347 */ 'S', 'U', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11359 */ 'A', 'D', 'C', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11371 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11383 */ 'A', 'N', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11395 */ 'C', 'M', 'P', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11407 */ 'X', 'O', 'R', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11419 */ 'M', 'O', 'V', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11431 */ 'S', 'B', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11442 */ 'S', 'U', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11453 */ 'A', 'D', 'C', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11464 */ 'A', 'D', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11475 */ 'A', 'N', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11486 */ 'C', 'M', 'P', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11497 */ 'X', 'O', 'R', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11508 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11519 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11533 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11547 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11561 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11574 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11588 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11602 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11615 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11629 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11643 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11658 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11673 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11688 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11703 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11718 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11733 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11747 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11761 */ 'K', 'S', 'E', 'T', '0', 'W', 0,
-  /* 11768 */ 'K', 'S', 'E', 'T', '1', 'W', 0,
-  /* 11775 */ 'C', 'B', 'W', 0,
-  /* 11779 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'W', 0,
-  /* 11789 */ 'L', 'R', 'E', 'T', 'I', 'W', 0,
-  /* 11796 */ 'N', 'O', 'O', 'P', 'W', 0,
-  /* 11802 */ 'L', 'O', 'D', 'S', 'W', 0,
-  /* 11808 */ 'S', 'T', 'O', 'S', 'W', 0,
-  /* 11814 */ 'O', 'U', 'T', 'S', 'W', 0,
-  /* 11820 */ 'M', 'O', 'V', 'S', 'W', 0,
-  /* 11826 */ 'L', 'R', 'E', 'T', 'W', 0,
-  /* 11832 */ 'F', 'Y', 'L', '2', 'X', 0,
-  /* 11838 */ 'F', 'N', 'C', 'L', 'E', 'X', 0,
-  /* 11845 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11859 */ 'M', 'O', 'V', '8', 'r', 'm', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11872 */ 'M', 'O', 'V', '8', 'm', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11885 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11898 */ 'R', 'E', 'X', '6', '4', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11911 */ 'D', 'A', 'T', 'A', '1', '6', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11925 */ 'R', 'E', 'P', 'N', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11938 */ 'X', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11954 */ 'X', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11970 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11982 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11993 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12003 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12013 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12023 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12033 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12043 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12053 */ 'C', 'O', 'P', 'Y', 0,
-  /* 12058 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12076 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12091 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12107 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12125 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12140 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12156 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12174 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12189 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12205 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12223 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12238 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12254 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12272 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12287 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12303 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12321 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12336 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12352 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12370 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12385 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12401 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12419 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12434 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12450 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12468 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12483 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12499 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12517 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12532 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12548 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12566 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12581 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12597 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12615 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12630 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12646 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12662 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12675 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12689 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12705 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12718 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12732 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12748 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12761 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12775 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12791 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12804 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12818 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 'Y', 0,
-  /* 12832 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 'Y', 0,
-  /* 12843 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 'Y', 0,
-  /* 12857 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 'Y', 0,
-  /* 12868 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 'Y', 0,
-  /* 12878 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12896 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12911 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12927 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12945 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12960 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12976 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12994 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13009 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13025 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13043 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13058 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13074 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13092 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13107 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13123 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13141 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13156 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13172 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13190 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13205 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13221 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13239 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13254 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13270 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13288 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13303 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13319 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13337 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13352 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13368 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13386 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13401 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13417 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13435 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13450 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13466 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13482 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13495 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13509 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13525 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13538 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13552 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13568 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13581 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13595 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13611 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13624 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13638 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 'Y', 0,
-  /* 13652 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 'Y', 0,
-  /* 13666 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 'Y', 0,
-  /* 13676 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13692 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13705 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13719 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13735 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13748 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13762 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13778 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13791 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13805 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13821 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13834 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13848 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 'Y', 0,
-  /* 13862 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 'Y', 0,
-  /* 13873 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 'Y', 0,
-  /* 13887 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 'Y', 0,
-  /* 13898 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 'Y', 0,
-  /* 13908 */ 'J', 'C', 'X', 'Z', 0,
-  /* 13913 */ 'J', 'R', 'C', 'X', 'Z', 0,
-  /* 13919 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
-  /* 13928 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
-  /* 13937 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
-  /* 13947 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
-  /* 13957 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
-  /* 13967 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
-  /* 13977 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
-  /* 13987 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
-  /* 13996 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
-  /* 14004 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
-  /* 14013 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
-  /* 14022 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 'b', 0,
-  /* 14034 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 'b', 0,
-  /* 14046 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14064 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14079 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14095 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14113 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14128 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14144 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14162 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14177 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14193 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14211 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14226 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14242 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14260 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14275 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14291 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14309 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14324 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14340 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14358 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14373 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14389 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14407 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14422 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14438 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 0,
-  /* 14453 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 0,
-  /* 14468 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14479 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14490 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14501 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14513 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14525 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14536 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14547 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14558 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14569 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14580 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14591 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14601 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14612 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14624 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14636 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14648 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14660 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14671 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14682 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14693 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14705 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14715 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14726 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14738 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14750 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14762 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14774 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14785 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14796 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14807 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14818 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14829 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14840 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
-  /* 14852 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
-  /* 14866 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
-  /* 14878 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
-  /* 14892 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
-  /* 14904 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
-  /* 14918 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
-  /* 14930 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
-  /* 14944 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14958 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14972 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14987 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 15002 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 15016 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 15030 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 15045 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
-  /* 15054 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
-  /* 15063 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
-  /* 15071 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
-  /* 15079 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
-  /* 15088 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
-  /* 15097 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
-  /* 15106 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
-  /* 15119 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
-  /* 15127 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
-  /* 15135 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
-  /* 15144 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
-  /* 15153 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
-  /* 15164 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
-  /* 15174 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
-  /* 15185 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
-  /* 15195 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
-  /* 15206 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
-  /* 15214 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
-  /* 15227 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
-  /* 15235 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
-  /* 15248 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
-  /* 15261 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
-  /* 15272 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
-  /* 15280 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
-  /* 15288 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
-  /* 15296 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
-  /* 15304 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
-  /* 15312 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
-  /* 15320 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
-  /* 15328 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
-  /* 15336 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15344 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15357 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15369 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
-  /* 15378 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
-  /* 15386 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
-  /* 15395 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
-  /* 15406 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
-  /* 15414 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
-  /* 15422 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
-  /* 15430 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
-  /* 15438 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
-  /* 15446 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
-  /* 15454 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
-  /* 15462 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
-  /* 15470 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
-  /* 15479 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
-  /* 15487 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
-  /* 15500 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
-  /* 15508 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
-  /* 15521 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
-  /* 15534 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
-  /* 15542 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
-  /* 15550 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
-  /* 15558 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
-  /* 15566 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
-  /* 15574 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
-  /* 15582 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
-  /* 15590 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
-  /* 15598 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15606 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15619 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15631 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
-  /* 15640 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
-  /* 15648 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
-  /* 15655 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
-  /* 15667 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
-  /* 15674 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
-  /* 15686 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
-  /* 15698 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
-  /* 15705 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
-  /* 15712 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
-  /* 15719 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
-  /* 15726 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
-  /* 15733 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
-  /* 15740 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
-  /* 15747 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
-  /* 15754 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15761 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15773 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15784 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
-  /* 15792 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
-  /* 15799 */ 'V', 'P', 'C', 'O', 'M', 'B', 'm', 'i', 0,
-  /* 15808 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'i', 0,
-  /* 15817 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'm', 'i', 0,
-  /* 15827 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'm', 'i', 0,
-  /* 15837 */ 'V', 'P', 'C', 'O', 'M', 'D', 'm', 'i', 0,
-  /* 15846 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'm', 'i', 0,
-  /* 15858 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'i', 0,
-  /* 15867 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'm', 'i', 0,
-  /* 15877 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
-  /* 15888 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'm', 'i', 0,
-  /* 15897 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'i', 0,
-  /* 15906 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'm', 'i', 0,
-  /* 15916 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'm', 'i', 0,
-  /* 15928 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'm', 'i', 0,
-  /* 15941 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'm', 'i', 0,
-  /* 15952 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'm', 'i', 0,
-  /* 15963 */ 'V', 'P', 'C', 'O', 'M', 'W', 'm', 'i', 0,
-  /* 15972 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'i', 0,
-  /* 15981 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'm', 'i', 0,
-  /* 15991 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'm', 'i', 0,
-  /* 16002 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'm', 'i', 0,
-  /* 16015 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'm', 'i', 0,
-  /* 16026 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'm', 'i', 0,
-  /* 16036 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'm', 'i', 0,
-  /* 16049 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'm', 'i', 0,
-  /* 16061 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'm', 'i', 0,
-  /* 16073 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 0,
-  /* 16083 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'm', 'i', 0,
-  /* 16094 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 0,
-  /* 16104 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 0,
-  /* 16114 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'm', 'i', 0,
-  /* 16127 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'm', 'i', 0,
-  /* 16138 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 0,
-  /* 16148 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 0,
-  /* 16158 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 0,
-  /* 16168 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'm', 'i', 0,
-  /* 16178 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'm', 'i', 0,
-  /* 16191 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
-  /* 16201 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'm', 'i', 0,
-  /* 16214 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
-  /* 16224 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'm', 'i', 0,
-  /* 16236 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'm', 'i', 0,
-  /* 16247 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16259 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16270 */ 'V', 'D', 'P', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16279 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16289 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'm', 'i', 0,
-  /* 16300 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16312 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16323 */ 'V', 'D', 'P', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16332 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16342 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 'i', 0,
-  /* 16354 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'm', 'i', 0,
-  /* 16366 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'm', 'i', 0,
-  /* 16377 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16390 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16403 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16415 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16426 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16439 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16451 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16461 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16472 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 'i', 0,
-  /* 16485 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'm', 'i', 0,
-  /* 16498 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16510 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16521 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16532 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16544 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 0,
-  /* 16555 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 0,
-  /* 16567 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
-  /* 16579 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
-  /* 16590 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'm', 'i', 0,
-  /* 16605 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
-  /* 16613 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
-  /* 16621 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
-  /* 16629 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
-  /* 16637 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
-  /* 16645 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
-  /* 16656 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
-  /* 16664 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
-  /* 16672 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
-  /* 16680 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
-  /* 16688 */ 'I', 'N', '3', '2', 'r', 'i', 0,
-  /* 16695 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
-  /* 16703 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
-  /* 16711 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
-  /* 16719 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
-  /* 16727 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 16735 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 16743 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
-  /* 16752 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
-  /* 16760 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
-  /* 16769 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
-  /* 16780 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
-  /* 16788 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
-  /* 16796 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
-  /* 16804 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
-  /* 16812 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
-  /* 16820 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
-  /* 16828 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
-  /* 16836 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
-  /* 16844 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
-  /* 16852 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
-  /* 16861 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
-  /* 16869 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
-  /* 16877 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
-  /* 16885 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
-  /* 16893 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
-  /* 16901 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
-  /* 16909 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
-  /* 16917 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
-  /* 16925 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
-  /* 16933 */ 'I', 'N', '1', '6', 'r', 'i', 0,
-  /* 16940 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
-  /* 16948 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
-  /* 16956 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
-  /* 16964 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
-  /* 16972 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 16980 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 16988 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
-  /* 16997 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
-  /* 17005 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
-  /* 17012 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
-  /* 17019 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
-  /* 17026 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
-  /* 17033 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
-  /* 17040 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
-  /* 17047 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
-  /* 17054 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
-  /* 17061 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
-  /* 17068 */ 'I', 'N', '8', 'r', 'i', 0,
-  /* 17074 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
-  /* 17081 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
-  /* 17088 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
-  /* 17095 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
-  /* 17102 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
-  /* 17109 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
-  /* 17116 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
-  /* 17124 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
-  /* 17131 */ 'V', 'P', 'C', 'O', 'M', 'B', 'r', 'i', 0,
-  /* 17140 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'i', 0,
-  /* 17149 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'r', 'i', 0,
-  /* 17159 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
-  /* 17168 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
-  /* 17180 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'r', 'i', 0,
-  /* 17190 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
-  /* 17199 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
-  /* 17211 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
-  /* 17220 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
-  /* 17232 */ 'V', 'P', 'C', 'O', 'M', 'D', 'r', 'i', 0,
-  /* 17241 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'i', 0,
-  /* 17253 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'i', 0,
-  /* 17262 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'r', 'i', 0,
-  /* 17272 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
-  /* 17283 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'r', 'i', 0,
-  /* 17293 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'r', 'i', 0,
-  /* 17303 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
-  /* 17312 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
-  /* 17324 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
-  /* 17333 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
-  /* 17345 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'r', 'i', 0,
-  /* 17354 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'i', 0,
-  /* 17363 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'r', 'i', 0,
-  /* 17373 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'i', 0,
-  /* 17385 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
-  /* 17394 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
-  /* 17406 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'r', 'i', 0,
-  /* 17419 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'r', 'i', 0,
-  /* 17430 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'r', 'i', 0,
-  /* 17441 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
-  /* 17450 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
-  /* 17462 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
-  /* 17471 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
-  /* 17483 */ 'K', 'S', 'H', 'I', 'F', 'T', 'L', 'W', 'r', 'i', 0,
-  /* 17494 */ 'V', 'P', 'C', 'O', 'M', 'W', 'r', 'i', 0,
-  /* 17503 */ 'K', 'S', 'H', 'I', 'F', 'T', 'R', 'W', 'r', 'i', 0,
-  /* 17514 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'i', 0,
-  /* 17524 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'i', 0,
-  /* 17533 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'r', 'i', 0,
-  /* 17543 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'i', 0,
-  /* 17553 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'r', 'i', 0,
-  /* 17564 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'i', 0,
-  /* 17574 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'i', 0,
-  /* 17584 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'i', 0,
-  /* 17597 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'r', 'i', 0,
-  /* 17608 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
-  /* 17619 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
-  /* 17630 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'i', 0,
-  /* 17640 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'i', 0,
-  /* 17650 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'r', 'i', 0,
-  /* 17660 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'i', 0,
-  /* 17673 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'i', 0,
-  /* 17683 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'r', 'i', 0,
-  /* 17695 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17707 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17717 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17727 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 0,
-  /* 17737 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'r', 'i', 0,
-  /* 17748 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 0,
-  /* 17758 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 0,
-  /* 17768 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'r', 'i', 0,
-  /* 17781 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'i', 0,
-  /* 17792 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 0,
-  /* 17802 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 0,
-  /* 17812 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 0,
-  /* 17822 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'i', 0,
-  /* 17832 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'r', 'i', 0,
-  /* 17845 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
-  /* 17855 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'r', 'i', 0,
-  /* 17868 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
-  /* 17878 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'r', 'i', 0,
-  /* 17890 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 0,
-  /* 17901 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17913 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17924 */ 'V', 'D', 'P', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17933 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17943 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 0,
-  /* 17954 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17966 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17977 */ 'V', 'D', 'P', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17986 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17996 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 'i', 0,
-  /* 18008 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'r', 'i', 0,
-  /* 18020 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'r', 'i', 0,
-  /* 18031 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18044 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18057 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18069 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18080 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18093 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18105 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18115 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18126 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 'i', 0,
-  /* 18139 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'r', 'i', 0,
-  /* 18152 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18164 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18175 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18186 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18198 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 0,
-  /* 18209 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 0,
-  /* 18221 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
-  /* 18233 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
-  /* 18244 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'r', 'i', 0,
-  /* 18259 */ 'M', 'M', 'X', '_', 'P', 'E', 'X', 'T', 'R', 'W', 'i', 'r', 'r', 'i', 0,
-  /* 18274 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 0,
-  /* 18290 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
-  /* 18306 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18317 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18328 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18339 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18350 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18361 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18372 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18383 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18394 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18405 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18416 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18427 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18438 */ 'K', 'M', 'O', 'V', 'W', 'k', 'k', 0,
-  /* 18446 */ 'K', 'M', 'O', 'V', 'W', 'm', 'k', 0,
-  /* 18454 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'm', 'k', 0,
-  /* 18467 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'm', 'k', 0,
-  /* 18480 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 0,
-  /* 18495 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 0,
-  /* 18510 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18521 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18532 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18543 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18555 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18567 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 18578 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 18589 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 18600 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 18612 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 18624 */ 'K', 'M', 'O', 'V', 'W', 'r', 'k', 0,
-  /* 18632 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'r', 'k', 0,
-  /* 18645 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'r', 'k', 0,
-  /* 18658 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 0,
-  /* 18673 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 0,
-  /* 18688 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18699 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18710 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18721 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18733 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18745 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18756 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 18767 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 18778 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 18789 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 18801 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 18813 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 18824 */ 'L', 'D', '_', 'F', '8', '0', 'm', 0,
-  /* 18832 */ 'S', 'T', '_', 'F', 'P', '8', '0', 'm', 0,
-  /* 18841 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', 0,
-  /* 18851 */ 'L', 'D', '_', 'F', 'p', '8', '0', 'm', 0,
-  /* 18860 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18877 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18891 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18906 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18923 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18937 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18952 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18966 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18981 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18995 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 19010 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19027 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19041 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19056 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19073 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19087 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19102 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19116 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19131 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19145 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19160 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19177 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19191 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19206 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19223 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19237 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19252 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19266 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19281 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19295 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19310 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19327 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19341 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19356 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19373 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19387 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19402 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19416 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19431 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19445 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19460 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
-  /* 19472 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
-  /* 19484 */ 'S', 'U', 'B', '_', 'F', '3', '2', 'm', 0,
-  /* 19493 */ 'A', 'D', 'D', '_', 'F', '3', '2', 'm', 0,
-  /* 19502 */ 'I', 'L', 'D', '_', 'F', '3', '2', 'm', 0,
-  /* 19511 */ 'M', 'U', 'L', '_', 'F', '3', '2', 'm', 0,
-  /* 19520 */ 'S', 'U', 'B', 'R', '_', 'F', '3', '2', 'm', 0,
-  /* 19530 */ 'D', 'I', 'V', 'R', '_', 'F', '3', '2', 'm', 0,
-  /* 19540 */ 'I', 'S', 'T', '_', 'F', '3', '2', 'm', 0,
-  /* 19549 */ 'D', 'I', 'V', '_', 'F', '3', '2', 'm', 0,
-  /* 19558 */ 'N', 'E', 'G', '3', '2', 'm', 0,
-  /* 19565 */ 'S', 'U', 'B', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19575 */ 'A', 'D', 'D', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19585 */ 'M', 'U', 'L', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19595 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19606 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19617 */ 'D', 'I', 'V', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19627 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
-  /* 19638 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
-  /* 19646 */ 'F', 'C', 'O', 'M', '3', '2', 'm', 0,
-  /* 19654 */ 'F', 'I', 'C', 'O', 'M', '3', '2', 'm', 0,
-  /* 19663 */ 'I', 'S', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
-  /* 19673 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
-  /* 19684 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
-  /* 19694 */ 'F', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
-  /* 19703 */ 'F', 'I', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
-  /* 19713 */ 'S', 'T', '_', 'F', 'p', 'P', '3', '2', 'm', 0,
-  /* 19723 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 19731 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 19739 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 19747 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 19755 */ 'N', 'O', 'T', '3', '2', 'm', 0,
-  /* 19762 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
-  /* 19770 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 19780 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 19790 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19800 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19810 */ 'L', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19819 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19829 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19840 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19851 */ 'S', 'T', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19860 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19870 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19887 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19901 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19916 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19933 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19947 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19962 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19976 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19991 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 20005 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 20020 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20037 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20051 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20066 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20083 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20097 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20112 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20126 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20141 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20155 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20170 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
-  /* 20182 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
-  /* 20194 */ 'S', 'U', 'B', '_', 'F', '6', '4', 'm', 0,
-  /* 20203 */ 'A', 'D', 'D', '_', 'F', '6', '4', 'm', 0,
-  /* 20212 */ 'I', 'L', 'D', '_', 'F', '6', '4', 'm', 0,
-  /* 20221 */ 'M', 'U', 'L', '_', 'F', '6', '4', 'm', 0,
-  /* 20230 */ 'S', 'U', 'B', 'R', '_', 'F', '6', '4', 'm', 0,
-  /* 20240 */ 'D', 'I', 'V', 'R', '_', 'F', '6', '4', 'm', 0,
-  /* 20250 */ 'S', 'T', '_', 'F', '6', '4', 'm', 0,
-  /* 20258 */ 'D', 'I', 'V', '_', 'F', '6', '4', 'm', 0,
-  /* 20267 */ 'N', 'E', 'G', '6', '4', 'm', 0,
-  /* 20274 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
-  /* 20282 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
-  /* 20290 */ 'F', 'C', 'O', 'M', '6', '4', 'm', 0,
-  /* 20298 */ 'I', 'S', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
-  /* 20308 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
-  /* 20319 */ 'J', 'M', 'P', '6', '4', 'm', 0,
-  /* 20326 */ 'F', 'C', 'O', 'M', 'P', '6', '4', 'm', 0,
-  /* 20335 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', 0,
-  /* 20345 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 20353 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 20361 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 20369 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 20377 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
-  /* 20385 */ 'N', 'O', 'T', '6', '4', 'm', 0,
-  /* 20392 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
-  /* 20400 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20410 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20420 */ 'L', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20429 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20439 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20450 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20461 */ 'S', 'T', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20470 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20480 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
-  /* 20492 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
-  /* 20504 */ 'I', 'L', 'D', '_', 'F', '1', '6', 'm', 0,
-  /* 20513 */ 'I', 'S', 'T', '_', 'F', '1', '6', 'm', 0,
-  /* 20522 */ 'N', 'E', 'G', '1', '6', 'm', 0,
-  /* 20529 */ 'S', 'U', 'B', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20539 */ 'A', 'D', 'D', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20549 */ 'M', 'U', 'L', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20559 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20570 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20581 */ 'D', 'I', 'V', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20591 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
-  /* 20602 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
-  /* 20610 */ 'F', 'I', 'C', 'O', 'M', '1', '6', 'm', 0,
-  /* 20619 */ 'I', 'S', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
-  /* 20629 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
-  /* 20640 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
-  /* 20650 */ 'F', 'I', 'C', 'O', 'M', 'P', '1', '6', 'm', 0,
-  /* 20660 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 20668 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 20676 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 20684 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 20692 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 20700 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 20708 */ 'N', 'O', 'T', '1', '6', 'm', 0,
-  /* 20715 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
-  /* 20723 */ 'F', 'L', 'D', 'C', 'W', '1', '6', 'm', 0,
-  /* 20732 */ 'F', 'N', 'S', 'T', 'C', 'W', '1', '6', 'm', 0,
-  /* 20742 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 20750 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 20758 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 20768 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 20778 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
-  /* 20789 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
-  /* 20800 */ 'N', 'E', 'G', '8', 'm', 0,
-  /* 20806 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
-  /* 20813 */ 'N', 'O', 'T', '8', 'm', 0,
-  /* 20819 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
-  /* 20826 */ 'S', 'E', 'T', 'A', 'm', 0,
-  /* 20832 */ 'S', 'E', 'T', 'B', 'm', 0,
-  /* 20838 */ 'F', 'B', 'L', 'D', 'm', 0,
-  /* 20844 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
-  /* 20853 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'm', 0,
-  /* 20863 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'm', 0,
-  /* 20872 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'm', 0,
-  /* 20883 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'm', 0,
-  /* 20893 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'm', 0,
-  /* 20906 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', 0,
-  /* 20915 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
-  /* 20922 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
-  /* 20929 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
-  /* 20936 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
-  /* 20943 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
-  /* 20950 */ 'S', 'E', 'T', 'E', 'm', 0,
-  /* 20956 */ 'F', 'S', 'A', 'V', 'E', 'm', 0,
-  /* 20963 */ 'S', 'E', 'T', 'G', 'm', 0,
-  /* 20969 */ 'S', 'E', 'T', 'L', 'm', 0,
-  /* 20975 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
-  /* 20982 */ 'S', 'E', 'T', 'O', 'm', 0,
-  /* 20988 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
-  /* 20997 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
-  /* 21004 */ 'S', 'E', 'T', 'P', 'm', 0,
-  /* 21010 */ 'F', 'B', 'S', 'T', 'P', 'm', 0,
-  /* 21017 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
-  /* 21026 */ 'F', 'R', 'S', 'T', 'O', 'R', 'm', 0,
-  /* 21034 */ 'V', 'E', 'R', 'R', 'm', 0,
-  /* 21040 */ 'L', 'T', 'R', 'm', 0,
-  /* 21045 */ 'S', 'T', 'R', 'm', 0,
-  /* 21050 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
-  /* 21057 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'm', 0,
-  /* 21067 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', 0,
-  /* 21075 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
-  /* 21085 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
-  /* 21094 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'm', 0,
-  /* 21105 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'm', 0,
-  /* 21115 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'm', 0,
-  /* 21128 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', 0,
-  /* 21136 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
-  /* 21146 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
-  /* 21155 */ 'S', 'E', 'T', 'S', 'm', 0,
-  /* 21161 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
-  /* 21170 */ 'F', 'L', 'D', 'E', 'N', 'V', 'm', 0,
-  /* 21178 */ 'F', 'S', 'T', 'E', 'N', 'V', 'm', 0,
-  /* 21186 */ 'V', 'E', 'R', 'W', 'm', 0,
-  /* 21192 */ 'F', 'N', 'S', 'T', 'S', 'W', 'm', 0,
-  /* 21200 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'm', 0,
-  /* 21210 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', 0,
-  /* 21219 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
-  /* 21230 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
-  /* 21240 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21257 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21271 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21286 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21303 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21317 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21332 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21349 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21363 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21378 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21395 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21409 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21424 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'm', 0,
-  /* 21435 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'm', 0,
-  /* 21448 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'm', 0,
-  /* 21459 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'm', 0,
-  /* 21472 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'm', 0,
-  /* 21486 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
-  /* 21497 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
-  /* 21509 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
-  /* 21520 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
-  /* 21532 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', 0,
-  /* 21542 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21559 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21573 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21588 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21605 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21619 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21634 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21651 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21665 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21680 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21697 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21711 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21726 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'm', 0,
-  /* 21737 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'm', 0,
-  /* 21750 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'm', 0,
-  /* 21761 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'm', 0,
-  /* 21774 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'm', 0,
-  /* 21788 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
-  /* 21799 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
-  /* 21811 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
-  /* 21822 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
-  /* 21834 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', 0,
-  /* 21844 */ 'K', 'M', 'O', 'V', 'W', 'k', 'm', 0,
-  /* 21852 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
-  /* 21862 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
-  /* 21871 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
-  /* 21881 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
-  /* 21890 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
-  /* 21900 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
-  /* 21909 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'm', 0,
-  /* 21920 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'm', 0,
-  /* 21933 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'm', 0,
-  /* 21944 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'm', 0,
-  /* 21955 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'r', 'm', 0,
-  /* 21967 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
-  /* 21977 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
-  /* 21985 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
-  /* 21993 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
-  /* 22003 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
-  /* 22011 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 22021 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 22031 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
-  /* 22042 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
-  /* 22053 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
-  /* 22062 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
-  /* 22070 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
-  /* 22081 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
-  /* 22092 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
-  /* 22103 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
-  /* 22114 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
-  /* 22125 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
-  /* 22137 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
-  /* 22147 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
-  /* 22155 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
-  /* 22167 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
-  /* 22177 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
-  /* 22186 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
-  /* 22195 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
-  /* 22204 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 22215 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 22226 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 22236 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 22248 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 22260 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
-  /* 22268 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
-  /* 22277 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
-  /* 22287 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
-  /* 22296 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
-  /* 22307 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
-  /* 22317 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
-  /* 22326 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
-  /* 22334 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
-  /* 22345 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
-  /* 22355 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
-  /* 22363 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
-  /* 22371 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 22379 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 22388 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
-  /* 22398 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
-  /* 22407 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 22415 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 22426 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
-  /* 22434 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
-  /* 22442 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
-  /* 22450 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
-  /* 22461 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
-  /* 22469 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
-  /* 22479 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 22490 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 22500 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 22510 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
-  /* 22519 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
-  /* 22528 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'm', 0,
-  /* 22540 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
-  /* 22556 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
-  /* 22565 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 22574 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 22583 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
-  /* 22592 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 22601 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 22610 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'm', 0,
-  /* 22621 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'm', 0,
-  /* 22634 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'm', 0,
-  /* 22648 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'm', 0,
-  /* 22659 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'r', 'm', 0,
-  /* 22671 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
-  /* 22681 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
-  /* 22689 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
-  /* 22697 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
-  /* 22707 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
-  /* 22715 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 22725 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 22735 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
-  /* 22746 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
-  /* 22757 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
-  /* 22766 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
-  /* 22774 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
-  /* 22792 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
-  /* 22809 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'm', 0,
-  /* 22822 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
-  /* 22833 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
-  /* 22844 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
-  /* 22855 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
-  /* 22866 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
-  /* 22877 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
-  /* 22889 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
-  /* 22899 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
-  /* 22907 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
-  /* 22919 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
-  /* 22929 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
-  /* 22938 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
-  /* 22947 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 22966 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 22984 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 22998 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23017 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23035 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23049 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23058 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 23069 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 23080 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 23090 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 23102 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 23114 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
-  /* 23122 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
-  /* 23131 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
-  /* 23141 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
-  /* 23150 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
-  /* 23161 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
-  /* 23171 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
-  /* 23180 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
-  /* 23188 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
-  /* 23199 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
-  /* 23209 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'm', 0,
-  /* 23222 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
-  /* 23230 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
-  /* 23238 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 23246 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 23255 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
-  /* 23265 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
-  /* 23274 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
-  /* 23282 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
-  /* 23290 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
-  /* 23301 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 23319 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 23336 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 23344 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
-  /* 23354 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 23365 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 23375 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 23385 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
-  /* 23394 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
-  /* 23403 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'm', 0,
-  /* 23415 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
-  /* 23431 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
-  /* 23440 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 23449 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 23458 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
-  /* 23467 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 23476 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 23485 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23500 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23512 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23525 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23540 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23552 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23565 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23577 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23590 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23602 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23615 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23630 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23642 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23655 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23670 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23682 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23695 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23707 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23720 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23732 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23745 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'm', 0,
-  /* 23760 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'm', 0,
-  /* 23775 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'm', 0,
-  /* 23790 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'm', 0,
-  /* 23805 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
-  /* 23815 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
-  /* 23823 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
-  /* 23831 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
-  /* 23841 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
-  /* 23849 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
-  /* 23858 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
-  /* 23866 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
-  /* 23877 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
-  /* 23888 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
-  /* 23899 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
-  /* 23910 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
-  /* 23921 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
-  /* 23931 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
-  /* 23939 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
-  /* 23951 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
-  /* 23961 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
-  /* 23969 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
-  /* 23978 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
-  /* 23988 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
-  /* 23999 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
-  /* 24009 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
-  /* 24017 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
-  /* 24028 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
-  /* 24038 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
-  /* 24046 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
-  /* 24054 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
-  /* 24062 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 24070 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 24081 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
-  /* 24089 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
-  /* 24097 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
-  /* 24105 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
-  /* 24116 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
-  /* 24124 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
-  /* 24134 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 24145 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 24155 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 24165 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
-  /* 24174 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
-  /* 24190 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'm', 0,
-  /* 24204 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'm', 0,
-  /* 24217 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'm', 0,
-  /* 24231 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'm', 0,
-  /* 24244 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'm', 0,
-  /* 24258 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
-  /* 24274 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
-  /* 24290 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'm', 0,
-  /* 24304 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'm', 0,
-  /* 24326 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
-  /* 24333 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
-  /* 24340 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
-  /* 24347 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
-  /* 24355 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
-  /* 24362 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
-  /* 24373 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
-  /* 24380 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
-  /* 24387 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
-  /* 24395 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
-  /* 24410 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'r', 'm', 0,
-  /* 24422 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'm', 0,
-  /* 24432 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'm', 0,
-  /* 24441 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'm', 0,
-  /* 24450 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'm', 0,
-  /* 24459 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', 0,
-  /* 24469 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'm', 0,
-  /* 24478 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'm', 0,
-  /* 24487 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', 0,
-  /* 24497 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'm', 0,
-  /* 24508 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'm', 0,
-  /* 24518 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'm', 0,
-  /* 24528 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'm', 0,
-  /* 24538 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'm', 0,
-  /* 24548 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 24559 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 24570 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 24580 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'm', 0,
-  /* 24590 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'm', 0,
-  /* 24601 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'm', 0,
-  /* 24610 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'm', 0,
-  /* 24625 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'm', 0,
-  /* 24635 */ 'P', 'F', 'S', 'U', 'B', 'r', 'm', 0,
-  /* 24643 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'm', 0,
-  /* 24653 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'm', 0,
-  /* 24665 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'm', 0,
-  /* 24677 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'm', 0,
-  /* 24689 */ 'P', 'F', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 24697 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 24706 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 24716 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'm', 0,
-  /* 24726 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'm', 0,
-  /* 24736 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'm', 0,
-  /* 24746 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 0,
-  /* 24757 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 0,
-  /* 24768 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'm', 0,
-  /* 24777 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
-  /* 24786 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
-  /* 24798 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'm', 0,
-  /* 24809 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 24821 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 24831 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 24840 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'm', 0,
-  /* 24852 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'm', 0,
-  /* 24864 */ 'P', 'F', 'A', 'D', 'D', 'r', 'm', 0,
-  /* 24872 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'm', 0,
-  /* 24882 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'm', 0,
-  /* 24891 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'r', 'm', 0,
-  /* 24904 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'm', 0,
-  /* 24915 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'm', 0,
-  /* 24927 */ 'P', 'I', '2', 'F', 'D', 'r', 'm', 0,
-  /* 24935 */ 'P', 'F', '2', 'I', 'D', 'r', 'm', 0,
-  /* 24943 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'm', 0,
-  /* 24952 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 24961 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 24973 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 24983 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
-  /* 24992 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
-  /* 25004 */ 'V', 'P', 'A', 'N', 'D', 'r', 'm', 0,
-  /* 25012 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', 0,
-  /* 25022 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25034 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25047 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25059 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25071 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25083 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 25095 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 25106 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 25115 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 25127 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 25137 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 25146 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'm', 0,
-  /* 25156 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'm', 0,
-  /* 25166 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25176 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25185 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25194 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25205 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25218 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'm', 0,
-  /* 25230 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'r', 'm', 0,
-  /* 25240 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25252 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25264 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25273 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25283 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 25293 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 25305 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 25314 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'r', 'm', 0,
-  /* 25327 */ 'V', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25335 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25344 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25355 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25365 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'm', 0,
-  /* 25375 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'm', 0,
-  /* 25385 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 25397 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 25406 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 25419 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'm', 0,
-  /* 25428 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 0,
-  /* 25438 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'm', 0,
-  /* 25449 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'r', 'm', 0,
-  /* 25462 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'm', 0,
-  /* 25472 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25488 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25503 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25519 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25534 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'm', 0,
-  /* 25545 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'm', 0,
-  /* 25558 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'm', 0,
-  /* 25569 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'm', 0,
-  /* 25582 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', 0,
-  /* 25591 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', 0,
-  /* 25600 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'm', 0,
-  /* 25610 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'm', 0,
-  /* 25620 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', 0,
-  /* 25629 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25644 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25658 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25672 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25685 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', 0,
-  /* 25694 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
-  /* 25704 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
-  /* 25713 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
-  /* 25726 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
-  /* 25738 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', 0,
-  /* 25747 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'm', 0,
-  /* 25756 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
-  /* 25766 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
-  /* 25775 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'm', 0,
-  /* 25785 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'm', 0,
-  /* 25798 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 0,
-  /* 25812 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'm', 0,
-  /* 25823 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'm', 0,
-  /* 25832 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'm', 0,
-  /* 25847 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'm', 0,
-  /* 25857 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'm', 0,
-  /* 25867 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'm', 0,
-  /* 25877 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'm', 0,
-  /* 25887 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'm', 0,
-  /* 25897 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'r', 'm', 0,
-  /* 25910 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'm', 0,
-  /* 25921 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
-  /* 25932 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
-  /* 25943 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'm', 0,
-  /* 25956 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'm', 0,
-  /* 25969 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 25980 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 25992 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 26004 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 26017 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'm', 0,
-  /* 26029 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'm', 0,
-  /* 26041 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'm', 0,
-  /* 26053 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'm', 0,
-  /* 26063 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'm', 0,
-  /* 26075 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
-  /* 26087 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
-  /* 26100 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'm', 0,
-  /* 26113 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 26126 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 26140 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 26157 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'm', 0,
-  /* 26170 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'm', 0,
-  /* 26183 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26200 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26216 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26228 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26245 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26261 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26273 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
-  /* 26285 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
-  /* 26298 */ 'P', 'F', 'M', 'U', 'L', 'r', 'm', 0,
-  /* 26306 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'm', 0,
-  /* 26315 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'm', 0,
-  /* 26324 */ 'P', 'F', 'M', 'I', 'N', 'r', 'm', 0,
-  /* 26332 */ 'P', 'F', 'R', 'C', 'P', 'r', 'm', 0,
-  /* 26340 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 26351 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 26363 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 26375 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 0,
-  /* 26386 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 0,
-  /* 26397 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'm', 0,
-  /* 26406 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'm', 0,
-  /* 26417 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'm', 0,
-  /* 26429 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'm', 0,
-  /* 26438 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'm', 0,
-  /* 26450 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'm', 0,
-  /* 26462 */ 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26474 */ 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26485 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26498 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26510 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'm', 0,
-  /* 26521 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'm', 0,
-  /* 26530 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'm', 0,
-  /* 26541 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'm', 0,
-  /* 26554 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'm', 0,
-  /* 26567 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'm', 0,
-  /* 26577 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 26591 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 26605 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 26618 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'r', 'm', 0,
-  /* 26631 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'm', 0,
-  /* 26643 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'm', 0,
-  /* 26654 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'm', 0,
-  /* 26666 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'm', 0,
-  /* 26678 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'm', 0,
-  /* 26688 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'm', 0,
-  /* 26697 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
-  /* 26706 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
-  /* 26718 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
-  /* 26727 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
-  /* 26739 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'm', 0,
-  /* 26750 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'r', 'm', 0,
-  /* 26763 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'm', 0,
-  /* 26773 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'r', 'm', 0,
-  /* 26782 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 0,
-  /* 26796 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'm', 0,
-  /* 26807 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'm', 0,
-  /* 26816 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'm', 0,
-  /* 26831 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'm', 0,
-  /* 26841 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'm', 0,
-  /* 26851 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'r', 'm', 0,
-  /* 26864 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'm', 0,
-  /* 26875 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'm', 0,
-  /* 26887 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'm', 0,
-  /* 26899 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'm', 0,
-  /* 26911 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'm', 0,
-  /* 26920 */ 'V', 'P', 'O', 'R', 'r', 'm', 0,
-  /* 26927 */ 'V', 'P', 'X', 'O', 'R', 'r', 'm', 0,
-  /* 26935 */ 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26946 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26958 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26970 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26983 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26995 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 0,
-  /* 27007 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
-  /* 27019 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
-  /* 27030 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 27042 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 27052 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 27061 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'm', 0,
-  /* 27071 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'm', 0,
-  /* 27081 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27091 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27100 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27109 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27120 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27133 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'm', 0,
-  /* 27145 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'r', 'm', 0,
-  /* 27155 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27167 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27179 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27188 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27198 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 27208 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 27220 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 27229 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'r', 'm', 0,
-  /* 27242 */ 'V', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27250 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27259 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27270 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27280 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'm', 0,
-  /* 27292 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'm', 0,
-  /* 27302 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'm', 0,
-  /* 27312 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 27324 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 27333 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 27346 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'm', 0,
-  /* 27355 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 0,
-  /* 27365 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27381 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27396 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27408 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27424 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27439 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'm', 0,
-  /* 27450 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'm', 0,
-  /* 27463 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'm', 0,
-  /* 27474 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'm', 0,
-  /* 27487 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', 0,
-  /* 27496 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'm', 0,
-  /* 27506 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'm', 0,
-  /* 27516 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', 0,
-  /* 27525 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27540 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27554 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27568 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27581 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', 0,
-  /* 27590 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', 0,
-  /* 27599 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
-  /* 27612 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
-  /* 27624 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'm', 0,
-  /* 27639 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', 0,
-  /* 27648 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'm', 0,
-  /* 27657 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', 0,
-  /* 27666 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'm', 0,
-  /* 27676 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'm', 0,
-  /* 27686 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'm', 0,
-  /* 27696 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
-  /* 27710 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
-  /* 27724 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'm', 0,
-  /* 27733 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'r', 'm', 0,
-  /* 27742 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'm', 0,
-  /* 27752 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 0,
-  /* 27761 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'm', 0,
-  /* 27770 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
-  /* 27779 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
-  /* 27791 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'm', 0,
-  /* 27802 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 0,
-  /* 27812 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'm', 0,
-  /* 27823 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'm', 0,
-  /* 27836 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'm', 0,
-  /* 27849 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 27861 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 27871 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 27880 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'm', 0,
-  /* 27892 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'm', 0,
-  /* 27904 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', 0,
-  /* 27914 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'm', 0,
-  /* 27923 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'm', 0,
-  /* 27935 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'm', 0,
-  /* 27947 */ 'P', 'I', '2', 'F', 'W', 'r', 'm', 0,
-  /* 27955 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'm', 0,
-  /* 27964 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'm', 0,
-  /* 27974 */ 'P', 'F', '2', 'I', 'W', 'r', 'm', 0,
-  /* 27982 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'm', 0,
-  /* 27991 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 28000 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 28012 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 28022 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
-  /* 28031 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
-  /* 28043 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', 0,
-  /* 28053 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'm', 0,
-  /* 28064 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'm', 0,
-  /* 28074 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'm', 0,
-  /* 28084 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'm', 0,
-  /* 28094 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'm', 0,
-  /* 28104 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'm', 0,
-  /* 28115 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'm', 0,
-  /* 28126 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'm', 0,
-  /* 28136 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'm', 0,
-  /* 28147 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'm', 0,
-  /* 28156 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'm', 0,
-  /* 28171 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'm', 0,
-  /* 28182 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'm', 0,
-  /* 28192 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'm', 0,
-  /* 28202 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'm', 0,
-  /* 28213 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'm', 0,
-  /* 28225 */ 'P', 'F', 'M', 'A', 'X', 'r', 'm', 0,
-  /* 28233 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
-  /* 28247 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
-  /* 28260 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'X', 'r', 'm', 0,
-  /* 28273 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
-  /* 28286 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
-  /* 28297 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'm', 0,
-  /* 28307 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'm', 0,
-  /* 28317 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'm', 0,
-  /* 28328 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'm', 0,
-  /* 28338 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'm', 0,
-  /* 28349 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'm', 0,
-  /* 28361 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28372 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28383 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28394 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28406 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28418 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28429 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'm', 0,
-  /* 28441 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'm', 0,
-  /* 28457 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'm', 0,
-  /* 28468 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'm', 0,
-  /* 28479 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'm', 0,
-  /* 28492 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
-  /* 28505 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
-  /* 28518 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'm', 0,
-  /* 28528 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28539 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28549 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28562 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28575 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 28586 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 28596 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 28610 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 28620 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 28631 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 28641 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'm', 0,
-  /* 28651 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'm', 0,
-  /* 28660 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'm', 0,
-  /* 28671 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28684 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28697 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28708 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28721 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28732 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28742 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28753 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28764 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28775 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28785 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28795 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28809 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28822 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28835 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28848 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28858 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28869 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28879 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28893 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28902 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28912 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28923 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28934 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28947 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28957 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28971 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28981 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'm', 0,
-  /* 28993 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Y', 'r', 'm', 0,
-  /* 29007 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 29018 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 29034 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 29045 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'm', 0,
-  /* 29057 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'm', 0,
-  /* 29073 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'm', 0,
-  /* 29084 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'm', 0,
-  /* 29095 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29106 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29117 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29128 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29142 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29154 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29168 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29182 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29195 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29208 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'm', 0,
-  /* 29218 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 29230 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 29243 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 29256 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 29266 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 29279 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 29292 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29306 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29319 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29333 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29346 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29356 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29370 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29384 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29395 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29410 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29425 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29439 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29451 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29464 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29477 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'm', 0,
-  /* 29487 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'm', 0,
-  /* 29497 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'm', 0,
-  /* 29509 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Y', 'r', 'm', 0,
-  /* 29523 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'm', 0,
-  /* 29535 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'm', 0,
-  /* 29551 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 29562 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 29573 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 29587 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
-  /* 29600 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
-  /* 29613 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'm', 0,
-  /* 29621 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'm', 0,
-  /* 29630 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29643 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29656 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29669 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29680 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29693 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29704 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29714 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29725 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29736 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29747 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29757 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29767 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29781 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29794 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29807 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29820 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29830 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29841 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29852 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29862 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29876 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29885 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29895 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29906 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29917 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29930 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29940 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29954 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29964 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'm', 0,
-  /* 29980 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'm', 0,
-  /* 29990 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
-  /* 30000 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
-  /* 30011 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'm', 0,
-  /* 30021 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30032 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30046 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30060 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30071 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30081 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30094 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30107 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30118 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30128 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30141 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30154 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'm', 0,
-  /* 30164 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'm', 0,
-  /* 30175 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 30185 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 30196 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 30206 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'm', 0,
-  /* 30217 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'm', 0,
-  /* 30229 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30240 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30251 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30262 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30274 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30286 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30297 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'm', 0,
-  /* 30309 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'm', 0,
-  /* 30325 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 30337 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 30348 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 30359 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30378 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30398 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30418 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30433 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30453 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30468 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30489 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30505 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30526 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30542 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30561 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30581 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 0,
-  /* 30591 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 30601 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 30614 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 30627 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 30637 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 30647 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 30661 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 30671 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 30682 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 30692 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30705 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30718 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30728 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30740 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 0,
-  /* 30751 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30764 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30778 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30791 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30802 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30812 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30822 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30836 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30849 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30862 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30872 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30885 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30896 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30906 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30920 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30931 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30942 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30952 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30962 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 0,
-  /* 30974 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Z', 'r', 'm', 0,
-  /* 30988 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
-  /* 30997 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
-  /* 31007 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31022 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31038 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31055 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31073 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31086 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31096 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31106 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31122 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31137 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31147 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31158 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31168 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31178 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31194 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31204 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31214 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31225 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31235 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 0,
-  /* 31247 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'm', 0,
-  /* 31263 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 0,
-  /* 31274 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 0,
-  /* 31285 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 31296 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 31307 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 31318 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
-  /* 31331 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
-  /* 31344 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'm', 0,
-  /* 31358 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
-  /* 31372 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
-  /* 31390 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31408 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31421 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31439 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31452 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31471 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31485 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31504 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31518 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 31530 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 31543 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 31556 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 0,
-  /* 31566 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 31576 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 31589 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 31602 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31616 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31629 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31643 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31656 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31666 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31680 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31694 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31705 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31715 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31730 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31745 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31759 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31774 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31788 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31803 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31817 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31829 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31842 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31855 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 0,
-  /* 31865 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 0,
-  /* 31875 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31888 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31901 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31911 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31923 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 0,
-  /* 31934 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 0,
-  /* 31946 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Z', 'r', 'm', 0,
-  /* 31960 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
-  /* 31969 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
-  /* 31979 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 0,
-  /* 31990 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 0,
-  /* 32001 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 0,
-  /* 32013 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'm', 0,
-  /* 32029 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 0,
-  /* 32040 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 0,
-  /* 32051 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 32062 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 32073 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 32084 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
-  /* 32097 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
-  /* 32110 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32123 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32136 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32149 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32163 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32174 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32184 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32194 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32208 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32221 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32234 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32244 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32257 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32268 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32278 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32292 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32303 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32314 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32324 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32334 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32349 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32365 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32378 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32391 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32408 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32426 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32436 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32446 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32462 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32477 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32487 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32497 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32507 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32523 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32533 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32543 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32553 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'm', 0,
-  /* 32570 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'm', 0,
-  /* 32583 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'm', 0,
-  /* 32596 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'm', 0,
-  /* 32609 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'm', 0,
-  /* 32624 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32638 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32652 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32667 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32682 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'm', 0,
-  /* 32697 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'm', 0,
-  /* 32711 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'm', 0,
-  /* 32725 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'm', 0,
-  /* 32741 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'm', 0,
-  /* 32757 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'm', 0,
-  /* 32770 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'm', 0,
-  /* 32783 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'm', 0,
-  /* 32795 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'm', 0,
-  /* 32811 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'm', 0,
-  /* 32826 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'm', 0,
-  /* 32841 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 32856 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 32873 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 32890 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32907 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32923 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32940 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32956 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'm', 0,
-  /* 32969 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'm', 0,
-  /* 32982 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 32995 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 33012 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 33029 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 33044 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'm', 0,
-  /* 33055 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'm', 0,
-  /* 33067 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'm', 0,
-  /* 33083 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33097 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33114 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33131 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33144 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'm', 0,
-  /* 33157 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'm', 0,
-  /* 33173 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'm', 0,
-  /* 33186 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'm', 0,
-  /* 33200 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'm', 0,
-  /* 33214 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'm', 0,
-  /* 33229 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33243 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33257 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33271 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33286 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33301 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33315 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'm', 0,
-  /* 33330 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'm', 0,
-  /* 33345 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'm', 0,
-  /* 33362 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'm', 0,
-  /* 33379 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'm', 0,
-  /* 33392 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
-  /* 33400 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
-  /* 33408 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
-  /* 33416 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
-  /* 33430 */ 'S', 'U', 'B', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33440 */ 'A', 'D', 'D', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33450 */ 'M', 'U', 'L', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33460 */ 'C', 'O', 'M', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33470 */ 'C', 'O', 'M', 'P', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33481 */ 'S', 'U', 'B', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33492 */ 'D', 'I', 'V', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33503 */ 'D', 'I', 'V', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33513 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33530 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33544 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33559 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33576 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33590 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33605 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33619 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33634 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33648 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33663 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33680 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33694 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33709 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33726 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33740 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33755 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33769 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33784 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33798 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33813 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33830 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33844 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33859 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33876 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33890 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33905 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33919 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33934 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33948 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33963 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 33980 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 33994 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34009 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34026 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34040 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34055 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34069 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34084 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34098 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34113 */ 'L', 'E', 'A', '3', '2', 'r', 0,
-  /* 34120 */ 'D', 'E', 'C', '3', '2', 'r', 0,
-  /* 34127 */ 'I', 'N', 'C', '3', '2', 'r', 0,
-  /* 34134 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
-  /* 34143 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
-  /* 34153 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
-  /* 34163 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
-  /* 34173 */ 'N', 'E', 'G', '3', '2', 'r', 0,
-  /* 34180 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
-  /* 34188 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
-  /* 34196 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
-  /* 34204 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
-  /* 34213 */ 'J', 'M', 'P', '3', '2', 'r', 0,
-  /* 34220 */ 'P', 'O', 'P', '3', '2', 'r', 0,
-  /* 34227 */ 'S', 'T', 'R', '3', '2', 'r', 0,
-  /* 34234 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
-  /* 34242 */ 'N', 'O', 'T', '3', '2', 'r', 0,
-  /* 34249 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
-  /* 34257 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
-  /* 34265 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 34275 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 34285 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
-  /* 34295 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 34305 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 34315 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34332 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34346 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34361 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34378 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34392 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34407 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34421 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34436 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34450 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34465 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34482 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34496 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34511 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34528 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34542 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34557 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34571 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34586 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34600 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34615 */ 'L', 'E', 'A', '6', '4', 'r', 0,
-  /* 34622 */ 'D', 'E', 'C', '6', '4', 'r', 0,
-  /* 34629 */ 'I', 'N', 'C', '6', '4', 'r', 0,
-  /* 34636 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
-  /* 34646 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
-  /* 34656 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
-  /* 34666 */ 'N', 'E', 'G', '6', '4', 'r', 0,
-  /* 34673 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
-  /* 34681 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
-  /* 34689 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
-  /* 34697 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
-  /* 34706 */ 'J', 'M', 'P', '6', '4', 'r', 0,
-  /* 34713 */ 'P', 'O', 'P', '6', '4', 'r', 0,
-  /* 34720 */ 'S', 'T', 'R', '6', '4', 'r', 0,
-  /* 34727 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
-  /* 34735 */ 'N', 'O', 'T', '6', '4', 'r', 0,
-  /* 34742 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
-  /* 34750 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
-  /* 34758 */ 'L', 'E', 'A', '1', '6', 'r', 0,
-  /* 34765 */ 'D', 'E', 'C', '1', '6', 'r', 0,
-  /* 34772 */ 'I', 'N', 'C', '1', '6', 'r', 0,
-  /* 34779 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
-  /* 34789 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
-  /* 34799 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
-  /* 34809 */ 'N', 'E', 'G', '1', '6', 'r', 0,
-  /* 34816 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
-  /* 34824 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
-  /* 34832 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
-  /* 34840 */ 'J', 'M', 'P', '1', '6', 'r', 0,
-  /* 34847 */ 'P', 'O', 'P', '1', '6', 'r', 0,
-  /* 34854 */ 'S', 'T', 'R', '1', '6', 'r', 0,
-  /* 34861 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 34869 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 34877 */ 'N', 'O', 'T', '1', '6', 'r', 0,
-  /* 34884 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
-  /* 34892 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 34900 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 34908 */ 'F', 'N', 'S', 'T', 'S', 'W', '1', '6', 'r', 0,
-  /* 34918 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 34928 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 34938 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 34948 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 34958 */ 'D', 'E', 'C', '8', 'r', 0,
-  /* 34964 */ 'I', 'N', 'C', '8', 'r', 0,
-  /* 34970 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
-  /* 34979 */ 'N', 'E', 'G', '8', 'r', 0,
-  /* 34985 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
-  /* 34992 */ 'N', 'O', 'T', '8', 'r', 0,
-  /* 34998 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
-  /* 35005 */ 'S', 'E', 'T', 'A', 'r', 0,
-  /* 35011 */ 'S', 'E', 'T', 'B', 'r', 0,
-  /* 35017 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'r', 0,
-  /* 35027 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'r', 0,
-  /* 35036 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'r', 0,
-  /* 35047 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', 0,
-  /* 35057 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'r', 0,
-  /* 35070 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'r', 0,
-  /* 35079 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
-  /* 35086 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
-  /* 35093 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
-  /* 35100 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
-  /* 35107 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
-  /* 35114 */ 'S', 'E', 'T', 'E', 'r', 0,
-  /* 35120 */ 'U', 'C', 'O', 'M', '_', 'F', 'r', 0,
-  /* 35128 */ 'S', 'E', 'T', 'G', 'r', 0,
-  /* 35134 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'r', 0,
-  /* 35143 */ 'S', 'E', 'T', 'L', 'r', 0,
-  /* 35149 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
-  /* 35156 */ 'S', 'E', 'T', 'O', 'r', 0,
-  /* 35162 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'r', 0,
-  /* 35171 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'P', 'r', 0,
-  /* 35181 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
-  /* 35190 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
-  /* 35197 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'P', 'r', 0,
-  /* 35207 */ 'S', 'E', 'T', 'P', 'r', 0,
-  /* 35213 */ 'V', 'E', 'R', 'R', 'r', 0,
-  /* 35219 */ 'L', 'T', 'R', 'r', 0,
-  /* 35224 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
-  /* 35231 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'r', 0,
-  /* 35241 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', 0,
-  /* 35249 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
-  /* 35259 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
-  /* 35268 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'r', 0,
-  /* 35279 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', 0,
-  /* 35289 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'r', 0,
-  /* 35302 */ 'V', 'R', 'C', 'P', 'S', 'S', 'r', 0,
-  /* 35310 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
-  /* 35320 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
-  /* 35329 */ 'S', 'E', 'T', 'S', 'r', 0,
-  /* 35335 */ 'V', 'E', 'R', 'W', 'r', 0,
-  /* 35341 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'r', 0,
-  /* 35351 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', 0,
-  /* 35360 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
-  /* 35371 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
-  /* 35381 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35398 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35412 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35427 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35444 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35458 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35473 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'r', 0,
-  /* 35484 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'r', 0,
-  /* 35497 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 0,
-  /* 35508 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 0,
-  /* 35521 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'r', 0,
-  /* 35535 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
-  /* 35546 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
-  /* 35558 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
-  /* 35569 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
-  /* 35581 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', 0,
-  /* 35591 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35608 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35622 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35637 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35654 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35668 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35683 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'r', 0,
-  /* 35694 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'r', 0,
-  /* 35707 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 0,
-  /* 35718 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 0,
-  /* 35731 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'r', 0,
-  /* 35745 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
-  /* 35756 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
-  /* 35768 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
-  /* 35779 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
-  /* 35791 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', 0,
-  /* 35801 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
-  /* 35810 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
-  /* 35819 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
-  /* 35828 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
-  /* 35836 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
-  /* 35844 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
-  /* 35852 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
-  /* 35860 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
-  /* 35875 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
-  /* 35883 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
-  /* 35891 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
-  /* 35898 */ 'K', 'M', 'O', 'V', 'W', 'k', 'r', 0,
-  /* 35906 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'm', 'r', 0,
-  /* 35918 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
-  /* 35926 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
-  /* 35939 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
-  /* 35947 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
-  /* 35955 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
-  /* 35968 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
-  /* 35981 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
-  /* 35991 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
-  /* 35999 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 36012 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 36024 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
-  /* 36032 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
-  /* 36040 */ 'B', 'T', '3', '2', 'm', 'r', 0,
-  /* 36047 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'm', 'r', 0,
-  /* 36059 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
-  /* 36075 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'm', 'r', 0,
-  /* 36087 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
-  /* 36095 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
-  /* 36108 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
-  /* 36116 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
-  /* 36124 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
-  /* 36137 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
-  /* 36150 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'm', 'r', 0,
-  /* 36163 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
-  /* 36173 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
-  /* 36181 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'm', 'r', 0,
-  /* 36194 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 36207 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 36219 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
-  /* 36227 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
-  /* 36235 */ 'B', 'T', '6', '4', 'm', 'r', 0,
-  /* 36242 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'm', 'r', 0,
-  /* 36254 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
-  /* 36270 */ 'M', 'O', 'V', 'N', 'T', 'I', '_', '6', '4', 'm', 'r', 0,
-  /* 36282 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'm', 'r', 0,
-  /* 36295 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36310 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36322 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36335 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36350 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36362 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36375 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36387 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36400 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36412 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36425 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36440 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36452 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36465 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36480 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36492 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36505 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36517 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36530 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36542 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36555 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'm', 'r', 0,
-  /* 36571 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'm', 'r', 0,
-  /* 36587 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'm', 'r', 0,
-  /* 36603 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'm', 'r', 0,
-  /* 36619 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
-  /* 36627 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
-  /* 36640 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
-  /* 36648 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
-  /* 36656 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
-  /* 36669 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
-  /* 36682 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
-  /* 36692 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
-  /* 36701 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
-  /* 36709 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 36722 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 36734 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
-  /* 36742 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
-  /* 36750 */ 'B', 'T', '1', '6', 'm', 'r', 0,
-  /* 36757 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
-  /* 36773 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'm', 'r', 0,
-  /* 36788 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'm', 'r', 0,
-  /* 36803 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
-  /* 36810 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
-  /* 36822 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
-  /* 36829 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
-  /* 36841 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
-  /* 36853 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
-  /* 36860 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
-  /* 36872 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
-  /* 36883 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
-  /* 36898 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'm', 'r', 0,
-  /* 36908 */ 'V', 'P', 'S', 'H', 'A', 'B', 'm', 'r', 0,
-  /* 36917 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 0,
-  /* 36929 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 0,
-  /* 36940 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 0,
-  /* 36950 */ 'V', 'P', 'S', 'H', 'L', 'B', 'm', 'r', 0,
-  /* 36959 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 0,
-  /* 36971 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 0,
-  /* 36982 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 0,
-  /* 36992 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'm', 'r', 0,
-  /* 37002 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'r', 0,
-  /* 37011 */ 'V', 'P', 'S', 'H', 'A', 'D', 'm', 'r', 0,
-  /* 37020 */ 'V', 'P', 'S', 'H', 'L', 'D', 'm', 'r', 0,
-  /* 37029 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 0,
-  /* 37042 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'm', 'r', 0,
-  /* 37052 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'm', 'r', 0,
-  /* 37062 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'm', 'r', 0,
-  /* 37072 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'm', 'r', 0,
-  /* 37083 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'm', 'r', 0,
-  /* 37093 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'm', 'r', 0,
-  /* 37106 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 0,
-  /* 37118 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 0,
-  /* 37129 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 0,
-  /* 37139 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'm', 'r', 0,
-  /* 37149 */ 'V', 'M', 'O', 'V', 'S', 'D', 'm', 'r', 0,
-  /* 37158 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'r', 0,
-  /* 37167 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'm', 'r', 0,
-  /* 37180 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'm', 'r', 0,
-  /* 37192 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'm', 'r', 0,
-  /* 37205 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'm', 'r', 0,
-  /* 37217 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'm', 'r', 0,
-  /* 37230 */ 'M', 'O', 'V', 'N', 'T', 'I', 'm', 'r', 0,
-  /* 37239 */ 'V', 'P', 'P', 'E', 'R', 'M', 'm', 'r', 0,
-  /* 37248 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'm', 'r', 0,
-  /* 37257 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'm', 'r', 0,
-  /* 37268 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'm', 'r', 0,
-  /* 37277 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'm', 'r', 0,
-  /* 37287 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'N', 'T', 'Q', 'm', 'r', 0,
-  /* 37300 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'r', 0,
-  /* 37309 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'm', 'r', 0,
-  /* 37322 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 0,
-  /* 37335 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'm', 'r', 0,
-  /* 37345 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'm', 'r', 0,
-  /* 37355 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'm', 'r', 0,
-  /* 37365 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'm', 'r', 0,
-  /* 37378 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'm', 'r', 0,
-  /* 37389 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'm', 'r', 0,
-  /* 37399 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'm', 'r', 0,
-  /* 37412 */ 'V', 'M', 'O', 'V', 'S', 'S', 'm', 'r', 0,
-  /* 37421 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'm', 'r', 0,
-  /* 37431 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 0,
-  /* 37440 */ 'V', 'P', 'S', 'H', 'A', 'W', 'm', 'r', 0,
-  /* 37449 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 0,
-  /* 37461 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 0,
-  /* 37472 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 0,
-  /* 37482 */ 'V', 'P', 'S', 'H', 'L', 'W', 'm', 'r', 0,
-  /* 37491 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 0,
-  /* 37503 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 0,
-  /* 37514 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 0,
-  /* 37524 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'm', 'r', 0,
-  /* 37534 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'r', 0,
-  /* 37543 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'm', 'r', 0,
-  /* 37554 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37565 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37577 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37588 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37602 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'm', 'r', 0,
-  /* 37616 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'm', 'r', 0,
-  /* 37629 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Y', 'm', 'r', 0,
-  /* 37641 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'm', 'r', 0,
-  /* 37655 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37666 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37678 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37689 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37703 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'm', 'r', 0,
-  /* 37714 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
-  /* 37728 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
-  /* 37743 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'D', 'Z', 'm', 'r', 0,
-  /* 37758 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37769 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37784 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37799 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37810 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'D', 'Z', 'm', 'r', 0,
-  /* 37825 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'm', 'r', 0,
-  /* 37835 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'm', 'r', 0,
-  /* 37848 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'm', 'r', 0,
-  /* 37862 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'm', 'r', 0,
-  /* 37875 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'Q', 'Z', 'm', 'r', 0,
-  /* 37890 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'Q', 'Z', 'm', 'r', 0,
-  /* 37905 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37916 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37931 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37946 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37957 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'm', 'r', 0,
-  /* 37967 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
-  /* 37977 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
-  /* 37986 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
-  /* 37996 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
-  /* 38005 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
-  /* 38015 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
-  /* 38024 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'm', 'r', 0,
-  /* 38038 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'r', 0,
-  /* 38049 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'r', 0,
-  /* 38062 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'r', 0,
-  /* 38073 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'r', 0,
-  /* 38084 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'r', 'r', 0,
-  /* 38096 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
-  /* 38106 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
-  /* 38114 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
-  /* 38122 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
-  /* 38132 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
-  /* 38140 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 38150 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 38160 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
-  /* 38171 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
-  /* 38179 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
-  /* 38190 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
-  /* 38199 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
-  /* 38207 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
-  /* 38218 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
-  /* 38229 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
-  /* 38240 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
-  /* 38251 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
-  /* 38262 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
-  /* 38274 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
-  /* 38284 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
-  /* 38292 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
-  /* 38304 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
-  /* 38314 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
-  /* 38323 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
-  /* 38332 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
-  /* 38341 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 38352 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 38363 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 38373 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 38385 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 38397 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
-  /* 38405 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
-  /* 38414 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
-  /* 38424 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
-  /* 38433 */ 'I', 'N', '3', '2', 'r', 'r', 0,
-  /* 38440 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
-  /* 38451 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
-  /* 38461 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
-  /* 38470 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
-  /* 38478 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
-  /* 38489 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
-  /* 38499 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
-  /* 38507 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
-  /* 38515 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 38523 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 38532 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 38540 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 38550 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
-  /* 38559 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
-  /* 38570 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
-  /* 38578 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
-  /* 38588 */ 'B', 'T', '3', '2', 'r', 'r', 0,
-  /* 38595 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 38606 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 38616 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 38626 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
-  /* 38635 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
-  /* 38643 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
-  /* 38652 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'r', 0,
-  /* 38664 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
-  /* 38672 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
-  /* 38681 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 38690 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 38699 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
-  /* 38708 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 38717 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 38726 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'r', 0,
-  /* 38737 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'r', 0,
-  /* 38750 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'r', 0,
-  /* 38764 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'r', 0,
-  /* 38775 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'r', 'r', 0,
-  /* 38787 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
-  /* 38797 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
-  /* 38805 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
-  /* 38813 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
-  /* 38823 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
-  /* 38831 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 38841 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 38851 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
-  /* 38862 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
-  /* 38870 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
-  /* 38881 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
-  /* 38890 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
-  /* 38898 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
-  /* 38916 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
-  /* 38933 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'r', 0,
-  /* 38946 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
-  /* 38957 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
-  /* 38968 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
-  /* 38979 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
-  /* 38990 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
-  /* 39001 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
-  /* 39013 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
-  /* 39023 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
-  /* 39031 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
-  /* 39043 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
-  /* 39053 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
-  /* 39062 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
-  /* 39071 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39090 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39108 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39122 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39141 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39159 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39173 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39182 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 39193 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 39204 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 39214 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 39226 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 39238 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
-  /* 39246 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
-  /* 39255 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
-  /* 39265 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
-  /* 39274 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
-  /* 39285 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
-  /* 39295 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
-  /* 39304 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
-  /* 39312 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
-  /* 39323 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
-  /* 39333 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'r', 0,
-  /* 39346 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
-  /* 39354 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'F', 'R', '6', '4', 'r', 'r', 0,
-  /* 39370 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
-  /* 39378 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 39386 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 39395 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 39403 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 39413 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
-  /* 39422 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
-  /* 39433 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
-  /* 39451 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
-  /* 39468 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
-  /* 39476 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
-  /* 39486 */ 'B', 'T', '6', '4', 'r', 'r', 0,
-  /* 39493 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 39504 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 39514 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 39524 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
-  /* 39533 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
-  /* 39542 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'r', 0,
-  /* 39554 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
-  /* 39562 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
-  /* 39571 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 39580 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 39589 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
-  /* 39598 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 39607 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 39616 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'f', 'r', 'o', 'm', '6', '4', 'r', 'r', 0,
-  /* 39635 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 39652 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 39665 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 39679 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39694 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39706 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39719 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39734 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39746 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39759 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39771 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39784 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39796 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39809 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39824 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39836 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39849 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39864 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39876 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39889 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39901 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39914 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39926 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39939 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 39955 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 39970 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 39986 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 40001 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40017 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40032 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40048 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40063 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
-  /* 40073 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
-  /* 40081 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
-  /* 40089 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
-  /* 40099 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
-  /* 40107 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
-  /* 40115 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
-  /* 40124 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
-  /* 40132 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
-  /* 40143 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
-  /* 40154 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
-  /* 40165 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
-  /* 40176 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
-  /* 40187 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
-  /* 40197 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
-  /* 40205 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
-  /* 40217 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
-  /* 40227 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
-  /* 40236 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
-  /* 40244 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
-  /* 40253 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
-  /* 40263 */ 'I', 'N', '1', '6', 'r', 'r', 0,
-  /* 40270 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
-  /* 40281 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
-  /* 40291 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
-  /* 40299 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
-  /* 40310 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
-  /* 40320 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
-  /* 40328 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
-  /* 40336 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
-  /* 40344 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
-  /* 40352 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
-  /* 40363 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
-  /* 40371 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
-  /* 40381 */ 'B', 'T', '1', '6', 'r', 'r', 0,
-  /* 40388 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 40399 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 40409 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 40419 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
-  /* 40428 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
-  /* 40436 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
-  /* 40444 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'r', 0,
-  /* 40458 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 40471 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 40486 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 40500 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 40513 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 40528 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 40542 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
-  /* 40558 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
-  /* 40574 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'r', 0,
-  /* 40588 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'r', 0,
-  /* 40610 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
-  /* 40617 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
-  /* 40624 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
-  /* 40631 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
-  /* 40639 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
-  /* 40646 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
-  /* 40657 */ 'I', 'N', '8', 'r', 'r', 0,
-  /* 40663 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
-  /* 40670 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
-  /* 40677 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
-  /* 40685 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
-  /* 40692 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
-  /* 40699 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', 0,
-  /* 40709 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'r', 0,
-  /* 40718 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'r', 0,
-  /* 40727 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'r', 0,
-  /* 40736 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 0,
-  /* 40748 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 0,
-  /* 40759 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 0,
-  /* 40769 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', 0,
-  /* 40779 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'r', 0,
-  /* 40788 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
-  /* 40800 */ 'M', 'M', 'X', '_', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
-  /* 40815 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'r', 0,
-  /* 40824 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', 0,
-  /* 40834 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'r', 0,
-  /* 40845 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 0,
-  /* 40857 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 0,
-  /* 40868 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 0,
-  /* 40878 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'r', 0,
-  /* 40888 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'r', 'r', 0,
-  /* 40898 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'r', 0,
-  /* 40908 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'r', 0,
-  /* 40918 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'r', 0,
-  /* 40928 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 40939 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 40950 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 40960 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'r', 0,
-  /* 40970 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'r', 0,
-  /* 40981 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'r', 0,
-  /* 40990 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'r', 0,
-  /* 41005 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'r', 0,
-  /* 41015 */ 'P', 'F', 'S', 'U', 'B', 'r', 'r', 0,
-  /* 41023 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'r', 0,
-  /* 41033 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'r', 0,
-  /* 41045 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'r', 0,
-  /* 41057 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'r', 0,
-  /* 41069 */ 'P', 'F', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 41077 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 41086 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 41096 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'r', 0,
-  /* 41106 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'r', 0,
-  /* 41116 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'r', 0,
-  /* 41126 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 0,
-  /* 41137 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 0,
-  /* 41148 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'W', '2', 'D', 'r', 'r', 0,
-  /* 41166 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'r', 0,
-  /* 41175 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
-  /* 41184 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
-  /* 41196 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'r', 0,
-  /* 41207 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 41219 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 41229 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 41238 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'r', 0,
-  /* 41250 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'r', 0,
-  /* 41262 */ 'P', 'F', 'A', 'D', 'D', 'r', 'r', 0,
-  /* 41270 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'r', 0,
-  /* 41280 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'r', 0,
-  /* 41289 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'r', 0,
-  /* 41300 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'r', 0,
-  /* 41312 */ 'P', 'I', '2', 'F', 'D', 'r', 'r', 0,
-  /* 41320 */ 'P', 'F', '2', 'I', 'D', 'r', 'r', 0,
-  /* 41328 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'r', 0,
-  /* 41337 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 41346 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 41358 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 41368 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
-  /* 41377 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
-  /* 41389 */ 'V', 'P', 'A', 'N', 'D', 'r', 'r', 0,
-  /* 41397 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', 0,
-  /* 41407 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41419 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41432 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41444 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41456 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41468 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', 0,
-  /* 41478 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'r', 0,
-  /* 41487 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 41499 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 41509 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 41518 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'r', 0,
-  /* 41528 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'r', 0,
-  /* 41538 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41548 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41557 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41566 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41577 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'r', 0,
-  /* 41589 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'r', 'r', 0,
-  /* 41601 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 41613 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 41625 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 41634 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 41644 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 41656 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 41665 */ 'V', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41673 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41682 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41693 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41703 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'r', 0,
-  /* 41713 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', 0,
-  /* 41723 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'r', 0,
-  /* 41735 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'r', 0,
-  /* 41744 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'r', 0,
-  /* 41753 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 0,
-  /* 41763 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'r', 0,
-  /* 41774 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 0,
-  /* 41786 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 0,
-  /* 41797 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 0,
-  /* 41807 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'r', 0,
-  /* 41817 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'r', 'r', 0,
-  /* 41827 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41843 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41858 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41874 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41889 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'r', 0,
-  /* 41900 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'r', 0,
-  /* 41913 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 0,
-  /* 41924 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 0,
-  /* 41937 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', 0,
-  /* 41946 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', 0,
-  /* 41955 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'r', 0,
-  /* 41965 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'r', 0,
-  /* 41975 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', 0,
-  /* 41984 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 41999 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 42013 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 42027 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 42040 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', 0,
-  /* 42049 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
-  /* 42059 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
-  /* 42068 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
-  /* 42081 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
-  /* 42093 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', 0,
-  /* 42102 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', 0,
-  /* 42111 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
-  /* 42121 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
-  /* 42130 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'r', 0,
-  /* 42140 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'r', 0,
-  /* 42153 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 0,
-  /* 42167 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'r', 0,
-  /* 42178 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'r', 0,
-  /* 42187 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'r', 0,
-  /* 42202 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'r', 0,
-  /* 42212 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'r', 0,
-  /* 42222 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'r', 0,
-  /* 42232 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'r', 0,
-  /* 42242 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'r', 0,
-  /* 42252 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'r', 0,
-  /* 42263 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
-  /* 42274 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
-  /* 42285 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'r', 0,
-  /* 42298 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'r', 0,
-  /* 42311 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42322 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42334 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42346 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42359 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'r', 0,
-  /* 42371 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'r', 0,
-  /* 42383 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'r', 0,
-  /* 42395 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'r', 0,
-  /* 42405 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'r', 0,
-  /* 42417 */ 'L', 'D', '_', 'F', 'r', 'r', 0,
-  /* 42424 */ 'S', 'T', '_', 'F', 'r', 'r', 0,
-  /* 42431 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'r', 'r', 0,
-  /* 42443 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
-  /* 42455 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
-  /* 42468 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'r', 'r', 0,
-  /* 42481 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'r', 'r', 0,
-  /* 42493 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'r', 0,
-  /* 42506 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'r', 'r', 0,
-  /* 42519 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 42533 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 42550 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 42564 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'r', 0,
-  /* 42577 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'r', 0,
-  /* 42590 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42607 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42623 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42635 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42652 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42668 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42680 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
-  /* 42692 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
-  /* 42705 */ 'P', 'F', 'M', 'U', 'L', 'r', 'r', 0,
-  /* 42713 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'r', 0,
-  /* 42722 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'r', 0,
-  /* 42731 */ 'P', 'F', 'M', 'I', 'N', 'r', 'r', 0,
-  /* 42739 */ 'P', 'F', 'R', 'C', 'P', 'r', 'r', 0,
-  /* 42747 */ 'S', 'T', '_', 'F', 'P', 'r', 'r', 0,
-  /* 42755 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 42766 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 42778 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 42790 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'F', 'R', '6', '4', '2', 'Q', 'r', 'r', 0,
-  /* 42806 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'B', '2', 'Q', 'r', 'r', 0,
-  /* 42824 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 0,
-  /* 42835 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', 'Q', '2', 'Q', 'r', 'r', 0,
-  /* 42849 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 0,
-  /* 42860 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'r', 0,
-  /* 42869 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'r', 0,
-  /* 42880 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'r', 0,
-  /* 42892 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'r', 0,
-  /* 42901 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'r', 0,
-  /* 42913 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'r', 0,
-  /* 42925 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42938 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42950 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42964 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42977 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42989 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'r', 0,
-  /* 43000 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'r', 0,
-  /* 43009 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'r', 0,
-  /* 43020 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'r', 0,
-  /* 43033 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'r', 0,
-  /* 43046 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'r', 0,
-  /* 43056 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 43070 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 43084 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 43097 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'r', 0,
-  /* 43109 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'r', 0,
-  /* 43120 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'r', 0,
-  /* 43132 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'r', 0,
-  /* 43144 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'r', 0,
-  /* 43154 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'r', 0,
-  /* 43163 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
-  /* 43172 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
-  /* 43184 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
-  /* 43193 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
-  /* 43205 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'r', 0,
-  /* 43216 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'r', 0,
-  /* 43226 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'r', 'r', 0,
-  /* 43236 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'r', 'r', 0,
-  /* 43245 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 0,
-  /* 43259 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'r', 0,
-  /* 43270 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'r', 0,
-  /* 43279 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'r', 0,
-  /* 43294 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'r', 0,
-  /* 43304 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'r', 0,
-  /* 43314 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'r', 0,
-  /* 43325 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'r', 0,
-  /* 43337 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'r', 0,
-  /* 43349 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'r', 0,
-  /* 43361 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'r', 0,
-  /* 43370 */ 'V', 'P', 'O', 'R', 'r', 'r', 0,
-  /* 43377 */ 'V', 'P', 'X', 'O', 'R', 'r', 'r', 0,
-  /* 43385 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43397 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43409 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43421 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43434 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43446 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43458 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', 0,
-  /* 43468 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 43480 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 43490 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 43499 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'r', 0,
-  /* 43509 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'r', 0,
-  /* 43519 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43529 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43538 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43547 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43558 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'r', 0,
-  /* 43570 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'r', 'r', 0,
-  /* 43581 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'r', 'r', 0,
-  /* 43593 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43604 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43616 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43628 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43637 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 43647 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 43659 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 43668 */ 'V', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43676 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43685 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43696 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43706 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 43719 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 43731 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 43741 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', 0,
-  /* 43751 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'r', 0,
-  /* 43763 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'r', 0,
-  /* 43772 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'r', 0,
-  /* 43781 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 0,
-  /* 43791 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43807 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43822 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43834 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43850 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43865 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'r', 0,
-  /* 43876 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'r', 0,
-  /* 43889 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 0,
-  /* 43900 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 0,
-  /* 43913 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', 0,
-  /* 43922 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'r', 0,
-  /* 43932 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'r', 0,
-  /* 43942 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', 0,
-  /* 43951 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 43966 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 43980 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 43994 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 44007 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', 0,
-  /* 44016 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', 0,
-  /* 44025 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
-  /* 44038 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
-  /* 44050 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'r', 0,
-  /* 44065 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', 0,
-  /* 44074 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', 0,
-  /* 44083 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', 0,
-  /* 44092 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'r', 0,
-  /* 44102 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'r', 0,
-  /* 44112 */ 'M', 'W', 'A', 'I', 'T', 'r', 'r', 0,
-  /* 44120 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'r', 0,
-  /* 44130 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
-  /* 44144 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
-  /* 44158 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'r', 0,
-  /* 44167 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', 0,
-  /* 44177 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 0,
-  /* 44186 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'r', 0,
-  /* 44195 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
-  /* 44204 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
-  /* 44216 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'r', 0,
-  /* 44227 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 0,
-  /* 44237 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'r', 0,
-  /* 44248 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'r', 0,
-  /* 44261 */ 'K', 'U', 'N', 'P', 'C', 'K', 'B', 'W', 'r', 'r', 0,
-  /* 44272 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'r', 0,
-  /* 44285 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 44297 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 44307 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 44316 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'r', 0,
-  /* 44328 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'r', 0,
-  /* 44340 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', 0,
-  /* 44350 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'r', 0,
-  /* 44359 */ 'K', 'A', 'N', 'D', 'W', 'r', 'r', 0,
-  /* 44367 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44379 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44391 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44403 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44414 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 0,
-  /* 44424 */ 'P', 'I', '2', 'F', 'W', 'r', 'r', 0,
-  /* 44432 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'r', 0,
-  /* 44441 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'r', 0,
-  /* 44451 */ 'P', 'F', '2', 'I', 'W', 'r', 'r', 0,
-  /* 44459 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'r', 0,
-  /* 44468 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 44477 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 44489 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 44499 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
-  /* 44508 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
-  /* 44520 */ 'K', 'A', 'N', 'D', 'N', 'W', 'r', 'r', 0,
-  /* 44529 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', 0,
-  /* 44539 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'r', 0,
-  /* 44550 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 0,
-  /* 44562 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 0,
-  /* 44573 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 0,
-  /* 44583 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'r', 0,
-  /* 44593 */ 'K', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 44600 */ 'K', 'X', 'N', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 44609 */ 'K', 'X', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 44617 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'r', 0,
-  /* 44627 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'r', 0,
-  /* 44637 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'r', 0,
-  /* 44647 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'r', 0,
-  /* 44658 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'r', 0,
-  /* 44669 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'r', 0,
-  /* 44679 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'r', 0,
-  /* 44690 */ 'K', 'N', 'O', 'T', 'W', 'r', 'r', 0,
-  /* 44698 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'r', 0,
-  /* 44707 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'r', 0,
-  /* 44722 */ 'K', 'O', 'R', 'T', 'E', 'S', 'T', 'W', 'r', 'r', 0,
-  /* 44733 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'r', 0,
-  /* 44744 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'r', 0,
-  /* 44754 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'r', 0,
-  /* 44764 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'r', 0,
-  /* 44775 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'r', 0,
-  /* 44787 */ 'P', 'F', 'M', 'A', 'X', 'r', 'r', 0,
-  /* 44795 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', 0,
-  /* 44806 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'r', 0,
-  /* 44816 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'r', 0,
-  /* 44826 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'r', 0,
-  /* 44837 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'r', 0,
-  /* 44847 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'Y', 'r', 'r', 0,
-  /* 44860 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'r', 0,
-  /* 44871 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'r', 0,
-  /* 44883 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44894 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44905 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44916 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44928 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44940 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44951 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'r', 0,
-  /* 44963 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'r', 0,
-  /* 44979 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'r', 0,
-  /* 44990 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'r', 0,
-  /* 45001 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'r', 0,
-  /* 45014 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
-  /* 45027 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
-  /* 45040 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'r', 0,
-  /* 45050 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45061 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45071 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45084 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45097 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
-  /* 45108 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
-  /* 45118 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 45128 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 45139 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 45149 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'r', 0,
-  /* 45159 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'r', 0,
-  /* 45168 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'r', 0,
-  /* 45179 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45192 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45205 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45216 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45229 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45240 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45250 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45261 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45272 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45283 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45293 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45303 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45316 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45329 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45342 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45355 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45365 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45376 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45386 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45395 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45405 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45416 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45427 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45440 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45450 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45460 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'r', 0,
-  /* 45472 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 45483 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 45499 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 45510 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'r', 0,
-  /* 45522 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'r', 0,
-  /* 45538 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'r', 0,
-  /* 45549 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'r', 0,
-  /* 45560 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 45571 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 45582 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 45593 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45605 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45619 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45633 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45646 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45659 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'r', 'r', 0,
-  /* 45672 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'r', 0,
-  /* 45682 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 45694 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 45707 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 45720 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 45730 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 45743 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 45756 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45770 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45783 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45797 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45810 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45820 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45834 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45848 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45859 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45874 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45889 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45901 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45914 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45927 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'r', 0,
-  /* 45937 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'r', 0,
-  /* 45947 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'r', 0,
-  /* 45959 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'r', 0,
-  /* 45971 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'r', 0,
-  /* 45987 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
-  /* 45998 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
-  /* 46009 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
-  /* 46022 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
-  /* 46035 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'r', 0,
-  /* 46043 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'r', 0,
-  /* 46052 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46065 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46078 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46091 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46102 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46115 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46126 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46136 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46147 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46158 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46169 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46179 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46189 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46202 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46215 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46228 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46241 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46251 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46262 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46273 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46283 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46292 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46302 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46313 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46324 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46337 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46347 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46357 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'r', 0,
-  /* 46373 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'r', 0,
-  /* 46383 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', 0,
-  /* 46394 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'r', 0,
-  /* 46404 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46415 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46429 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46443 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46454 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46464 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46477 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46490 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46501 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46511 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46524 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46537 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'r', 0,
-  /* 46547 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'r', 0,
-  /* 46558 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 46568 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 46579 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 46589 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'r', 0,
-  /* 46600 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'r', 0,
-  /* 46612 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46623 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46634 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46645 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46657 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46669 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46680 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'r', 0,
-  /* 46692 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'r', 0,
-  /* 46708 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 46720 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 46731 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 46742 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46761 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46781 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46801 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46816 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46836 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46851 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46872 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46888 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46909 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46925 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46944 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46964 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46978 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46993 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 0,
-  /* 47003 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 47013 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 47026 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 47039 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 0,
-  /* 47049 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 0,
-  /* 47059 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 47069 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 47080 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 47090 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47103 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47116 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47126 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47138 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 0,
-  /* 47149 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47162 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47176 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47189 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47200 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47210 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47220 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47233 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47246 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47256 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47269 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47280 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47290 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47301 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47312 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47322 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47332 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'r', 0,
-  /* 47344 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
-  /* 47353 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
-  /* 47363 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47378 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47394 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47411 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47429 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47442 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47452 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47462 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47478 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47493 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47503 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47514 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47524 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47534 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47550 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47560 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47570 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47581 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47591 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47605 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'r', 0,
-  /* 47617 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'r', 0,
-  /* 47633 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 0,
-  /* 47644 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 0,
-  /* 47655 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 47666 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 47677 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 47688 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
-  /* 47701 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
-  /* 47714 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'r', 'r', 0,
-  /* 47727 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 47741 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 47754 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 47768 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
-  /* 47786 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
-  /* 47801 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47819 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47832 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47850 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47863 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47882 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47896 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47915 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47929 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 47941 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 47954 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 47967 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 0,
-  /* 47977 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 47987 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 48000 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 48013 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48027 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48040 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48054 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48067 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48077 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48091 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48105 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48116 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48126 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48141 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48156 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48171 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48185 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48200 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48214 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48226 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48239 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48252 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 0,
-  /* 48262 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 0,
-  /* 48272 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48285 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48298 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48308 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48320 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 0,
-  /* 48331 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'r', 0,
-  /* 48343 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
-  /* 48352 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
-  /* 48362 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 0,
-  /* 48373 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 0,
-  /* 48384 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'r', 0,
-  /* 48396 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'r', 0,
-  /* 48412 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 0,
-  /* 48423 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 0,
-  /* 48434 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 48445 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 48456 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 48467 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
-  /* 48480 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
-  /* 48493 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48506 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48519 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48532 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48546 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48557 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48567 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48577 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48590 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48602 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48614 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48627 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48637 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48650 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48661 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48671 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48682 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48693 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48703 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48713 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48728 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48744 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48757 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48770 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48787 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48805 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48815 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48825 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48841 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48856 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48866 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48876 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48886 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48902 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48912 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48922 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48932 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'r', 'r', 0,
-  /* 48949 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'r', 'r', 0,
-  /* 48966 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'g', 'r', 'r', 0,
-  /* 48980 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'r', 0,
-  /* 48997 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'r', 0,
-  /* 49010 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'r', 0,
-  /* 49023 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'r', 0,
-  /* 49036 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'r', 0,
-  /* 49051 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49065 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49079 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49094 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49109 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'r', 0,
-  /* 49124 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'r', 0,
-  /* 49138 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'r', 0,
-  /* 49152 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'r', 0,
-  /* 49168 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'r', 0,
-  /* 49184 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'r', 0,
-  /* 49197 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'r', 0,
-  /* 49210 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'r', 0,
-  /* 49222 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'r', 0,
-  /* 49238 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'r', 0,
-  /* 49253 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'r', 0,
-  /* 49268 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 49283 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 49300 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 49317 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49334 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49350 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49367 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49383 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'r', 0,
-  /* 49396 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'r', 0,
-  /* 49409 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49422 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49439 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49456 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49471 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'r', 0,
-  /* 49482 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'r', 0,
-  /* 49494 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'r', 0,
-  /* 49510 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49524 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49541 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49558 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49571 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'r', 0,
-  /* 49584 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'r', 0,
-  /* 49600 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'r', 0,
-  /* 49613 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'r', 0,
-  /* 49627 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'r', 0,
-  /* 49641 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'r', 0,
-  /* 49656 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49670 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49684 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49698 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49713 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49728 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49742 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'r', 0,
-  /* 49757 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'r', 0,
-  /* 49772 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'k', 'r', 'r', 0,
-  /* 49785 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'k', 'r', 'r', 0,
-  /* 49797 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'k', 'r', 'r', 0,
-  /* 49808 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'k', 'r', 'r', 0,
-  /* 49821 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'k', 'r', 'r', 0,
-  /* 49833 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'k', 'r', 'r', 0,
-  /* 49844 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'k', 'r', 'r', 0,
-  /* 49857 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'k', 'r', 'r', 0,
-  /* 49869 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'k', 'r', 'r', 0,
-  /* 49880 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'k', 'r', 'r', 0,
-  /* 49893 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'k', 'r', 'r', 0,
-  /* 49905 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'k', 'r', 'r', 0,
-  /* 49916 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'k', 'r', 'r', 0,
-  /* 49929 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'k', 'r', 'r', 0,
-  /* 49941 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'k', 'r', 'r', 0,
-  /* 49952 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'r', 0,
-  /* 49969 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'r', 0,
-  /* 49986 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'k', 'r', 'r', 0,
-  /* 50004 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'k', 'r', 'r', 0,
-  /* 50022 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 'r', 'r', 'r', 0,
-  /* 50033 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'r', 'r', 0,
-  /* 50047 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'r', 0,
-  /* 50060 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
-  /* 50068 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
-  /* 50076 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
-  /* 50084 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
-  /* 50092 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
-  /* 50100 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
-  /* 50108 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
-  /* 50116 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
-  /* 50124 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
-  /* 50132 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 50147 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 50162 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50175 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50188 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50200 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50214 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50228 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50243 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50258 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50273 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50288 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50303 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50319 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50334 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50350 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50365 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50380 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50393 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50405 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50418 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50430 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50442 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50453 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50467 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50481 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50496 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50511 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50526 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50541 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50556 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50572 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50587 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50603 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50618 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50633 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', '_', 'a', 'l', 't', 0,
-  /* 50646 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', '_', 'a', 'l', 't', 0,
-  /* 50659 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 50672 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 50685 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', '_', 'I', 'n', 't', 0,
-  /* 50698 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50710 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50724 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50736 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50750 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50763 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
-  /* 50776 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
-  /* 50791 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50805 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50819 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50833 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50847 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50863 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50880 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50896 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50913 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50929 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50946 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50962 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50979 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50992 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51005 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51018 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51031 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51044 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51057 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51070 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51083 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51096 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51109 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51122 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51135 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
-  /* 51149 */ 'S', 'Q', 'R', 'T', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
-  /* 51161 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51173 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51187 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51201 */ 'R', 'C', 'P', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51212 */ 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51225 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
-  /* 51238 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
-  /* 51253 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51267 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51281 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51295 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51309 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51325 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51342 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51358 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51375 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51391 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51408 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51424 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51441 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51457 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51474 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51490 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51507 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51523 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51540 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51556 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51573 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51586 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51599 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51612 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51625 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51638 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51651 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51664 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51677 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51690 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51703 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51716 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51729 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 51746 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 51763 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 51779 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
-  /* 51795 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'r', 'k', 'z', 0,
-  /* 51809 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'r', 'k', 'z', 0,
-  /* 51823 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 51839 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 1450 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '3', '2', 0,
+  /* 1460 */ 'P', 'U', 'S', 'H', 'A', '3', '2', 0,
+  /* 1468 */ 'P', 'O', 'P', 'A', '3', '2', 0,
+  /* 1475 */ 'V', 'M', 'L', 'O', 'A', 'D', '3', '2', 0,
+  /* 1484 */ 'L', 'X', 'A', 'D', 'D', '3', '2', 0,
+  /* 1492 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '3', '2', 0,
+  /* 1502 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '3', '2', 0,
+  /* 1512 */ 'C', 'P', 'U', 'I', 'D', '3', '2', 0,
+  /* 1520 */ 'V', 'M', 'S', 'A', 'V', 'E', '3', '2', 0,
+  /* 1529 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 0,
+  /* 1540 */ 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 0,
+  /* 1552 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 0,
+  /* 1563 */ 'P', 'U', 'S', 'H', 'F', '3', '2', 0,
+  /* 1571 */ 'P', 'O', 'P', 'F', '3', '2', 0,
+  /* 1578 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 0,
+  /* 1589 */ 'V', 'M', 'R', 'U', 'N', '3', '2', 0,
+  /* 1597 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '3', '2', 0,
+  /* 1616 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 0,
+  /* 1627 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '3', '2', 0,
+  /* 1644 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 0,
+  /* 1654 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', 0,
+  /* 1664 */ 'P', 'U', 'S', 'H', 'C', 'S', '3', '2', 0,
+  /* 1673 */ 'P', 'U', 'S', 'H', 'D', 'S', '3', '2', 0,
+  /* 1682 */ 'P', 'O', 'P', 'D', 'S', '3', '2', 0,
+  /* 1690 */ 'P', 'U', 'S', 'H', 'E', 'S', '3', '2', 0,
+  /* 1699 */ 'P', 'O', 'P', 'E', 'S', '3', '2', 0,
+  /* 1707 */ 'P', 'U', 'S', 'H', 'F', 'S', '3', '2', 0,
+  /* 1716 */ 'P', 'O', 'P', 'F', 'S', '3', '2', 0,
+  /* 1724 */ 'P', 'U', 'S', 'H', 'G', 'S', '3', '2', 0,
+  /* 1733 */ 'P', 'O', 'P', 'G', 'S', '3', '2', 0,
+  /* 1741 */ 'P', 'U', 'S', 'H', 'S', 'S', '3', '2', 0,
+  /* 1750 */ 'P', 'O', 'P', 'S', 'S', '3', '2', 0,
+  /* 1758 */ 'I', 'R', 'E', 'T', '3', '2', 0,
+  /* 1765 */ 'I', 'N', 'V', 'E', 'P', 'T', '3', '2', 0,
+  /* 1774 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '3', '2', 0,
+  /* 1788 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '3', '2', 0,
+  /* 1801 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '3', '2', 0,
+  /* 1814 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '3', '2', 0,
+  /* 1827 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '3', '2', 0,
+  /* 1840 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '3', '2', 0,
+  /* 1852 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '3', '2', 0,
+  /* 1865 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '3', '2', 0,
+  /* 1878 */ 'J', 'E', 'C', 'X', 'Z', '_', '3', '2', 0,
+  /* 1887 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 1898 */ 'S', 'B', 'B', '3', '2', 'i', '3', '2', 0,
+  /* 1907 */ 'S', 'U', 'B', '3', '2', 'i', '3', '2', 0,
+  /* 1916 */ 'A', 'D', 'C', '3', '2', 'i', '3', '2', 0,
+  /* 1925 */ 'A', 'D', 'D', '3', '2', 'i', '3', '2', 0,
+  /* 1934 */ 'A', 'N', 'D', '3', '2', 'i', '3', '2', 0,
+  /* 1943 */ 'C', 'M', 'P', '3', '2', 'i', '3', '2', 0,
+  /* 1952 */ 'X', 'O', 'R', '3', '2', 'i', '3', '2', 0,
+  /* 1961 */ 'T', 'E', 'S', 'T', '3', '2', 'i', '3', '2', 0,
+  /* 1971 */ 'S', 'B', 'B', '6', '4', 'i', '3', '2', 0,
+  /* 1980 */ 'S', 'U', 'B', '6', '4', 'i', '3', '2', 0,
+  /* 1989 */ 'A', 'D', 'C', '6', '4', 'i', '3', '2', 0,
+  /* 1998 */ 'A', 'D', 'D', '6', '4', 'i', '3', '2', 0,
+  /* 2007 */ 'A', 'N', 'D', '6', '4', 'i', '3', '2', 0,
+  /* 2016 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '3', '2', 0,
+  /* 2026 */ 'C', 'M', 'P', '6', '4', 'i', '3', '2', 0,
+  /* 2035 */ 'X', 'O', 'R', '6', '4', 'i', '3', '2', 0,
+  /* 2044 */ 'T', 'E', 'S', 'T', '6', '4', 'i', '3', '2', 0,
+  /* 2054 */ 'P', 'U', 'S', 'H', 'i', '3', '2', 0,
+  /* 2062 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2072 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2087 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2097 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2112 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2127 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2137 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2152 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2166 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2177 */ 'M', 'O', 'V', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 2187 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '3', '2', 0,
+  /* 2199 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2209 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2219 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2229 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2239 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2249 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2259 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2269 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2280 */ 'M', 'O', 'V', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 2290 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '3', '2', 0,
+  /* 2302 */ 'C', 'A', 'L', 'L', '6', '4', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
+  /* 2316 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
+  /* 2328 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', '3', '2', 0,
+  /* 2340 */ 'S', 'U', 'B', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
+  /* 2352 */ 'A', 'D', 'D', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
+  /* 2364 */ 'M', 'U', 'L', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
+  /* 2376 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
+  /* 2389 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
+  /* 2402 */ 'S', 'T', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
+  /* 2413 */ 'D', 'I', 'V', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
+  /* 2425 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
+  /* 2438 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
+  /* 2451 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
+  /* 2464 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
+  /* 2478 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
+  /* 2492 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
+  /* 2505 */ 'I', 'L', 'D', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
+  /* 2517 */ 'I', 'S', 'T', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
+  /* 2529 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
+  /* 2542 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '3', '2', 0,
+  /* 2554 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', '3', '2', 0,
+  /* 2566 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2578 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2590 */ 'I', 'L', 'D', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2602 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2614 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2627 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2640 */ 'I', 'S', 'T', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2652 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2665 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
+  /* 2677 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
+  /* 2690 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
+  /* 2703 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
+  /* 2716 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
+  /* 2730 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
+  /* 2744 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
+  /* 2757 */ 'I', 'L', 'D', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
+  /* 2769 */ 'I', 'S', 'T', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
+  /* 2781 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
+  /* 2794 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '3', '2', 0,
+  /* 2806 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', 0,
+  /* 2816 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '3', '2', 0,
+  /* 2826 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 'p', '3', '2', 0,
+  /* 2838 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 0,
+  /* 2847 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 'p', '3', '2', 0,
+  /* 2858 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 0,
+  /* 2867 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 'p', '3', '2', 0,
+  /* 2880 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 'p', '3', '2', 0,
+  /* 2892 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 'p', '3', '2', 0,
+  /* 2904 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 'p', '3', '2', 0,
+  /* 2915 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 0,
+  /* 2924 */ 'S', 'I', 'N', '_', 'F', 'p', '3', '2', 0,
+  /* 2933 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 'p', '3', '2', 0,
+  /* 2945 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 'p', '3', '2', 0,
+  /* 2956 */ 'A', 'B', 'S', '_', 'F', 'p', '3', '2', 0,
+  /* 2965 */ 'C', 'H', 'S', '_', 'F', 'p', '3', '2', 0,
+  /* 2974 */ 'C', 'O', 'S', '_', 'F', 'p', '3', '2', 0,
+  /* 2983 */ 'S', 'Q', 'R', 'T', '_', 'F', 'p', '3', '2', 0,
+  /* 2993 */ 'T', 'S', 'T', '_', 'F', 'p', '3', '2', 0,
+  /* 3002 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 0,
+  /* 3011 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '3', '2', 0,
+  /* 3029 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '3', '2', 0,
+  /* 3046 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '3', '2', 0,
+  /* 3058 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'I', 'r', '3', '2', 0,
+  /* 3070 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 3081 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 3097 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'r', '3', '2', 0,
+  /* 3108 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '3', '2', 0,
+  /* 3120 */ 'F', 'L', 'D', 'L', 'G', '2', 0,
+  /* 3127 */ 'F', 'L', 'D', 'L', 'N', '2', 0,
+  /* 3134 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'T', '2', 0,
+  /* 3145 */ 'J', 'A', '_', '2', 0,
+  /* 3150 */ 'J', 'B', '_', '2', 0,
+  /* 3155 */ 'J', 'A', 'E', '_', '2', 0,
+  /* 3161 */ 'J', 'B', 'E', '_', '2', 0,
+  /* 3167 */ 'J', 'G', 'E', '_', '2', 0,
+  /* 3173 */ 'J', 'E', '_', '2', 0,
+  /* 3178 */ 'J', 'L', 'E', '_', '2', 0,
+  /* 3184 */ 'J', 'N', 'E', '_', '2', 0,
+  /* 3190 */ 'J', 'G', '_', '2', 0,
+  /* 3195 */ 'J', 'L', '_', '2', 0,
+  /* 3200 */ 'J', 'O', '_', '2', 0,
+  /* 3205 */ 'J', 'N', 'O', '_', '2', 0,
+  /* 3211 */ 'J', 'P', '_', '2', 0,
+  /* 3216 */ 'J', 'M', 'P', '_', '2', 0,
+  /* 3222 */ 'J', 'N', 'P', '_', '2', 0,
+  /* 3228 */ 'J', 'S', '_', '2', 0,
+  /* 3233 */ 'J', 'N', 'S', '_', '2', 0,
+  /* 3239 */ 'I', 'N', 'T', '3', 0,
+  /* 3244 */ 'L', 'D', '_', 'F', 'p', '0', '6', '4', 0,
+  /* 3253 */ 'L', 'D', '_', 'F', 'p', '1', '6', '4', 0,
+  /* 3262 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '6', '4', 0,
+  /* 3272 */ 'V', 'M', 'L', 'O', 'A', 'D', '6', '4', 0,
+  /* 3281 */ 'L', 'X', 'A', 'D', 'D', '6', '4', 0,
+  /* 3289 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '6', '4', 0,
+  /* 3299 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '6', '4', 0,
+  /* 3309 */ 'C', 'P', 'U', 'I', 'D', '6', '4', 0,
+  /* 3317 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 3328 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 3339 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 3350 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 3361 */ 'L', 'E', 'A', 'V', 'E', '6', '4', 0,
+  /* 3369 */ 'V', 'M', 'S', 'A', 'V', 'E', '6', '4', 0,
+  /* 3378 */ 'F', 'X', 'S', 'A', 'V', 'E', '6', '4', 0,
+  /* 3387 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 0,
+  /* 3398 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 0,
+  /* 3409 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 0,
+  /* 3420 */ 'P', 'U', 'S', 'H', 'F', '6', '4', 0,
+  /* 3428 */ 'P', 'O', 'P', 'F', '6', '4', 0,
+  /* 3435 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 0,
+  /* 3446 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 0,
+  /* 3457 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 0,
+  /* 3468 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 0,
+  /* 3479 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '6', '4', 0,
+  /* 3489 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', '6', '4', 0,
+  /* 3501 */ 'V', 'M', 'R', 'U', 'N', '6', '4', 0,
+  /* 3509 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '6', '4', 0,
+  /* 3528 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 0,
+  /* 3539 */ 'F', 'A', 'R', 'J', 'M', 'P', '6', '4', 0,
+  /* 3548 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '6', '4', 0,
+  /* 3565 */ 'M', 'M', 'X', '_', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', '6', '4', 0,
+  /* 3580 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 0,
+  /* 3590 */ 'F', 'X', 'R', 'S', 'T', 'O', 'R', '6', '4', 0,
+  /* 3600 */ 'P', 'U', 'S', 'H', 'F', 'S', '6', '4', 0,
+  /* 3609 */ 'P', 'O', 'P', 'F', 'S', '6', '4', 0,
+  /* 3617 */ 'P', 'U', 'S', 'H', 'G', 'S', '6', '4', 0,
+  /* 3626 */ 'P', 'O', 'P', 'G', 'S', '6', '4', 0,
+  /* 3634 */ 'I', 'R', 'E', 'T', '6', '4', 0,
+  /* 3641 */ 'S', 'Y', 'S', 'R', 'E', 'T', '6', '4', 0,
+  /* 3650 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', '6', '4', 0,
+  /* 3660 */ 'I', 'N', 'V', 'E', 'P', 'T', '6', '4', 0,
+  /* 3669 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', '6', '4', 0,
+  /* 3680 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 0,
+  /* 3694 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '6', '4', 0,
+  /* 3708 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '6', '4', 0,
+  /* 3721 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '6', '4', 0,
+  /* 3734 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '6', '4', 0,
+  /* 3747 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '6', '4', 0,
+  /* 3760 */ 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 0,
+  /* 3769 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '6', '4', 0,
+  /* 3781 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'Q', '_', '6', '4', 0,
+  /* 3794 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'Q', '_', '6', '4', 0,
+  /* 3807 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '6', '4', 0,
+  /* 3820 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '6', '4', 0,
+  /* 3833 */ 'J', 'E', 'C', 'X', 'Z', '_', '6', '4', 0,
+  /* 3842 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 3853 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', '6', '4', 0,
+  /* 3864 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', '6', '4', 0,
+  /* 3877 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', '6', '4', 0,
+  /* 3890 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '6', '4', 0,
+  /* 3900 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', '6', '4', 0,
+  /* 3913 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', '6', '4', 0,
+  /* 3925 */ 'S', 'U', 'B', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
+  /* 3937 */ 'A', 'D', 'D', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
+  /* 3949 */ 'M', 'U', 'L', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
+  /* 3961 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
+  /* 3974 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
+  /* 3987 */ 'S', 'T', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
+  /* 3998 */ 'D', 'I', 'V', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
+  /* 4010 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
+  /* 4023 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
+  /* 4036 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
+  /* 4049 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
+  /* 4063 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
+  /* 4077 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
+  /* 4090 */ 'I', 'L', 'D', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
+  /* 4102 */ 'I', 'S', 'T', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
+  /* 4114 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
+  /* 4127 */ 'I', 'L', 'D', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
+  /* 4139 */ 'I', 'S', 'T', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
+  /* 4151 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
+  /* 4164 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'm', '6', '4', 0,
+  /* 4176 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
+  /* 4189 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
+  /* 4202 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
+  /* 4215 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
+  /* 4229 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
+  /* 4243 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
+  /* 4256 */ 'I', 'L', 'D', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
+  /* 4268 */ 'I', 'S', 'T', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
+  /* 4280 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
+  /* 4293 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', '6', '4', 0,
+  /* 4304 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', '6', '4', 0,
+  /* 4319 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', '6', '4', 0,
+  /* 4334 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '6', '4', 0,
+  /* 4348 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', '6', '4', 0,
+  /* 4363 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'r', 'm', '6', '4', 0,
+  /* 4377 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', '6', '4', 0,
+  /* 4392 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '6', '4', 0,
+  /* 4406 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', '6', '4', 0,
+  /* 4421 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', '6', '4', 0,
+  /* 4436 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', '6', '4', 0,
+  /* 4451 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
+  /* 4465 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
+  /* 4483 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
+  /* 4499 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '6', '4', 0,
+  /* 4515 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '6', '4', 0,
+  /* 4532 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '6', '4', 0,
+  /* 4542 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 'p', '6', '4', 0,
+  /* 4554 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 0,
+  /* 4563 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 'p', '6', '4', 0,
+  /* 4574 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 0,
+  /* 4583 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 'p', '6', '4', 0,
+  /* 4596 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 'p', '6', '4', 0,
+  /* 4608 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 'p', '6', '4', 0,
+  /* 4620 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 'p', '6', '4', 0,
+  /* 4631 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 0,
+  /* 4640 */ 'S', 'I', 'N', '_', 'F', 'p', '6', '4', 0,
+  /* 4649 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 'p', '6', '4', 0,
+  /* 4661 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 'p', '6', '4', 0,
+  /* 4672 */ 'A', 'B', 'S', '_', 'F', 'p', '6', '4', 0,
+  /* 4681 */ 'C', 'H', 'S', '_', 'F', 'p', '6', '4', 0,
+  /* 4690 */ 'C', 'O', 'S', '_', 'F', 'p', '6', '4', 0,
+  /* 4699 */ 'S', 'Q', 'R', 'T', '_', 'F', 'p', '6', '4', 0,
+  /* 4709 */ 'T', 'S', 'T', '_', 'F', 'p', '6', '4', 0,
+  /* 4718 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 0,
+  /* 4727 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '6', '4', 0,
+  /* 4745 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '6', '4', 0,
+  /* 4762 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'r', '6', '4', 0,
+  /* 4774 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'I', 'r', '6', '4', 0,
+  /* 4786 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', '6', '4', 0,
+  /* 4797 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', '6', '4', 0,
+  /* 4808 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 4819 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 4835 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'r', '6', '4', 0,
+  /* 4846 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', '6', '4', 0,
+  /* 4861 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', '6', '4', 0,
+  /* 4876 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '6', '4', 0,
+  /* 4890 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', '6', '4', 0,
+  /* 4905 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'r', 'r', '6', '4', 0,
+  /* 4919 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', '6', '4', 0,
+  /* 4934 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '6', '4', 0,
+  /* 4948 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', '6', '4', 0,
+  /* 4963 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', '6', '4', 0,
+  /* 4978 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', '6', '4', 0,
+  /* 4993 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
+  /* 5007 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
+  /* 5025 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
+  /* 5041 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '6', '4', 0,
+  /* 5057 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '6', '4', 0,
+  /* 5074 */ 'J', 'A', '_', '4', 0,
+  /* 5079 */ 'J', 'B', '_', '4', 0,
+  /* 5084 */ 'J', 'A', 'E', '_', '4', 0,
+  /* 5090 */ 'J', 'B', 'E', '_', '4', 0,
+  /* 5096 */ 'J', 'G', 'E', '_', '4', 0,
+  /* 5102 */ 'J', 'E', '_', '4', 0,
+  /* 5107 */ 'J', 'L', 'E', '_', '4', 0,
+  /* 5113 */ 'J', 'N', 'E', '_', '4', 0,
+  /* 5119 */ 'J', 'G', '_', '4', 0,
+  /* 5124 */ 'J', 'L', '_', '4', 0,
+  /* 5129 */ 'X', 'B', 'E', 'G', 'I', 'N', '_', '4', 0,
+  /* 5138 */ 'J', 'O', '_', '4', 0,
+  /* 5143 */ 'J', 'N', 'O', '_', '4', 0,
+  /* 5149 */ 'J', 'P', '_', '4', 0,
+  /* 5154 */ 'J', 'M', 'P', '_', '4', 0,
+  /* 5160 */ 'J', 'N', 'P', '_', '4', 0,
+  /* 5166 */ 'J', 'S', '_', '4', 0,
+  /* 5171 */ 'J', 'N', 'S', '_', '4', 0,
+  /* 5177 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '4', 0,
+  /* 5189 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '4', 0,
+  /* 5199 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '4', 0,
+  /* 5211 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '4', 0,
+  /* 5221 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '5', 0,
+  /* 5233 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '5', 0,
+  /* 5243 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '5', 0,
+  /* 5255 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '5', 0,
+  /* 5265 */ 'P', 'U', 'S', 'H', 'A', '1', '6', 0,
+  /* 5273 */ 'P', 'O', 'P', 'A', '1', '6', 0,
+  /* 5280 */ 'L', 'X', 'A', 'D', 'D', '1', '6', 0,
+  /* 5288 */ 'P', 'U', 'S', 'H', 'F', '1', '6', 0,
+  /* 5296 */ 'P', 'O', 'P', 'F', '1', '6', 0,
+  /* 5303 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 0,
+  /* 5314 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', 0,
+  /* 5324 */ 'P', 'U', 'S', 'H', 'C', 'S', '1', '6', 0,
+  /* 5333 */ 'P', 'U', 'S', 'H', 'D', 'S', '1', '6', 0,
+  /* 5342 */ 'P', 'O', 'P', 'D', 'S', '1', '6', 0,
+  /* 5350 */ 'P', 'U', 'S', 'H', 'E', 'S', '1', '6', 0,
+  /* 5359 */ 'P', 'O', 'P', 'E', 'S', '1', '6', 0,
+  /* 5367 */ 'P', 'U', 'S', 'H', 'F', 'S', '1', '6', 0,
+  /* 5376 */ 'P', 'O', 'P', 'F', 'S', '1', '6', 0,
+  /* 5384 */ 'P', 'U', 'S', 'H', 'G', 'S', '1', '6', 0,
+  /* 5393 */ 'P', 'O', 'P', 'G', 'S', '1', '6', 0,
+  /* 5401 */ 'P', 'U', 'S', 'H', 'S', 'S', '1', '6', 0,
+  /* 5410 */ 'P', 'O', 'P', 'S', 'S', '1', '6', 0,
+  /* 5418 */ 'I', 'R', 'E', 'T', '1', '6', 0,
+  /* 5425 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', '_', '1', '6', 0,
+  /* 5438 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', '_', '1', '6', 0,
+  /* 5451 */ 'M', 'O', 'V', '8', 'a', 'o', '8', '_', '1', '6', 0,
+  /* 5462 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', '_', '1', '6', 0,
+  /* 5475 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', '_', '1', '6', 0,
+  /* 5488 */ 'M', 'O', 'V', '8', 'o', '8', 'a', '_', '1', '6', 0,
+  /* 5499 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '1', '6', 0,
+  /* 5509 */ 'S', 'B', 'B', '1', '6', 'i', '1', '6', 0,
+  /* 5518 */ 'S', 'U', 'B', '1', '6', 'i', '1', '6', 0,
+  /* 5527 */ 'A', 'D', 'C', '1', '6', 'i', '1', '6', 0,
+  /* 5536 */ 'A', 'D', 'D', '1', '6', 'i', '1', '6', 0,
+  /* 5545 */ 'A', 'N', 'D', '1', '6', 'i', '1', '6', 0,
+  /* 5554 */ 'C', 'M', 'P', '1', '6', 'i', '1', '6', 0,
+  /* 5563 */ 'X', 'O', 'R', '1', '6', 'i', '1', '6', 0,
+  /* 5572 */ 'T', 'E', 'S', 'T', '1', '6', 'i', '1', '6', 0,
+  /* 5582 */ 'P', 'U', 'S', 'H', 'i', '1', '6', 0,
+  /* 5590 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '1', '6', 0,
+  /* 5602 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '1', '6', 0,
+  /* 5614 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '1', '6', 0,
+  /* 5626 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '1', '6', 0,
+  /* 5638 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '1', '6', 0,
+  /* 5650 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '1', '6', 0,
+  /* 5660 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', 0,
+  /* 5670 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '1', '6', 0,
+  /* 5682 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '1', '6', 0,
+  /* 5694 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '1', '6', 0,
+  /* 5706 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '1', '6', 0,
+  /* 5718 */ 'X', 'S', 'H', 'A', '2', '5', '6', 0,
+  /* 5726 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '2', '5', '6', 0,
+  /* 5738 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '2', '5', '6', 0,
+  /* 5750 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
+  /* 5762 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
+  /* 5778 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
+  /* 5792 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
+  /* 5806 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
+  /* 5821 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '2', '5', '6', 0,
+  /* 5833 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '2', '5', '6', 0,
+  /* 5845 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
+  /* 5857 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
+  /* 5873 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
+  /* 5887 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
+  /* 5901 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
+  /* 5916 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '6', 0,
+  /* 5928 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '6', 0,
+  /* 5938 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '6', 0,
+  /* 5950 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '6', 0,
+  /* 5960 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '7', 0,
+  /* 5972 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '7', 0,
+  /* 5982 */ 'S', 'T', '_', 'F', 'P', 'r', '0', 'r', '7', 0,
+  /* 5992 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '7', 0,
+  /* 6004 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '7', 0,
+  /* 6014 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'F', '1', '2', '8', 0,
+  /* 6029 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '1', '2', '8', 0,
+  /* 6044 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '1', '2', '8', 0,
+  /* 6056 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '1', '2', '8', 0,
+  /* 6068 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
+  /* 6080 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
+  /* 6096 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
+  /* 6110 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
+  /* 6124 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
+  /* 6139 */ 'V', 'P', 'H', 'M', 'I', 'N', 'P', 'O', 'S', 'U', 'W', 'r', 'm', '1', '2', '8', 0,
+  /* 6156 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '1', '2', '8', 0,
+  /* 6168 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '1', '2', '8', 0,
+  /* 6180 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
+  /* 6192 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
+  /* 6208 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
+  /* 6222 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
+  /* 6236 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
+  /* 6251 */ 'V', 'P', 'H', 'M', 'I', 'N', 'P', 'O', 'S', 'U', 'W', 'r', 'r', '1', '2', '8', 0,
+  /* 6268 */ 'L', 'X', 'A', 'D', 'D', '8', 0,
+  /* 6275 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 0,
+  /* 6285 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 0,
+  /* 6294 */ 'P', 'U', 'S', 'H', '3', '2', 'i', '8', 0,
+  /* 6303 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '8', 0,
+  /* 6312 */ 'P', 'U', 'S', 'H', '1', '6', 'i', '8', 0,
+  /* 6321 */ 'S', 'B', 'B', '8', 'i', '8', 0,
+  /* 6328 */ 'S', 'U', 'B', '8', 'i', '8', 0,
+  /* 6335 */ 'A', 'D', 'C', '8', 'i', '8', 0,
+  /* 6342 */ 'A', 'A', 'D', '8', 'i', '8', 0,
+  /* 6349 */ 'A', 'D', 'D', '8', 'i', '8', 0,
+  /* 6356 */ 'A', 'N', 'D', '8', 'i', '8', 0,
+  /* 6363 */ 'A', 'A', 'M', '8', 'i', '8', 0,
+  /* 6370 */ 'C', 'M', 'P', '8', 'i', '8', 0,
+  /* 6377 */ 'X', 'O', 'R', '8', 'i', '8', 0,
+  /* 6384 */ 'T', 'E', 'S', 'T', '8', 'i', '8', 0,
+  /* 6392 */ 'S', 'B', 'B', '3', '2', 'm', 'i', '8', 0,
+  /* 6401 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', '8', 0,
+  /* 6415 */ 'A', 'D', 'C', '3', '2', 'm', 'i', '8', 0,
+  /* 6424 */ 'B', 'T', 'C', '3', '2', 'm', 'i', '8', 0,
+  /* 6433 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', '8', 0,
+  /* 6447 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', '8', 0,
+  /* 6461 */ 'C', 'M', 'P', '3', '2', 'm', 'i', '8', 0,
+  /* 6470 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
+  /* 6484 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
+  /* 6497 */ 'B', 'T', 'R', '3', '2', 'm', 'i', '8', 0,
+  /* 6506 */ 'B', 'T', 'S', '3', '2', 'm', 'i', '8', 0,
+  /* 6515 */ 'B', 'T', '3', '2', 'm', 'i', '8', 0,
+  /* 6523 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '8', 0,
+  /* 6532 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '8', 0,
+  /* 6546 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '8', 0,
+  /* 6555 */ 'B', 'T', 'C', '6', '4', 'm', 'i', '8', 0,
+  /* 6564 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '8', 0,
+  /* 6578 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '8', 0,
+  /* 6592 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '8', 0,
+  /* 6601 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
+  /* 6615 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
+  /* 6628 */ 'B', 'T', 'R', '6', '4', 'm', 'i', '8', 0,
+  /* 6637 */ 'B', 'T', 'S', '6', '4', 'm', 'i', '8', 0,
+  /* 6646 */ 'B', 'T', '6', '4', 'm', 'i', '8', 0,
+  /* 6654 */ 'S', 'B', 'B', '1', '6', 'm', 'i', '8', 0,
+  /* 6663 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', '8', 0,
+  /* 6677 */ 'A', 'D', 'C', '1', '6', 'm', 'i', '8', 0,
+  /* 6686 */ 'B', 'T', 'C', '1', '6', 'm', 'i', '8', 0,
+  /* 6695 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', '8', 0,
+  /* 6709 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', '8', 0,
+  /* 6723 */ 'C', 'M', 'P', '1', '6', 'm', 'i', '8', 0,
+  /* 6732 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
+  /* 6746 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
+  /* 6759 */ 'B', 'T', 'R', '1', '6', 'm', 'i', '8', 0,
+  /* 6768 */ 'B', 'T', 'S', '1', '6', 'm', 'i', '8', 0,
+  /* 6777 */ 'B', 'T', '1', '6', 'm', 'i', '8', 0,
+  /* 6785 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', '8', 0,
+  /* 6796 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '8', 0,
+  /* 6807 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', '8', 0,
+  /* 6818 */ 'S', 'B', 'B', '3', '2', 'r', 'i', '8', 0,
+  /* 6827 */ 'S', 'U', 'B', '3', '2', 'r', 'i', '8', 0,
+  /* 6836 */ 'A', 'D', 'C', '3', '2', 'r', 'i', '8', 0,
+  /* 6845 */ 'B', 'T', 'C', '3', '2', 'r', 'i', '8', 0,
+  /* 6854 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', 0,
+  /* 6863 */ 'A', 'N', 'D', '3', '2', 'r', 'i', '8', 0,
+  /* 6872 */ 'C', 'M', 'P', '3', '2', 'r', 'i', '8', 0,
+  /* 6881 */ 'X', 'O', 'R', '3', '2', 'r', 'i', '8', 0,
+  /* 6890 */ 'B', 'T', 'R', '3', '2', 'r', 'i', '8', 0,
+  /* 6899 */ 'B', 'T', 'S', '3', '2', 'r', 'i', '8', 0,
+  /* 6908 */ 'B', 'T', '3', '2', 'r', 'i', '8', 0,
+  /* 6916 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '8', 0,
+  /* 6925 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '8', 0,
+  /* 6934 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '8', 0,
+  /* 6943 */ 'B', 'T', 'C', '6', '4', 'r', 'i', '8', 0,
+  /* 6952 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', 0,
+  /* 6961 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '8', 0,
+  /* 6970 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '8', 0,
+  /* 6979 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '8', 0,
+  /* 6988 */ 'B', 'T', 'R', '6', '4', 'r', 'i', '8', 0,
+  /* 6997 */ 'B', 'T', 'S', '6', '4', 'r', 'i', '8', 0,
+  /* 7006 */ 'B', 'T', '6', '4', 'r', 'i', '8', 0,
+  /* 7014 */ 'S', 'B', 'B', '1', '6', 'r', 'i', '8', 0,
+  /* 7023 */ 'S', 'U', 'B', '1', '6', 'r', 'i', '8', 0,
+  /* 7032 */ 'A', 'D', 'C', '1', '6', 'r', 'i', '8', 0,
+  /* 7041 */ 'B', 'T', 'C', '1', '6', 'r', 'i', '8', 0,
+  /* 7050 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', 0,
+  /* 7059 */ 'A', 'N', 'D', '1', '6', 'r', 'i', '8', 0,
+  /* 7068 */ 'C', 'M', 'P', '1', '6', 'r', 'i', '8', 0,
+  /* 7077 */ 'X', 'O', 'R', '1', '6', 'r', 'i', '8', 0,
+  /* 7086 */ 'B', 'T', 'R', '1', '6', 'r', 'i', '8', 0,
+  /* 7095 */ 'B', 'T', 'S', '1', '6', 'r', 'i', '8', 0,
+  /* 7104 */ 'B', 'T', '1', '6', 'r', 'i', '8', 0,
+  /* 7112 */ 'S', 'U', 'B', '8', 'r', 'i', '8', 0,
+  /* 7120 */ 'A', 'D', 'D', '8', 'r', 'i', '8', 0,
+  /* 7128 */ 'A', 'N', 'D', '8', 'r', 'i', '8', 0,
+  /* 7136 */ 'X', 'O', 'R', '8', 'r', 'i', '8', 0,
+  /* 7144 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
+  /* 7155 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
+  /* 7166 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
+  /* 7177 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
+  /* 7188 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
+  /* 7199 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
+  /* 7210 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
+  /* 7221 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
+  /* 7232 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', '8', 0,
+  /* 7243 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
+  /* 7254 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
+  /* 7265 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '8', 0,
+  /* 7276 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
+  /* 7287 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
+  /* 7298 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', '8', 0,
+  /* 7309 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '8', 0,
+  /* 7320 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'm', '8', 0,
+  /* 7331 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '8', 0,
+  /* 7342 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '8', 0,
+  /* 7353 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '8', 0,
+  /* 7364 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'm', '8', 0,
+  /* 7375 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'm', '8', 0,
+  /* 7386 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'm', '8', 0,
+  /* 7403 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '8', 0,
+  /* 7412 */ 'M', 'O', 'V', '8', 'a', 'o', '8', 0,
+  /* 7420 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '8', 0,
+  /* 7431 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'r', '8', 0,
+  /* 7442 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '8', 0,
+  /* 7453 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '8', 0,
+  /* 7464 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '8', 0,
+  /* 7475 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'r', '8', 0,
+  /* 7486 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'r', '8', 0,
+  /* 7497 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'r', '8', 0,
+  /* 7514 */ 'N', 'O', 'O', 'P', 'L', '_', '1', '9', 0,
+  /* 7523 */ 'N', 'O', 'O', 'P', 'W', '_', '1', '9', 0,
+  /* 7532 */ 'A', 'A', 'A', 0,
+  /* 7536 */ 'D', 'A', 'A', 0,
+  /* 7540 */ 'W', '6', '4', 'A', 'L', 'L', 'O', 'C', 'A', 0,
+  /* 7550 */ 'W', 'I', 'N', '_', 'A', 'L', 'L', 'O', 'C', 'A', 0,
+  /* 7561 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'N', 'T', 'A', 0,
+  /* 7573 */ 'K', 'S', 'E', 'T', '0', 'B', 0,
+  /* 7580 */ 'K', 'S', 'E', 'T', '1', 'B', 0,
+  /* 7587 */ 'U', 'D', '2', 'B', 0,
+  /* 7592 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'B', 0,
+  /* 7604 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'B', 0,
+  /* 7615 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'E', 'C', 'B', 0,
+  /* 7625 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', '_', 'D', 'B', 0,
+  /* 7638 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', '_', 'D', 'B', 0,
+  /* 7650 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', '_', 'D', 'B', 0,
+  /* 7662 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', '_', 'D', 'B', 0,
+  /* 7674 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '_', 'D', 'B', 0,
+  /* 7685 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '_', 'D', 'B', 0,
+  /* 7696 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'D', 'B', 0,
+  /* 7707 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'D', 'B', 0,
+  /* 7718 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'D', 'B', 0,
+  /* 7729 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'F', 'B', 0,
+  /* 7739 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'O', 'F', 'B', 0,
+  /* 7749 */ 'S', 'C', 'A', 'S', 'B', 0,
+  /* 7755 */ 'L', 'O', 'D', 'S', 'B', 0,
+  /* 7761 */ 'I', 'N', 'S', 'B', 0,
+  /* 7766 */ 'S', 'T', 'O', 'S', 'B', 0,
+  /* 7772 */ 'C', 'M', 'P', 'S', 'B', 0,
+  /* 7778 */ 'O', 'U', 'T', 'S', 'B', 0,
+  /* 7784 */ 'M', 'O', 'V', 'S', 'B', 0,
+  /* 7790 */ 'C', 'L', 'A', 'C', 0,
+  /* 7795 */ 'S', 'T', 'A', 'C', 0,
+  /* 7800 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'B', 'C', 0,
+  /* 7810 */ 'G', 'E', 'T', 'S', 'E', 'C', 0,
+  /* 7817 */ 'S', 'A', 'L', 'C', 0,
+  /* 7822 */ 'C', 'L', 'C', 0,
+  /* 7826 */ 'C', 'M', 'C', 0,
+  /* 7830 */ 'R', 'D', 'P', 'M', 'C', 0,
+  /* 7836 */ 'V', 'M', 'F', 'U', 'N', 'C', 0,
+  /* 7843 */ 'R', 'D', 'T', 'S', 'C', 0,
+  /* 7849 */ 'S', 'T', 'C', 0,
+  /* 7853 */ 'C', 'L', 'D', 0,
+  /* 7857 */ 'X', 'E', 'N', 'D', 0,
+  /* 7862 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 7875 */ 'L', 'O', 'A', 'D', '_', 'S', 'T', 'A', 'C', 'K', '_', 'G', 'U', 'A', 'R', 'D', 0,
+  /* 7892 */ 'F', 's', 'F', 'L', 'D', '0', 'S', 'D', 0,
+  /* 7901 */ 'M', 'O', 'V', 'N', 'T', 'S', 'D', 0,
+  /* 7909 */ 'S', 'T', 'D', 0,
+  /* 7913 */ 'W', 'B', 'I', 'N', 'V', 'D', 0,
+  /* 7920 */ 'C', 'W', 'D', 0,
+  /* 7924 */ 'F', 'L', 'D', 'L', '2', 'E', 0,
+  /* 7931 */ 'L', 'F', 'E', 'N', 'C', 'E', 0,
+  /* 7938 */ 'M', 'F', 'E', 'N', 'C', 'E', 0,
+  /* 7945 */ 'S', 'F', 'E', 'N', 'C', 'E', 0,
+  /* 7952 */ 'R', 'E', 'G', '_', 'S', 'E', 'Q', 'U', 'E', 'N', 'C', 'E', 0,
+  /* 7965 */ 'S', 'T', '_', 'F', 'P', 'N', 'C', 'E', 0,
+  /* 7974 */ 'C', 'W', 'D', 'E', 0,
+  /* 7979 */ 'F', 'F', 'R', 'E', 'E', 0,
+  /* 7985 */ 'F', 'S', 'C', 'A', 'L', 'E', 0,
+  /* 7992 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 7999 */ 'V', 'M', 'R', 'E', 'S', 'U', 'M', 'E', 0,
+  /* 8008 */ 'L', 'O', 'O', 'P', 'N', 'E', 0,
+  /* 8015 */ 'L', 'O', 'O', 'P', 'E', 0,
+  /* 8021 */ 'C', 'D', 'Q', 'E', 0,
+  /* 8026 */ 'X', 'S', 'T', 'O', 'R', 'E', 0,
+  /* 8033 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 8042 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 8051 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 8060 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 8069 */ 'P', 'A', 'U', 'S', 'E', 0,
+  /* 8075 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 8085 */ 'L', 'E', 'A', 'V', 'E', 0,
+  /* 8091 */ 'F', 'X', 'S', 'A', 'V', 'E', 0,
+  /* 8098 */ 'I', 'M', 'P', 'L', 'I', 'C', 'I', 'T', '_', 'D', 'E', 'F', 0,
+  /* 8111 */ 'V', 'M', 'X', 'O', 'F', 'F', 0,
+  /* 8118 */ 'L', 'A', 'H', 'F', 0,
+  /* 8123 */ 'S', 'A', 'H', 'F', 0,
+  /* 8128 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 0,
+  /* 8137 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 0,
+  /* 8145 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 0,
+  /* 8155 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 0,
+  /* 8164 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 0,
+  /* 8173 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 0,
+  /* 8181 */ 'X', 'C', 'H', '_', 'F', 0,
+  /* 8187 */ 'S', 'I', 'N', '_', 'F', 0,
+  /* 8193 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 0,
+  /* 8202 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 0,
+  /* 8210 */ 'A', 'B', 'S', '_', 'F', 0,
+  /* 8216 */ 'C', 'H', 'S', '_', 'F', 0,
+  /* 8222 */ 'C', 'O', 'S', '_', 'F', 0,
+  /* 8228 */ 'S', 'Q', 'R', 'T', '_', 'F', 0,
+  /* 8235 */ 'T', 'S', 'T', '_', 'F', 0,
+  /* 8241 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'R', 'E', 'G', 0,
+  /* 8258 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'R', 'E', 'G', 0,
+  /* 8275 */ 'E', 'X', 'T', 'R', 'A', 'C', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
+  /* 8290 */ 'I', 'N', 'S', 'E', 'R', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
+  /* 8304 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'R', 'E', 'G', 0,
+  /* 8318 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'R', 'E', 'G', 0,
+  /* 8332 */ 'S', 'U', 'B', 'R', 'E', 'G', '_', 'T', 'O', '_', 'R', 'E', 'G', 0,
+  /* 8346 */ 'I', 'N', 'V', 'L', 'P', 'G', 0,
+  /* 8353 */ 'V', 'M', 'L', 'A', 'U', 'N', 'C', 'H', 0,
+  /* 8362 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 0,
+  /* 8371 */ 'C', 'L', 'F', 'L', 'U', 'S', 'H', 0,
+  /* 8379 */ 'C', 'L', 'G', 'I', 0,
+  /* 8384 */ 'S', 'T', 'G', 'I', 0,
+  /* 8389 */ 'P', 'H', 'I', 0,
+  /* 8393 */ 'C', 'L', 'I', 0,
+  /* 8397 */ 'F', 'L', 'D', 'P', 'I', 0,
+  /* 8403 */ 'E', 'X', 'T', 'R', 'Q', 'I', 0,
+  /* 8410 */ 'I', 'N', 'S', 'E', 'R', 'T', 'Q', 'I', 0,
+  /* 8419 */ 'S', 'T', 'I', 0,
+  /* 8423 */ 'S', 'A', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 8432 */ 'R', 'C', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 8441 */ 'S', 'H', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 8450 */ 'R', 'O', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 8459 */ 'S', 'A', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 8468 */ 'R', 'C', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 8477 */ 'S', 'H', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 8486 */ 'R', 'O', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 8495 */ 'S', 'A', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 8504 */ 'R', 'C', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 8513 */ 'S', 'H', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 8522 */ 'R', 'O', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 8531 */ 'S', 'A', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 8540 */ 'R', 'C', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 8549 */ 'S', 'H', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 8558 */ 'R', 'O', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 8567 */ 'S', 'A', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 8576 */ 'R', 'C', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 8585 */ 'S', 'H', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 8594 */ 'R', 'O', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 8603 */ 'S', 'A', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 8612 */ 'R', 'C', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 8621 */ 'S', 'H', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 8630 */ 'R', 'O', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 8639 */ 'S', 'A', 'L', '8', 'm', 'C', 'L', 0,
+  /* 8647 */ 'R', 'C', 'L', '8', 'm', 'C', 'L', 0,
+  /* 8655 */ 'S', 'H', 'L', '8', 'm', 'C', 'L', 0,
+  /* 8663 */ 'R', 'O', 'L', '8', 'm', 'C', 'L', 0,
+  /* 8671 */ 'S', 'A', 'R', '8', 'm', 'C', 'L', 0,
+  /* 8679 */ 'R', 'C', 'R', '8', 'm', 'C', 'L', 0,
+  /* 8687 */ 'S', 'H', 'R', '8', 'm', 'C', 'L', 0,
+  /* 8695 */ 'R', 'O', 'R', '8', 'm', 'C', 'L', 0,
+  /* 8703 */ 'S', 'A', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 8712 */ 'R', 'C', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 8721 */ 'S', 'H', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 8730 */ 'R', 'O', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 8739 */ 'S', 'A', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 8748 */ 'R', 'C', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 8757 */ 'S', 'H', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 8766 */ 'R', 'O', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 8775 */ 'S', 'A', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 8784 */ 'R', 'C', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 8793 */ 'S', 'H', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 8802 */ 'R', 'O', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 8811 */ 'S', 'A', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 8820 */ 'R', 'C', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 8829 */ 'S', 'H', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 8838 */ 'R', 'O', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 8847 */ 'S', 'A', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 8856 */ 'R', 'C', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 8865 */ 'S', 'H', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 8874 */ 'R', 'O', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 8883 */ 'S', 'A', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 8892 */ 'R', 'C', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 8901 */ 'S', 'H', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 8910 */ 'R', 'O', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 8919 */ 'S', 'A', 'L', '8', 'r', 'C', 'L', 0,
+  /* 8927 */ 'R', 'C', 'L', '8', 'r', 'C', 'L', 0,
+  /* 8935 */ 'S', 'H', 'L', '8', 'r', 'C', 'L', 0,
+  /* 8943 */ 'R', 'O', 'L', '8', 'r', 'C', 'L', 0,
+  /* 8951 */ 'S', 'A', 'R', '8', 'r', 'C', 'L', 0,
+  /* 8959 */ 'R', 'C', 'R', '8', 'r', 'C', 'L', 0,
+  /* 8967 */ 'S', 'H', 'R', '8', 'r', 'C', 'L', 0,
+  /* 8975 */ 'R', 'O', 'R', '8', 'r', 'C', 'L', 0,
+  /* 8983 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
+  /* 8994 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
+  /* 9005 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
+  /* 9016 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
+  /* 9027 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
+  /* 9038 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
+  /* 9049 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
+  /* 9060 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
+  /* 9071 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
+  /* 9082 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
+  /* 9093 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
+  /* 9104 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
+  /* 9115 */ 'G', 'C', '_', 'L', 'A', 'B', 'E', 'L', 0,
+  /* 9124 */ 'E', 'H', '_', 'L', 'A', 'B', 'E', 'L', 0,
+  /* 9133 */ 'L', 'R', 'E', 'T', 'I', 'L', 0,
+  /* 9140 */ 'V', 'M', 'M', 'C', 'A', 'L', 'L', 0,
+  /* 9148 */ 'V', 'M', 'C', 'A', 'L', 'L', 0,
+  /* 9155 */ 'S', 'Y', 'S', 'C', 'A', 'L', 'L', 0,
+  /* 9163 */ 'V', 'Z', 'E', 'R', 'O', 'A', 'L', 'L', 0,
+  /* 9172 */ 'K', 'I', 'L', 'L', 0,
+  /* 9177 */ 'N', 'O', 'O', 'P', 'L', 0,
+  /* 9183 */ 'S', 'C', 'A', 'S', 'L', 0,
+  /* 9189 */ 'L', 'O', 'D', 'S', 'L', 0,
+  /* 9195 */ 'I', 'N', 'S', 'L', 0,
+  /* 9200 */ 'S', 'T', 'O', 'S', 'L', 0,
+  /* 9206 */ 'C', 'M', 'P', 'S', 'L', 0,
+  /* 9212 */ 'O', 'U', 'T', 'S', 'L', 0,
+  /* 9218 */ 'M', 'O', 'V', 'S', 'L', 0,
+  /* 9224 */ 'L', 'R', 'E', 'T', 'L', 0,
+  /* 9230 */ 'M', 'O', 'N', 'T', 'M', 'U', 'L', 0,
+  /* 9238 */ 'F', 'X', 'A', 'M', 0,
+  /* 9243 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'M', 'E', 'M', 0,
+  /* 9260 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'M', 'E', 'M', 0,
+  /* 9277 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'M', 'E', 'M', 0,
+  /* 9291 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'M', 'E', 'M', 0,
+  /* 9305 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9326 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9347 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9368 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9389 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9410 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9431 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9452 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9473 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
+  /* 9494 */ 'F', 'P', 'R', 'E', 'M', 0,
+  /* 9500 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 0,
+  /* 9512 */ 'F', 'S', 'E', 'T', 'P', 'M', 0,
+  /* 9519 */ 'I', 'N', 'L', 'I', 'N', 'E', 'A', 'S', 'M', 0,
+  /* 9529 */ 'R', 'S', 'M', 0,
+  /* 9533 */ 'F', 'P', 'A', 'T', 'A', 'N', 0,
+  /* 9540 */ 'F', 'P', 'T', 'A', 'N', 0,
+  /* 9546 */ 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 9553 */ 'C', 'F', 'I', '_', 'I', 'N', 'S', 'T', 'R', 'U', 'C', 'T', 'I', 'O', 'N', 0,
+  /* 9569 */ 'V', 'M', 'X', 'O', 'N', 0,
+  /* 9575 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', 0,
+  /* 9585 */ 'C', 'Q', 'O', 0,
+  /* 9589 */ 'I', 'N', 'T', 'O', 0,
+  /* 9594 */ 'S', 'T', 'A', 'C', 'K', 'M', 'A', 'P', 0,
+  /* 9603 */ 'T', 'R', 'A', 'P', 0,
+  /* 9608 */ 'R', 'D', 'T', 'S', 'C', 'P', 0,
+  /* 9615 */ 'F', 'N', 'O', 'P', 0,
+  /* 9620 */ 'L', 'O', 'O', 'P', 0,
+  /* 9625 */ 'N', 'O', 'O', 'P', 0,
+  /* 9630 */ 'F', 'C', 'O', 'M', 'P', 'P', 0,
+  /* 9637 */ 'F', 'D', 'E', 'C', 'S', 'T', 'P', 0,
+  /* 9645 */ 'F', 'I', 'N', 'C', 'S', 'T', 'P', 0,
+  /* 9653 */ 'C', 'D', 'Q', 0,
+  /* 9657 */ 'L', 'R', 'E', 'T', 'I', 'Q', 0,
+  /* 9664 */ 'E', 'X', 'T', 'R', 'Q', 0,
+  /* 9670 */ 'S', 'C', 'A', 'S', 'Q', 0,
+  /* 9676 */ 'L', 'O', 'D', 'S', 'Q', 0,
+  /* 9682 */ 'S', 'T', 'O', 'S', 'Q', 0,
+  /* 9688 */ 'C', 'M', 'P', 'S', 'Q', 0,
+  /* 9694 */ 'M', 'O', 'V', 'S', 'Q', 0,
+  /* 9700 */ 'L', 'R', 'E', 'T', 'Q', 0,
+  /* 9706 */ 'I', 'N', 'S', 'E', 'R', 'T', 'Q', 0,
+  /* 9714 */ 'M', 'M', 'X', '_', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 0,
+  /* 9727 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '1', '6', '_', 'Q', 0,
+  /* 9741 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '1', '6', '_', 'Q', 0,
+  /* 9755 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '8', '_', 'Q', 0,
+  /* 9768 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '8', '_', 'Q', 0,
+  /* 9781 */ 'V', 'Z', 'E', 'R', 'O', 'U', 'P', 'P', 'E', 'R', 0,
+  /* 9792 */ 'S', 'Y', 'S', 'E', 'N', 'T', 'E', 'R', 0,
+  /* 9801 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 0,
+  /* 9809 */ 'F', 'X', 'R', 'S', 'T', 'O', 'R', 0,
+  /* 9817 */ 'V', 'L', 'D', 'M', 'X', 'C', 'S', 'R', 0,
+  /* 9826 */ 'V', 'S', 'T', 'M', 'X', 'C', 'S', 'R', 0,
+  /* 9835 */ 'R', 'D', 'M', 'S', 'R', 0,
+  /* 9841 */ 'W', 'R', 'M', 'S', 'R', 0,
+  /* 9847 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'T', 'R', 0,
+  /* 9857 */ 'A', 'A', 'S', 0,
+  /* 9861 */ 'D', 'A', 'S', 0,
+  /* 9865 */ 'A', 'V', 'X', '2', '_', 'S', 'E', 'T', 'A', 'L', 'L', 'O', 'N', 'E', 'S', 0,
+  /* 9881 */ 'V', '_', 'S', 'E', 'T', 'A', 'L', 'L', 'O', 'N', 'E', 'S', 0,
+  /* 9894 */ 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 0,
+  /* 9916 */ 'S', 'W', 'A', 'P', 'G', 'S', 0,
+  /* 9923 */ 'E', 'N', 'C', 'L', 'S', 0,
+  /* 9929 */ 'F', 'E', 'M', 'M', 'S', 0,
+  /* 9935 */ 'M', 'M', 'X', '_', 'E', 'M', 'M', 'S', 0,
+  /* 9944 */ 'F', 'S', 'I', 'N', 'C', 'O', 'S', 0,
+  /* 9952 */ 'F', 's', 'F', 'L', 'D', '0', 'S', 'S', 0,
+  /* 9961 */ 'C', 'O', 'P', 'Y', '_', 'T', 'O', '_', 'R', 'E', 'G', 'C', 'L', 'A', 'S', 'S', 0,
+  /* 9978 */ 'M', 'O', 'V', 'N', 'T', 'S', 'S', 0,
+  /* 9986 */ 'C', 'L', 'T', 'S', 0,
+  /* 9991 */ 'F', 'L', 'D', 'L', '2', 'T', 0,
+  /* 9998 */ 'X', 'L', 'A', 'T', 0,
+  /* 10003 */ 'F', 'X', 'T', 'R', 'A', 'C', 'T', 0,
+  /* 10011 */ 'S', 'Y', 'S', 'R', 'E', 'T', 0,
+  /* 10018 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', 0,
+  /* 10032 */ 'W', 'A', 'I', 'T', 0,
+  /* 10037 */ 'S', 'K', 'I', 'N', 'I', 'T', 0,
+  /* 10044 */ 'F', 'N', 'I', 'N', 'I', 'T', 0,
+  /* 10051 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', 0,
+  /* 10059 */ 'H', 'L', 'T', 0,
+  /* 10063 */ 'F', 'R', 'N', 'D', 'I', 'N', 'T', 0,
+  /* 10071 */ 'P', 'A', 'T', 'C', 'H', 'P', 'O', 'I', 'N', 'T', 0,
+  /* 10082 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', 0,
+  /* 10091 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 10106 */ 'X', 'A', 'B', 'O', 'R', 'T', 0,
+  /* 10113 */ 'X', 'T', 'E', 'S', 'T', 0,
+  /* 10119 */ 'E', 'N', 'C', 'L', 'U', 0,
+  /* 10125 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Q', 'U', 0,
+  /* 10137 */ 'X', 'G', 'E', 'T', 'B', 'V', 0,
+  /* 10144 */ 'X', 'S', 'E', 'T', 'B', 'V', 0,
+  /* 10151 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10171 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10188 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10206 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10226 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10243 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10261 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10281 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10298 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10316 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10336 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10353 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
+  /* 10371 */ 'S', 'B', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10383 */ 'S', 'U', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10395 */ 'A', 'D', 'C', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10407 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10419 */ 'A', 'N', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10431 */ 'C', 'M', 'P', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10443 */ 'X', 'O', 'R', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10455 */ 'M', 'O', 'V', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10467 */ 'S', 'B', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10479 */ 'S', 'U', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10491 */ 'A', 'D', 'C', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10503 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10515 */ 'A', 'N', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10527 */ 'C', 'M', 'P', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10539 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10556 */ 'X', 'O', 'R', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10568 */ 'M', 'O', 'V', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10580 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10599 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10615 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10632 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10651 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10667 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10684 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10700 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10717 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10733 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10750 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10769 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10785 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10802 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10821 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10837 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10854 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10870 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10887 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10903 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10920 */ 'S', 'B', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10932 */ 'S', 'U', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10944 */ 'A', 'D', 'C', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10956 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10968 */ 'A', 'N', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10980 */ 'C', 'M', 'P', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 10992 */ 'X', 'O', 'R', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11004 */ 'M', 'O', 'V', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11016 */ 'S', 'B', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11027 */ 'S', 'U', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11038 */ 'A', 'D', 'C', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11049 */ 'A', 'D', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11060 */ 'A', 'N', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11071 */ 'C', 'M', 'P', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11082 */ 'X', 'O', 'R', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11093 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11104 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11118 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11132 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11146 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11159 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11173 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11187 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11200 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11214 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11228 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11243 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11258 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11273 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11288 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11303 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11318 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11332 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 11346 */ 'K', 'S', 'E', 'T', '0', 'W', 0,
+  /* 11353 */ 'K', 'S', 'E', 'T', '1', 'W', 0,
+  /* 11360 */ 'C', 'B', 'W', 0,
+  /* 11364 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'W', 0,
+  /* 11374 */ 'L', 'R', 'E', 'T', 'I', 'W', 0,
+  /* 11381 */ 'N', 'O', 'O', 'P', 'W', 0,
+  /* 11387 */ 'S', 'C', 'A', 'S', 'W', 0,
+  /* 11393 */ 'L', 'O', 'D', 'S', 'W', 0,
+  /* 11399 */ 'I', 'N', 'S', 'W', 0,
+  /* 11404 */ 'S', 'T', 'O', 'S', 'W', 0,
+  /* 11410 */ 'C', 'M', 'P', 'S', 'W', 0,
+  /* 11416 */ 'O', 'U', 'T', 'S', 'W', 0,
+  /* 11422 */ 'M', 'O', 'V', 'S', 'W', 0,
+  /* 11428 */ 'L', 'R', 'E', 'T', 'W', 0,
+  /* 11434 */ 'F', 'Y', 'L', '2', 'X', 0,
+  /* 11440 */ 'F', 'N', 'C', 'L', 'E', 'X', 0,
+  /* 11447 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 11461 */ 'M', 'O', 'V', '8', 'r', 'm', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 11474 */ 'M', 'O', 'V', '8', 'm', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 11487 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 11500 */ 'R', 'E', 'X', '6', '4', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11513 */ 'D', 'A', 'T', 'A', '1', '6', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11527 */ 'R', 'E', 'P', 'N', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11540 */ 'X', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11556 */ 'X', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11572 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11584 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11595 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11605 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11615 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11625 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11635 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11645 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 11655 */ 'C', 'O', 'P', 'Y', 0,
+  /* 11660 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11678 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11693 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11709 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11727 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11742 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11758 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11776 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11791 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11807 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11825 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11840 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
+  /* 11856 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11874 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11889 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11905 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11923 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11938 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11954 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11972 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 11987 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 12003 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 12021 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 12036 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
+  /* 12052 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12070 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12085 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12101 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12119 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12134 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12150 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12168 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12183 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12199 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12217 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12232 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
+  /* 12248 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12264 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12277 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12291 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12307 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12320 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
+  /* 12334 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12350 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12363 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12377 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12393 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12406 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
+  /* 12420 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 'Y', 0,
+  /* 12434 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 'Y', 0,
+  /* 12445 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 'Y', 0,
+  /* 12459 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 'Y', 0,
+  /* 12470 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 'Y', 0,
+  /* 12480 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12498 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12513 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12529 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12547 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12562 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12578 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12596 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12611 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12627 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12645 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12660 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
+  /* 12676 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12694 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12709 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12725 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12743 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12758 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12774 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12792 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12807 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12823 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12841 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12856 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
+  /* 12872 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12890 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12905 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12921 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12939 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12954 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12970 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 12988 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 13003 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 13019 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 13037 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 13052 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
+  /* 13068 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13084 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13097 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13111 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13127 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13140 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
+  /* 13154 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13170 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13183 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13197 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13213 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13226 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
+  /* 13240 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 'Y', 0,
+  /* 13254 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 'Y', 0,
+  /* 13268 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 'Y', 0,
+  /* 13278 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13294 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13307 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13321 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13337 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13350 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
+  /* 13364 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13380 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13393 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13407 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13423 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13436 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
+  /* 13450 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 'Y', 0,
+  /* 13464 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 'Y', 0,
+  /* 13475 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 'Y', 0,
+  /* 13489 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 'Y', 0,
+  /* 13500 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 'Y', 0,
+  /* 13510 */ 'J', 'C', 'X', 'Z', 0,
+  /* 13515 */ 'J', 'R', 'C', 'X', 'Z', 0,
+  /* 13521 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
+  /* 13530 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
+  /* 13539 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
+  /* 13549 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
+  /* 13559 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
+  /* 13569 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
+  /* 13579 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
+  /* 13589 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
+  /* 13598 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
+  /* 13606 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
+  /* 13615 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
+  /* 13624 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 'b', 0,
+  /* 13636 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 'b', 0,
+  /* 13648 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13666 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13681 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13697 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13715 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13730 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13746 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13764 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13779 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13795 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13813 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13828 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
+  /* 13844 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13862 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13877 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13893 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13911 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13926 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13942 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13960 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13975 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 13991 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 14009 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 14024 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
+  /* 14040 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 0,
+  /* 14055 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 0,
+  /* 14067 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 0,
+  /* 14082 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 0,
+  /* 14094 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14105 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14116 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14127 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14139 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14151 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14162 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14173 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14184 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14195 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14206 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14217 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14227 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14238 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14249 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14261 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14273 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14285 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
+  /* 14297 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14308 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14319 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14331 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14342 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14355 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14367 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14377 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14388 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14399 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14411 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14423 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14435 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
+  /* 14447 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14458 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14469 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14480 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14491 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14502 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
+  /* 14513 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
+  /* 14525 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
+  /* 14539 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
+  /* 14551 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
+  /* 14565 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
+  /* 14577 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
+  /* 14591 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
+  /* 14603 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
+  /* 14617 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14631 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14645 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14660 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
+  /* 14675 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
+  /* 14689 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
+  /* 14703 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
+  /* 14718 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
+  /* 14727 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
+  /* 14736 */ 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 0,
+  /* 14751 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
+  /* 14759 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
+  /* 14767 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
+  /* 14776 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
+  /* 14785 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
+  /* 14794 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
+  /* 14807 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
+  /* 14815 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
+  /* 14823 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
+  /* 14832 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
+  /* 14841 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 14855 */ 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 14868 */ 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14881 */ 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14897 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 0,
+  /* 14909 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 0,
+  /* 14921 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
+  /* 14932 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
+  /* 14942 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
+  /* 14953 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
+  /* 14963 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
+  /* 14974 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
+  /* 14982 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
+  /* 14995 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
+  /* 15003 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
+  /* 15016 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
+  /* 15029 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
+  /* 15040 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
+  /* 15048 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
+  /* 15056 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
+  /* 15064 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
+  /* 15072 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
+  /* 15080 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
+  /* 15088 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
+  /* 15096 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
+  /* 15104 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 15112 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 15125 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 15137 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
+  /* 15146 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
+  /* 15154 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
+  /* 15163 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
+  /* 15174 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
+  /* 15182 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
+  /* 15190 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
+  /* 15198 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
+  /* 15206 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
+  /* 15214 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
+  /* 15222 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
+  /* 15230 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
+  /* 15238 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
+  /* 15247 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
+  /* 15255 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
+  /* 15268 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
+  /* 15276 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
+  /* 15289 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
+  /* 15302 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
+  /* 15310 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
+  /* 15318 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
+  /* 15326 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
+  /* 15334 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
+  /* 15342 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
+  /* 15350 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
+  /* 15358 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
+  /* 15366 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 15374 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 15387 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 15399 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
+  /* 15408 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
+  /* 15416 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
+  /* 15423 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
+  /* 15435 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
+  /* 15442 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
+  /* 15454 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
+  /* 15466 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
+  /* 15473 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
+  /* 15480 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
+  /* 15487 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
+  /* 15494 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
+  /* 15501 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
+  /* 15508 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
+  /* 15515 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
+  /* 15522 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
+  /* 15529 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
+  /* 15541 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
+  /* 15552 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
+  /* 15560 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
+  /* 15567 */ 'V', 'P', 'C', 'O', 'M', 'B', 'm', 'i', 0,
+  /* 15576 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'i', 0,
+  /* 15585 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'm', 'i', 0,
+  /* 15595 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'm', 'i', 0,
+  /* 15605 */ 'V', 'P', 'C', 'O', 'M', 'D', 'm', 'i', 0,
+  /* 15614 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'm', 'i', 0,
+  /* 15626 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'i', 0,
+  /* 15635 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'm', 'i', 0,
+  /* 15645 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
+  /* 15656 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'm', 'i', 0,
+  /* 15665 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'i', 0,
+  /* 15674 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'm', 'i', 0,
+  /* 15684 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'm', 'i', 0,
+  /* 15696 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'm', 'i', 0,
+  /* 15709 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'm', 'i', 0,
+  /* 15720 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'm', 'i', 0,
+  /* 15731 */ 'V', 'P', 'C', 'O', 'M', 'W', 'm', 'i', 0,
+  /* 15740 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'i', 0,
+  /* 15749 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'm', 'i', 0,
+  /* 15759 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'm', 'i', 0,
+  /* 15770 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'm', 'i', 0,
+  /* 15783 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'm', 'i', 0,
+  /* 15794 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'm', 'i', 0,
+  /* 15804 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'm', 'i', 0,
+  /* 15817 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'm', 'i', 0,
+  /* 15829 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'm', 'i', 0,
+  /* 15841 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 0,
+  /* 15851 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'm', 'i', 0,
+  /* 15862 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 0,
+  /* 15872 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 0,
+  /* 15882 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'm', 'i', 0,
+  /* 15895 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'm', 'i', 0,
+  /* 15906 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 0,
+  /* 15916 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 0,
+  /* 15926 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 0,
+  /* 15936 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'm', 'i', 0,
+  /* 15946 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'm', 'i', 0,
+  /* 15959 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
+  /* 15969 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'm', 'i', 0,
+  /* 15982 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
+  /* 15992 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'm', 'i', 0,
+  /* 16004 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'm', 'i', 0,
+  /* 16015 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16027 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16038 */ 'V', 'D', 'P', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16047 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', 0,
+  /* 16057 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'm', 'i', 0,
+  /* 16068 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16080 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16091 */ 'V', 'D', 'P', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16100 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', 0,
+  /* 16110 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 'i', 0,
+  /* 16122 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'm', 'i', 0,
+  /* 16134 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'm', 'i', 0,
+  /* 16145 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16158 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16171 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16183 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
+  /* 16194 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16207 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16219 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16229 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
+  /* 16240 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 'i', 0,
+  /* 16253 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'm', 'i', 0,
+  /* 16266 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16278 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16289 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16300 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 0,
+  /* 16312 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 0,
+  /* 16323 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 0,
+  /* 16335 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
+  /* 16347 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
+  /* 16358 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'm', 'i', 0,
+  /* 16373 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
+  /* 16381 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
+  /* 16389 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
+  /* 16397 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
+  /* 16405 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
+  /* 16413 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
+  /* 16424 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
+  /* 16432 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
+  /* 16440 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
+  /* 16448 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
+  /* 16456 */ 'I', 'N', '3', '2', 'r', 'i', 0,
+  /* 16463 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
+  /* 16471 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
+  /* 16479 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
+  /* 16487 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
+  /* 16495 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 16503 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 16511 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
+  /* 16520 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
+  /* 16528 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
+  /* 16537 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
+  /* 16548 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
+  /* 16556 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
+  /* 16564 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
+  /* 16572 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
+  /* 16580 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
+  /* 16588 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
+  /* 16596 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
+  /* 16604 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
+  /* 16612 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
+  /* 16620 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
+  /* 16629 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
+  /* 16637 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
+  /* 16645 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
+  /* 16653 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
+  /* 16661 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
+  /* 16669 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
+  /* 16677 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
+  /* 16685 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
+  /* 16693 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
+  /* 16701 */ 'I', 'N', '1', '6', 'r', 'i', 0,
+  /* 16708 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
+  /* 16716 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
+  /* 16724 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
+  /* 16732 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
+  /* 16740 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 16748 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 16756 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
+  /* 16765 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
+  /* 16773 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
+  /* 16780 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
+  /* 16787 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
+  /* 16794 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
+  /* 16801 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
+  /* 16808 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
+  /* 16815 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
+  /* 16822 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
+  /* 16829 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
+  /* 16836 */ 'I', 'N', '8', 'r', 'i', 0,
+  /* 16842 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
+  /* 16849 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
+  /* 16856 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
+  /* 16863 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
+  /* 16870 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
+  /* 16877 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
+  /* 16884 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
+  /* 16892 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
+  /* 16899 */ 'V', 'P', 'C', 'O', 'M', 'B', 'r', 'i', 0,
+  /* 16908 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'i', 0,
+  /* 16917 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'r', 'i', 0,
+  /* 16927 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
+  /* 16936 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
+  /* 16948 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'r', 'i', 0,
+  /* 16958 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
+  /* 16967 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
+  /* 16979 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
+  /* 16988 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
+  /* 17000 */ 'V', 'P', 'C', 'O', 'M', 'D', 'r', 'i', 0,
+  /* 17009 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'i', 0,
+  /* 17021 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'i', 0,
+  /* 17030 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'r', 'i', 0,
+  /* 17040 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
+  /* 17051 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'r', 'i', 0,
+  /* 17061 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'r', 'i', 0,
+  /* 17071 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
+  /* 17080 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
+  /* 17092 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
+  /* 17101 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
+  /* 17113 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'r', 'i', 0,
+  /* 17122 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'i', 0,
+  /* 17131 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'r', 'i', 0,
+  /* 17141 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'i', 0,
+  /* 17153 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
+  /* 17162 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
+  /* 17174 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'r', 'i', 0,
+  /* 17187 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'r', 'i', 0,
+  /* 17198 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'r', 'i', 0,
+  /* 17209 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
+  /* 17218 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
+  /* 17230 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
+  /* 17239 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
+  /* 17251 */ 'K', 'S', 'H', 'I', 'F', 'T', 'L', 'W', 'r', 'i', 0,
+  /* 17262 */ 'V', 'P', 'C', 'O', 'M', 'W', 'r', 'i', 0,
+  /* 17271 */ 'K', 'S', 'H', 'I', 'F', 'T', 'R', 'W', 'r', 'i', 0,
+  /* 17282 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'i', 0,
+  /* 17292 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'i', 0,
+  /* 17301 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'r', 'i', 0,
+  /* 17311 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'i', 0,
+  /* 17321 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'r', 'i', 0,
+  /* 17332 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'i', 0,
+  /* 17342 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'i', 0,
+  /* 17352 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'i', 0,
+  /* 17365 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'r', 'i', 0,
+  /* 17376 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
+  /* 17387 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
+  /* 17398 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'i', 0,
+  /* 17408 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'i', 0,
+  /* 17418 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'r', 'i', 0,
+  /* 17428 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'i', 0,
+  /* 17441 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'i', 0,
+  /* 17451 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'r', 'i', 0,
+  /* 17463 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'r', 'i', 0,
+  /* 17475 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'i', 0,
+  /* 17485 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'i', 0,
+  /* 17495 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 0,
+  /* 17505 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'r', 'i', 0,
+  /* 17516 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 0,
+  /* 17526 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 0,
+  /* 17536 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'r', 'i', 0,
+  /* 17549 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'i', 0,
+  /* 17560 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 0,
+  /* 17570 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 0,
+  /* 17580 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 0,
+  /* 17590 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'i', 0,
+  /* 17600 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'r', 'i', 0,
+  /* 17613 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
+  /* 17623 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'r', 'i', 0,
+  /* 17636 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
+  /* 17646 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'r', 'i', 0,
+  /* 17658 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 0,
+  /* 17669 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17681 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17692 */ 'V', 'D', 'P', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17701 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', 0,
+  /* 17711 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 0,
+  /* 17722 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17734 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17745 */ 'V', 'D', 'P', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17754 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', 0,
+  /* 17764 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 'i', 0,
+  /* 17776 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'r', 'i', 0,
+  /* 17788 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'r', 'i', 0,
+  /* 17799 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17812 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17825 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17837 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
+  /* 17848 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 17861 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 17873 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 17883 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
+  /* 17894 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 'i', 0,
+  /* 17907 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'r', 'i', 0,
+  /* 17920 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 17932 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 17943 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 17954 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 0,
+  /* 17966 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 0,
+  /* 17977 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 0,
+  /* 17989 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
+  /* 18001 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
+  /* 18012 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'r', 'i', 0,
+  /* 18027 */ 'M', 'M', 'X', '_', 'P', 'E', 'X', 'T', 'R', 'W', 'i', 'r', 'r', 'i', 0,
+  /* 18042 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 0,
+  /* 18058 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 'k', 0,
+  /* 18071 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
+  /* 18087 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
+  /* 18100 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18112 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18124 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18136 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18149 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18162 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18174 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18186 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18198 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18210 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18222 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18234 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18245 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18257 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18269 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18282 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18295 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18308 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18321 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18333 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18345 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18358 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18370 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18384 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18397 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18408 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18420 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18432 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18445 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18458 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18471 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18484 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18496 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18508 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18520 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18532 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18544 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 0,
+  /* 18556 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 'k', 0,
+  /* 18567 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
+  /* 18578 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
+  /* 18589 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 'k', 0,
+  /* 18600 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
+  /* 18611 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
+  /* 18622 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 'k', 0,
+  /* 18633 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
+  /* 18644 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
+  /* 18655 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 'k', 0,
+  /* 18666 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
+  /* 18677 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
+  /* 18688 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 'k', 0,
+  /* 18700 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 'k', 0,
+  /* 18712 */ 'K', 'M', 'O', 'V', 'B', 'k', 'k', 0,
+  /* 18720 */ 'K', 'M', 'O', 'V', 'D', 'k', 'k', 0,
+  /* 18728 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'k', 0,
+  /* 18736 */ 'K', 'M', 'O', 'V', 'W', 'k', 'k', 0,
+  /* 18744 */ 'K', 'M', 'O', 'V', 'B', 'm', 'k', 0,
+  /* 18752 */ 'K', 'M', 'O', 'V', 'D', 'm', 'k', 0,
+  /* 18760 */ 'K', 'M', 'O', 'V', 'Q', 'm', 'k', 0,
+  /* 18768 */ 'K', 'M', 'O', 'V', 'W', 'm', 'k', 0,
+  /* 18776 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18793 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18810 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18827 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18844 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18861 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18877 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18892 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18907 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18922 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 0,
+  /* 18937 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 18954 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 18971 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 18988 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19005 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19022 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19038 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19053 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19068 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19083 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 0,
+  /* 19098 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 'k', 0,
+  /* 19110 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 'k', 0,
+  /* 19122 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 'k', 0,
+  /* 19135 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 'k', 0,
+  /* 19148 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 0,
+  /* 19163 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'k', 0,
+  /* 19175 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 'k', 0,
+  /* 19187 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 'k', 0,
+  /* 19199 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 0,
+  /* 19214 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'k', 0,
+  /* 19226 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 'k', 0,
+  /* 19239 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 'k', 0,
+  /* 19252 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 'k', 0,
+  /* 19266 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 'k', 0,
+  /* 19280 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 'k', 0,
+  /* 19294 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 'k', 0,
+  /* 19308 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 'k', 0,
+  /* 19322 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 'k', 0,
+  /* 19335 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19346 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19357 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19371 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19385 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19396 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19407 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19418 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19430 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19441 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19453 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19465 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19476 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19487 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19498 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19509 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19521 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19532 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19543 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19553 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19564 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19575 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19587 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19599 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19611 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19623 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19637 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 0,
+  /* 19651 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19662 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19673 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19687 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19701 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19712 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19724 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19735 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19748 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19762 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19776 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19787 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19798 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19810 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19820 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19831 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19842 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19854 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19866 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19878 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19890 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19904 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 0,
+  /* 19918 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 19930 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 19941 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 19952 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 19963 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 19974 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 19986 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 19997 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
+  /* 20008 */ 'K', 'M', 'O', 'V', 'B', 'r', 'k', 0,
+  /* 20016 */ 'K', 'M', 'O', 'V', 'D', 'r', 'k', 0,
+  /* 20024 */ 'K', 'M', 'O', 'V', 'Q', 'r', 'k', 0,
+  /* 20032 */ 'K', 'M', 'O', 'V', 'W', 'r', 'k', 0,
+  /* 20040 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20057 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20074 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20091 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20108 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20125 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20141 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20156 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20171 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20186 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 'k', 0,
+  /* 20201 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20218 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20235 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20252 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20269 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20286 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20302 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20317 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20332 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20347 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 'k', 0,
+  /* 20362 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 'k', 0,
+  /* 20375 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 'k', 0,
+  /* 20387 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 'k', 0,
+  /* 20398 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 'k', 0,
+  /* 20411 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 'k', 0,
+  /* 20423 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 'k', 0,
+  /* 20434 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 'k', 0,
+  /* 20447 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 'k', 0,
+  /* 20459 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 'k', 0,
+  /* 20470 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 'k', 0,
+  /* 20483 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 'k', 0,
+  /* 20495 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 'k', 0,
+  /* 20506 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 'k', 0,
+  /* 20519 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 'k', 0,
+  /* 20531 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 'k', 0,
+  /* 20542 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'm', 'r', 'k', 0,
+  /* 20556 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'm', 'r', 'k', 0,
+  /* 20570 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'm', 'r', 'k', 0,
+  /* 20584 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'm', 'r', 'k', 0,
+  /* 20598 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'm', 'r', 'k', 0,
+  /* 20612 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'm', 'r', 'k', 0,
+  /* 20625 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 'k', 0,
+  /* 20637 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 'k', 0,
+  /* 20649 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 'k', 0,
+  /* 20661 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 'k', 0,
+  /* 20673 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20690 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20707 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20724 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20741 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20758 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20774 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20789 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20804 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20819 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 0,
+  /* 20834 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20851 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20868 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20885 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20902 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20919 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20935 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20950 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20965 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20980 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 0,
+  /* 20995 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 'k', 0,
+  /* 21008 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 'k', 0,
+  /* 21020 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 'k', 0,
+  /* 21031 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 'k', 0,
+  /* 21044 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 'k', 0,
+  /* 21056 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 'k', 0,
+  /* 21067 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 'k', 0,
+  /* 21079 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 'k', 0,
+  /* 21091 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 'k', 0,
+  /* 21104 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 'k', 0,
+  /* 21117 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 'k', 0,
+  /* 21130 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 'k', 0,
+  /* 21142 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 'k', 0,
+  /* 21153 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 0,
+  /* 21168 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 'k', 0,
+  /* 21180 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 'k', 0,
+  /* 21192 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 'k', 0,
+  /* 21204 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 0,
+  /* 21219 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 'k', 0,
+  /* 21231 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 'k', 0,
+  /* 21244 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 'k', 0,
+  /* 21257 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 'k', 0,
+  /* 21270 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 'k', 0,
+  /* 21282 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 'k', 0,
+  /* 21293 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 'k', 0,
+  /* 21306 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 'k', 0,
+  /* 21318 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 'k', 0,
+  /* 21329 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 0,
+  /* 21343 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 0,
+  /* 21357 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 0,
+  /* 21371 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 0,
+  /* 21385 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 0,
+  /* 21399 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 0,
+  /* 21412 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21423 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21434 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21448 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21462 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21473 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21484 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21495 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21507 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21518 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21530 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21542 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21553 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21564 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21575 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21586 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21598 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21609 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21620 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21630 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21641 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21652 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21664 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21675 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21687 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21699 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21711 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21725 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 0,
+  /* 21739 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21750 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21761 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21775 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21789 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21800 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21812 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21823 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21836 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21850 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21864 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21875 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21886 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21898 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21908 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21919 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21930 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21942 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21954 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21966 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21978 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 21992 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 0,
+  /* 22006 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22018 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22029 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22040 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22051 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22062 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22074 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22085 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22096 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 'k', 0,
+  /* 22107 */ 'L', 'D', '_', 'F', '8', '0', 'm', 0,
+  /* 22115 */ 'S', 'T', '_', 'F', 'P', '8', '0', 'm', 0,
+  /* 22124 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', 0,
+  /* 22134 */ 'L', 'D', '_', 'F', 'p', '8', '0', 'm', 0,
+  /* 22143 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22160 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22174 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22189 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22206 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22220 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22235 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22249 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22264 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22278 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
+  /* 22293 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22310 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22324 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22339 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22356 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22370 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22385 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22399 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22414 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22428 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
+  /* 22443 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22460 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22474 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22489 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22506 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22520 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22535 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22549 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22564 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22578 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
+  /* 22593 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22610 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22624 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22639 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22656 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22670 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22685 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22699 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22714 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22728 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
+  /* 22743 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
+  /* 22755 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
+  /* 22767 */ 'S', 'U', 'B', '_', 'F', '3', '2', 'm', 0,
+  /* 22776 */ 'A', 'D', 'D', '_', 'F', '3', '2', 'm', 0,
+  /* 22785 */ 'I', 'L', 'D', '_', 'F', '3', '2', 'm', 0,
+  /* 22794 */ 'M', 'U', 'L', '_', 'F', '3', '2', 'm', 0,
+  /* 22803 */ 'S', 'U', 'B', 'R', '_', 'F', '3', '2', 'm', 0,
+  /* 22813 */ 'D', 'I', 'V', 'R', '_', 'F', '3', '2', 'm', 0,
+  /* 22823 */ 'I', 'S', 'T', '_', 'F', '3', '2', 'm', 0,
+  /* 22832 */ 'D', 'I', 'V', '_', 'F', '3', '2', 'm', 0,
+  /* 22841 */ 'N', 'E', 'G', '3', '2', 'm', 0,
+  /* 22848 */ 'S', 'U', 'B', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 22858 */ 'A', 'D', 'D', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 22868 */ 'M', 'U', 'L', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 22878 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 22889 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 22900 */ 'D', 'I', 'V', '_', 'F', 'I', '3', '2', 'm', 0,
+  /* 22910 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
+  /* 22921 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
+  /* 22929 */ 'F', 'C', 'O', 'M', '3', '2', 'm', 0,
+  /* 22937 */ 'F', 'I', 'C', 'O', 'M', '3', '2', 'm', 0,
+  /* 22946 */ 'I', 'S', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
+  /* 22956 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
+  /* 22967 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
+  /* 22977 */ 'F', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
+  /* 22986 */ 'F', 'I', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
+  /* 22996 */ 'S', 'T', '_', 'F', 'p', 'P', '3', '2', 'm', 0,
+  /* 23006 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 23014 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 23022 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 23030 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 23038 */ 'N', 'O', 'T', '3', '2', 'm', 0,
+  /* 23045 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
+  /* 23053 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 23063 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 23073 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23083 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23093 */ 'L', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23102 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23112 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23123 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23134 */ 'S', 'T', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23143 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 'm', 0,
+  /* 23153 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23170 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23184 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23199 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23216 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23230 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23245 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23259 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23274 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23288 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
+  /* 23303 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23320 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23334 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23349 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23366 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23380 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23395 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23409 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23424 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23438 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
+  /* 23453 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
+  /* 23465 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
+  /* 23477 */ 'S', 'U', 'B', '_', 'F', '6', '4', 'm', 0,
+  /* 23486 */ 'A', 'D', 'D', '_', 'F', '6', '4', 'm', 0,
+  /* 23495 */ 'I', 'L', 'D', '_', 'F', '6', '4', 'm', 0,
+  /* 23504 */ 'M', 'U', 'L', '_', 'F', '6', '4', 'm', 0,
+  /* 23513 */ 'S', 'U', 'B', 'R', '_', 'F', '6', '4', 'm', 0,
+  /* 23523 */ 'D', 'I', 'V', 'R', '_', 'F', '6', '4', 'm', 0,
+  /* 23533 */ 'S', 'T', '_', 'F', '6', '4', 'm', 0,
+  /* 23541 */ 'D', 'I', 'V', '_', 'F', '6', '4', 'm', 0,
+  /* 23550 */ 'N', 'E', 'G', '6', '4', 'm', 0,
+  /* 23557 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
+  /* 23565 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
+  /* 23573 */ 'F', 'C', 'O', 'M', '6', '4', 'm', 0,
+  /* 23581 */ 'I', 'S', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
+  /* 23591 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
+  /* 23602 */ 'J', 'M', 'P', '6', '4', 'm', 0,
+  /* 23609 */ 'F', 'C', 'O', 'M', 'P', '6', '4', 'm', 0,
+  /* 23618 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', 0,
+  /* 23628 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 23636 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 23644 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 23652 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 23660 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
+  /* 23668 */ 'N', 'O', 'T', '6', '4', 'm', 0,
+  /* 23675 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
+  /* 23683 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23693 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23703 */ 'L', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23712 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23722 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23733 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23744 */ 'S', 'T', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23753 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', 0,
+  /* 23763 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
+  /* 23775 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
+  /* 23787 */ 'I', 'L', 'D', '_', 'F', '1', '6', 'm', 0,
+  /* 23796 */ 'I', 'S', 'T', '_', 'F', '1', '6', 'm', 0,
+  /* 23805 */ 'N', 'E', 'G', '1', '6', 'm', 0,
+  /* 23812 */ 'S', 'U', 'B', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 23822 */ 'A', 'D', 'D', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 23832 */ 'M', 'U', 'L', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 23842 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 23853 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 23864 */ 'D', 'I', 'V', '_', 'F', 'I', '1', '6', 'm', 0,
+  /* 23874 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
+  /* 23885 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
+  /* 23893 */ 'F', 'I', 'C', 'O', 'M', '1', '6', 'm', 0,
+  /* 23902 */ 'I', 'S', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
+  /* 23912 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
+  /* 23923 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
+  /* 23933 */ 'F', 'I', 'C', 'O', 'M', 'P', '1', '6', 'm', 0,
+  /* 23943 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 23951 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 23959 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 23967 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 23975 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 23983 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 23991 */ 'N', 'O', 'T', '1', '6', 'm', 0,
+  /* 23998 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
+  /* 24006 */ 'F', 'L', 'D', 'C', 'W', '1', '6', 'm', 0,
+  /* 24015 */ 'F', 'N', 'S', 'T', 'C', 'W', '1', '6', 'm', 0,
+  /* 24025 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 24033 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 24041 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 24051 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 24061 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
+  /* 24072 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
+  /* 24083 */ 'N', 'E', 'G', '8', 'm', 0,
+  /* 24089 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
+  /* 24096 */ 'N', 'O', 'T', '8', 'm', 0,
+  /* 24102 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
+  /* 24109 */ 'S', 'E', 'T', 'A', 'm', 0,
+  /* 24115 */ 'S', 'E', 'T', 'B', 'm', 0,
+  /* 24121 */ 'F', 'B', 'L', 'D', 'm', 0,
+  /* 24127 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
+  /* 24136 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'D', 'm', 0,
+  /* 24151 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'D', 'm', 0,
+  /* 24167 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'D', 'm', 0,
+  /* 24182 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'D', 'm', 0,
+  /* 24198 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'm', 0,
+  /* 24208 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'D', 'm', 0,
+  /* 24223 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'D', 'm', 0,
+  /* 24239 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'D', 'm', 0,
+  /* 24254 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'D', 'm', 0,
+  /* 24270 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'm', 0,
+  /* 24279 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'm', 0,
+  /* 24290 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'm', 0,
+  /* 24300 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'm', 0,
+  /* 24313 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', 0,
+  /* 24322 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
+  /* 24329 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
+  /* 24336 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
+  /* 24343 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
+  /* 24350 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
+  /* 24357 */ 'S', 'E', 'T', 'E', 'm', 0,
+  /* 24363 */ 'F', 'S', 'A', 'V', 'E', 'm', 0,
+  /* 24370 */ 'S', 'E', 'T', 'G', 'm', 0,
+  /* 24376 */ 'S', 'E', 'T', 'L', 'm', 0,
+  /* 24382 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
+  /* 24389 */ 'S', 'E', 'T', 'O', 'm', 0,
+  /* 24395 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
+  /* 24404 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
+  /* 24411 */ 'S', 'E', 'T', 'P', 'm', 0,
+  /* 24417 */ 'F', 'B', 'S', 'T', 'P', 'm', 0,
+  /* 24424 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
+  /* 24433 */ 'F', 'R', 'S', 'T', 'O', 'R', 'm', 0,
+  /* 24441 */ 'V', 'E', 'R', 'R', 'm', 0,
+  /* 24447 */ 'L', 'T', 'R', 'm', 0,
+  /* 24452 */ 'S', 'T', 'R', 'm', 0,
+  /* 24457 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
+  /* 24464 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'S', 'm', 0,
+  /* 24479 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'D', 'P', 'S', 'm', 0,
+  /* 24495 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'S', 'm', 0,
+  /* 24510 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'D', 'P', 'S', 'm', 0,
+  /* 24526 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'm', 0,
+  /* 24536 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', 0,
+  /* 24544 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'S', 'm', 0,
+  /* 24559 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '0', 'Q', 'P', 'S', 'm', 0,
+  /* 24575 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'S', 'm', 0,
+  /* 24590 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'P', 'F', '1', 'Q', 'P', 'S', 'm', 0,
+  /* 24606 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
+  /* 24616 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
+  /* 24625 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'm', 0,
+  /* 24636 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'm', 0,
+  /* 24646 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'm', 0,
+  /* 24659 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', 0,
+  /* 24667 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
+  /* 24677 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
+  /* 24686 */ 'S', 'E', 'T', 'S', 'm', 0,
+  /* 24692 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
+  /* 24701 */ 'F', 'L', 'D', 'E', 'N', 'V', 'm', 0,
+  /* 24709 */ 'F', 'S', 'T', 'E', 'N', 'V', 'm', 0,
+  /* 24717 */ 'V', 'E', 'R', 'W', 'm', 0,
+  /* 24723 */ 'F', 'N', 'S', 'T', 'S', 'W', 'm', 0,
+  /* 24731 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'm', 0,
+  /* 24741 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', 0,
+  /* 24750 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
+  /* 24761 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
+  /* 24771 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 24788 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 24802 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 24817 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 24834 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 24848 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
+  /* 24863 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 24880 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 24894 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 24909 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 24926 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 24940 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
+  /* 24955 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'm', 0,
+  /* 24966 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'm', 0,
+  /* 24979 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'm', 0,
+  /* 24990 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'm', 0,
+  /* 25003 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'm', 0,
+  /* 25017 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
+  /* 25028 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
+  /* 25040 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
+  /* 25051 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
+  /* 25063 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', 0,
+  /* 25073 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 25090 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 25104 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 25119 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 25136 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 25150 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
+  /* 25165 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 25182 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 25196 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 25211 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 25228 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 25242 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
+  /* 25257 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'm', 0,
+  /* 25268 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'm', 0,
+  /* 25281 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'm', 0,
+  /* 25292 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'm', 0,
+  /* 25305 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'm', 0,
+  /* 25319 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
+  /* 25330 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
+  /* 25342 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
+  /* 25353 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
+  /* 25365 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', 0,
+  /* 25375 */ 'K', 'M', 'O', 'V', 'B', 'k', 'm', 0,
+  /* 25383 */ 'K', 'M', 'O', 'V', 'D', 'k', 'm', 0,
+  /* 25391 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'm', 0,
+  /* 25399 */ 'K', 'M', 'O', 'V', 'W', 'k', 'm', 0,
+  /* 25407 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
+  /* 25417 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
+  /* 25426 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
+  /* 25436 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
+  /* 25445 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
+  /* 25455 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
+  /* 25464 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'm', 0,
+  /* 25475 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'm', 0,
+  /* 25488 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'm', 0,
+  /* 25499 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'm', 0,
+  /* 25510 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
+  /* 25520 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
+  /* 25528 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
+  /* 25536 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
+  /* 25546 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
+  /* 25554 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 25564 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 25574 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
+  /* 25585 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
+  /* 25596 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
+  /* 25605 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
+  /* 25613 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
+  /* 25624 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
+  /* 25635 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
+  /* 25646 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
+  /* 25657 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
+  /* 25668 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
+  /* 25680 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
+  /* 25690 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
+  /* 25698 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
+  /* 25710 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
+  /* 25720 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
+  /* 25729 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
+  /* 25738 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
+  /* 25747 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 25758 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 25769 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 25779 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 25791 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 25803 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
+  /* 25811 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
+  /* 25820 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
+  /* 25830 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
+  /* 25839 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
+  /* 25850 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
+  /* 25860 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
+  /* 25869 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
+  /* 25877 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
+  /* 25888 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
+  /* 25898 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
+  /* 25906 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
+  /* 25914 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 25922 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 25931 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
+  /* 25941 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
+  /* 25950 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 25958 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 25969 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
+  /* 25977 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
+  /* 25985 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
+  /* 25993 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
+  /* 26004 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
+  /* 26012 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
+  /* 26022 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 26033 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 26043 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 26053 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
+  /* 26062 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
+  /* 26071 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
+  /* 26087 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
+  /* 26096 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 26105 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 26114 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
+  /* 26123 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 26132 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 26141 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'm', 0,
+  /* 26152 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'm', 0,
+  /* 26165 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'm', 0,
+  /* 26179 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'm', 0,
+  /* 26190 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
+  /* 26200 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
+  /* 26208 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
+  /* 26216 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
+  /* 26226 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
+  /* 26234 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 26244 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 26254 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
+  /* 26265 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
+  /* 26276 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
+  /* 26285 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
+  /* 26293 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
+  /* 26311 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
+  /* 26328 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'm', 0,
+  /* 26341 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
+  /* 26352 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
+  /* 26363 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
+  /* 26374 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
+  /* 26385 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
+  /* 26396 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
+  /* 26408 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
+  /* 26418 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
+  /* 26426 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
+  /* 26438 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
+  /* 26448 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
+  /* 26457 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
+  /* 26466 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 26485 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 26503 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 26517 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 26536 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 26554 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 26568 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 26577 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 26588 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 26599 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 26609 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 26621 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 26633 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
+  /* 26641 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
+  /* 26650 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
+  /* 26660 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
+  /* 26669 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
+  /* 26680 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
+  /* 26690 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
+  /* 26699 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
+  /* 26707 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
+  /* 26718 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
+  /* 26728 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'm', 0,
+  /* 26741 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
+  /* 26749 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
+  /* 26757 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 26765 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 26774 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
+  /* 26784 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
+  /* 26793 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
+  /* 26801 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
+  /* 26809 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
+  /* 26820 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 26838 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 26855 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 26863 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
+  /* 26873 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 26884 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 26894 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 26904 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
+  /* 26913 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
+  /* 26922 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
+  /* 26938 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
+  /* 26947 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 26956 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 26965 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
+  /* 26974 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 26983 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 26992 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
+  /* 27007 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
+  /* 27019 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
+  /* 27032 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
+  /* 27047 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
+  /* 27059 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
+  /* 27072 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
+  /* 27084 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
+  /* 27097 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
+  /* 27109 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
+  /* 27122 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
+  /* 27137 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
+  /* 27149 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
+  /* 27162 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
+  /* 27177 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
+  /* 27189 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
+  /* 27202 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
+  /* 27214 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
+  /* 27227 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
+  /* 27239 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
+  /* 27252 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '3', '2', 'X', '4', 'r', 'm', 0,
+  /* 27270 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '6', '4', 'X', '4', 'r', 'm', 0,
+  /* 27288 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'm', 0,
+  /* 27303 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'm', 0,
+  /* 27318 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'm', 0,
+  /* 27333 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'm', 0,
+  /* 27348 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
+  /* 27358 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
+  /* 27366 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
+  /* 27374 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
+  /* 27384 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
+  /* 27392 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
+  /* 27401 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
+  /* 27409 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
+  /* 27420 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
+  /* 27431 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
+  /* 27442 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
+  /* 27453 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
+  /* 27464 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
+  /* 27474 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
+  /* 27482 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
+  /* 27494 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
+  /* 27504 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
+  /* 27512 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
+  /* 27521 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
+  /* 27531 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
+  /* 27542 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
+  /* 27552 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
+  /* 27560 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
+  /* 27571 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
+  /* 27581 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
+  /* 27589 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
+  /* 27597 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
+  /* 27605 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 27613 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 27624 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
+  /* 27632 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
+  /* 27640 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
+  /* 27648 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
+  /* 27659 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
+  /* 27667 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
+  /* 27677 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 27688 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 27698 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 27708 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
+  /* 27717 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
+  /* 27733 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'm', 0,
+  /* 27747 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27763 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27779 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27795 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27811 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27827 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27842 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27856 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27870 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27884 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 0,
+  /* 27898 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'm', 0,
+  /* 27911 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'm', 0,
+  /* 27925 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'm', 0,
+  /* 27938 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'm', 0,
+  /* 27952 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
+  /* 27968 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
+  /* 27984 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'm', 0,
+  /* 27998 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'm', 0,
+  /* 28020 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28036 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28052 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28068 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28084 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28100 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28115 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28129 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28143 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28157 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 0,
+  /* 28171 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
+  /* 28178 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
+  /* 28185 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
+  /* 28192 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
+  /* 28200 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
+  /* 28207 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
+  /* 28218 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
+  /* 28225 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
+  /* 28232 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
+  /* 28240 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
+  /* 28255 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'r', 'm', 0,
+  /* 28267 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'm', 0,
+  /* 28277 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'm', 0,
+  /* 28286 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'm', 0,
+  /* 28295 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'm', 0,
+  /* 28304 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', 0,
+  /* 28314 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'm', 0,
+  /* 28323 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'm', 0,
+  /* 28332 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', 0,
+  /* 28342 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'm', 0,
+  /* 28353 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'm', 0,
+  /* 28363 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'm', 0,
+  /* 28373 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'm', 0,
+  /* 28383 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'm', 0,
+  /* 28393 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'm', 0,
+  /* 28404 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'm', 0,
+  /* 28415 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'm', 0,
+  /* 28425 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'm', 0,
+  /* 28435 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'm', 0,
+  /* 28446 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'm', 0,
+  /* 28455 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'm', 0,
+  /* 28470 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'm', 0,
+  /* 28480 */ 'P', 'F', 'S', 'U', 'B', 'r', 'm', 0,
+  /* 28488 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'm', 0,
+  /* 28498 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'm', 0,
+  /* 28510 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'm', 0,
+  /* 28522 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'm', 0,
+  /* 28534 */ 'P', 'F', 'A', 'C', 'C', 'r', 'm', 0,
+  /* 28542 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'm', 0,
+  /* 28551 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'm', 0,
+  /* 28561 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'm', 0,
+  /* 28571 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'm', 0,
+  /* 28581 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'm', 0,
+  /* 28591 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 0,
+  /* 28602 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 0,
+  /* 28613 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'm', 0,
+  /* 28622 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
+  /* 28631 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
+  /* 28643 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'm', 0,
+  /* 28654 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'm', 0,
+  /* 28666 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', 0,
+  /* 28676 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'm', 0,
+  /* 28685 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'm', 0,
+  /* 28697 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'm', 0,
+  /* 28709 */ 'P', 'F', 'A', 'D', 'D', 'r', 'm', 0,
+  /* 28717 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'm', 0,
+  /* 28727 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'm', 0,
+  /* 28736 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'r', 'm', 0,
+  /* 28749 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'm', 0,
+  /* 28760 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'm', 0,
+  /* 28772 */ 'P', 'I', '2', 'F', 'D', 'r', 'm', 0,
+  /* 28780 */ 'P', 'F', '2', 'I', 'D', 'r', 'm', 0,
+  /* 28788 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'm', 0,
+  /* 28797 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
+  /* 28806 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
+  /* 28818 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'm', 0,
+  /* 28828 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
+  /* 28837 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
+  /* 28849 */ 'V', 'P', 'A', 'N', 'D', 'r', 'm', 0,
+  /* 28857 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', 0,
+  /* 28867 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 0,
+  /* 28879 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 0,
+  /* 28892 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'm', 0,
+  /* 28904 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'm', 0,
+  /* 28916 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 0,
+  /* 28928 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
+  /* 28940 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
+  /* 28951 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'm', 0,
+  /* 28960 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
+  /* 28972 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
+  /* 28982 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
+  /* 28991 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'm', 0,
+  /* 29001 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'm', 0,
+  /* 29011 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 29021 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 29030 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 29039 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 29050 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'r', 'm', 0,
+  /* 29063 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'm', 0,
+  /* 29075 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'r', 'm', 0,
+  /* 29085 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 29097 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 29109 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 29118 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'r', 'm', 0,
+  /* 29128 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
+  /* 29138 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
+  /* 29150 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'm', 0,
+  /* 29159 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'r', 'm', 0,
+  /* 29172 */ 'V', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 29180 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 29189 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 29200 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'm', 0,
+  /* 29210 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'm', 0,
+  /* 29220 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'm', 0,
+  /* 29230 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'm', 0,
+  /* 29242 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'm', 0,
+  /* 29251 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'r', 'm', 0,
+  /* 29264 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'm', 0,
+  /* 29273 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 0,
+  /* 29283 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'm', 0,
+  /* 29294 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'r', 'm', 0,
+  /* 29307 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'm', 0,
+  /* 29317 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
+  /* 29333 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
+  /* 29348 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
+  /* 29364 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
+  /* 29379 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'm', 0,
+  /* 29390 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'm', 0,
+  /* 29403 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'm', 0,
+  /* 29414 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'm', 0,
+  /* 29427 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', 0,
+  /* 29436 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'm', 0,
+  /* 29446 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'm', 0,
+  /* 29456 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', 0,
+  /* 29465 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 29480 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 29494 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 29508 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
+  /* 29521 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', 0,
+  /* 29530 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
+  /* 29540 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
+  /* 29549 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
+  /* 29562 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
+  /* 29574 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', 0,
+  /* 29583 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'm', 0,
+  /* 29592 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
+  /* 29602 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
+  /* 29611 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'm', 0,
+  /* 29621 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'm', 0,
+  /* 29634 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 0,
+  /* 29648 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'm', 0,
+  /* 29659 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 0,
+  /* 29670 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'm', 0,
+  /* 29679 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'm', 0,
+  /* 29694 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'm', 0,
+  /* 29704 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'm', 0,
+  /* 29714 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'm', 0,
+  /* 29724 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'm', 0,
+  /* 29734 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'm', 0,
+  /* 29744 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'r', 'm', 0,
+  /* 29757 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'm', 0,
+  /* 29768 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
+  /* 29779 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
+  /* 29790 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'm', 0,
+  /* 29803 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'm', 0,
+  /* 29816 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 29827 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 29839 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 29851 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
+  /* 29864 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'm', 0,
+  /* 29876 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'm', 0,
+  /* 29888 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'm', 0,
+  /* 29900 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'm', 0,
+  /* 29910 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'm', 0,
+  /* 29922 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
+  /* 29934 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
+  /* 29947 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'm', 0,
+  /* 29960 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
+  /* 29973 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
+  /* 29987 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'm', 0,
+  /* 30004 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'm', 0,
+  /* 30017 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'm', 0,
+  /* 30030 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
+  /* 30047 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
+  /* 30063 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
+  /* 30075 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
+  /* 30092 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
+  /* 30108 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
+  /* 30120 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
+  /* 30132 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
+  /* 30145 */ 'P', 'F', 'M', 'U', 'L', 'r', 'm', 0,
+  /* 30153 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'm', 0,
+  /* 30162 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'm', 0,
+  /* 30171 */ 'P', 'F', 'M', 'I', 'N', 'r', 'm', 0,
+  /* 30179 */ 'P', 'F', 'R', 'C', 'P', 'r', 'm', 0,
+  /* 30187 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'm', 0,
+  /* 30198 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'm', 0,
+  /* 30210 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'm', 0,
+  /* 30222 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 0,
+  /* 30233 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 0,
+  /* 30244 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'm', 0,
+  /* 30253 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'm', 0,
+  /* 30264 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'm', 0,
+  /* 30276 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'm', 0,
+  /* 30285 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'm', 0,
+  /* 30297 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'm', 0,
+  /* 30309 */ 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 30321 */ 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 30332 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 30345 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
+  /* 30357 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'm', 0,
+  /* 30368 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'm', 0,
+  /* 30377 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'm', 0,
+  /* 30388 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'm', 0,
+  /* 30401 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'm', 0,
+  /* 30414 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'm', 0,
+  /* 30424 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'm', 0,
+  /* 30438 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
+  /* 30452 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
+  /* 30465 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'r', 'm', 0,
+  /* 30478 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'm', 0,
+  /* 30490 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'm', 0,
+  /* 30501 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'm', 0,
+  /* 30513 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'm', 0,
+  /* 30525 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'm', 0,
+  /* 30535 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'm', 0,
+  /* 30544 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
+  /* 30553 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
+  /* 30565 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
+  /* 30574 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
+  /* 30586 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'm', 0,
+  /* 30597 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'r', 'm', 0,
+  /* 30610 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'm', 0,
+  /* 30620 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 0,
+  /* 30634 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'm', 0,
+  /* 30645 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 0,
+  /* 30656 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'm', 0,
+  /* 30665 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'm', 0,
+  /* 30680 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'm', 0,
+  /* 30690 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'm', 0,
+  /* 30700 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'r', 'm', 0,
+  /* 30713 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'm', 0,
+  /* 30724 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'm', 0,
+  /* 30736 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'm', 0,
+  /* 30748 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'm', 0,
+  /* 30760 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'm', 0,
+  /* 30769 */ 'V', 'P', 'O', 'R', 'r', 'm', 0,
+  /* 30776 */ 'V', 'P', 'X', 'O', 'R', 'r', 'm', 0,
+  /* 30784 */ 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'm', 0,
+  /* 30795 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'm', 0,
+  /* 30807 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 0,
+  /* 30819 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 0,
+  /* 30832 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'm', 0,
+  /* 30844 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 0,
+  /* 30856 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
+  /* 30868 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
+  /* 30879 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
+  /* 30891 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
+  /* 30901 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
+  /* 30910 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'm', 0,
+  /* 30920 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'm', 0,
+  /* 30930 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 30940 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 30949 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 30958 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 30969 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'r', 'm', 0,
+  /* 30982 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'm', 0,
+  /* 30994 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'r', 'm', 0,
+  /* 31004 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 31016 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 31028 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 31037 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'r', 'm', 0,
+  /* 31047 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
+  /* 31057 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
+  /* 31069 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'm', 0,
+  /* 31078 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'r', 'm', 0,
+  /* 31091 */ 'V', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 31099 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 31108 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 31119 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'm', 0,
+  /* 31129 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'm', 0,
+  /* 31141 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'm', 0,
+  /* 31151 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'm', 0,
+  /* 31161 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'm', 0,
+  /* 31173 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'm', 0,
+  /* 31182 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'r', 'm', 0,
+  /* 31195 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'm', 0,
+  /* 31204 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 0,
+  /* 31214 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
+  /* 31230 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
+  /* 31245 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'm', 0,
+  /* 31257 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
+  /* 31273 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
+  /* 31288 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'm', 0,
+  /* 31299 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'm', 0,
+  /* 31312 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'm', 0,
+  /* 31323 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'm', 0,
+  /* 31336 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', 0,
+  /* 31345 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'm', 0,
+  /* 31355 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'm', 0,
+  /* 31365 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', 0,
+  /* 31374 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 31389 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 31403 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 31417 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
+  /* 31430 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', 0,
+  /* 31439 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', 0,
+  /* 31448 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
+  /* 31461 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
+  /* 31473 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'm', 0,
+  /* 31488 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', 0,
+  /* 31497 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'm', 0,
+  /* 31506 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', 0,
+  /* 31515 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'm', 0,
+  /* 31525 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'm', 0,
+  /* 31535 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'm', 0,
+  /* 31545 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
+  /* 31559 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
+  /* 31573 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'm', 0,
+  /* 31582 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'r', 'm', 0,
+  /* 31591 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'm', 0,
+  /* 31601 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 0,
+  /* 31610 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'm', 0,
+  /* 31619 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
+  /* 31628 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
+  /* 31640 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'm', 0,
+  /* 31651 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 0,
+  /* 31661 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'm', 0,
+  /* 31672 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'm', 0,
+  /* 31685 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'm', 0,
+  /* 31698 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'm', 0,
+  /* 31710 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', 0,
+  /* 31720 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'm', 0,
+  /* 31729 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'm', 0,
+  /* 31741 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'm', 0,
+  /* 31753 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', 0,
+  /* 31763 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'm', 0,
+  /* 31772 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'm', 0,
+  /* 31784 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'm', 0,
+  /* 31796 */ 'P', 'I', '2', 'F', 'W', 'r', 'm', 0,
+  /* 31804 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'm', 0,
+  /* 31813 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'm', 0,
+  /* 31823 */ 'P', 'F', '2', 'I', 'W', 'r', 'm', 0,
+  /* 31831 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'm', 0,
+  /* 31840 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
+  /* 31849 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
+  /* 31861 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'm', 0,
+  /* 31871 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
+  /* 31880 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
+  /* 31892 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', 0,
+  /* 31902 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'm', 0,
+  /* 31913 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'm', 0,
+  /* 31923 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'm', 0,
+  /* 31933 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'm', 0,
+  /* 31943 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'm', 0,
+  /* 31953 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'm', 0,
+  /* 31964 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'm', 0,
+  /* 31975 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'm', 0,
+  /* 31985 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'm', 0,
+  /* 31996 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'm', 0,
+  /* 32005 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'm', 0,
+  /* 32020 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'm', 0,
+  /* 32031 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'm', 0,
+  /* 32041 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'm', 0,
+  /* 32051 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'm', 0,
+  /* 32062 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'm', 0,
+  /* 32074 */ 'P', 'F', 'M', 'A', 'X', 'r', 'm', 0,
+  /* 32082 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
+  /* 32096 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
+  /* 32109 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'X', 'r', 'm', 0,
+  /* 32122 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
+  /* 32135 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
+  /* 32146 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'm', 0,
+  /* 32156 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'm', 0,
+  /* 32166 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'm', 0,
+  /* 32177 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'm', 0,
+  /* 32187 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'm', 0,
+  /* 32198 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'm', 0,
+  /* 32210 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 32221 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 32232 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 32243 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 32255 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 32267 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'm', 0,
+  /* 32278 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'm', 0,
+  /* 32290 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'm', 0,
+  /* 32306 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'm', 0,
+  /* 32317 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'm', 0,
+  /* 32328 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'm', 0,
+  /* 32341 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
+  /* 32354 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
+  /* 32367 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'm', 0,
+  /* 32377 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 32388 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 32398 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 32411 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
+  /* 32424 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
+  /* 32435 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
+  /* 32445 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Y', 'r', 'm', 0,
+  /* 32459 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
+  /* 32469 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
+  /* 32480 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'm', 0,
+  /* 32490 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'm', 0,
+  /* 32500 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'm', 0,
+  /* 32509 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'm', 0,
+  /* 32520 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32533 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32546 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32557 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32570 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32581 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32591 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32602 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32613 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32624 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32634 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32644 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32658 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32671 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32684 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32697 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32707 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32718 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32728 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32742 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32751 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32761 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32772 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32783 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32796 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32806 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32820 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'm', 0,
+  /* 32830 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'm', 0,
+  /* 32842 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Y', 'r', 'm', 0,
+  /* 32856 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'm', 0,
+  /* 32867 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'm', 0,
+  /* 32883 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'm', 0,
+  /* 32894 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'm', 0,
+  /* 32906 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'm', 0,
+  /* 32922 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'm', 0,
+  /* 32933 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'm', 0,
+  /* 32944 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 32955 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 32966 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 32977 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'r', 'm', 0,
+  /* 32991 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 33003 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 33017 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 33031 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 33044 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
+  /* 33057 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'm', 0,
+  /* 33067 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
+  /* 33079 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
+  /* 33092 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
+  /* 33105 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'm', 0,
+  /* 33115 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
+  /* 33128 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
+  /* 33141 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33155 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33168 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33182 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33195 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33205 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33219 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33233 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33244 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33259 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33274 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33288 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33300 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33313 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
+  /* 33326 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'm', 0,
+  /* 33336 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'm', 0,
+  /* 33346 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'm', 0,
+  /* 33358 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Y', 'r', 'm', 0,
+  /* 33372 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'm', 0,
+  /* 33384 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'm', 0,
+  /* 33400 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
+  /* 33411 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
+  /* 33422 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'r', 'm', 0,
+  /* 33436 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
+  /* 33449 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
+  /* 33462 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'm', 0,
+  /* 33470 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'm', 0,
+  /* 33479 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33492 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33505 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33518 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33529 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33542 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33553 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33563 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33574 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33585 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33596 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33606 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33616 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33630 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33643 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33656 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33669 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33679 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33690 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33701 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33711 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33725 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33734 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33744 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33755 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33766 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33779 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33789 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33803 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'm', 0,
+  /* 33813 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'm', 0,
+  /* 33829 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'm', 0,
+  /* 33839 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
+  /* 33849 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
+  /* 33860 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'm', 0,
+  /* 33870 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 33881 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 33895 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 33909 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 33920 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 33930 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 33943 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
+  /* 33956 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 33967 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 33977 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 33990 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
+  /* 34003 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'm', 0,
+  /* 34013 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'm', 0,
+  /* 34024 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
+  /* 34034 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
+  /* 34045 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'm', 0,
+  /* 34055 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'm', 0,
+  /* 34066 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'm', 0,
+  /* 34078 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 34089 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 34100 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 34111 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 34123 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 34135 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'm', 0,
+  /* 34146 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'm', 0,
+  /* 34158 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'm', 0,
+  /* 34174 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'm', 0,
+  /* 34186 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'm', 0,
+  /* 34197 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'm', 0,
+  /* 34208 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 0,
+  /* 34221 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 0,
+  /* 34234 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34247 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34266 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34286 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34306 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34321 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34341 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34356 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34377 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34393 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34414 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34430 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34449 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34469 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 0,
+  /* 34482 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 0,
+  /* 34495 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 0,
+  /* 34507 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Z', 'r', 'm', 0,
+  /* 34520 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 0,
+  /* 34530 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 0,
+  /* 34540 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
+  /* 34553 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
+  /* 34566 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 0,
+  /* 34576 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 0,
+  /* 34586 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Z', 'r', 'm', 0,
+  /* 34600 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
+  /* 34610 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
+  /* 34621 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 0,
+  /* 34631 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 34644 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 34657 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 34667 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'm', 0,
+  /* 34679 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 0,
+  /* 34690 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34703 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34717 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34730 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34741 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34751 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34761 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34775 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34788 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34801 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34811 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34824 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34835 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34845 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34859 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34870 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34881 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34891 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 0,
+  /* 34901 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 0,
+  /* 34913 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Z', 'r', 'm', 0,
+  /* 34927 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
+  /* 34936 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
+  /* 34946 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 34961 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 34977 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 34994 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35012 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35025 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35035 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35045 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35055 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35071 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35086 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35096 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35107 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35117 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35127 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35143 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35153 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35163 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35174 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
+  /* 35184 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 0,
+  /* 35196 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'm', 0,
+  /* 35212 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 0,
+  /* 35223 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 0,
+  /* 35234 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'm', 0,
+  /* 35245 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
+  /* 35256 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
+  /* 35267 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
+  /* 35280 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
+  /* 35293 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'm', 0,
+  /* 35307 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
+  /* 35321 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
+  /* 35339 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35357 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35370 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35388 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35401 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35420 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35434 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35453 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
+  /* 35467 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
+  /* 35479 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
+  /* 35492 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
+  /* 35505 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 0,
+  /* 35515 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 0,
+  /* 35525 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
+  /* 35538 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
+  /* 35551 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35565 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35578 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35592 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35605 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35615 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35629 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35643 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35654 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35664 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35679 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35694 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35708 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35723 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35737 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35752 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35766 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35778 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35791 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
+  /* 35804 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 0,
+  /* 35814 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 0,
+  /* 35824 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 35837 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 35850 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 35860 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'm', 0,
+  /* 35872 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 0,
+  /* 35883 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 0,
+  /* 35895 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Z', 'r', 'm', 0,
+  /* 35909 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
+  /* 35918 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
+  /* 35928 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 0,
+  /* 35938 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 0,
+  /* 35949 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 0,
+  /* 35960 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 0,
+  /* 35972 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'm', 0,
+  /* 35988 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 0,
+  /* 35999 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 0,
+  /* 36010 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'm', 0,
+  /* 36021 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
+  /* 36032 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
+  /* 36043 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
+  /* 36056 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
+  /* 36069 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36082 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36095 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36108 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36122 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36133 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36143 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36153 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36167 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36180 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36193 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36203 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36216 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36227 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36237 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36251 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36262 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36273 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36283 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 0,
+  /* 36293 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36308 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36324 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36337 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36350 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36367 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36385 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36395 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36405 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36421 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36436 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36446 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36456 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36466 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36482 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36492 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36502 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'm', 0,
+  /* 36512 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'm', 0,
+  /* 36529 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'm', 0,
+  /* 36542 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'm', 0,
+  /* 36555 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'm', 0,
+  /* 36568 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'm', 0,
+  /* 36583 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 36597 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 36611 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 36626 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'm', 0,
+  /* 36641 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'm', 0,
+  /* 36656 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'm', 0,
+  /* 36670 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'm', 0,
+  /* 36684 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'm', 0,
+  /* 36700 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'm', 0,
+  /* 36716 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'm', 0,
+  /* 36729 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'm', 0,
+  /* 36742 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'm', 0,
+  /* 36754 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'm', 0,
+  /* 36770 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'm', 0,
+  /* 36785 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'm', 0,
+  /* 36800 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'm', 0,
+  /* 36815 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'm', 0,
+  /* 36832 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'm', 0,
+  /* 36849 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 36866 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 36882 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 36899 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
+  /* 36915 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'm', 0,
+  /* 36928 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'm', 0,
+  /* 36941 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 36954 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 36971 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 36988 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'm', 0,
+  /* 37003 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'm', 0,
+  /* 37014 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'm', 0,
+  /* 37026 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'm', 0,
+  /* 37042 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 37056 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 37073 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 37090 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'm', 0,
+  /* 37103 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'm', 0,
+  /* 37116 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'm', 0,
+  /* 37132 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'm', 0,
+  /* 37145 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'm', 0,
+  /* 37159 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'm', 0,
+  /* 37173 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'm', 0,
+  /* 37188 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 37202 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 37216 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 37230 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 37245 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 37260 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'm', 0,
+  /* 37274 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'm', 0,
+  /* 37289 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'm', 0,
+  /* 37304 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '3', '2', 'X', '4', 'k', 'r', 'm', 0,
+  /* 37323 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '6', '4', 'X', '4', 'k', 'r', 'm', 0,
+  /* 37342 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'm', 0,
+  /* 37359 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'm', 0,
+  /* 37376 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'm', 0,
+  /* 37389 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
+  /* 37397 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
+  /* 37405 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
+  /* 37413 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
+  /* 37427 */ 'S', 'U', 'B', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37437 */ 'A', 'D', 'D', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37447 */ 'M', 'U', 'L', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37457 */ 'C', 'O', 'M', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37467 */ 'C', 'O', 'M', 'P', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37478 */ 'S', 'U', 'B', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37489 */ 'D', 'I', 'V', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37500 */ 'D', 'I', 'V', '_', 'F', 'S', 'T', '0', 'r', 0,
+  /* 37510 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37527 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37541 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37556 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37573 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37587 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37602 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37616 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37631 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37645 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
+  /* 37660 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37677 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37691 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37706 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37723 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37737 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37752 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37766 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37781 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37795 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
+  /* 37810 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37827 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37841 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37856 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37873 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37887 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37902 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37916 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37931 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37945 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
+  /* 37960 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 37977 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 37991 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38006 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38023 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38037 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38052 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38066 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38081 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38095 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
+  /* 38110 */ 'L', 'E', 'A', '3', '2', 'r', 0,
+  /* 38117 */ 'D', 'E', 'C', '3', '2', 'r', 0,
+  /* 38124 */ 'I', 'N', 'C', '3', '2', 'r', 0,
+  /* 38131 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
+  /* 38140 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
+  /* 38150 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
+  /* 38160 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
+  /* 38170 */ 'N', 'E', 'G', '3', '2', 'r', 0,
+  /* 38177 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
+  /* 38185 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
+  /* 38193 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
+  /* 38201 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
+  /* 38210 */ 'J', 'M', 'P', '3', '2', 'r', 0,
+  /* 38217 */ 'P', 'O', 'P', '3', '2', 'r', 0,
+  /* 38224 */ 'S', 'T', 'R', '3', '2', 'r', 0,
+  /* 38231 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
+  /* 38239 */ 'N', 'O', 'T', '3', '2', 'r', 0,
+  /* 38246 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
+  /* 38254 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
+  /* 38262 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 38272 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 38282 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
+  /* 38292 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 38302 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 38312 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38329 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38343 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38358 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38375 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38389 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38404 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38418 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38433 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38447 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
+  /* 38462 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38479 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38493 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38508 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38525 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38539 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38554 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38568 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38583 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38597 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
+  /* 38612 */ 'L', 'E', 'A', '6', '4', 'r', 0,
+  /* 38619 */ 'D', 'E', 'C', '6', '4', 'r', 0,
+  /* 38626 */ 'I', 'N', 'C', '6', '4', 'r', 0,
+  /* 38633 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
+  /* 38643 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
+  /* 38653 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
+  /* 38663 */ 'N', 'E', 'G', '6', '4', 'r', 0,
+  /* 38670 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
+  /* 38678 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
+  /* 38686 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
+  /* 38694 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
+  /* 38703 */ 'J', 'M', 'P', '6', '4', 'r', 0,
+  /* 38710 */ 'P', 'O', 'P', '6', '4', 'r', 0,
+  /* 38717 */ 'S', 'T', 'R', '6', '4', 'r', 0,
+  /* 38724 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
+  /* 38732 */ 'N', 'O', 'T', '6', '4', 'r', 0,
+  /* 38739 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
+  /* 38747 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
+  /* 38755 */ 'L', 'E', 'A', '1', '6', 'r', 0,
+  /* 38762 */ 'D', 'E', 'C', '1', '6', 'r', 0,
+  /* 38769 */ 'I', 'N', 'C', '1', '6', 'r', 0,
+  /* 38776 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
+  /* 38786 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
+  /* 38796 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
+  /* 38806 */ 'N', 'E', 'G', '1', '6', 'r', 0,
+  /* 38813 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
+  /* 38821 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
+  /* 38829 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
+  /* 38837 */ 'J', 'M', 'P', '1', '6', 'r', 0,
+  /* 38844 */ 'P', 'O', 'P', '1', '6', 'r', 0,
+  /* 38851 */ 'S', 'T', 'R', '1', '6', 'r', 0,
+  /* 38858 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 38866 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 38874 */ 'N', 'O', 'T', '1', '6', 'r', 0,
+  /* 38881 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
+  /* 38889 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 38897 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 38905 */ 'F', 'N', 'S', 'T', 'S', 'W', '1', '6', 'r', 0,
+  /* 38915 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 38925 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 38935 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 38945 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 38955 */ 'D', 'E', 'C', '8', 'r', 0,
+  /* 38961 */ 'I', 'N', 'C', '8', 'r', 0,
+  /* 38967 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
+  /* 38976 */ 'N', 'E', 'G', '8', 'r', 0,
+  /* 38982 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
+  /* 38989 */ 'N', 'O', 'T', '8', 'r', 0,
+  /* 38995 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
+  /* 39002 */ 'S', 'E', 'T', 'A', 'r', 0,
+  /* 39008 */ 'S', 'E', 'T', 'B', 'r', 0,
+  /* 39014 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'r', 0,
+  /* 39024 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'r', 0,
+  /* 39033 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'r', 0,
+  /* 39044 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', 0,
+  /* 39054 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'r', 0,
+  /* 39067 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'r', 0,
+  /* 39076 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
+  /* 39083 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
+  /* 39090 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
+  /* 39097 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
+  /* 39104 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
+  /* 39111 */ 'S', 'E', 'T', 'E', 'r', 0,
+  /* 39117 */ 'U', 'C', 'O', 'M', '_', 'F', 'r', 0,
+  /* 39125 */ 'S', 'E', 'T', 'G', 'r', 0,
+  /* 39131 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'r', 0,
+  /* 39140 */ 'S', 'E', 'T', 'L', 'r', 0,
+  /* 39146 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
+  /* 39153 */ 'S', 'E', 'T', 'O', 'r', 0,
+  /* 39159 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'r', 0,
+  /* 39168 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'P', 'r', 0,
+  /* 39178 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
+  /* 39187 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
+  /* 39194 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'P', 'r', 0,
+  /* 39204 */ 'S', 'E', 'T', 'P', 'r', 0,
+  /* 39210 */ 'V', 'E', 'R', 'R', 'r', 0,
+  /* 39216 */ 'L', 'T', 'R', 'r', 0,
+  /* 39221 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
+  /* 39228 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'r', 0,
+  /* 39238 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', 0,
+  /* 39246 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
+  /* 39256 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
+  /* 39265 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'r', 0,
+  /* 39276 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', 0,
+  /* 39286 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'r', 0,
+  /* 39299 */ 'V', 'R', 'C', 'P', 'S', 'S', 'r', 0,
+  /* 39307 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
+  /* 39317 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
+  /* 39326 */ 'S', 'E', 'T', 'S', 'r', 0,
+  /* 39332 */ 'V', 'E', 'R', 'W', 'r', 0,
+  /* 39338 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'r', 0,
+  /* 39348 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', 0,
+  /* 39357 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
+  /* 39368 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
+  /* 39378 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 39395 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 39409 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 39424 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 39441 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 39455 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
+  /* 39470 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'r', 0,
+  /* 39481 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'r', 0,
+  /* 39494 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 0,
+  /* 39505 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 0,
+  /* 39518 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'r', 0,
+  /* 39532 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
+  /* 39543 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
+  /* 39555 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
+  /* 39566 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
+  /* 39578 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', 0,
+  /* 39588 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 39605 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 39619 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 39634 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 39651 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 39665 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
+  /* 39680 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'r', 0,
+  /* 39691 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'r', 0,
+  /* 39704 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 0,
+  /* 39715 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 0,
+  /* 39728 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'r', 0,
+  /* 39742 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
+  /* 39753 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
+  /* 39765 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
+  /* 39776 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
+  /* 39788 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', 0,
+  /* 39798 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
+  /* 39807 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
+  /* 39816 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
+  /* 39825 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
+  /* 39833 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
+  /* 39841 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
+  /* 39849 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
+  /* 39857 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
+  /* 39872 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
+  /* 39880 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
+  /* 39888 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
+  /* 39895 */ 'K', 'M', 'O', 'V', 'B', 'k', 'r', 0,
+  /* 39903 */ 'K', 'M', 'O', 'V', 'D', 'k', 'r', 0,
+  /* 39911 */ 'K', 'M', 'O', 'V', 'Q', 'k', 'r', 0,
+  /* 39919 */ 'K', 'M', 'O', 'V', 'W', 'k', 'r', 0,
+  /* 39927 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
+  /* 39935 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
+  /* 39948 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
+  /* 39956 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
+  /* 39964 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
+  /* 39977 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
+  /* 39990 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
+  /* 40000 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
+  /* 40008 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 40021 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 40033 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
+  /* 40041 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
+  /* 40049 */ 'B', 'T', '3', '2', 'm', 'r', 0,
+  /* 40056 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
+  /* 40072 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
+  /* 40080 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
+  /* 40093 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
+  /* 40101 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
+  /* 40109 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
+  /* 40122 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
+  /* 40135 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'm', 'r', 0,
+  /* 40148 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
+  /* 40158 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
+  /* 40166 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'm', 'r', 0,
+  /* 40179 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 40192 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 40204 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
+  /* 40212 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
+  /* 40220 */ 'B', 'T', '6', '4', 'm', 'r', 0,
+  /* 40227 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
+  /* 40243 */ 'M', 'O', 'V', 'N', 'T', 'I', '_', '6', '4', 'm', 'r', 0,
+  /* 40255 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'm', 'r', 0,
+  /* 40268 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
+  /* 40283 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
+  /* 40295 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
+  /* 40308 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
+  /* 40323 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
+  /* 40335 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
+  /* 40348 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
+  /* 40360 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
+  /* 40373 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
+  /* 40385 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
+  /* 40398 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
+  /* 40413 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
+  /* 40425 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
+  /* 40438 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
+  /* 40453 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
+  /* 40465 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
+  /* 40478 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
+  /* 40490 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
+  /* 40503 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
+  /* 40515 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
+  /* 40528 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'm', 'r', 0,
+  /* 40544 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'm', 'r', 0,
+  /* 40560 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'm', 'r', 0,
+  /* 40576 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'm', 'r', 0,
+  /* 40592 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
+  /* 40600 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
+  /* 40613 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
+  /* 40621 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
+  /* 40629 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
+  /* 40642 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
+  /* 40655 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
+  /* 40665 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
+  /* 40674 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
+  /* 40682 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 40695 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 40707 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
+  /* 40715 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
+  /* 40723 */ 'B', 'T', '1', '6', 'm', 'r', 0,
+  /* 40730 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
+  /* 40746 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40762 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40778 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40794 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40810 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40826 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40841 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40855 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40869 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40883 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'm', 'r', 0,
+  /* 40897 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'm', 'r', 0,
+  /* 40912 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'm', 'r', 0,
+  /* 40927 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 40943 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 40959 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 40975 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 40991 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 41007 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 41022 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 41036 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 41050 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 41064 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'm', 'r', 0,
+  /* 41078 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
+  /* 41085 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
+  /* 41097 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
+  /* 41104 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
+  /* 41116 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
+  /* 41128 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
+  /* 41135 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
+  /* 41147 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
+  /* 41158 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
+  /* 41173 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'm', 'r', 0,
+  /* 41183 */ 'V', 'P', 'S', 'H', 'A', 'B', 'm', 'r', 0,
+  /* 41192 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 0,
+  /* 41204 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 0,
+  /* 41215 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 0,
+  /* 41225 */ 'V', 'P', 'S', 'H', 'L', 'B', 'm', 'r', 0,
+  /* 41234 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 0,
+  /* 41246 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 0,
+  /* 41257 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 0,
+  /* 41267 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'm', 'r', 0,
+  /* 41277 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'r', 0,
+  /* 41286 */ 'V', 'P', 'S', 'H', 'A', 'D', 'm', 'r', 0,
+  /* 41295 */ 'V', 'P', 'S', 'H', 'L', 'D', 'm', 'r', 0,
+  /* 41304 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 0,
+  /* 41317 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'm', 'r', 0,
+  /* 41327 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'm', 'r', 0,
+  /* 41337 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'm', 'r', 0,
+  /* 41347 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'm', 'r', 0,
+  /* 41358 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'm', 'r', 0,
+  /* 41368 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'm', 'r', 0,
+  /* 41381 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 0,
+  /* 41393 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 0,
+  /* 41404 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 0,
+  /* 41414 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'm', 'r', 0,
+  /* 41424 */ 'V', 'M', 'O', 'V', 'S', 'D', 'm', 'r', 0,
+  /* 41433 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'r', 0,
+  /* 41442 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'm', 'r', 0,
+  /* 41455 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'm', 'r', 0,
+  /* 41467 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'm', 'r', 0,
+  /* 41480 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'm', 'r', 0,
+  /* 41492 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'm', 'r', 0,
+  /* 41505 */ 'M', 'O', 'V', 'N', 'T', 'I', 'm', 'r', 0,
+  /* 41514 */ 'V', 'P', 'P', 'E', 'R', 'M', 'm', 'r', 0,
+  /* 41523 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'm', 'r', 0,
+  /* 41532 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'm', 'r', 0,
+  /* 41543 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'm', 'r', 0,
+  /* 41552 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'm', 'r', 0,
+  /* 41562 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'N', 'T', 'Q', 'm', 'r', 0,
+  /* 41575 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'r', 0,
+  /* 41584 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'm', 'r', 0,
+  /* 41597 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 0,
+  /* 41610 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'm', 'r', 0,
+  /* 41620 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'm', 'r', 0,
+  /* 41630 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'm', 'r', 0,
+  /* 41640 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'm', 'r', 0,
+  /* 41653 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'm', 'r', 0,
+  /* 41664 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'm', 'r', 0,
+  /* 41674 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'm', 'r', 0,
+  /* 41687 */ 'V', 'M', 'O', 'V', 'S', 'S', 'm', 'r', 0,
+  /* 41696 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'm', 'r', 0,
+  /* 41706 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 0,
+  /* 41715 */ 'V', 'P', 'S', 'H', 'A', 'W', 'm', 'r', 0,
+  /* 41724 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 0,
+  /* 41736 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 0,
+  /* 41747 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 0,
+  /* 41757 */ 'V', 'P', 'S', 'H', 'L', 'W', 'm', 'r', 0,
+  /* 41766 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 0,
+  /* 41778 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 0,
+  /* 41789 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 0,
+  /* 41799 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'm', 'r', 0,
+  /* 41809 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'r', 0,
+  /* 41818 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'm', 'r', 0,
+  /* 41829 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 41840 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 41852 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 41863 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'm', 'r', 0,
+  /* 41877 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'm', 'r', 0,
+  /* 41891 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'm', 'r', 0,
+  /* 41904 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Y', 'm', 'r', 0,
+  /* 41916 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'm', 'r', 0,
+  /* 41930 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 41941 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 41953 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 41964 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'm', 'r', 0,
+  /* 41978 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'm', 'r', 0,
+  /* 41989 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'm', 'r', 0,
+  /* 42002 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'm', 'r', 0,
+  /* 42015 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'm', 'r', 0,
+  /* 42028 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'm', 'r', 0,
+  /* 42041 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
+  /* 42055 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
+  /* 42070 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'm', 'r', 0,
+  /* 42083 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'm', 'r', 0,
+  /* 42095 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'D', 'Z', 'm', 'r', 0,
+  /* 42110 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 42121 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 42136 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 42151 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 42163 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 0,
+  /* 42174 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'D', 'Z', 'm', 'r', 0,
+  /* 42189 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'm', 'r', 0,
+  /* 42199 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'm', 'r', 0,
+  /* 42212 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'm', 'r', 0,
+  /* 42226 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'm', 'r', 0,
+  /* 42239 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'Q', 'Z', 'm', 'r', 0,
+  /* 42254 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Z', 'm', 'r', 0,
+  /* 42266 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'Q', 'Z', 'm', 'r', 0,
+  /* 42281 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 42292 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 42307 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 42322 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 42334 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 0,
+  /* 42345 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'm', 'r', 0,
+  /* 42355 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
+  /* 42365 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
+  /* 42374 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
+  /* 42384 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
+  /* 42393 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
+  /* 42403 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
+  /* 42412 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'm', 'r', 0,
+  /* 42426 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'r', 0,
+  /* 42437 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'r', 0,
+  /* 42450 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'r', 0,
+  /* 42461 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'r', 0,
+  /* 42472 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
+  /* 42482 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
+  /* 42490 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
+  /* 42498 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
+  /* 42508 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
+  /* 42516 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 42526 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 42536 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
+  /* 42547 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
+  /* 42555 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
+  /* 42566 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
+  /* 42575 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
+  /* 42583 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
+  /* 42594 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
+  /* 42605 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
+  /* 42616 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
+  /* 42627 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
+  /* 42638 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
+  /* 42650 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
+  /* 42660 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
+  /* 42668 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
+  /* 42680 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
+  /* 42690 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
+  /* 42699 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
+  /* 42708 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
+  /* 42717 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 42728 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 42739 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 42749 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 42761 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 42773 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
+  /* 42781 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
+  /* 42790 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
+  /* 42800 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
+  /* 42809 */ 'I', 'N', '3', '2', 'r', 'r', 0,
+  /* 42816 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
+  /* 42827 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
+  /* 42837 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
+  /* 42846 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
+  /* 42854 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
+  /* 42865 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
+  /* 42875 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
+  /* 42883 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
+  /* 42891 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 42899 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 42908 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 42916 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 42926 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
+  /* 42935 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
+  /* 42946 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
+  /* 42954 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
+  /* 42964 */ 'B', 'T', '3', '2', 'r', 'r', 0,
+  /* 42971 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 42982 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 42992 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 43002 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
+  /* 43011 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
+  /* 43019 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
+  /* 43028 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
+  /* 43036 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
+  /* 43045 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 43054 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 43063 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
+  /* 43072 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 43081 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 43090 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'r', 0,
+  /* 43101 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'r', 0,
+  /* 43114 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'r', 0,
+  /* 43128 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'r', 0,
+  /* 43139 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
+  /* 43149 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
+  /* 43157 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
+  /* 43165 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
+  /* 43175 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
+  /* 43183 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 43193 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 43203 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
+  /* 43214 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
+  /* 43222 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
+  /* 43233 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
+  /* 43242 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
+  /* 43250 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
+  /* 43268 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
+  /* 43285 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'r', 0,
+  /* 43298 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
+  /* 43309 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
+  /* 43320 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
+  /* 43331 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
+  /* 43342 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
+  /* 43353 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
+  /* 43365 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
+  /* 43375 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
+  /* 43383 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
+  /* 43395 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
+  /* 43405 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
+  /* 43414 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
+  /* 43423 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 43442 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 43460 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 43474 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 43493 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 43511 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 43525 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 43534 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 43545 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 43556 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 43566 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 43578 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 43590 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
+  /* 43598 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
+  /* 43607 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
+  /* 43617 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
+  /* 43626 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
+  /* 43637 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
+  /* 43647 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
+  /* 43656 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
+  /* 43664 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
+  /* 43675 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
+  /* 43685 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'r', 0,
+  /* 43698 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
+  /* 43706 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'F', 'R', '6', '4', 'r', 'r', 0,
+  /* 43722 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
+  /* 43730 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 43738 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 43747 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 43755 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 43765 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
+  /* 43774 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
+  /* 43785 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
+  /* 43803 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
+  /* 43820 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
+  /* 43828 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
+  /* 43838 */ 'B', 'T', '6', '4', 'r', 'r', 0,
+  /* 43845 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 43856 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 43866 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 43876 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
+  /* 43885 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
+  /* 43894 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
+  /* 43902 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
+  /* 43911 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 43920 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 43929 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
+  /* 43938 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 43947 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 43956 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'f', 'r', 'o', 'm', '6', '4', 'r', 'r', 0,
+  /* 43975 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 't', 'o', '6', '4', 'r', 'r', 0,
+  /* 43992 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'r', 'r', 0,
+  /* 44005 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'r', 'r', 0,
+  /* 44019 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
+  /* 44034 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
+  /* 44046 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
+  /* 44059 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
+  /* 44074 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
+  /* 44086 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
+  /* 44099 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
+  /* 44111 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
+  /* 44124 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
+  /* 44136 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
+  /* 44149 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
+  /* 44164 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
+  /* 44176 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
+  /* 44189 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
+  /* 44204 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
+  /* 44216 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
+  /* 44229 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
+  /* 44241 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
+  /* 44254 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
+  /* 44266 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
+  /* 44279 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 44295 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 44310 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 44326 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
+  /* 44341 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 44357 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 44372 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 44388 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
+  /* 44403 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
+  /* 44413 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
+  /* 44421 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
+  /* 44429 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
+  /* 44439 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
+  /* 44447 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
+  /* 44455 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
+  /* 44464 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
+  /* 44472 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
+  /* 44483 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
+  /* 44494 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
+  /* 44505 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
+  /* 44516 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
+  /* 44527 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
+  /* 44537 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
+  /* 44545 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
+  /* 44557 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
+  /* 44567 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
+  /* 44576 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
+  /* 44584 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
+  /* 44593 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
+  /* 44603 */ 'I', 'N', '1', '6', 'r', 'r', 0,
+  /* 44610 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
+  /* 44621 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
+  /* 44631 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
+  /* 44639 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
+  /* 44650 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
+  /* 44660 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
+  /* 44668 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
+  /* 44676 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
+  /* 44684 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
+  /* 44692 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
+  /* 44703 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
+  /* 44711 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
+  /* 44721 */ 'B', 'T', '1', '6', 'r', 'r', 0,
+  /* 44728 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 44739 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 44749 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 44759 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
+  /* 44768 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
+  /* 44776 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
+  /* 44784 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'r', 0,
+  /* 44798 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44814 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44830 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44846 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44862 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44878 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44893 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44907 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44921 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44935 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 0,
+  /* 44949 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'r', 0,
+  /* 44962 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
+  /* 44977 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
+  /* 44991 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'r', 0,
+  /* 45004 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
+  /* 45019 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
+  /* 45033 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
+  /* 45049 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
+  /* 45065 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'r', 0,
+  /* 45079 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'r', 0,
+  /* 45101 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45117 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45133 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45149 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45165 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45181 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45196 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45210 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45224 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45238 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 0,
+  /* 45252 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
+  /* 45259 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
+  /* 45266 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
+  /* 45273 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
+  /* 45281 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
+  /* 45288 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
+  /* 45299 */ 'I', 'N', '8', 'r', 'r', 0,
+  /* 45305 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
+  /* 45312 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
+  /* 45319 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
+  /* 45327 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
+  /* 45334 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
+  /* 45341 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', 0,
+  /* 45351 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'r', 0,
+  /* 45360 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'r', 0,
+  /* 45369 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'r', 0,
+  /* 45378 */ 'K', 'A', 'N', 'D', 'B', 'r', 'r', 0,
+  /* 45386 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 0,
+  /* 45398 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 0,
+  /* 45409 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 0,
+  /* 45419 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', 0,
+  /* 45429 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'r', 0,
+  /* 45438 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
+  /* 45450 */ 'M', 'M', 'X', '_', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
+  /* 45465 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'r', 0,
+  /* 45474 */ 'K', 'A', 'N', 'D', 'N', 'B', 'r', 'r', 0,
+  /* 45483 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', 0,
+  /* 45493 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'r', 0,
+  /* 45504 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 0,
+  /* 45516 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 0,
+  /* 45527 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 0,
+  /* 45537 */ 'K', 'O', 'R', 'B', 'r', 'r', 0,
+  /* 45544 */ 'K', 'X', 'N', 'O', 'R', 'B', 'r', 'r', 0,
+  /* 45553 */ 'K', 'X', 'O', 'R', 'B', 'r', 'r', 0,
+  /* 45561 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'r', 0,
+  /* 45571 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'r', 'r', 0,
+  /* 45581 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'r', 0,
+  /* 45591 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'r', 0,
+  /* 45601 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'r', 0,
+  /* 45611 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'r', 0,
+  /* 45622 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'r', 0,
+  /* 45633 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'r', 0,
+  /* 45643 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'r', 0,
+  /* 45653 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'r', 0,
+  /* 45664 */ 'K', 'N', 'O', 'T', 'B', 'r', 'r', 0,
+  /* 45672 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'r', 0,
+  /* 45681 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'r', 0,
+  /* 45696 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'r', 0,
+  /* 45706 */ 'P', 'F', 'S', 'U', 'B', 'r', 'r', 0,
+  /* 45714 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'r', 0,
+  /* 45724 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'r', 0,
+  /* 45736 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'r', 0,
+  /* 45748 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'r', 0,
+  /* 45760 */ 'P', 'F', 'A', 'C', 'C', 'r', 'r', 0,
+  /* 45768 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'r', 0,
+  /* 45777 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'r', 0,
+  /* 45787 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'r', 0,
+  /* 45797 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'r', 0,
+  /* 45807 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'r', 0,
+  /* 45817 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 0,
+  /* 45828 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 0,
+  /* 45839 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'W', '2', 'D', 'r', 'r', 0,
+  /* 45857 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'r', 0,
+  /* 45866 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
+  /* 45875 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
+  /* 45887 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'r', 0,
+  /* 45898 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'r', 0,
+  /* 45910 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', 0,
+  /* 45920 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'r', 0,
+  /* 45929 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'r', 0,
+  /* 45941 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'r', 0,
+  /* 45953 */ 'P', 'F', 'A', 'D', 'D', 'r', 'r', 0,
+  /* 45961 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'r', 0,
+  /* 45971 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'r', 0,
+  /* 45980 */ 'K', 'A', 'N', 'D', 'D', 'r', 'r', 0,
+  /* 45988 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'r', 0,
+  /* 45999 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'r', 0,
+  /* 46011 */ 'P', 'I', '2', 'F', 'D', 'r', 'r', 0,
+  /* 46019 */ 'P', 'F', '2', 'I', 'D', 'r', 'r', 0,
+  /* 46027 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'r', 0,
+  /* 46036 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
+  /* 46045 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
+  /* 46057 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'r', 0,
+  /* 46067 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
+  /* 46076 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
+  /* 46088 */ 'V', 'P', 'A', 'N', 'D', 'r', 'r', 0,
+  /* 46096 */ 'K', 'A', 'N', 'D', 'N', 'D', 'r', 'r', 0,
+  /* 46105 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', 0,
+  /* 46115 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 0,
+  /* 46127 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 0,
+  /* 46140 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'r', 0,
+  /* 46152 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'r', 0,
+  /* 46164 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 0,
+  /* 46176 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', 0,
+  /* 46186 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'r', 0,
+  /* 46195 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
+  /* 46207 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
+  /* 46217 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
+  /* 46226 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'r', 0,
+  /* 46236 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'r', 0,
+  /* 46246 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 46256 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 46265 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 46274 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
+  /* 46285 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'r', 0,
+  /* 46297 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'r', 'r', 0,
+  /* 46309 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'r', 0,
+  /* 46321 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'r', 0,
+  /* 46333 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'r', 0,
+  /* 46342 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
+  /* 46352 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
+  /* 46364 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'r', 0,
+  /* 46373 */ 'V', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 46381 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 46390 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 46401 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'r', 0,
+  /* 46411 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'r', 0,
+  /* 46421 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', 0,
+  /* 46431 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'r', 0,
+  /* 46443 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'r', 0,
+  /* 46452 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'r', 0,
+  /* 46461 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 0,
+  /* 46471 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'r', 0,
+  /* 46482 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 0,
+  /* 46494 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 0,
+  /* 46505 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 0,
+  /* 46515 */ 'K', 'O', 'R', 'D', 'r', 'r', 0,
+  /* 46522 */ 'K', 'X', 'N', 'O', 'R', 'D', 'r', 'r', 0,
+  /* 46531 */ 'K', 'X', 'O', 'R', 'D', 'r', 'r', 0,
+  /* 46539 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'r', 0,
+  /* 46549 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'r', 'r', 0,
+  /* 46559 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
+  /* 46575 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
+  /* 46590 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
+  /* 46606 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
+  /* 46621 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'r', 0,
+  /* 46632 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'r', 0,
+  /* 46645 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 0,
+  /* 46656 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 0,
+  /* 46669 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', 0,
+  /* 46678 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'r', 0,
+  /* 46688 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'r', 0,
+  /* 46698 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', 0,
+  /* 46707 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 46722 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 46736 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 46750 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
+  /* 46763 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', 0,
+  /* 46772 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
+  /* 46782 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
+  /* 46791 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
+  /* 46804 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
+  /* 46816 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', 0,
+  /* 46825 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', 0,
+  /* 46834 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
+  /* 46844 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
+  /* 46853 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'r', 0,
+  /* 46863 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'r', 0,
+  /* 46876 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 0,
+  /* 46890 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'r', 0,
+  /* 46901 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 0,
+  /* 46912 */ 'K', 'N', 'O', 'T', 'D', 'r', 'r', 0,
+  /* 46920 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'r', 0,
+  /* 46929 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'r', 0,
+  /* 46944 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'r', 0,
+  /* 46954 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'r', 0,
+  /* 46964 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'r', 0,
+  /* 46974 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'r', 0,
+  /* 46984 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'r', 0,
+  /* 46994 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'r', 0,
+  /* 47005 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
+  /* 47016 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
+  /* 47027 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'r', 0,
+  /* 47040 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'r', 0,
+  /* 47053 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 47064 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 47076 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 47088 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
+  /* 47101 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'r', 0,
+  /* 47113 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'r', 0,
+  /* 47125 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'r', 0,
+  /* 47137 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'r', 0,
+  /* 47147 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'r', 0,
+  /* 47159 */ 'L', 'D', '_', 'F', 'r', 'r', 0,
+  /* 47166 */ 'S', 'T', '_', 'F', 'r', 'r', 0,
+  /* 47173 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'r', 'r', 0,
+  /* 47185 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
+  /* 47197 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
+  /* 47210 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'r', 'r', 0,
+  /* 47223 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'r', 'r', 0,
+  /* 47235 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'r', 0,
+  /* 47248 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'r', 'r', 0,
+  /* 47261 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'r', 0,
+  /* 47275 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'r', 0,
+  /* 47292 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'r', 'r', 0,
+  /* 47306 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'r', 0,
+  /* 47319 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'r', 0,
+  /* 47332 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
+  /* 47349 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
+  /* 47365 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
+  /* 47377 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
+  /* 47394 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
+  /* 47410 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
+  /* 47422 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
+  /* 47434 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
+  /* 47447 */ 'P', 'F', 'M', 'U', 'L', 'r', 'r', 0,
+  /* 47455 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'r', 0,
+  /* 47464 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'r', 0,
+  /* 47473 */ 'P', 'F', 'M', 'I', 'N', 'r', 'r', 0,
+  /* 47481 */ 'P', 'F', 'R', 'C', 'P', 'r', 'r', 0,
+  /* 47489 */ 'S', 'T', '_', 'F', 'P', 'r', 'r', 0,
+  /* 47497 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'r', 0,
+  /* 47508 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'r', 0,
+  /* 47520 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'r', 0,
+  /* 47532 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'F', 'R', '6', '4', '2', 'Q', 'r', 'r', 0,
+  /* 47548 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'B', '2', 'Q', 'r', 'r', 0,
+  /* 47566 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 0,
+  /* 47577 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', 'Q', '2', 'Q', 'r', 'r', 0,
+  /* 47591 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 0,
+  /* 47602 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'r', 0,
+  /* 47611 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'r', 0,
+  /* 47622 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'r', 0,
+  /* 47634 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'r', 0,
+  /* 47643 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'r', 0,
+  /* 47655 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'r', 0,
+  /* 47667 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 47680 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 47692 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 47706 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 47719 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
+  /* 47731 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'r', 0,
+  /* 47742 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'r', 0,
+  /* 47751 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'r', 0,
+  /* 47762 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'r', 0,
+  /* 47775 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'r', 0,
+  /* 47788 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'r', 0,
+  /* 47798 */ 'K', 'A', 'N', 'D', 'Q', 'r', 'r', 0,
+  /* 47806 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'r', 0,
+  /* 47820 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
+  /* 47834 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
+  /* 47847 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'r', 0,
+  /* 47859 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'r', 0,
+  /* 47870 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'r', 0,
+  /* 47882 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'r', 0,
+  /* 47894 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'r', 0,
+  /* 47904 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'r', 0,
+  /* 47913 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
+  /* 47922 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
+  /* 47934 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
+  /* 47943 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
+  /* 47955 */ 'K', 'A', 'N', 'D', 'N', 'Q', 'r', 'r', 0,
+  /* 47964 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'r', 0,
+  /* 47975 */ 'K', 'O', 'R', 'Q', 'r', 'r', 0,
+  /* 47982 */ 'K', 'X', 'N', 'O', 'R', 'Q', 'r', 'r', 0,
+  /* 47991 */ 'K', 'X', 'O', 'R', 'Q', 'r', 'r', 0,
+  /* 47999 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'r', 0,
+  /* 48009 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'r', 'r', 0,
+  /* 48019 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 0,
+  /* 48033 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'r', 0,
+  /* 48044 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 0,
+  /* 48055 */ 'K', 'N', 'O', 'T', 'Q', 'r', 'r', 0,
+  /* 48063 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'r', 0,
+  /* 48072 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'r', 0,
+  /* 48087 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'r', 0,
+  /* 48097 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'r', 0,
+  /* 48107 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'r', 0,
+  /* 48118 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'r', 0,
+  /* 48130 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'r', 0,
+  /* 48142 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'r', 0,
+  /* 48154 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'r', 0,
+  /* 48163 */ 'V', 'P', 'O', 'R', 'r', 'r', 0,
+  /* 48170 */ 'V', 'P', 'X', 'O', 'R', 'r', 'r', 0,
+  /* 48178 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'r', 0,
+  /* 48190 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'r', 0,
+  /* 48202 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 0,
+  /* 48214 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 0,
+  /* 48227 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'r', 0,
+  /* 48239 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 0,
+  /* 48251 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', 0,
+  /* 48261 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
+  /* 48273 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
+  /* 48283 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
+  /* 48292 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'r', 0,
+  /* 48302 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'r', 0,
+  /* 48312 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 48322 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 48331 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 48340 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
+  /* 48351 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'r', 0,
+  /* 48363 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'r', 'r', 0,
+  /* 48374 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'r', 'r', 0,
+  /* 48386 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 48397 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 48409 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 48421 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'r', 0,
+  /* 48430 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
+  /* 48440 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
+  /* 48452 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'r', 0,
+  /* 48461 */ 'V', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 48469 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 48478 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 48489 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'r', 0,
+  /* 48499 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'r', 'r', 0,
+  /* 48512 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'r', 0,
+  /* 48524 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'r', 0,
+  /* 48534 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', 0,
+  /* 48544 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'r', 0,
+  /* 48556 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'r', 0,
+  /* 48565 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'r', 0,
+  /* 48574 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 0,
+  /* 48584 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
+  /* 48600 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
+  /* 48615 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'r', 0,
+  /* 48627 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
+  /* 48643 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
+  /* 48658 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'r', 0,
+  /* 48669 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'r', 0,
+  /* 48682 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 0,
+  /* 48693 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 0,
+  /* 48706 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', 0,
+  /* 48715 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'r', 0,
+  /* 48725 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'r', 0,
+  /* 48735 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', 0,
+  /* 48744 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 48759 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 48773 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 48787 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
+  /* 48800 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', 0,
+  /* 48809 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', 0,
+  /* 48818 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
+  /* 48831 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
+  /* 48843 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'r', 0,
+  /* 48858 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', 0,
+  /* 48867 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', 0,
+  /* 48876 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', 0,
+  /* 48885 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'r', 0,
+  /* 48895 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'r', 0,
+  /* 48905 */ 'M', 'W', 'A', 'I', 'T', 'r', 'r', 0,
+  /* 48913 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'r', 0,
+  /* 48923 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
+  /* 48937 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
+  /* 48951 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'r', 0,
+  /* 48960 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', 0,
+  /* 48970 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 0,
+  /* 48979 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'r', 0,
+  /* 48988 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
+  /* 48997 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
+  /* 49009 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'r', 0,
+  /* 49020 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 0,
+  /* 49030 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'r', 0,
+  /* 49041 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'r', 0,
+  /* 49054 */ 'K', 'U', 'N', 'P', 'C', 'K', 'B', 'W', 'r', 'r', 0,
+  /* 49065 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'r', 0,
+  /* 49078 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'r', 0,
+  /* 49090 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', 0,
+  /* 49100 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'r', 0,
+  /* 49109 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'r', 0,
+  /* 49121 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'r', 0,
+  /* 49133 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', 0,
+  /* 49143 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'r', 0,
+  /* 49152 */ 'K', 'A', 'N', 'D', 'W', 'r', 'r', 0,
+  /* 49160 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 49172 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 49184 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 49196 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 0,
+  /* 49207 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 0,
+  /* 49217 */ 'P', 'I', '2', 'F', 'W', 'r', 'r', 0,
+  /* 49225 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'r', 0,
+  /* 49234 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'r', 0,
+  /* 49244 */ 'P', 'F', '2', 'I', 'W', 'r', 'r', 0,
+  /* 49252 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'r', 0,
+  /* 49261 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
+  /* 49270 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
+  /* 49282 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'r', 0,
+  /* 49292 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
+  /* 49301 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
+  /* 49313 */ 'K', 'A', 'N', 'D', 'N', 'W', 'r', 'r', 0,
+  /* 49322 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', 0,
+  /* 49332 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'r', 0,
+  /* 49343 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 0,
+  /* 49355 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 0,
+  /* 49366 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 0,
+  /* 49376 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'r', 0,
+  /* 49386 */ 'K', 'O', 'R', 'W', 'r', 'r', 0,
+  /* 49393 */ 'K', 'X', 'N', 'O', 'R', 'W', 'r', 'r', 0,
+  /* 49402 */ 'K', 'X', 'O', 'R', 'W', 'r', 'r', 0,
+  /* 49410 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'r', 0,
+  /* 49420 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'r', 0,
+  /* 49430 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'r', 0,
+  /* 49440 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'r', 0,
+  /* 49451 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'r', 0,
+  /* 49462 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'r', 0,
+  /* 49472 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'r', 0,
+  /* 49483 */ 'K', 'N', 'O', 'T', 'W', 'r', 'r', 0,
+  /* 49491 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'r', 0,
+  /* 49500 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'r', 0,
+  /* 49515 */ 'K', 'O', 'R', 'T', 'E', 'S', 'T', 'W', 'r', 'r', 0,
+  /* 49526 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'r', 0,
+  /* 49537 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'r', 0,
+  /* 49547 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'r', 0,
+  /* 49557 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'r', 0,
+  /* 49568 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'r', 0,
+  /* 49580 */ 'P', 'F', 'M', 'A', 'X', 'r', 'r', 0,
+  /* 49588 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', 0,
+  /* 49599 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'r', 0,
+  /* 49609 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'r', 0,
+  /* 49619 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'r', 0,
+  /* 49630 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'r', 0,
+  /* 49640 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'Y', 'r', 'r', 0,
+  /* 49653 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'r', 0,
+  /* 49664 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'r', 0,
+  /* 49676 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 49687 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 49698 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 49709 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 49721 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 49733 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'r', 0,
+  /* 49744 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'r', 0,
+  /* 49756 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'r', 0,
+  /* 49772 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'r', 0,
+  /* 49783 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'r', 0,
+  /* 49794 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'r', 0,
+  /* 49807 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
+  /* 49820 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
+  /* 49833 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'r', 0,
+  /* 49843 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 49854 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 49864 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 49877 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
+  /* 49890 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
+  /* 49901 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
+  /* 49911 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
+  /* 49921 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
+  /* 49932 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'r', 0,
+  /* 49942 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'r', 0,
+  /* 49952 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'r', 0,
+  /* 49961 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'r', 0,
+  /* 49972 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 49985 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 49998 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50009 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50022 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50033 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50043 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50054 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50065 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50076 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50086 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50096 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50109 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50122 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50135 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50148 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50158 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50169 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50179 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50188 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50198 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50209 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50220 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50233 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50243 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'r', 0,
+  /* 50253 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'r', 0,
+  /* 50265 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'r', 0,
+  /* 50276 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'r', 0,
+  /* 50292 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'r', 0,
+  /* 50303 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'r', 0,
+  /* 50315 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'r', 0,
+  /* 50331 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'r', 0,
+  /* 50342 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'r', 0,
+  /* 50353 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'r', 0,
+  /* 50364 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
+  /* 50375 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
+  /* 50386 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 50398 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 50412 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 50426 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 50439 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
+  /* 50452 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'r', 'r', 0,
+  /* 50465 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'r', 0,
+  /* 50475 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
+  /* 50487 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
+  /* 50500 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
+  /* 50513 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'r', 0,
+  /* 50523 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
+  /* 50536 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
+  /* 50549 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50563 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50576 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50590 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50603 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50613 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50627 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50641 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50652 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50667 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50682 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50694 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50707 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
+  /* 50720 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'r', 0,
+  /* 50730 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'r', 0,
+  /* 50740 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'r', 0,
+  /* 50752 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'r', 0,
+  /* 50764 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'r', 0,
+  /* 50780 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
+  /* 50791 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
+  /* 50802 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
+  /* 50815 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
+  /* 50828 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'r', 0,
+  /* 50836 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'r', 0,
+  /* 50845 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50858 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50871 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50884 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50895 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50908 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50919 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50929 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50940 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50951 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50962 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50972 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50982 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 50995 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51008 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51021 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51034 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51044 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51055 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51066 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51076 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51085 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51095 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51106 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51117 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51130 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51140 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'r', 0,
+  /* 51150 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'r', 0,
+  /* 51166 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'r', 0,
+  /* 51176 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', 0,
+  /* 51187 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'r', 0,
+  /* 51197 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 51208 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 51222 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 51236 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 51247 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 51257 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 51270 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
+  /* 51283 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 51294 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 51304 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 51317 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
+  /* 51330 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'r', 0,
+  /* 51340 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'r', 0,
+  /* 51351 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
+  /* 51361 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
+  /* 51372 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'r', 0,
+  /* 51382 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'r', 0,
+  /* 51393 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'r', 0,
+  /* 51405 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 51416 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 51427 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 51438 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 51450 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 51462 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'r', 0,
+  /* 51473 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'r', 0,
+  /* 51485 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'r', 0,
+  /* 51501 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'r', 0,
+  /* 51513 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'r', 0,
+  /* 51524 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'r', 0,
+  /* 51535 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 0,
+  /* 51548 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 0,
+  /* 51561 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51574 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51593 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51613 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51633 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51648 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51668 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51683 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51704 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51720 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51741 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51757 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51776 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51796 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51809 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51823 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
+  /* 51838 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 0,
+  /* 51851 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 0,
+  /* 51863 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 0,
+  /* 51873 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 0,
+  /* 51883 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
+  /* 51896 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
+  /* 51909 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 0,
+  /* 51919 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 0,
+  /* 51929 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
+  /* 51939 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
+  /* 51950 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 0,
+  /* 51960 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 51973 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 51986 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 51996 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'r', 0,
+  /* 52008 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 0,
+  /* 52019 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52032 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52046 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52059 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52070 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52080 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52090 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52103 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52116 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52126 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52139 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52150 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52160 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52171 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52182 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52192 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 0,
+  /* 52202 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'r', 0,
+  /* 52214 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
+  /* 52223 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
+  /* 52233 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52248 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52264 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52281 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52299 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52312 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52322 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52332 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52342 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52358 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52373 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52383 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52394 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52404 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52414 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52430 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52440 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52450 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52461 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52471 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'Z', 'r', 'r', 0,
+  /* 52485 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'r', 0,
+  /* 52497 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'r', 0,
+  /* 52513 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 0,
+  /* 52524 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 0,
+  /* 52535 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'r', 0,
+  /* 52546 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
+  /* 52557 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
+  /* 52568 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
+  /* 52581 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
+  /* 52594 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'r', 'r', 0,
+  /* 52607 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'r', 'r', 0,
+  /* 52621 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'r', 'r', 0,
+  /* 52634 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'r', 0,
+  /* 52648 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
+  /* 52666 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
+  /* 52681 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52699 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52712 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52730 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52743 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52762 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52776 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52795 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
+  /* 52809 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
+  /* 52821 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
+  /* 52834 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
+  /* 52847 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 0,
+  /* 52857 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 0,
+  /* 52867 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
+  /* 52880 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
+  /* 52893 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52907 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52920 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52934 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52947 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52957 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52971 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52985 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 52996 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53006 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53021 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53036 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53051 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53065 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53080 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53094 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53106 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53119 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
+  /* 53132 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 0,
+  /* 53142 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 0,
+  /* 53152 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 53165 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 53178 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 53188 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'r', 0,
+  /* 53200 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 0,
+  /* 53211 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'r', 0,
+  /* 53223 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
+  /* 53232 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
+  /* 53242 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 0,
+  /* 53252 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 0,
+  /* 53263 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 0,
+  /* 53274 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'r', 0,
+  /* 53286 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'r', 0,
+  /* 53302 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 0,
+  /* 53313 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 0,
+  /* 53324 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'r', 0,
+  /* 53335 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
+  /* 53346 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
+  /* 53357 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
+  /* 53370 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
+  /* 53383 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53396 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53409 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53422 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53436 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53447 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53457 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53467 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53480 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53492 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53504 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53517 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53527 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53540 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53551 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53561 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53572 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53583 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53593 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 0,
+  /* 53603 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53618 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53634 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53647 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53660 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53677 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53695 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53705 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53715 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53731 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53746 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53756 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53766 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53776 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53792 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53802 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53812 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'r', 0,
+  /* 53822 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'r', 'r', 0,
+  /* 53839 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'r', 'r', 0,
+  /* 53856 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'g', 'r', 'r', 0,
+  /* 53870 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'r', 0,
+  /* 53887 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'r', 0,
+  /* 53900 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'r', 0,
+  /* 53913 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'r', 0,
+  /* 53926 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'r', 0,
+  /* 53941 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 53955 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 53969 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 53984 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'r', 0,
+  /* 53999 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'r', 0,
+  /* 54014 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'r', 0,
+  /* 54028 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'r', 0,
+  /* 54042 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'r', 0,
+  /* 54058 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'r', 0,
+  /* 54074 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'r', 0,
+  /* 54087 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'r', 0,
+  /* 54100 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'r', 0,
+  /* 54112 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'r', 0,
+  /* 54128 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'r', 0,
+  /* 54143 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'r', 0,
+  /* 54158 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'r', 0,
+  /* 54173 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'r', 0,
+  /* 54190 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'r', 0,
+  /* 54207 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 54224 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 54240 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 54257 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
+  /* 54273 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'r', 0,
+  /* 54286 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'r', 0,
+  /* 54299 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 54312 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 54329 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 54346 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'r', 0,
+  /* 54361 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'r', 0,
+  /* 54372 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'r', 0,
+  /* 54384 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'r', 0,
+  /* 54400 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 54414 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 54431 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 54448 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'r', 0,
+  /* 54461 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'r', 0,
+  /* 54474 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'r', 0,
+  /* 54490 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'r', 0,
+  /* 54503 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'r', 0,
+  /* 54517 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'r', 0,
+  /* 54531 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'r', 0,
+  /* 54546 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 54560 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 54574 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 54588 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 54603 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 54618 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'r', 0,
+  /* 54632 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'r', 0,
+  /* 54647 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'r', 0,
+  /* 54662 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'r', 0,
+  /* 54679 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'r', 0,
+  /* 54696 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'k', 'r', 'r', 0,
+  /* 54714 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'k', 'r', 'r', 0,
+  /* 54732 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 'r', 'r', 'r', 0,
+  /* 54743 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'r', 'r', 0,
+  /* 54757 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'r', 0,
+  /* 54770 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
+  /* 54778 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
+  /* 54786 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
+  /* 54794 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
+  /* 54802 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
+  /* 54810 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
+  /* 54818 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
+  /* 54826 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
+  /* 54834 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
+  /* 54842 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 54857 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 54872 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54885 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54898 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54910 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54924 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54938 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54953 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54968 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54983 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 54998 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 55013 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 55029 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 55044 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 55060 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 55075 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 55090 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55103 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55115 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55128 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55140 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55152 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55163 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55177 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55191 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55206 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55221 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55236 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55251 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55266 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55282 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55297 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55313 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55328 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 55343 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55359 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55376 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55392 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55409 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55425 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55442 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55458 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 'k', '_', 'a', 'l', 't', 0,
+  /* 55475 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55496 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55517 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55538 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55559 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55580 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55600 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55619 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55638 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55657 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55676 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55697 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55718 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55739 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55760 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55781 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55801 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55820 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55839 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55858 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55877 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55895 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55913 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55931 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55949 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55967 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 55984 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 56000 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 56016 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 56032 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', '_', 'a', 'l', 't', 0,
+  /* 56048 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', '_', 'a', 'l', 't', 0,
+  /* 56061 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', '_', 'a', 'l', 't', 0,
+  /* 56074 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56094 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56114 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56134 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56154 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56174 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56193 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56211 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56229 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56247 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56265 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56285 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56305 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56325 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56345 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56365 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56384 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56402 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56420 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56438 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56456 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56469 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56482 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56499 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56516 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56533 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56550 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56567 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56583 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56598 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56613 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56628 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', '_', 'a', 'l', 't', 0,
+  /* 56643 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56665 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56687 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56709 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56731 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56753 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56774 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56794 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56814 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56834 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56854 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56876 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56898 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56920 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56942 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56964 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 56985 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57005 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57025 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57045 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57065 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57084 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57103 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57122 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57141 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57160 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57178 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57195 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57212 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57229 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', '_', 'a', 'l', 't', 0,
+  /* 57246 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', '_', 'I', 'n', 't', 0,
+  /* 57259 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 57271 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 57285 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 57297 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 57311 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
+  /* 57324 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
+  /* 57337 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
+  /* 57352 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', '_', 'I', 'n', 't', 0,
+  /* 57366 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', '_', 'I', 'n', 't', 0,
+  /* 57380 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57396 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57413 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57429 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57446 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57462 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57479 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57495 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57512 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57525 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57538 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57551 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57564 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57577 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57590 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57603 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57616 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57629 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57642 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57655 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
+  /* 57668 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
+  /* 57682 */ 'S', 'Q', 'R', 'T', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
+  /* 57694 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 57706 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 57720 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 57734 */ 'R', 'C', 'P', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 57745 */ 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
+  /* 57758 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
+  /* 57771 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
+  /* 57786 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', '_', 'I', 'n', 't', 0,
+  /* 57800 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', '_', 'I', 'n', 't', 0,
+  /* 57814 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57830 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57847 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57863 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57880 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57896 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57913 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57929 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
+  /* 57946 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 57962 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 57979 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 57995 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58012 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58028 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58045 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58061 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58078 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58091 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58104 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58117 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58130 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58143 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58156 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58169 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58182 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58195 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58208 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58221 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
+  /* 58234 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58251 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58265 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58282 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58296 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58309 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58322 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58335 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58349 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58363 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58376 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58389 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58402 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58415 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58428 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58441 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58453 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58466 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58479 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58493 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58507 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58521 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58535 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58548 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58561 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58575 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58588 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58603 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58617 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58629 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58642 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58655 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58669 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58683 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58697 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58711 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58724 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58737 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58750 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58763 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58776 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 'k', 'z', 0,
+  /* 58789 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 'k', 'z', 0,
+  /* 58802 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 'k', 'z', 0,
+  /* 58815 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58833 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58851 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58869 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58887 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58905 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58922 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58938 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58954 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58970 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'm', 'k', 'z', 0,
+  /* 58986 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59004 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59022 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59040 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59058 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59076 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59093 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59109 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59125 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59141 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'm', 'k', 'z', 0,
+  /* 59157 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 59170 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 59183 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 59197 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 59211 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 59227 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'm', 'k', 'z', 0,
+  /* 59240 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 59253 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 59266 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 59282 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
+  /* 59295 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 'k', 'z', 0,
+  /* 59309 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 'k', 'z', 0,
+  /* 59323 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59338 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59353 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59368 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59383 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59398 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59412 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59424 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59439 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59454 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59466 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59478 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59491 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59504 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59517 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59529 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59541 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59553 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59565 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59578 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59590 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59602 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59613 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59625 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59637 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59650 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59663 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59676 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59689 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59704 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59719 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59731 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59746 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59761 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59773 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59786 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59798 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59812 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59827 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59842 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59855 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59866 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59878 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59890 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59903 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59916 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59929 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59942 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59957 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59972 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59985 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 59997 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 60009 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 60021 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 60033 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 60046 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 60058 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'k', 'z', 0,
+  /* 60070 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60088 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60106 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60124 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60142 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60160 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60177 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60193 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60209 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60225 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '2', '5', '6', 'r', 'r', 'k', 'z', 0,
+  /* 60241 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60259 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60277 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60295 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60313 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60331 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60348 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60364 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60380 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60396 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', '1', '2', '8', 'r', 'r', 'k', 'z', 0,
+  /* 60412 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 60426 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 60439 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 60451 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 60465 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 60478 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 'k', 'z', 0,
+  /* 60490 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60503 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60516 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60530 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60544 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60558 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60571 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60583 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60599 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'D', 'r', 'r', 'k', 'z', 0,
+  /* 60612 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 60625 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 60638 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 60654 */ 'V', 'P', 'L', 'Z', 'C', 'N', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
+  /* 60667 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 'k', 'z', 0,
+  /* 60681 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 'k', 'z', 0,
+  /* 60695 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 60709 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 60722 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 60734 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 60748 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 60761 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 'k', 'z', 0,
+  /* 60773 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60788 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60803 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60818 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60833 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '1', '6', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60848 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '8', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60862 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60874 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60889 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60904 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60916 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60928 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60941 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60954 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60967 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60979 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 60991 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61003 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61015 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61028 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61040 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61052 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61063 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61075 */ 'V', 'P', 'A', 'B', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61087 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61100 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61113 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61126 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61139 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61154 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61169 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61181 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61196 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61211 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61223 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61236 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61248 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61262 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61277 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61292 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61305 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61316 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61328 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61340 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61353 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61366 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61379 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61392 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61407 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61422 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61435 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61447 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61459 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61471 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61483 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61496 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
+  /* 61508 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 'k', 'z', 0,
 };
 
 static const unsigned X86InstrNameIndices[] = {
-    8864U, 9991U, 9612U, 9625U, 9603U, 9673U, 8750U, 8765U, 
-    8573U, 8807U, 10399U, 8550U, 8427U, 12053U, 8467U, 10529U, 
-    8354U, 10050U, 10509U, 8041U, 6851U, 6872U, 10301U, 8685U, 
-    3187U, 4999U, 456U, 24174U, 22540U, 23415U, 24395U, 5950U, 
-    15500U, 7186U, 36640U, 16877U, 7541U, 23841U, 40099U, 11359U, 
-    2147U, 15227U, 6924U, 35939U, 16621U, 7345U, 22003U, 38132U, 
-    10827U, 2220U, 2318U, 7055U, 36108U, 2450U, 7443U, 22707U, 
-    38823U, 10923U, 6844U, 15667U, 36822U, 17019U, 24340U, 40624U, 
-    11453U, 22556U, 38672U, 23431U, 39562U, 5959U, 15513U, 7209U, 
-    36661U, 16885U, 7559U, 8171U, 8194U, 23850U, 40116U, 8227U, 
-    11371U, 2156U, 15240U, 6947U, 35960U, 16629U, 7363U, 8147U, 
-    8183U, 22054U, 38191U, 8205U, 10839U, 2229U, 2333U, 7078U, 
-    36129U, 2460U, 8134U, 7461U, 8159U, 22758U, 38882U, 8216U, 
-    10935U, 6858U, 15679U, 36834U, 17026U, 7629U, 24348U, 40632U, 
-    11464U, 25168U, 41540U, 27083U, 43521U, 25621U, 50993U, 41976U, 
-    51587U, 27517U, 51071U, 43943U, 51665U, 25116U, 41488U, 27031U, 
-    43469U, 19493U, 20203U, 20539U, 19575U, 656U, 33440U, 3089U, 
-    19800U, 4901U, 20410U, 2809U, 358U, 2583U, 4264U, 2921U, 
-    4516U, 222U, 2669U, 4350U, 68U, 593U, 1774U, 3782U, 
-    1804U, 3821U, 22583U, 38699U, 23458U, 39589U, 27697U, 44131U, 
-    24717U, 41097U, 27711U, 44145U, 24737U, 41117U, 24727U, 41107U, 
-    24305U, 40589U, 5968U, 15526U, 7223U, 36674U, 16893U, 7568U, 
-    23858U, 40124U, 11383U, 2165U, 15253U, 6961U, 35973U, 16637U, 
-    7372U, 22062U, 38199U, 10851U, 2238U, 2348U, 7092U, 36142U, 
-    2470U, 7470U, 22766U, 38890U, 10947U, 6865U, 15691U, 36846U, 
-    17033U, 7637U, 24355U, 40639U, 11475U, 22287U, 38424U, 23141U, 
-    39265U, 25284U, 41635U, 27199U, 43638U, 25186U, 41558U, 27101U, 
-    43539U, 36692U, 40227U, 1462U, 5615U, 1655U, 3548U, 1474U, 
-    6698U, 5827U, 1984U, 4000U, 1560U, 6784U, 5662U, 1745U, 
-    3741U, 1499U, 6727U, 5625U, 1665U, 3558U, 1486U, 6707U, 
-    5693U, 1841U, 3863U, 1537U, 6755U, 1450U, 1524U, 5837U, 
-    1994U, 4010U, 1572U, 6793U, 5672U, 1755U, 3751U, 1511U, 
-    6736U, 5702U, 1850U, 3882U, 1548U, 6763U, 10309U, 540U, 
-    563U, 22388U, 38540U, 23255U, 39403U, 15261U, 16645U, 15395U, 
-    16769U, 22236U, 38373U, 23090U, 39214U, 22177U, 38314U, 22929U, 
-    39053U, 22011U, 38140U, 22715U, 38831U, 22204U, 38341U, 23058U, 
-    39182U, 22398U, 38550U, 23265U, 39413U, 16248U, 17902U, 16301U, 
-    17955U, 725U, 769U, 737U, 781U, 22248U, 38385U, 23102U, 
-    39226U, 22195U, 38332U, 23049U, 39173U, 22021U, 38150U, 22725U, 
-    38841U, 22215U, 38352U, 23069U, 39193U, 22379U, 38523U, 23246U, 
-    39386U, 24070U, 22415U, 23931U, 40197U, 22147U, 38284U, 22899U, 
-    39023U, 24054U, 40336U, 22371U, 38515U, 23238U, 39378U, 34204U, 
-    34697U, 7286U, 36750U, 7613U, 40381U, 7024U, 36040U, 7417U, 
-    38588U, 7155U, 36235U, 7515U, 39486U, 7195U, 36648U, 7550U, 
-    40107U, 6933U, 35947U, 7354U, 38171U, 7064U, 36116U, 7452U, 
-    38862U, 7268U, 36734U, 7595U, 40344U, 7006U, 36024U, 7399U, 
-    38532U, 7137U, 36219U, 7497U, 39395U, 7277U, 36742U, 7604U, 
-    40363U, 7015U, 36032U, 7408U, 38570U, 7146U, 36227U, 7506U, 
-    39468U, 22186U, 38323U, 22938U, 39062U, 20594U, 34824U, 19630U, 
-    34188U, 20274U, 2533U, 34681U, 6013U, 2547U, 11775U, 10109U, 
-    8496U, 8691U, 3196U, 5008U, 465U, 8282U, 8314U, 8345U, 
-    8846U, 8854U, 8868U, 10424U, 8318U, 23805U, 40063U, 21967U, 
-    38096U, 22671U, 38787U, 23866U, 40132U, 22070U, 38207U, 22822U, 
-    38946U, 23831U, 40089U, 21993U, 38122U, 22697U, 38813U, 23877U, 
-    40143U, 22081U, 38218U, 22833U, 38957U, 8630U, 3111U, 4923U, 
-    380U, 8612U, 3078U, 4890U, 347U, 23921U, 40187U, 22137U, 
-    38274U, 22889U, 39013U, 8648U, 3135U, 4947U, 404U, 23951U, 
-    40217U, 22167U, 38304U, 22919U, 39043U, 23888U, 40154U, 22092U, 
-    38229U, 22844U, 38968U, 23978U, 40253U, 22277U, 38414U, 23131U, 
-    39255U, 23899U, 40165U, 22103U, 38240U, 22855U, 38979U, 8620U, 
-    3098U, 4910U, 367U, 8603U, 3057U, 4869U, 326U, 23910U, 
-    40176U, 22114U, 38251U, 22866U, 38990U, 8639U, 3123U, 4935U, 
-    392U, 23988U, 40270U, 22296U, 38440U, 23150U, 39274U, 24017U, 
-    40299U, 22334U, 38478U, 23188U, 39312U, 8668U, 3164U, 4976U, 
-    433U, 24105U, 40352U, 22450U, 38559U, 23290U, 39422U, 23999U, 
-    40281U, 22307U, 38451U, 23161U, 39285U, 24028U, 40310U, 22345U, 
-    38489U, 23199U, 39323U, 8677U, 3176U, 4988U, 445U, 24124U, 
-    40371U, 22469U, 38578U, 23344U, 39476U, 1821U, 3853U, 5683U, 
-    1831U, 6746U, 1793U, 3801U, 44U, 1696U, 3639U, 3698U, 
-    1685U, 3650U, 3709U, 1708U, 3661U, 3720U, 5977U, 15566U, 
-    7232U, 36701U, 16940U, 7577U, 24009U, 40291U, 11395U, 2174U, 
-    15304U, 6970U, 35991U, 16695U, 7381U, 22326U, 38470U, 10863U, 
-    2257U, 2358U, 7101U, 36173U, 2480U, 7479U, 23180U, 39304U, 
-    10959U, 6879U, 15726U, 36853U, 17074U, 24373U, 40663U, 11486U, 
-    16280U, 50201U, 17934U, 50454U, 16333U, 50215U, 17987U, 50468U, 
-    5796U, 1944U, 3933U, 6778U, 25718U, 50634U, 42073U, 50660U, 
-    27604U, 50647U, 44030U, 50673U, 8102U, 23939U, 40205U, 22155U, 
-    38292U, 22907U, 39031U, 8114U, 24362U, 40646U, 25635U, 41990U, 
-    27531U, 43957U, 33470U, 35172U, 35135U, 33460U, 8697U, 3205U, 
-    5017U, 474U, 1647U, 3540U, 10041U, 6025U, 2773U, 7818U, 
-    6093U, 3277U, 7929U, 4491U, 7829U, 5089U, 7940U, 11993U, 
-    25048U, 41433U, 26984U, 43435U, 26474U, 42939U, 26935U, 43386U, 
-    26499U, 42978U, 25060U, 41445U, 22985U, 39109U, 26217U, 42624U, 
-    27370U, 43796U, 22779U, 38903U, 25477U, 41832U, 23306U, 39438U, 
-    27413U, 43839U, 25508U, 41863U, 23036U, 39160U, 26262U, 42669U, 
-    26462U, 42926U, 26486U, 42965U, 22952U, 39076U, 26188U, 42595U, 
-    23003U, 39127U, 26233U, 42640U, 8395U, 8449U, 8045U, 10305U, 
-    11911U, 20485U, 34765U, 34918U, 34265U, 19465U, 34120U, 20758U, 
-    34938U, 19770U, 34295U, 20175U, 34622U, 20783U, 34958U, 20716U, 
-    34885U, 19763U, 34250U, 20393U, 34743U, 20820U, 34999U, 25398U, 
-    41736U, 27325U, 43764U, 19530U, 20240U, 20570U, 19606U, 690U, 
-    33492U, 19840U, 20450U, 2858U, 2620U, 4301U, 2961U, 4556U, 
-    262U, 2709U, 4390U, 108U, 624U, 25739U, 51032U, 42094U, 
-    51626U, 27640U, 51110U, 44066U, 51704U, 19549U, 20258U, 20581U, 
-    19617U, 702U, 33503U, 3233U, 19860U, 5045U, 20470U, 2896U, 
-    502U, 2644U, 4325U, 2975U, 4570U, 276U, 2723U, 4404U, 
-    122U, 635U, 16271U, 17925U, 16324U, 17978U, 12003U, 10031U, 
-    3762U, 3242U, 5054U, 3260U, 5072U, 33416U, 10239U, 12013U, 
-    37366U, 43707U, 10120U, 8878U, 812U, 15174U, 20591U, 15153U, 
-    19627U, 3731U, 15185U, 20640U, 15164U, 19684U, 3812U, 20838U, 
-    21010U, 19646U, 20290U, 19694U, 20326U, 10086U, 10093U, 10367U, 
-    8454U, 20610U, 19654U, 20650U, 19703U, 10101U, 20723U, 21170U, 
-    8399U, 10429U, 3351U, 3358U, 8872U, 11838U, 10482U, 10071U, 
-    20732U, 34908U, 21192U, 9936U, 9810U, 9873U, 9957U, 9831U, 
-    9894U, 9915U, 9789U, 9852U, 10005U, 9978U, 805U, 10012U, 
-    10501U, 21026U, 20956U, 8460U, 9984U, 10382U, 21178U, 12023U, 
-    9722U, 10253U, 3872U, 8566U, 3630U, 10441U, 11832U, 818U, 
-    8898U, 25294U, 41645U, 27209U, 43648U, 25195U, 41567U, 27110U, 
-    43548U, 8367U, 10390U, 25095U, 27019U, 25356U, 41694U, 27271U, 
-    43697U, 25083U, 27007U, 25345U, 41683U, 27260U, 43686U, 8302U, 
-    12033U, 25167U, 41539U, 27082U, 43520U, 10497U, 25128U, 41500U, 
-    27043U, 43481U, 20715U, 34884U, 19762U, 34249U, 20392U, 34742U, 
-    20819U, 34998U, 20504U, 19502U, 20212U, 2988U, 4583U, 289U, 
-    2736U, 4417U, 135U, 2821U, 4454U, 172U, 20602U, 34832U, 
-    23969U, 16214U, 7316U, 40244U, 17868U, 7807U, 19638U, 34196U, 
-    22268U, 16191U, 7294U, 38405U, 17845U, 7741U, 20282U, 34689U, 
-    23122U, 2418U, 7305U, 39246U, 2521U, 7774U, 20806U, 34985U, 
-    5667U, 16933U, 40263U, 1750U, 16688U, 38433U, 6732U, 17068U, 
-    40657U, 20497U, 34772U, 34928U, 34275U, 19477U, 34127U, 20768U, 
-    34948U, 19780U, 34305U, 20187U, 34629U, 20794U, 34964U, 27281U, 
-    43720U, 10150U, 8885U, 10505U, 837U, 3470U, 10045U, 8390U, 
-    1975U, 3966U, 8821U, 1585U, 3493U, 1627U, 3520U, 1637U, 
-    3530U, 5820U, 1968U, 3940U, 20629U, 19673U, 20308U, 3012U, 
-    4607U, 313U, 2760U, 4441U, 159U, 2883U, 4478U, 196U, 
-    20513U, 19540U, 20619U, 19663U, 20298U, 3000U, 4595U, 301U, 
-    2748U, 4429U, 147U, 2871U, 4466U, 184U, 25726U, 42081U, 
-    27612U, 44038U, 25672U, 42027U, 27568U, 43994U, 27381U, 43807U, 
-    22792U, 38916U, 25488U, 41843U, 23319U, 39451U, 27424U, 43850U, 
-    25519U, 41874U, 22966U, 39090U, 26200U, 42607U, 23017U, 39141U, 
-    26245U, 42652U, 35860U, 25644U, 41999U, 27540U, 43966U, 25713U, 
-    42068U, 27599U, 44025U, 31122U, 47478U, 25658U, 42013U, 32462U, 
-    48841U, 27554U, 43980U, 27365U, 43791U, 30359U, 46742U, 22774U, 
-    38898U, 31038U, 47394U, 25472U, 41827U, 30542U, 46925U, 23301U, 
-    39433U, 32391U, 48770U, 27408U, 43834U, 25503U, 41858U, 30398U, 
-    46781U, 22947U, 39071U, 31390U, 47801U, 26183U, 42590U, 30468U, 
-    46851U, 31452U, 47863U, 30433U, 46816U, 22998U, 39122U, 31421U, 
-    47832U, 26228U, 42635U, 30505U, 46888U, 31485U, 47896U, 30378U, 
-    46761U, 31055U, 47411U, 30561U, 46944U, 32408U, 48787U, 31106U, 
-    47462U, 25629U, 41984U, 32446U, 48825U, 27525U, 43951U, 852U, 
-    3386U, 5411U, 842U, 3376U, 5401U, 858U, 3392U, 5417U, 
-    847U, 3381U, 5406U, 13908U, 2109U, 4160U, 870U, 3404U, 
-    5429U, 864U, 3398U, 5423U, 887U, 3421U, 5446U, 875U, 
-    3409U, 5434U, 892U, 3426U, 5451U, 20643U, 34840U, 19687U, 
-    34213U, 20319U, 34706U, 913U, 3447U, 5481U, 881U, 3415U, 
-    5440U, 902U, 3436U, 5470U, 919U, 3453U, 5487U, 930U, 
-    3464U, 5498U, 897U, 3431U, 5465U, 908U, 3442U, 5476U, 
-    13913U, 925U, 3459U, 5493U, 44520U, 44359U, 18438U, 21844U, 
-    35898U, 18446U, 18624U, 44690U, 44722U, 44593U, 8082U, 11761U, 
-    8089U, 11768U, 17483U, 17503U, 44261U, 44600U, 44609U, 8593U, 
-    24038U, 40320U, 22355U, 38499U, 23222U, 39346U, 5651U, 8101U, 
-    1734U, 3687U, 6717U, 8113U, 27734U, 10262U, 24062U, 22407U, 
-    534U, 799U, 19503U, 20213U, 18824U, 1432U, 3475U, 26U, 
-    1441U, 3484U, 35U, 19810U, 4418U, 136U, 20420U, 173U, 
-    18851U, 42417U, 34758U, 34113U, 34285U, 34615U, 8560U, 3613U, 
-    24081U, 22426U, 8406U, 24089U, 22434U, 23274U, 20660U, 19723U, 
-    20345U, 24097U, 22442U, 23282U, 20676U, 19739U, 20361U, 20692U, 
-    34861U, 20742U, 34892U, 15508U, 7204U, 36656U, 15235U, 6942U, 
-    35955U, 2328U, 7073U, 36124U, 15674U, 36829U, 15521U, 7218U, 
-    36669U, 15248U, 6956U, 35968U, 2343U, 7087U, 36137U, 15686U, 
-    36841U, 20480U, 19460U, 20170U, 20778U, 20492U, 19472U, 20182U, 
-    20789U, 15619U, 7255U, 36722U, 15357U, 6993U, 36012U, 2383U, 
-    7124U, 36207U, 15773U, 36872U, 11970U, 15487U, 7172U, 36627U, 
-    15214U, 6910U, 35926U, 2303U, 7041U, 36095U, 15655U, 36810U, 
-    15606U, 7241U, 36709U, 15344U, 6979U, 35999U, 2368U, 7110U, 
-    36194U, 15761U, 36860U, 8258U, 9684U, 10126U, 11802U, 10076U, 
-    8490U, 8483U, 9634U, 10113U, 11789U, 9708U, 10144U, 11826U, 
-    23961U, 40236U, 22260U, 38397U, 23114U, 39238U, 24116U, 22461U, 
-    23336U, 21040U, 35219U, 5607U, 1619U, 3512U, 6691U, 24145U, 
-    40399U, 22490U, 38606U, 23365U, 39504U, 10558U, 3987U, 25157U, 
-    41529U, 27072U, 43510U, 25611U, 41966U, 27507U, 43933U, 25420U, 
-    41745U, 27347U, 43773U, 25758U, 51045U, 42113U, 51639U, 27658U, 
-    51123U, 44084U, 51717U, 8413U, 25147U, 41519U, 27062U, 43500U, 
-    25601U, 41956U, 27497U, 43923U, 25306U, 41657U, 27221U, 43660U, 
-    25696U, 51019U, 42051U, 51613U, 27591U, 51097U, 44017U, 51691U, 
-    32907U, 49334U, 32795U, 49222U, 33067U, 49494U, 32940U, 49367U, 
-    32890U, 49317U, 32923U, 49350U, 10373U, 10158U, 3838U, 39616U, 
-    48966U, 36150U, 22809U, 38933U, 39635U, 42835U, 42790U, 37287U, 
-    42950U, 39354U, 36181U, 23209U, 39333U, 4661U, 5203U, 4719U, 
-    5261U, 4778U, 5320U, 33157U, 49584U, 32725U, 49152U, 32741U, 
-    49168U, 32583U, 49010U, 32770U, 49197U, 32982U, 49409U, 32638U, 
-    49065U, 33243U, 49670U, 32667U, 49094U, 33286U, 49713U, 33144U, 
-    49571U, 32553U, 48980U, 32956U, 49383U, 32783U, 49210U, 32596U, 
-    49023U, 33173U, 49600U, 32609U, 49036U, 32811U, 49238U, 33214U, 
-    49641U, 32682U, 49109U, 32826U, 49253U, 33315U, 49742U, 18259U, 
-    4826U, 5368U, 4748U, 5290U, 4690U, 5232U, 4675U, 5217U, 
-    4810U, 5352U, 4733U, 5275U, 16590U, 18244U, 4792U, 5334U, 
-    32841U, 49268U, 33301U, 49728U, 32711U, 49138U, 33257U, 49684U, 
-    32697U, 49124U, 40800U, 4842U, 5384U, 33330U, 49757U, 33186U, 
-    49613U, 33200U, 49627U, 33029U, 49456U, 33044U, 49471U, 33083U, 
-    49510U, 4631U, 5173U, 15928U, 17406U, 4646U, 5188U, 4704U, 
-    5246U, 4763U, 5305U, 17199U, 24961U, 41346U, 17312U, 26706U, 
-    43172U, 17450U, 28000U, 44477U, 17168U, 24786U, 41184U, 17394U, 
-    27779U, 44204U, 17220U, 24992U, 41377U, 17333U, 26727U, 43193U, 
-    17471U, 28031U, 44508U, 32570U, 48997U, 32757U, 49184U, 32969U, 
-    49396U, 32624U, 49051U, 33229U, 49656U, 32652U, 49079U, 33271U, 
-    49698U, 33131U, 49558U, 33097U, 49524U, 32995U, 49422U, 32856U, 
-    49283U, 33114U, 49541U, 33012U, 49439U, 32873U, 49300U, 33055U, 
-    49482U, 10245U, 50022U, 9714U, 10456U, 0U, 6083U, 5861U, 
-    15640U, 36765U, 50100U, 13977U, 5898U, 16997U, 50418U, 24182U, 
-    40436U, 11419U, 50124U, 33408U, 50076U, 3037U, 5848U, 35828U, 
-    35844U, 15378U, 36067U, 50084U, 13937U, 5885U, 749U, 15063U, 
-    15119U, 16752U, 4217U, 50393U, 22548U, 38664U, 10887U, 50108U, 
-    33392U, 50060U, 6073U, 3047U, 4859U, 7912U, 35836U, 35852U, 
-    2408U, 36262U, 50092U, 13967U, 13947U, 13957U, 13987U, 15071U, 
-    15127U, 16844U, 2511U, 23423U, 39554U, 10983U, 50116U, 33400U, 
-    50068U, 42551U, 25786U, 42141U, 7921U, 5874U, 15792U, 36891U, 
-    11872U, 13996U, 5911U, 17124U, 50442U, 24403U, 11859U, 40692U, 
-    11885U, 11508U, 37043U, 25086U, 41469U, 11534U, 37336U, 27010U, 
-    43459U, 11575U, 36682U, 23878U, 35981U, 22082U, 36163U, 22834U, 
-    26341U, 42756U, 26101U, 42494U, 27397U, 43823U, 36899U, 24423U, 
-    40700U, 11520U, 37422U, 27743U, 44168U, 11616U, 43594U, 37053U, 
-    25231U, 37346U, 27146U, 43571U, 37063U, 25274U, 37356U, 27189U, 
-    41590U, 43582U, 24411U, 37258U, 36270U, 37230U, 37073U, 37379U, 
-    8376U, 10416U, 34134U, 37193U, 42469U, 37218U, 42507U, 39666U, 
-    26114U, 8276U, 37150U, 25748U, 42103U, 11562U, 36283U, 39653U, 
-    26352U, 42767U, 9702U, 26364U, 42779U, 10138U, 37206U, 42482U, 
-    37413U, 27649U, 44075U, 11603U, 11820U, 7873U, 7984U, 6037U, 
-    7840U, 6105U, 7951U, 6061U, 3025U, 7862U, 6129U, 3339U, 
-    7973U, 37084U, 25376U, 41714U, 11548U, 37390U, 27303U, 43742U, 
-    11589U, 26141U, 42534U, 26127U, 42520U, 7884U, 7995U, 7895U, 
-    8006U, 6049U, 7851U, 6117U, 7962U, 10171U, 10199U, 10185U, 
-    10212U, 16343U, 17997U, 20603U, 34833U, 19639U, 34197U, 20283U, 
-    34690U, 20807U, 34986U, 25265U, 41626U, 27180U, 43629U, 25686U, 
-    51006U, 42041U, 51600U, 27582U, 51084U, 44008U, 51678U, 22574U, 
-    38690U, 23449U, 39580U, 19511U, 20221U, 20549U, 19585U, 667U, 
-    33450U, 3146U, 19819U, 4958U, 20429U, 2833U, 415U, 2595U, 
-    4276U, 2934U, 4529U, 235U, 2682U, 4363U, 81U, 603U, 
-    44112U, 20522U, 34809U, 19558U, 34173U, 20267U, 34666U, 20800U, 
-    34979U, 10081U, 5504U, 5548U, 6339U, 6383U, 5526U, 5570U, 
-    6361U, 6415U, 5516U, 5560U, 6351U, 6395U, 5538U, 5582U, 
-    6373U, 6427U, 9678U, 8023U, 13919U, 14004U, 15045U, 15079U, 
-    15135U, 11796U, 8032U, 13928U, 14013U, 15054U, 15088U, 15144U, 
-    20708U, 34877U, 19755U, 34242U, 20385U, 34735U, 20813U, 34992U, 
-    5987U, 15599U, 7247U, 36715U, 16973U, 7587U, 24047U, 40329U, 
-    11408U, 2184U, 15337U, 6985U, 36005U, 15106U, 16728U, 7391U, 
-    22364U, 38508U, 10876U, 2267U, 2374U, 7116U, 36200U, 2491U, 
-    7489U, 23231U, 39371U, 10972U, 6887U, 15755U, 36866U, 17103U, 
-    7646U, 24381U, 40671U, 11498U, 25328U, 41666U, 27243U, 43669U, 
-    35883U, 40428U, 35875U, 38635U, 35891U, 40685U, 8270U, 9696U, 
-    11814U, 6468U, 6580U, 6480U, 6592U, 6492U, 6604U, 27924U, 
-    44368U, 24666U, 41046U, 27936U, 44380U, 24678U, 41058U, 24451U, 
-    40728U, 24883U, 41281U, 26522U, 43001U, 24529U, 40909U, 28085U, 
-    44628U, 24560U, 40940U, 28116U, 44659U, 27915U, 44351U, 24291U, 
-    40575U, 26316U, 42723U, 25005U, 41390U, 8544U, 24470U, 40780U, 
-    24570U, 40950U, 27956U, 44433U, 713U, 757U, 16355U, 18009U, 
-    26606U, 43085U, 24498U, 40835U, 25439U, 41764U, 26740U, 43206U, 
-    28054U, 44540U, 9762U, 8780U, 26158U, 42565U, 9728U, 8717U, 
-    24259U, 40543U, 24591U, 40971U, 25813U, 42168U, 26797U, 43260U, 
-    28137U, 44680U, 9776U, 8794U, 26171U, 42578U, 9745U, 8734U, 
-    24275U, 40559U, 22317U, 38461U, 23171U, 39295U, 22519U, 38643U, 
-    23394U, 39533U, 36993U, 40889U, 37140U, 41818U, 37278U, 43227U, 
-    37525U, 17515U, 11630U, 24935U, 41320U, 27974U, 44451U, 24689U, 
-    41069U, 24864U, 41262U, 26678U, 43144U, 26053U, 42395U, 27676U, 
-    44102U, 28225U, 44787U, 26324U, 42731U, 26298U, 42705U, 24697U, 
-    41077U, 24706U, 41086U, 21933U, 38062U, 22648U, 38764U, 26332U, 
-    42739U, 21944U, 38073U, 27686U, 44120U, 26911U, 43361U, 24635U, 
-    41015U, 24873U, 41271U, 6534U, 6646U, 27905U, 44341U, 6563U, 
-    6675U, 24822U, 41220U, 6520U, 6632U, 27862U, 44298U, 24927U, 
-    41312U, 27947U, 44424U, 24509U, 40879U, 25463U, 41808U, 26764U, 
-    43217U, 16367U, 18021U, 6504U, 6616U, 25933U, 42275U, 24581U, 
-    40961U, 25757U, 42112U, 28127U, 44670U, 24644U, 41024U, 25858U, 
-    42213U, 28193U, 44755U, 24539U, 40919U, 25695U, 42050U, 28095U, 
-    44638U, 24626U, 41006U, 25848U, 42203U, 28183U, 44745U, 40789U, 
-    24841U, 41239U, 26439U, 42902U, 27881U, 44317U, 26655U, 43121U, 
-    26030U, 42372U, 26888U, 43338U, 24853U, 41251U, 26451U, 42914U, 
-    27893U, 44329U, 26667U, 43133U, 26042U, 42384U, 26900U, 43350U, 
-    26568U, 43047U, 6548U, 6660U, 28064U, 44583U, 28172U, 44734U, 
-    27965U, 44442U, 24974U, 41359U, 28013U, 44490U, 26644U, 43110U, 
-    34847U, 21900U, 38015U, 34220U, 21862U, 37977U, 34713U, 21881U, 
-    37996U, 5600U, 1603U, 24134U, 40388U, 22479U, 38595U, 23354U, 
-    39493U, 5737U, 1885U, 5754U, 1902U, 5644U, 1727U, 3680U, 
-    5771U, 1919U, 3908U, 5788U, 1936U, 3925U, 5812U, 1960U, 
-    26921U, 43371U, 8837U, 8070U, 572U, 826U, 3365U, 11779U, 
-    27803U, 44228U, 24460U, 40770U, 15828U, 17181U, 15942U, 17420U, 
-    15953U, 17431U, 24488U, 40825U, 25013U, 41398U, 28044U, 44530U, 
-    17284U, 17191U, 24953U, 41338U, 17304U, 26698U, 43164U, 17442U, 
-    27992U, 44469U, 17160U, 24778U, 41176U, 17386U, 27771U, 44196U, 
-    17294U, 17212U, 24984U, 41369U, 17325U, 26719U, 43185U, 17463U, 
-    28023U, 44500U, 24442U, 40719U, 24832U, 41230U, 26430U, 42893U, 
-    24519U, 40899U, 28075U, 44618U, 24549U, 40929U, 28105U, 44648U, 
-    27872U, 44308U, 25106U, 41478U, 27725U, 44159U, 27824U, 44249U, 
-    26542U, 43021U, 26578U, 43057U, 25944U, 42286U, 27837U, 44273U, 
-    26555U, 43034U, 26592U, 43071U, 25957U, 42299U, 6821U, 34816U, 
-    21890U, 38005U, 6803U, 34180U, 21852U, 37967U, 5922U, 2247U, 
-    6812U, 34673U, 21871U, 37986U, 5592U, 1595U, 5719U, 1867U, 
-    5728U, 1876U, 5745U, 1893U, 5636U, 1719U, 3672U, 5762U, 
-    1910U, 3899U, 5779U, 1927U, 3916U, 5803U, 1951U, 6005U, 
-    2285U, 26928U, 43378U, 1072U, 9064U, 15542U, 1320U, 9344U, 
-    16909U, 944U, 8920U, 15280U, 1192U, 9200U, 16664U, 1008U, 
-    8992U, 15414U, 1256U, 9272U, 16788U, 1135U, 9135U, 15705U, 
-    1383U, 9415U, 17047U, 21068U, 50699U, 35242U, 51162U, 21129U, 
-    50725U, 35303U, 51201U, 1104U, 9100U, 15582U, 1352U, 9380U, 
-    16956U, 976U, 8956U, 15320U, 1224U, 9236U, 16711U, 1040U, 
-    9028U, 15446U, 1288U, 9308U, 16820U, 1163U, 9167U, 15740U, 
-    1411U, 9447U, 17088U, 8508U, 3569U, 8526U, 3591U, 10279U, 
-    8322U, 34799U, 34163U, 34656U, 34789U, 34153U, 34646U, 8335U, 
-    10064U, 36757U, 36059U, 36254U, 36883U, 11925U, 2032U, 4048U, 
-    2058U, 4074U, 4121U, 2096U, 4147U, 11982U, 2019U, 4035U, 
-    2045U, 4061U, 4108U, 2083U, 4134U, 9635U, 10114U, 11790U, 
-    9709U, 10145U, 11827U, 11898U, 1088U, 9082U, 15558U, 1336U, 
-    9362U, 16925U, 960U, 8938U, 15296U, 1208U, 9218U, 16680U, 
-    1024U, 9010U, 15430U, 1272U, 9290U, 16804U, 1149U, 9151U, 
-    15719U, 1397U, 9431U, 17061U, 1120U, 9118U, 15598U, 1368U, 
-    9398U, 16972U, 992U, 8974U, 15336U, 1240U, 9254U, 16727U, 
-    1056U, 9046U, 15462U, 1304U, 9326U, 16836U, 1177U, 9183U, 
-    15754U, 1425U, 9463U, 17102U, 15386U, 16760U, 15470U, 16852U, 
-    20854U, 35018U, 21058U, 35232U, 20884U, 35048U, 51136U, 21106U, 
-    35280U, 51188U, 10001U, 21076U, 50711U, 35250U, 51174U, 21137U, 
-    50737U, 35311U, 51212U, 8598U, 1064U, 9055U, 15534U, 1312U, 
-    9335U, 16901U, 936U, 8911U, 15272U, 1184U, 9191U, 16656U, 
-    1000U, 8983U, 15406U, 1248U, 9263U, 16780U, 1128U, 9127U, 
-    15698U, 1376U, 9407U, 17040U, 8309U, 1096U, 9091U, 15574U, 
-    1344U, 9371U, 16948U, 968U, 8947U, 15312U, 1216U, 9227U, 
-    16703U, 1032U, 9019U, 15438U, 1280U, 9299U, 16812U, 1156U, 
-    9159U, 15733U, 1404U, 9439U, 17081U, 22592U, 38708U, 23467U, 
-    39598U, 5932U, 15479U, 7163U, 36619U, 16861U, 7523U, 23815U, 
-    40073U, 11335U, 2129U, 15206U, 6901U, 35918U, 16605U, 7327U, 
-    21977U, 38106U, 10803U, 2202U, 2293U, 7032U, 36087U, 2430U, 
-    7425U, 22681U, 38797U, 10899U, 6830U, 15648U, 36803U, 17005U, 
-    24326U, 40610U, 11431U, 5712U, 1860U, 3892U, 6772U, 2005U, 
-    4021U, 20915U, 35079U, 20826U, 35005U, 20922U, 35086U, 34779U, 
-    34143U, 34636U, 34970U, 20832U, 35011U, 20950U, 35114U, 20929U, 
-    35093U, 20963U, 35128U, 20936U, 35100U, 20969U, 35143U, 20943U, 
-    35107U, 20975U, 35149U, 20997U, 35190U, 21050U, 35224U, 20982U, 
-    35156U, 21004U, 35207U, 21155U, 35329U, 8420U, 20668U, 19731U, 
-    20353U, 21909U, 38038U, 22610U, 38726U, 26063U, 42405U, 16201U, 
-    17855U, 21920U, 38049U, 22621U, 38737U, 22634U, 38750U, 1080U, 
-    9073U, 15550U, 1328U, 9353U, 16917U, 952U, 8929U, 15288U, 
-    1200U, 9209U, 16672U, 1016U, 9001U, 15422U, 1264U, 9281U, 
-    16796U, 1142U, 9143U, 15712U, 1390U, 9423U, 17054U, 9515U, 
-    7697U, 9581U, 7785U, 9471U, 7653U, 9537U, 7719U, 9493U, 
-    7675U, 9559U, 7752U, 22565U, 38681U, 23440U, 39571U, 1112U, 
-    9109U, 15590U, 1360U, 9389U, 16964U, 984U, 8965U, 15328U, 
-    1232U, 9245U, 16719U, 1048U, 9037U, 15454U, 1296U, 9317U, 
-    16828U, 1170U, 9175U, 15747U, 1418U, 9455U, 17095U, 9526U, 
-    7708U, 9592U, 7796U, 9482U, 7664U, 9548U, 7730U, 9504U, 
-    7686U, 9570U, 7763U, 22601U, 38717U, 23476U, 39607U, 16260U, 
-    17914U, 16313U, 17967U, 20684U, 19747U, 20369U, 8662U, 3155U, 
-    4967U, 424U, 10475U, 20700U, 34869U, 34234U, 20377U, 34727U, 
-    20750U, 34900U, 34257U, 34750U, 20864U, 35028U, 21077U, 35251U, 
-    20907U, 50686U, 35071U, 51149U, 21138U, 50738U, 35312U, 51213U, 
-    8703U, 3214U, 5026U, 483U, 12043U, 8287U, 8341U, 8384U, 
-    8859U, 8894U, 10271U, 8264U, 9690U, 10132U, 11808U, 34854U, 
-    34227U, 34720U, 21045U, 19541U, 20250U, 19664U, 20299U, 18832U, 
-    8440U, 6405U, 42747U, 19851U, 20461U, 2872U, 2633U, 4314U, 
-    19713U, 20335U, 2785U, 18841U, 2559U, 4240U, 42424U, 5941U, 
-    15492U, 7177U, 36632U, 16869U, 7532U, 23823U, 40081U, 11347U, 
-    2138U, 15219U, 6915U, 35931U, 16613U, 7336U, 21985U, 38114U, 
-    10815U, 2211U, 2308U, 7046U, 36100U, 2440U, 7434U, 22689U, 
-    38805U, 10911U, 6837U, 15660U, 36815U, 17012U, 7621U, 24333U, 
-    40617U, 11442U, 25119U, 41491U, 27034U, 43472U, 19520U, 20230U, 
-    20559U, 19595U, 678U, 33481U, 19829U, 20439U, 2845U, 2607U, 
-    4288U, 2947U, 4542U, 248U, 2695U, 4376U, 94U, 613U, 
-    25592U, 50980U, 41947U, 51574U, 27488U, 51058U, 43914U, 51652U, 
-    19484U, 20194U, 20529U, 19565U, 645U, 33430U, 3069U, 19790U, 
-    4881U, 20400U, 2797U, 338U, 2571U, 4252U, 2908U, 4503U, 
-    209U, 2656U, 4337U, 55U, 583U, 10360U, 9656U, 10236U, 
-    10489U, 3956U, 10449U, 3947U, 22031U, 38160U, 22735U, 38851U, 
-    15097U, 4180U, 20988U, 4620U, 35181U, 5113U, 15195U, 4191U, 
-    15877U, 4204U, 17272U, 4227U, 5995U, 15631U, 50175U, 16988U, 
-    50405U, 24165U, 40419U, 2192U, 15369U, 50162U, 16743U, 50380U, 
-    22510U, 38626U, 2275U, 2397U, 50132U, 2500U, 50147U, 23385U, 
-    39524U, 6893U, 15784U, 50188U, 17116U, 11845U, 50430U, 24387U, 
-    40677U, 2118U, 4169U, 3301U, 5135U, 3312U, 5146U, 10059U, 
-    8710U, 3224U, 5036U, 493U, 24155U, 40409U, 22500U, 38616U, 
-    23375U, 39514U, 22226U, 38363U, 23080U, 39204U, 25634U, 41989U, 
-    27530U, 43956U, 35171U, 35134U, 35197U, 35162U, 3289U, 5101U, 
-    511U, 3328U, 5162U, 523U, 35120U, 8096U, 25219U, 41578U, 
-    27134U, 43559U, 25253U, 41614U, 27168U, 43617U, 4087U, 28775U, 
-    45283U, 30812U, 14536U, 47210U, 25176U, 41548U, 29747U, 46169U, 
-    32184U, 14785U, 48567U, 27091U, 43529U, 31096U, 47452U, 25620U, 
-    50992U, 41975U, 51586U, 32436U, 48815U, 27516U, 51070U, 43942U, 
-    51664U, 28708U, 45216U, 25115U, 41487U, 29680U, 46102U, 27030U, 
-    43468U, 27696U, 44130U, 24716U, 41096U, 27710U, 44144U, 24736U, 
-    41116U, 24726U, 41106U, 24304U, 40588U, 16236U, 17890U, 16289U, 
-    17943U, 28858U, 45365U, 25283U, 41634U, 29841U, 46262U, 27198U, 
-    43637U, 28785U, 45293U, 25185U, 41557U, 29757U, 46179U, 27100U, 
-    43538U, 10338U, 30872U, 47256U, 32244U, 48637U, 16390U, 18044U, 
-    16247U, 17901U, 16426U, 18080U, 16300U, 17954U, 28934U, 45427U, 
-    25385U, 41723U, 29917U, 46324U, 27312U, 43751U, 6437U, 6452U, 
-    29018U, 45483U, 31178U, 47534U, 29964U, 46357U, 32507U, 48886U, 
-    27624U, 44050U, 16415U, 50228U, 18069U, 50481U, 16521U, 50273U, 
-    18175U, 50526U, 14022U, 16279U, 50200U, 17933U, 50453U, 16461U, 
-    50243U, 18115U, 50496U, 16579U, 50350U, 18233U, 50603U, 14034U, 
-    16332U, 50214U, 17986U, 50467U, 31168U, 50288U, 47524U, 50541U, 
-    25717U, 50633U, 42072U, 50659U, 32497U, 50365U, 48876U, 50618U, 
-    27603U, 50646U, 44029U, 50672U, 31126U, 47482U, 25662U, 42017U, 
-    32466U, 48845U, 27558U, 43984U, 28671U, 45179U, 30751U, 47149U, 
-    25047U, 41432U, 29656U, 46078U, 32136U, 48519U, 15016U, 26983U, 
-    43434U, 28247U, 29306U, 45770U, 31616U, 48027U, 14944U, 42938U, 
-    28260U, 29630U, 46052U, 32110U, 48493U, 15002U, 43385U, 31774U, 
-    48171U, 14972U, 29643U, 46065U, 32123U, 48506U, 26946U, 43397U, 
-    29333U, 45797U, 31643U, 48054U, 14958U, 26498U, 42977U, 28684U, 
-    45192U, 30778U, 47176U, 25059U, 41444U, 37616U, 45659U, 37835U, 
-    47714U, 37180U, 42431U, 31803U, 48200U, 14987U, 30418U, 46801U, 
-    22984U, 39108U, 31408U, 47819U, 26216U, 42623U, 32365U, 48744U, 
-    27369U, 43795U, 30489U, 46872U, 31471U, 47882U, 22778U, 38902U, 
-    31042U, 47398U, 25476U, 41831U, 23305U, 39437U, 32395U, 48774U, 
-    27412U, 43838U, 31007U, 47363U, 32334U, 48713U, 31073U, 47429U, 
-    25507U, 41862U, 30453U, 46836U, 23035U, 39159U, 31439U, 47850U, 
-    26261U, 42668U, 30526U, 46909U, 31504U, 47915U, 28233U, 29292U, 
-    45756U, 31602U, 48013U, 42925U, 31759U, 48156U, 29319U, 45783U, 
-    31629U, 48040U, 26485U, 42964U, 31788U, 48185U, 30402U, 46785U, 
-    22951U, 39075U, 31394U, 47805U, 26187U, 42594U, 30472U, 46855U, 
-    31456U, 47867U, 30437U, 46820U, 23002U, 39126U, 31425U, 47836U, 
-    26232U, 42639U, 30509U, 46892U, 31489U, 47900U, 30764U, 47162U, 
-    32149U, 48532U, 15030U, 31059U, 47415U, 32412U, 48791U, 31022U, 
-    47378U, 32349U, 48728U, 28947U, 45440U, 30942U, 14569U, 47312U, 
-    25397U, 41735U, 29930U, 46337U, 32314U, 14818U, 48693U, 27324U, 
-    43763U, 31194U, 47550U, 25738U, 51031U, 42093U, 51625U, 32523U, 
-    48902U, 27639U, 51109U, 44065U, 51703U, 16270U, 17924U, 16451U, 
-    18105U, 16323U, 17977U, 21034U, 35213U, 21186U, 35335U, 36773U, 
-    40471U, 36555U, 39939U, 36587U, 40001U, 36788U, 40513U, 36571U, 
-    39970U, 36603U, 40032U, 37365U, 43706U, 38024U, 50033U, 21303U, 
-    14113U, 21605U, 14309U, 21395U, 14211U, 35444U, 21697U, 14407U, 
-    35654U, 36350U, 13525U, 23540U, 12705U, 39734U, 13735U, 10658U, 
-    11066U, 19223U, 12321U, 33876U, 13141U, 19933U, 12517U, 34378U, 
-    13337U, 18923U, 12125U, 33576U, 12945U, 36480U, 13611U, 23670U, 
-    12791U, 39864U, 13821U, 10768U, 11236U, 19373U, 12419U, 34026U, 
-    13239U, 20083U, 12615U, 34528U, 13435U, 19073U, 12223U, 33726U, 
-    13043U, 36400U, 51342U, 23590U, 50880U, 39784U, 51474U, 11132U, 
-    21509U, 35558U, 19281U, 33934U, 19991U, 34436U, 18981U, 33634U, 
-    36530U, 51408U, 23720U, 50946U, 39914U, 51540U, 11302U, 21811U, 
-    35768U, 19431U, 34084U, 20141U, 34586U, 19131U, 33784U, 21240U, 
-    14046U, 21542U, 14242U, 21332U, 14144U, 35381U, 21634U, 14340U, 
-    35591U, 36295U, 13466U, 23485U, 12646U, 39679U, 13676U, 10583U, 
-    10995U, 19160U, 12254U, 33813U, 13074U, 19870U, 12450U, 34315U, 
-    13270U, 18860U, 12058U, 33513U, 12878U, 36425U, 13552U, 23615U, 
-    12732U, 39809U, 13762U, 10693U, 11165U, 19310U, 12352U, 33963U, 
-    13172U, 20020U, 12548U, 34465U, 13368U, 19010U, 12156U, 33663U, 
-    12976U, 21257U, 14064U, 21559U, 14260U, 21349U, 14162U, 35398U, 
-    21651U, 14358U, 35608U, 21286U, 14095U, 21588U, 14291U, 21378U, 
-    14193U, 35427U, 21680U, 14389U, 35637U, 36335U, 13509U, 23525U, 
-    12689U, 39719U, 13719U, 10638U, 11047U, 19206U, 12303U, 33859U, 
-    13123U, 19916U, 12499U, 34361U, 13319U, 18906U, 12107U, 33559U, 
-    12927U, 36465U, 13595U, 23655U, 12775U, 39849U, 13805U, 10748U, 
-    11217U, 19356U, 12401U, 34009U, 13221U, 20066U, 12597U, 34511U, 
-    13417U, 19056U, 12205U, 33709U, 13025U, 36310U, 13482U, 23500U, 
-    12662U, 39694U, 13692U, 10603U, 11014U, 19177U, 12272U, 33830U, 
-    13092U, 19887U, 12468U, 34332U, 13288U, 18877U, 12076U, 33530U, 
-    12896U, 36440U, 13568U, 23630U, 12748U, 39824U, 13778U, 10713U, 
-    11184U, 19327U, 12370U, 33980U, 13190U, 20037U, 12566U, 34482U, 
-    13386U, 19027U, 12174U, 33680U, 12994U, 36375U, 51309U, 23565U, 
-    50847U, 39759U, 51441U, 11099U, 21486U, 35535U, 19252U, 33905U, 
-    19962U, 34407U, 18952U, 33605U, 36505U, 51375U, 23695U, 50913U, 
-    39889U, 51507U, 11269U, 21788U, 35745U, 19402U, 34055U, 20112U, 
-    34557U, 19102U, 33755U, 21317U, 14128U, 21619U, 14324U, 21409U, 
-    14226U, 35458U, 21711U, 14422U, 35668U, 36362U, 13538U, 23552U, 
-    12718U, 39746U, 13748U, 10675U, 11082U, 19237U, 12336U, 33890U, 
-    13156U, 19947U, 12532U, 34392U, 13352U, 18937U, 12140U, 33590U, 
-    12960U, 36492U, 13624U, 23682U, 12804U, 39876U, 13834U, 10785U, 
-    11252U, 19387U, 12434U, 34040U, 13254U, 20097U, 12630U, 34542U, 
-    13450U, 19087U, 12238U, 33740U, 13058U, 36412U, 51358U, 23602U, 
-    50896U, 39796U, 51490U, 11148U, 21520U, 35569U, 19295U, 33948U, 
-    20005U, 34450U, 18995U, 33648U, 36542U, 51424U, 23732U, 50962U, 
-    39926U, 51556U, 11318U, 21822U, 35779U, 19445U, 34098U, 20155U, 
-    34600U, 19145U, 33798U, 21271U, 14079U, 21573U, 14275U, 21363U, 
-    14177U, 35412U, 21665U, 14373U, 35622U, 36322U, 13495U, 23512U, 
-    12675U, 39706U, 13705U, 10620U, 11030U, 19191U, 12287U, 33844U, 
-    13107U, 19901U, 12483U, 34346U, 13303U, 18891U, 12091U, 33544U, 
-    12911U, 36452U, 13581U, 23642U, 12761U, 39836U, 13791U, 10730U, 
-    11200U, 19341U, 12385U, 33994U, 13205U, 20051U, 12581U, 34496U, 
-    13401U, 19041U, 12189U, 33694U, 13009U, 36387U, 51325U, 23577U, 
-    50863U, 39771U, 51457U, 11115U, 21497U, 35546U, 19266U, 33919U, 
-    19976U, 34421U, 18966U, 33619U, 36517U, 51391U, 23707U, 50929U, 
-    39901U, 51523U, 11285U, 21799U, 35756U, 19416U, 34069U, 20126U, 
-    34571U, 19116U, 33769U, 25428U, 12832U, 41753U, 13862U, 27355U, 
-    12857U, 43781U, 13887U, 25775U, 42130U, 27666U, 44092U, 25293U, 
-    41644U, 27208U, 43647U, 25194U, 41566U, 27109U, 43547U, 25355U, 
-    41693U, 27270U, 43696U, 25344U, 41682U, 27259U, 43685U, 28795U, 
-    30822U, 25205U, 29767U, 32194U, 27120U, 28879U, 30906U, 25314U, 
-    29862U, 32278U, 27229U, 28764U, 45272U, 25166U, 41538U, 29736U, 
-    46158U, 27081U, 43519U, 28721U, 45229U, 25127U, 41499U, 29693U, 
-    46115U, 27042U, 43480U, 24217U, 40486U, 23745U, 39955U, 23775U, 
-    40017U, 24244U, 40528U, 23760U, 39986U, 23790U, 40048U, 27280U, 
-    43719U, 33379U, 50047U, 29990U, 27733U, 10261U, 10557U, 3986U, 
-    37588U, 28957U, 37093U, 25406U, 37689U, 29940U, 37399U, 27333U, 
-    28753U, 45261U, 25156U, 41528U, 29725U, 46147U, 27071U, 43509U, 
-    25610U, 41965U, 27506U, 43932U, 28971U, 45450U, 30952U, 14580U, 
-    47322U, 25419U, 41744U, 29954U, 46347U, 32324U, 14829U, 48703U, 
-    27346U, 43772U, 31225U, 47581U, 25766U, 51044U, 42121U, 51638U, 
-    32543U, 48922U, 27657U, 51122U, 44083U, 51716U, 9649U, 21017U, 
-    8328U, 28742U, 45250U, 25146U, 41518U, 29714U, 46136U, 27061U, 
-    43499U, 25600U, 41955U, 27496U, 43922U, 28869U, 45376U, 30896U, 
-    14558U, 47280U, 25305U, 41656U, 29852U, 46273U, 32268U, 14807U, 
-    48661U, 27220U, 43659U, 31158U, 47514U, 25704U, 51018U, 42059U, 
-    51612U, 32487U, 48866U, 27590U, 51096U, 44016U, 51690U, 8828U, 
-    1610U, 3503U, 9641U, 47786U, 42550U, 47591U, 25785U, 42140U, 
-    37554U, 28697U, 45205U, 11658U, 37758U, 30791U, 18543U, 47189U, 
-    18721U, 37042U, 25085U, 41468U, 11533U, 37655U, 29669U, 46091U, 
-    11688U, 37905U, 32163U, 18600U, 48546U, 18789U, 37335U, 27009U, 
-    43458U, 11574U, 29218U, 45682U, 31518U, 47929U, 26340U, 42755U, 
-    31344U, 47754U, 26100U, 42493U, 32378U, 48757U, 27396U, 43822U, 
-    35906U, 21955U, 38084U, 36075U, 22659U, 38775U, 37543U, 28286U, 
-    44795U, 11643U, 36898U, 24422U, 40699U, 11519U, 36047U, 22528U, 
-    18454U, 38652U, 18632U, 51795U, 36242U, 23403U, 18467U, 39542U, 
-    18645U, 51809U, 37703U, 30000U, 46383U, 11718U, 37421U, 27742U, 
-    44167U, 11615U, 48602U, 43593U, 37052U, 25230U, 37345U, 27145U, 
-    48590U, 43570U, 37062U, 25273U, 37355U, 27188U, 45316U, 41589U, 
-    46202U, 43581U, 28273U, 24410U, 37629U, 37257U, 37565U, 37072U, 
-    37666U, 37378U, 37848U, 47727U, 37192U, 42468U, 37217U, 42506U, 
-    37728U, 46978U, 39665U, 31358U, 26113U, 37825U, 31204U, 47560U, 
-    11733U, 18745U, 37149U, 25747U, 42102U, 11561U, 37714U, 46964U, 
-    36282U, 39652U, 29230U, 45694U, 31530U, 47941U, 26351U, 42766U, 
-    29243U, 45707U, 31543U, 47954U, 26363U, 42778U, 37862U, 47741U, 
-    37205U, 42481U, 37957U, 32533U, 48912U, 11747U, 18813U, 37412U, 
-    27648U, 44074U, 11602U, 37577U, 28923U, 45416U, 11673U, 37799U, 
-    30931U, 18555U, 47301U, 18733U, 37083U, 25375U, 41713U, 11547U, 
-    37678U, 29906U, 46313U, 11703U, 37946U, 32303U, 18612U, 48682U, 
-    18801U, 37389U, 27302U, 43741U, 11588U, 31372U, 47768U, 26140U, 
-    42533U, 26126U, 42519U, 16472U, 18126U, 16342U, 17996U, 20844U, 
-    21161U, 22042U, 38179U, 22746U, 38870U, 8474U, 1766U, 3774U, 
-    1676U, 3621U, 28848U, 45355U, 30862U, 14547U, 47246U, 25264U, 
-    41625U, 29820U, 46241U, 32234U, 14796U, 48627U, 27179U, 43628U, 
-    31137U, 47493U, 25685U, 51005U, 42040U, 51599U, 32477U, 48856U, 
-    27581U, 51083U, 44007U, 51677U, 22125U, 38262U, 22877U, 39001U, 
-    8586U, 10025U, 28893U, 45386U, 25327U, 41665U, 29876U, 46283U, 
-    27242U, 43668U, 6467U, 6149U, 6579U, 6244U, 25582U, 6479U, 
-    6161U, 41937U, 6591U, 6256U, 26773U, 43236U, 6491U, 6173U, 
-    6603U, 6268U, 30128U, 46511U, 27923U, 44367U, 28492U, 45014U, 
-    24665U, 41045U, 30141U, 46524U, 27935U, 44379U, 28505U, 45027U, 
-    24677U, 41057U, 28307U, 44816U, 24450U, 40727U, 28586U, 45108U, 
-    30627U, 14479U, 47039U, 24882U, 41280U, 29346U, 45810U, 31656U, 
-    14671U, 48067U, 26521U, 43000U, 28372U, 44894U, 24528U, 40908U, 
-    30240U, 46623U, 28084U, 44627U, 28406U, 44928U, 24559U, 40939U, 
-    30274U, 46657U, 28115U, 44658U, 30118U, 46501U, 27914U, 44350U, 
-    24290U, 40574U, 24190U, 40444U, 30637U, 14490U, 47049U, 30740U, 
-    14513U, 47138U, 31923U, 14693U, 48320U, 29208U, 45672U, 26315U, 
-    42722U, 31705U, 14682U, 48116U, 28651U, 45159U, 25004U, 41389U, 
-    28328U, 44837U, 24469U, 40779U, 30154U, 46537U, 27955U, 44432U, 
-    16377U, 18031U, 16224U, 17878U, 30692U, 47090U, 31875U, 48272U, 
-    28479U, 45001U, 24653U, 41033U, 16485U, 18139U, 16354U, 18008U, 
-    28441U, 44963U, 24610U, 40990U, 29057U, 45522U, 33345U, 49952U, 
-    31247U, 47617U, 49986U, 48932U, 25832U, 42187U, 42806U, 41148U, 
-    29535U, 45971U, 33362U, 49969U, 32013U, 48396U, 50004U, 48949U, 
-    26816U, 43279U, 30309U, 46692U, 28156U, 44707U, 26605U, 43084U, 
-    37431U, 13666U, 27752U, 12868U, 44177U, 13898U, 16510U, 50258U, 
-    18164U, 50511U, 28349U, 44871U, 24497U, 40834U, 28981U, 45460U, 
-    30962U, 47332U, 25438U, 41763U, 29497U, 45947U, 31934U, 48331U, 
-    26739U, 43205U, 30217U, 46600U, 28053U, 44539U, 9761U, 8779U, 
-    26157U, 42564U, 9727U, 8716U, 24258U, 40542U, 28429U, 44951U, 
-    24590U, 40970U, 29045U, 45510U, 31235U, 47605U, 25812U, 42167U, 
-    29523U, 45959U, 32001U, 48384U, 26796U, 43259U, 30297U, 46680U, 
-    28136U, 44679U, 9775U, 8793U, 26170U, 42577U, 9744U, 8733U, 
-    24274U, 40558U, 16544U, 50319U, 18198U, 50572U, 16532U, 50303U, 
-    18186U, 50556U, 16555U, 50334U, 18209U, 50587U, 15799U, 17131U, 
-    15837U, 17232U, 15888U, 17345U, 15817U, 17149U, 15867U, 17262U, 
-    15906U, 17363U, 15981U, 17533U, 15963U, 17494U, 25798U, 14438U, 
-    18274U, 51729U, 18480U, 51763U, 42153U, 18658U, 51823U, 26782U, 
-    14453U, 18290U, 51746U, 18495U, 51779U, 43245U, 18673U, 51839U, 
-    24204U, 40458U, 24231U, 40500U, 28641U, 45149U, 30718U, 47116U, 
-    24746U, 41126U, 25022U, 41407U, 26958U, 43409U, 26375U, 42824U, 
-    37029U, 13638U, 25034U, 12818U, 41419U, 13848U, 37322U, 13652U, 
-    26970U, 12843U, 43421U, 13873U, 16002U, 17584U, 28822U, 45329U, 
-    16114U, 17768U, 15846U, 17241U, 25240U, 41601U, 16036U, 17660U, 
-    29794U, 46215U, 16178U, 17832U, 15916U, 17373U, 27155U, 43604U, 
-    16015U, 17597U, 16127U, 17781U, 30885U, 47269U, 29830U, 46251U, 
-    32257U, 48650U, 16026U, 17650U, 16168U, 17822U, 31901U, 48298U, 
-    24757U, 41137U, 25071U, 41456U, 26995U, 43446U, 26386U, 42849U, 
-    36992U, 40888U, 37139U, 41817U, 37277U, 43226U, 37524U, 17514U, 
-    11629U, 28596U, 30647U, 24891U, 29425U, 31745U, 26618U, 28993U, 
-    30974U, 25449U, 29509U, 31946U, 26750U, 24798U, 41196U, 26406U, 
-    42869U, 27812U, 44237U, 26530U, 43009U, 28575U, 45097U, 24872U, 
-    41270U, 6533U, 6215U, 6645U, 6310U, 24809U, 41207U, 26417U, 
-    42880U, 27849U, 44285U, 26631U, 43097U, 26017U, 42359U, 26875U, 
-    43325U, 25921U, 42263U, 26864U, 43314U, 30107U, 46490U, 27904U, 
-    44340U, 6562U, 6674U, 27791U, 44216U, 26510U, 42989U, 28528U, 
-    45050U, 24821U, 41219U, 6519U, 6201U, 6631U, 6296U, 25910U, 
-    42252U, 30060U, 46443U, 27861U, 44297U, 24508U, 40878U, 25462U, 
-    41807U, 26763U, 43216U, 16366U, 18020U, 24904U, 41289U, 26075U, 
-    42443U, 26273U, 42680U, 24915U, 41300U, 26087U, 42455U, 26285U, 
-    42692U, 25992U, 42334U, 28213U, 44775U, 25969U, 42311U, 28202U, 
-    44764U, 26004U, 42346U, 25980U, 42322U, 6503U, 6185U, 6615U, 
-    6280U, 29142U, 45593U, 25932U, 42274U, 37602U, 29128U, 37167U, 
-    25897U, 37641U, 29573U, 37309U, 26851U, 28418U, 44940U, 24580U, 
-    40960U, 29034U, 45499U, 31214U, 14624U, 47570U, 25756U, 42111U, 
-    31990U, 14738U, 48373U, 30286U, 46669U, 28126U, 44669U, 28468U, 
-    44990U, 24643U, 41023U, 29084U, 45549U, 31274U, 14648U, 47644U, 
-    25857U, 42212U, 32040U, 14762U, 48423U, 30348U, 46731U, 28192U, 
-    44754U, 28383U, 44905U, 24538U, 40918U, 29007U, 45472U, 31147U, 
-    14612U, 47503U, 25694U, 42049U, 31979U, 14726U, 48362U, 30251U, 
-    46634U, 28094U, 44637U, 28457U, 44979U, 24625U, 41005U, 29073U, 
-    45538U, 31263U, 14636U, 47633U, 25847U, 42202U, 32029U, 14750U, 
-    48412U, 30337U, 46720U, 28182U, 44744U, 49797U, 36940U, 40759U, 
-    49905U, 37472U, 44414U, 44847U, 40788U, 49833U, 36982U, 40868U, 
-    49869U, 37129U, 41797U, 49941U, 37514U, 44573U, 49785U, 36929U, 
-    40748U, 49893U, 37461U, 44403U, 49821U, 36971U, 40857U, 49857U, 
-    37118U, 41786U, 49929U, 37503U, 44562U, 28549U, 45071U, 30601U, 
-    47013U, 24840U, 41238U, 29266U, 45730U, 31576U, 47987U, 26438U, 
-    42901U, 30081U, 46464U, 27880U, 44316U, 29451U, 45901U, 31829U, 
-    48226U, 26654U, 43120U, 29182U, 45633U, 31318U, 47688U, 26029U, 
-    42371U, 29587U, 46009U, 32084U, 48467U, 26887U, 43337U, 49772U, 
-    36917U, 40736U, 49880U, 37449U, 44391U, 49808U, 36959U, 40845U, 
-    49844U, 37106U, 41774U, 49916U, 37491U, 44550U, 28562U, 45084U, 
-    30614U, 47026U, 24852U, 41250U, 29279U, 45743U, 31589U, 48000U, 
-    26450U, 42913U, 30094U, 46477U, 27892U, 44328U, 29464U, 45914U, 
-    31842U, 48239U, 26666U, 43132U, 29195U, 45646U, 31331U, 47701U, 
-    26041U, 42383U, 29600U, 46022U, 32097U, 48480U, 26899U, 43349U, 
-    29384U, 45848U, 31694U, 48105U, 26567U, 43046U, 6547U, 6229U, 
-    6659U, 6324U, 30325U, 46708U, 28171U, 44733U, 30164U, 46547U, 
-    27964U, 44441U, 28620U, 45128U, 30671U, 14501U, 47069U, 24973U, 
-    41358U, 30185U, 46568U, 28012U, 44489U, 29439U, 45889U, 31817U, 
-    48214U, 26643U, 43109U, 30988U, 14591U, 47344U, 31960U, 14705U, 
-    48343U, 29613U, 46035U, 26920U, 43370U, 37239U, 26306U, 42713U, 
-    15808U, 37002U, 17140U, 24601U, 40981U, 15858U, 37158U, 17253U, 
-    25823U, 42178U, 15897U, 37300U, 17354U, 26807U, 43270U, 15972U, 
-    37534U, 17524U, 28147U, 44698U, 30021U, 46404U, 27802U, 44227U, 
-    37743U, 37875U, 37810U, 37890U, 36908U, 24432U, 40709U, 37011U, 
-    24768U, 41166U, 37248U, 26397U, 42860U, 37440U, 27761U, 44186U, 
-    36950U, 24478U, 40815U, 37020U, 24943U, 41328U, 37268U, 26688U, 
-    43154U, 37482U, 27982U, 44459U, 28317U, 44826U, 24459U, 40769U, 
-    15991U, 17553U, 16083U, 17737U, 15827U, 17180U, 16049U, 17683U, 
-    15941U, 17419U, 16061U, 17695U, 15952U, 17430U, 28338U, 44860U, 
-    24487U, 40824U, 28660U, 45168U, 25012U, 41397U, 30206U, 46589U, 
-    28043U, 44529U, 17608U, 17283U, 17564U, 28610U, 45118U, 16094U, 
-    18317U, 17748U, 18383U, 30661U, 18521U, 47059U, 18699U, 17190U, 
-    24952U, 41337U, 17630U, 29477U, 45927U, 16148U, 18350U, 17802U, 
-    18416U, 31855U, 18578U, 48252U, 18767U, 17303U, 26697U, 43163U, 
-    29106U, 45571U, 31296U, 47666U, 25877U, 42232U, 29551U, 45987U, 
-    32062U, 48445U, 26831U, 43294U, 17707U, 30175U, 46558U, 17441U, 
-    27991U, 44468U, 17543U, 28518U, 45040U, 16073U, 18306U, 17727U, 
-    18372U, 30581U, 18510U, 46993U, 18688U, 17159U, 24777U, 41175U, 
-    16138U, 18339U, 17792U, 18405U, 31556U, 18567U, 47967U, 18756U, 
-    29095U, 45560U, 31285U, 47655U, 25867U, 42222U, 32051U, 48434U, 
-    17673U, 30011U, 46394U, 17385U, 27770U, 44195U, 17619U, 17293U, 
-    17574U, 28631U, 45139U, 16104U, 18328U, 17758U, 18394U, 30682U, 
-    18532U, 47080U, 18710U, 17211U, 24983U, 41368U, 17640U, 29487U, 
-    45937U, 16158U, 18361U, 17812U, 18427U, 31865U, 18589U, 48262U, 
-    18778U, 17324U, 26718U, 43184U, 29117U, 45582U, 31307U, 47677U, 
-    25887U, 42242U, 29562U, 45998U, 32073U, 48456U, 26841U, 43304U, 
-    17717U, 30196U, 46579U, 17462U, 28022U, 44499U, 28297U, 44806U, 
-    24441U, 40718U, 28539U, 45061U, 30591U, 14468U, 47003U, 24831U, 
-    41229U, 29256U, 45720U, 31566U, 14660U, 47977U, 26429U, 42892U, 
-    28361U, 44883U, 24518U, 40898U, 30229U, 46612U, 28074U, 44617U, 
-    28394U, 44916U, 24548U, 40928U, 30262U, 46645U, 28104U, 44647U, 
-    30071U, 46454U, 27871U, 44307U, 30728U, 47126U, 31911U, 48308U, 
-    30705U, 47103U, 31888U, 48285U, 29980U, 46373U, 27724U, 44158U, 
-    30032U, 46415U, 27823U, 44248U, 29356U, 45820U, 31666U, 48077U, 
-    26541U, 43020U, 29395U, 45859U, 31715U, 48126U, 26577U, 43056U, 
-    29154U, 45605U, 25943U, 42285U, 30046U, 46429U, 27836U, 44272U, 
-    29370U, 45834U, 31680U, 48091U, 26554U, 43033U, 29410U, 45874U, 
-    31730U, 48141U, 26591U, 43070U, 29168U, 45619U, 25956U, 42298U, 
-    30997U, 14601U, 47353U, 31969U, 14715U, 48352U, 29621U, 46043U, 
-    26927U, 43377U, 21424U, 35473U, 21726U, 35683U, 25534U, 41889U, 
-    27439U, 43865U, 21448U, 35497U, 14840U, 21750U, 35707U, 14866U, 
-    25558U, 41913U, 14892U, 27463U, 43889U, 14918U, 21210U, 50763U, 
-    35351U, 51225U, 21067U, 50698U, 35241U, 51161U, 21128U, 50724U, 
-    35302U, 21472U, 35521U, 21774U, 35731U, 20893U, 35057U, 21115U, 
-    35289U, 20853U, 35017U, 21057U, 35231U, 20883U, 35047U, 51135U, 
-    21105U, 35279U, 51187U, 20872U, 35036U, 21094U, 35268U, 21435U, 
-    35484U, 21737U, 35694U, 25545U, 41900U, 27450U, 43876U, 21459U, 
-    35508U, 14852U, 21761U, 35718U, 14878U, 25569U, 41924U, 14904U, 
-    27474U, 43900U, 14930U, 21219U, 50776U, 35360U, 51238U, 21075U, 
-    50710U, 35249U, 51173U, 21136U, 50736U, 35310U, 37769U, 37916U, 
-    37784U, 37931U, 16403U, 18057U, 16498U, 18152U, 16259U, 17913U, 
-    16439U, 18093U, 16567U, 18221U, 16312U, 17966U, 21200U, 35341U, 
-    50791U, 51253U, 30920U, 47290U, 20863U, 35027U, 21230U, 35371U, 
-    50819U, 51281U, 32292U, 48671U, 21085U, 35259U, 21532U, 50805U, 
-    35581U, 51267U, 20906U, 50685U, 35070U, 21834U, 50833U, 35791U, 
-    51295U, 21146U, 50750U, 35320U, 10270U, 28732U, 45240U, 30802U, 
-    14525U, 47200U, 25137U, 41509U, 29704U, 46126U, 32174U, 14774U, 
-    48557U, 27052U, 43490U, 31086U, 47442U, 25591U, 50979U, 41946U, 
-    51573U, 32426U, 48805U, 27487U, 51057U, 43913U, 51651U, 28912U, 
-    45405U, 25365U, 41703U, 29895U, 46302U, 27292U, 43731U, 31110U, 
-    47466U, 25633U, 41988U, 32450U, 48829U, 27529U, 43955U, 28809U, 
-    45303U, 30836U, 47220U, 25218U, 41577U, 29781U, 46189U, 32208U, 
-    48577U, 27133U, 43558U, 28835U, 45342U, 30849U, 47233U, 25252U, 
-    41613U, 29807U, 46228U, 32221U, 48614U, 27167U, 43616U, 28902U, 
-    45395U, 25335U, 41673U, 29885U, 46292U, 27250U, 43676U, 9664U, 
-    10225U, 556U, 10325U, 8049U, 10470U, 8388U, 8059U, 2071U, 
-    4096U, 8517U, 3580U, 8535U, 3602U, 10285U, 10544U, 11938U, 
-    23849U, 40115U, 22053U, 38190U, 22757U, 38881U, 24347U, 40631U, 
-    10018U, 5456U, 35819U, 23942U, 40208U, 35801U, 5124U, 22158U, 
-    38295U, 35810U, 22910U, 39034U, 24365U, 40649U, 8656U, 8292U, 
-    8238U, 10291U, 8124U, 8248U, 8349U, 10569U, 10436U, 5986U, 
-    15611U, 7246U, 36714U, 16980U, 7586U, 24046U, 40328U, 11407U, 
-    2183U, 15349U, 6984U, 36004U, 16735U, 7390U, 22363U, 38507U, 
-    10875U, 2266U, 2373U, 7115U, 36199U, 2490U, 7488U, 23230U, 
-    39370U, 10971U, 6886U, 15766U, 36865U, 17109U, 7645U, 24380U, 
-    40670U, 11497U, 25336U, 41674U, 27251U, 43677U, 11954U, 10254U, 
-    3873U, 8567U, 3631U, 10520U, 3975U, 10576U, 793U, 6141U, 
-    8501U, 10551U, 
+    8389U, 9519U, 9553U, 9124U, 9115U, 9172U, 8275U, 8290U, 
+    8098U, 8332U, 9961U, 8075U, 7952U, 11655U, 7992U, 10091U, 
+    7862U, 9594U, 10071U, 7875U, 7532U, 6342U, 6363U, 9857U, 
+    8210U, 2956U, 4672U, 456U, 27717U, 26071U, 26922U, 28240U, 
+    5527U, 15268U, 6677U, 40613U, 16645U, 7032U, 27384U, 44439U, 
+    10944U, 1916U, 14995U, 6415U, 39948U, 16389U, 6836U, 25546U, 
+    42508U, 10395U, 1989U, 2087U, 6546U, 40093U, 2219U, 6934U, 
+    26226U, 43175U, 10491U, 6335U, 15435U, 41097U, 16787U, 28185U, 
+    45266U, 11038U, 26087U, 43036U, 26938U, 43902U, 5536U, 15281U, 
+    6700U, 40634U, 16653U, 7050U, 7662U, 7685U, 27393U, 44456U, 
+    7718U, 10956U, 1925U, 15008U, 6438U, 39969U, 16397U, 6854U, 
+    7638U, 7674U, 25597U, 42567U, 7696U, 10407U, 1998U, 2102U, 
+    6569U, 40114U, 2229U, 7625U, 6952U, 7650U, 26277U, 43234U, 
+    7707U, 10503U, 6349U, 15447U, 41109U, 16794U, 7120U, 28193U, 
+    45274U, 11049U, 29013U, 46248U, 30932U, 48314U, 29457U, 57526U, 
+    46699U, 58092U, 31366U, 57604U, 48736U, 58170U, 28961U, 46196U, 
+    30880U, 48262U, 22776U, 23486U, 23822U, 22858U, 656U, 37437U, 
+    2858U, 23083U, 4574U, 23693U, 2578U, 358U, 2352U, 3937U, 
+    2690U, 4189U, 222U, 2438U, 4023U, 68U, 593U, 1597U, 
+    3509U, 1627U, 3548U, 26114U, 43063U, 26965U, 43929U, 31546U, 
+    48924U, 28562U, 45788U, 31560U, 48938U, 28582U, 45808U, 28572U, 
+    45798U, 27999U, 45080U, 5545U, 15294U, 6714U, 40647U, 16661U, 
+    7059U, 27401U, 44464U, 10968U, 1934U, 15021U, 6452U, 39982U, 
+    16405U, 6863U, 25605U, 42575U, 10419U, 2007U, 2117U, 6583U, 
+    40127U, 2239U, 6961U, 26285U, 43242U, 10515U, 6356U, 15459U, 
+    41121U, 16801U, 7128U, 28200U, 45281U, 11060U, 25830U, 42800U, 
+    26660U, 43617U, 29129U, 46343U, 31048U, 48431U, 29031U, 46266U, 
+    30950U, 48332U, 40665U, 44567U, 9865U, 540U, 563U, 25931U, 
+    42916U, 26774U, 43755U, 15029U, 16413U, 15163U, 16537U, 25779U, 
+    42749U, 26609U, 43566U, 25720U, 42690U, 26448U, 43405U, 25554U, 
+    42516U, 26234U, 43183U, 25747U, 42717U, 26577U, 43534U, 25941U, 
+    42926U, 26784U, 43765U, 16016U, 17670U, 16069U, 17723U, 725U, 
+    769U, 737U, 781U, 25791U, 42761U, 26621U, 43578U, 25738U, 
+    42708U, 26568U, 43525U, 25564U, 42526U, 26244U, 43193U, 25758U, 
+    42728U, 26588U, 43545U, 25922U, 42899U, 26765U, 43738U, 27613U, 
+    25958U, 27474U, 44537U, 25690U, 42660U, 26418U, 43375U, 27597U, 
+    44676U, 25914U, 42891U, 26757U, 43730U, 38201U, 38694U, 6777U, 
+    40723U, 7104U, 44721U, 6515U, 40049U, 6908U, 42964U, 6646U, 
+    40220U, 7006U, 43838U, 6686U, 40621U, 7041U, 44447U, 6424U, 
+    39956U, 6845U, 42547U, 6555U, 40101U, 6943U, 43214U, 6759U, 
+    40707U, 7086U, 44684U, 6497U, 40033U, 6890U, 42908U, 6628U, 
+    40204U, 6988U, 43747U, 6768U, 40715U, 7095U, 44703U, 6506U, 
+    40041U, 6899U, 42946U, 6637U, 40212U, 6997U, 43820U, 25729U, 
+    42699U, 26457U, 43414U, 23877U, 38821U, 22913U, 38185U, 23557U, 
+    2302U, 38678U, 5590U, 2316U, 11360U, 9653U, 8021U, 8216U, 
+    2965U, 4681U, 465U, 7790U, 7822U, 7853U, 8371U, 8379U, 
+    8393U, 9986U, 7826U, 27348U, 44403U, 25510U, 42472U, 26190U, 
+    43139U, 27409U, 44472U, 25613U, 42583U, 26341U, 43298U, 27374U, 
+    44429U, 25536U, 42498U, 26216U, 43165U, 27420U, 44483U, 25624U, 
+    42594U, 26352U, 43309U, 8155U, 2880U, 4596U, 380U, 8137U, 
+    2847U, 4563U, 347U, 27464U, 44527U, 25680U, 42650U, 26408U, 
+    43365U, 8173U, 2904U, 4620U, 404U, 27494U, 44557U, 25710U, 
+    42680U, 26438U, 43395U, 27431U, 44494U, 25635U, 42605U, 26363U, 
+    43320U, 27521U, 44593U, 25820U, 42790U, 26650U, 43607U, 27442U, 
+    44505U, 25646U, 42616U, 26374U, 43331U, 8145U, 2867U, 4583U, 
+    367U, 8128U, 2826U, 4542U, 326U, 27453U, 44516U, 25657U, 
+    42627U, 26385U, 43342U, 8164U, 2892U, 4608U, 392U, 27531U, 
+    44610U, 25839U, 42816U, 26669U, 43626U, 27560U, 44639U, 25877U, 
+    42854U, 26707U, 43664U, 8193U, 2933U, 4649U, 433U, 27648U, 
+    44692U, 25993U, 42935U, 26809U, 43774U, 27542U, 44621U, 25850U, 
+    42827U, 26680U, 43637U, 27571U, 44650U, 25888U, 42865U, 26718U, 
+    43675U, 8202U, 2945U, 4661U, 445U, 27667U, 44711U, 26012U, 
+    42954U, 26863U, 43828U, 1644U, 3580U, 5314U, 1654U, 6285U, 
+    1616U, 3528U, 44U, 1540U, 3387U, 3446U, 1529U, 3398U, 
+    3457U, 1552U, 3409U, 3468U, 5554U, 15334U, 6723U, 40674U, 
+    16708U, 7068U, 27552U, 44631U, 10980U, 1943U, 15072U, 6461U, 
+    40000U, 16463U, 6872U, 25869U, 42846U, 10431U, 2026U, 2127U, 
+    6592U, 40158U, 2249U, 6970U, 26699U, 43656U, 10527U, 6370U, 
+    15494U, 41128U, 16842U, 28218U, 45305U, 11071U, 16048U, 54911U, 
+    17702U, 55164U, 16101U, 54925U, 17755U, 55178U, 7772U, 29554U, 
+    56049U, 46796U, 56457U, 9206U, 9688U, 31453U, 56062U, 48823U, 
+    56470U, 11410U, 7593U, 27482U, 44545U, 25698U, 42668U, 26426U, 
+    43383U, 7605U, 28207U, 45288U, 29471U, 46713U, 31380U, 48750U, 
+    37467U, 39169U, 39132U, 37457U, 8222U, 2974U, 4690U, 474U, 
+    1512U, 3309U, 9585U, 5602U, 2542U, 7309U, 5670U, 3046U, 
+    7420U, 4164U, 7320U, 4762U, 7431U, 11595U, 28893U, 46141U, 
+    30833U, 48228U, 30321U, 47681U, 30784U, 48179U, 30346U, 47720U, 
+    28905U, 46153U, 26504U, 43461U, 30064U, 47366U, 31219U, 48589U, 
+    26298U, 43255U, 29322U, 46564U, 26825U, 43790U, 31262U, 48632U, 
+    29353U, 46595U, 26555U, 43512U, 30109U, 47411U, 30309U, 47668U, 
+    30333U, 47707U, 26471U, 43428U, 30035U, 47337U, 26522U, 43479U, 
+    30080U, 47382U, 7920U, 7974U, 7536U, 9861U, 11513U, 23768U, 
+    38762U, 38915U, 38262U, 22748U, 38117U, 24041U, 38935U, 23053U, 
+    38292U, 23458U, 38619U, 24066U, 38955U, 23999U, 38882U, 23046U, 
+    38247U, 23676U, 38740U, 24103U, 38996U, 29243U, 46444U, 31174U, 
+    48557U, 22813U, 23523U, 23853U, 22889U, 690U, 37489U, 23123U, 
+    23733U, 2627U, 2389U, 3974U, 2730U, 4229U, 262U, 2478U, 
+    4063U, 108U, 624U, 29575U, 57565U, 46817U, 58131U, 31489U, 
+    57643U, 48859U, 58209U, 22832U, 23541U, 23864U, 22900U, 702U, 
+    37500U, 3002U, 23143U, 4718U, 23753U, 2665U, 502U, 2413U, 
+    3998U, 2744U, 4243U, 276U, 2492U, 4077U, 122U, 635U, 
+    16039U, 17693U, 16092U, 17746U, 11605U, 9575U, 3489U, 3011U, 
+    4727U, 3029U, 4745U, 37413U, 9923U, 10119U, 9795U, 11615U, 
+    41641U, 48500U, 9664U, 8403U, 812U, 14942U, 23874U, 14921U, 
+    22910U, 3479U, 14953U, 23923U, 14932U, 22967U, 3539U, 24121U, 
+    24417U, 22929U, 23573U, 22977U, 23609U, 9630U, 9637U, 9929U, 
+    7979U, 23893U, 22937U, 23933U, 22986U, 9645U, 24006U, 24701U, 
+    7924U, 9991U, 3120U, 3127U, 8397U, 11440U, 10044U, 9615U, 
+    24015U, 38905U, 24723U, 9452U, 9326U, 9389U, 9473U, 9347U, 
+    9410U, 9431U, 9305U, 9368U, 9533U, 9494U, 805U, 9540U, 
+    10063U, 24433U, 24363U, 7985U, 9512U, 9944U, 24709U, 11625U, 
+    9238U, 9809U, 3590U, 8091U, 3378U, 10003U, 11434U, 818U, 
+    29139U, 46353U, 31058U, 48441U, 29040U, 46275U, 30959U, 48341U, 
+    7892U, 9952U, 28940U, 30868U, 29201U, 46402U, 31120U, 48490U, 
+    28928U, 30856U, 29190U, 46391U, 31109U, 48479U, 7810U, 11635U, 
+    29012U, 46247U, 30931U, 48313U, 10059U, 28973U, 46208U, 30892U, 
+    48274U, 23998U, 38881U, 23045U, 38246U, 23675U, 38739U, 24102U, 
+    38995U, 23787U, 22785U, 23495U, 2757U, 4256U, 289U, 2505U, 
+    4090U, 135U, 2590U, 4127U, 172U, 23885U, 38829U, 27512U, 
+    15982U, 6807U, 44584U, 17636U, 7298U, 22921U, 38193U, 25811U, 
+    15959U, 6785U, 42781U, 17613U, 7232U, 23565U, 38686U, 26641U, 
+    2187U, 6796U, 43598U, 2290U, 7265U, 24089U, 38982U, 16701U, 
+    44603U, 16456U, 42809U, 16836U, 45299U, 23780U, 38769U, 38925U, 
+    38272U, 22760U, 38124U, 24051U, 38945U, 23063U, 38302U, 23470U, 
+    38626U, 24077U, 38961U, 7761U, 31130U, 48513U, 9706U, 8410U, 
+    9195U, 11399U, 10067U, 837U, 3239U, 9589U, 7915U, 1765U, 
+    3660U, 8346U, 1450U, 3262U, 1492U, 3289U, 1502U, 3299U, 
+    5418U, 1758U, 3634U, 23912U, 22956U, 23591U, 2781U, 4280U, 
+    313U, 2529U, 4114U, 159U, 2652U, 4151U, 196U, 23796U, 
+    22823U, 23902U, 22946U, 23581U, 2769U, 4268U, 301U, 2517U, 
+    4102U, 147U, 2640U, 4139U, 184U, 29562U, 46804U, 31461U, 
+    48831U, 29508U, 46750U, 31417U, 48787U, 31230U, 48600U, 26311U, 
+    43268U, 29333U, 46575U, 26838U, 43803U, 31273U, 48643U, 29364U, 
+    46606U, 26485U, 43442U, 30047U, 47349U, 26536U, 43493U, 30092U, 
+    47394U, 39857U, 29480U, 46722U, 31389U, 48759U, 29549U, 46791U, 
+    31448U, 48818U, 35071U, 52358U, 29494U, 46736U, 36421U, 53731U, 
+    31403U, 48773U, 31214U, 48584U, 34247U, 51574U, 26293U, 43250U, 
+    34977U, 52264U, 29317U, 46559U, 34430U, 51757U, 26820U, 43785U, 
+    36350U, 53660U, 31257U, 48627U, 29348U, 46590U, 34286U, 51613U, 
+    26466U, 43423U, 35339U, 52681U, 30030U, 47332U, 34356U, 51683U, 
+    35401U, 52743U, 34321U, 51648U, 26517U, 43474U, 35370U, 52712U, 
+    30075U, 47377U, 34393U, 51720U, 35434U, 52776U, 34266U, 51593U, 
+    34994U, 52281U, 34449U, 51776U, 36367U, 53677U, 35055U, 52342U, 
+    29465U, 46707U, 36405U, 53715U, 31374U, 48744U, 852U, 3155U, 
+    5084U, 842U, 3145U, 5074U, 858U, 3161U, 5090U, 847U, 
+    3150U, 5079U, 13510U, 1878U, 3833U, 870U, 3173U, 5102U, 
+    864U, 3167U, 5096U, 887U, 3190U, 5119U, 875U, 3178U, 
+    5107U, 892U, 3195U, 5124U, 23926U, 38837U, 22970U, 38210U, 
+    23602U, 38703U, 913U, 3216U, 5154U, 881U, 3184U, 5113U, 
+    902U, 3205U, 5143U, 919U, 3222U, 5160U, 930U, 3233U, 
+    5171U, 897U, 3200U, 5138U, 908U, 3211U, 5149U, 13515U, 
+    925U, 3228U, 5166U, 45378U, 45980U, 45474U, 46096U, 47955U, 
+    49313U, 47798U, 49152U, 18712U, 25375U, 39895U, 18744U, 20008U, 
+    18720U, 25383U, 39903U, 18752U, 20016U, 18728U, 25391U, 39911U, 
+    18760U, 20024U, 18736U, 25399U, 39919U, 18768U, 20032U, 45664U, 
+    46912U, 48055U, 49483U, 45537U, 46515U, 47975U, 49515U, 49386U, 
+    7573U, 11346U, 7580U, 11353U, 17251U, 17271U, 49054U, 45544U, 
+    46522U, 47982U, 49393U, 45553U, 46531U, 47991U, 49402U, 8118U, 
+    27581U, 44660U, 25898U, 42875U, 26741U, 43698U, 5303U, 7592U, 
+    1578U, 3435U, 6275U, 7604U, 31583U, 9818U, 27605U, 25950U, 
+    534U, 799U, 22786U, 23496U, 22107U, 1432U, 3244U, 26U, 
+    1441U, 3253U, 35U, 23093U, 4091U, 136U, 23703U, 173U, 
+    22134U, 47159U, 38755U, 38110U, 38282U, 38612U, 8085U, 3361U, 
+    27624U, 25969U, 7931U, 27632U, 25977U, 26793U, 23943U, 23006U, 
+    23628U, 27640U, 25985U, 26801U, 23959U, 23022U, 23644U, 23975U, 
+    38858U, 24025U, 38889U, 15276U, 6695U, 40629U, 15003U, 6433U, 
+    39964U, 2097U, 6564U, 40109U, 15442U, 41104U, 15289U, 6709U, 
+    40642U, 15016U, 6447U, 39977U, 2112U, 6578U, 40122U, 15454U, 
+    41116U, 23763U, 22743U, 23453U, 24061U, 23775U, 22755U, 23465U, 
+    24072U, 15387U, 6746U, 40695U, 15125U, 6484U, 40021U, 2152U, 
+    6615U, 40192U, 15541U, 41147U, 11572U, 15255U, 6663U, 40600U, 
+    14982U, 6401U, 39935U, 2072U, 6532U, 40080U, 15423U, 41085U, 
+    15374U, 6732U, 40682U, 15112U, 6470U, 40008U, 2137U, 6601U, 
+    40179U, 15529U, 41135U, 7755U, 9189U, 9676U, 11393U, 9620U, 
+    8015U, 8008U, 9133U, 9657U, 11374U, 9224U, 9700U, 11428U, 
+    27504U, 44576U, 25803U, 42773U, 26633U, 43590U, 27659U, 26004U, 
+    26855U, 24447U, 39216U, 5280U, 1484U, 3281U, 6268U, 27688U, 
+    44739U, 26033U, 42982U, 26884U, 43856U, 10126U, 3681U, 29002U, 
+    46237U, 30921U, 48303U, 29447U, 46689U, 31356U, 48726U, 29265U, 
+    46453U, 31196U, 48566U, 29594U, 57578U, 46836U, 58144U, 31507U, 
+    57656U, 48877U, 58222U, 7938U, 28992U, 46227U, 30911U, 48293U, 
+    29437U, 46679U, 31346U, 48716U, 29151U, 46365U, 31070U, 48453U, 
+    29532U, 57552U, 46774U, 58118U, 31440U, 57630U, 48810U, 58196U, 
+    36866U, 54224U, 36754U, 54112U, 37026U, 54384U, 36899U, 54257U, 
+    36849U, 54207U, 36882U, 54240U, 9935U, 9714U, 3565U, 43956U, 
+    53856U, 40135U, 26328U, 43285U, 43975U, 47577U, 47532U, 41562U, 
+    47692U, 43706U, 40166U, 26728U, 43685U, 10539U, 4334U, 4876U, 
+    4392U, 4934U, 4451U, 4993U, 37116U, 54474U, 36684U, 54042U, 
+    36700U, 54058U, 36542U, 53900U, 36729U, 54087U, 36941U, 54299U, 
+    36597U, 53955U, 37202U, 54560U, 36626U, 53984U, 37245U, 54603U, 
+    37103U, 54461U, 36512U, 53870U, 36915U, 54273U, 36742U, 54100U, 
+    36555U, 53913U, 37132U, 54490U, 36568U, 53926U, 36770U, 54128U, 
+    37173U, 54531U, 36641U, 53999U, 36785U, 54143U, 37274U, 54632U, 
+    18027U, 4499U, 5041U, 4421U, 4963U, 4363U, 4905U, 4348U, 
+    4890U, 4483U, 5025U, 4406U, 4948U, 16358U, 18012U, 4465U, 
+    5007U, 36800U, 54158U, 37260U, 54618U, 36670U, 54028U, 37216U, 
+    54574U, 36656U, 54014U, 45450U, 4515U, 5057U, 37289U, 54647U, 
+    37145U, 54503U, 37159U, 54517U, 36988U, 54346U, 37003U, 54361U, 
+    37042U, 54400U, 4304U, 4846U, 15696U, 17174U, 4319U, 4861U, 
+    4377U, 4919U, 4436U, 4978U, 16967U, 28806U, 46045U, 17080U, 
+    30553U, 47922U, 17218U, 31849U, 49270U, 16936U, 28631U, 45875U, 
+    17162U, 31628U, 48997U, 16988U, 28837U, 46076U, 17101U, 30574U, 
+    47943U, 17239U, 31880U, 49301U, 36529U, 53887U, 36716U, 54074U, 
+    36928U, 54286U, 36583U, 53941U, 37188U, 54546U, 36611U, 53969U, 
+    37230U, 54588U, 37090U, 54448U, 37056U, 54414U, 36954U, 54312U, 
+    36815U, 54173U, 37073U, 54431U, 36971U, 54329U, 36832U, 54190U, 
+    37014U, 54372U, 9801U, 54732U, 9230U, 10018U, 0U, 5660U, 
+    5438U, 15408U, 40738U, 54810U, 13579U, 5475U, 16765U, 55128U, 
+    27725U, 44776U, 11004U, 54834U, 37405U, 54786U, 2806U, 5425U, 
+    39825U, 39841U, 15146U, 40064U, 54794U, 13539U, 5462U, 749U, 
+    14751U, 14807U, 16520U, 3890U, 55103U, 26079U, 43028U, 10455U, 
+    54818U, 37389U, 54770U, 5650U, 2816U, 4532U, 7403U, 39833U, 
+    39849U, 2177U, 40235U, 54802U, 13569U, 13549U, 13559U, 13589U, 
+    14759U, 14815U, 16612U, 2280U, 26930U, 43894U, 10568U, 54826U, 
+    37397U, 54778U, 47293U, 29622U, 46864U, 7412U, 5451U, 15560U, 
+    41166U, 11474U, 13598U, 5488U, 16892U, 55152U, 28248U, 11461U, 
+    45334U, 11487U, 11093U, 41318U, 28931U, 46177U, 11119U, 41611U, 
+    30859U, 48252U, 11160U, 40655U, 27421U, 39990U, 25625U, 40148U, 
+    26353U, 30188U, 47498U, 29948U, 47236U, 31246U, 48616U, 41174U, 
+    28268U, 45342U, 11105U, 41697U, 31592U, 48961U, 11201U, 48387U, 
+    41328U, 29076U, 41621U, 30995U, 48364U, 41338U, 29119U, 41631U, 
+    31038U, 46298U, 48375U, 28256U, 41533U, 40243U, 41505U, 41348U, 
+    41654U, 7901U, 9978U, 38131U, 41468U, 47211U, 41493U, 47249U, 
+    44006U, 29961U, 7784U, 41425U, 29584U, 46826U, 11147U, 40256U, 
+    43993U, 30199U, 47509U, 9218U, 30211U, 47521U, 9694U, 41481U, 
+    47224U, 41688U, 31498U, 48868U, 11188U, 11422U, 7364U, 7475U, 
+    5614U, 7331U, 5682U, 7442U, 5638U, 2794U, 7353U, 5706U, 
+    3108U, 7464U, 41359U, 29221U, 46422U, 11133U, 41665U, 31152U, 
+    48535U, 11174U, 29988U, 47276U, 29974U, 47262U, 7375U, 7486U, 
+    7386U, 7497U, 5626U, 7342U, 5694U, 7453U, 9727U, 9755U, 
+    9741U, 9768U, 16111U, 17765U, 23886U, 38830U, 22922U, 38194U, 
+    23566U, 38687U, 24090U, 38983U, 29110U, 46334U, 31029U, 48422U, 
+    29522U, 57539U, 46764U, 58105U, 31431U, 57617U, 48801U, 58183U, 
+    26105U, 43054U, 26956U, 43920U, 22794U, 23504U, 23832U, 22868U, 
+    667U, 37447U, 2915U, 23102U, 4631U, 23712U, 2602U, 415U, 
+    2364U, 3949U, 2703U, 4202U, 235U, 2451U, 4036U, 81U, 
+    603U, 48905U, 23805U, 38806U, 22841U, 38170U, 23550U, 38663U, 
+    24083U, 38976U, 9625U, 5177U, 5221U, 5916U, 5960U, 5199U, 
+    5243U, 5938U, 5992U, 5189U, 5233U, 5928U, 5972U, 5211U, 
+    5255U, 5950U, 6004U, 9177U, 7514U, 13521U, 13606U, 14718U, 
+    14767U, 14823U, 11381U, 7523U, 13530U, 13615U, 14727U, 14776U, 
+    14832U, 23991U, 38874U, 23038U, 38239U, 23668U, 38732U, 24096U, 
+    38989U, 5564U, 15367U, 6738U, 40688U, 16741U, 7078U, 27590U, 
+    44669U, 10993U, 1953U, 15105U, 6476U, 40014U, 14794U, 16496U, 
+    6882U, 25907U, 42884U, 10444U, 2036U, 2143U, 6607U, 40185U, 
+    2260U, 6980U, 26750U, 43723U, 10557U, 6378U, 15523U, 41141U, 
+    16871U, 7137U, 28226U, 45313U, 11083U, 29173U, 46374U, 31092U, 
+    48462U, 39880U, 44768U, 39872U, 43011U, 39888U, 45327U, 7778U, 
+    9212U, 11416U, 6045U, 6157U, 6057U, 6169U, 6069U, 6181U, 
+    31773U, 49161U, 28511U, 45737U, 31785U, 49173U, 28523U, 45749U, 
+    28296U, 45370U, 28728U, 45972U, 30369U, 47743U, 28374U, 45592U, 
+    31934U, 49421U, 28405U, 45623U, 31965U, 49452U, 31764U, 49144U, 
+    27985U, 45066U, 30163U, 47465U, 28850U, 46089U, 8069U, 28315U, 
+    45430U, 28415U, 45633U, 31805U, 49226U, 713U, 757U, 16123U, 
+    17777U, 30453U, 47835U, 28343U, 45494U, 29284U, 46472U, 30587U, 
+    47965U, 31903U, 49333U, 9278U, 8305U, 30005U, 47307U, 9244U, 
+    8242U, 27953U, 45034U, 28436U, 45654U, 29649U, 46891U, 30635U, 
+    48034U, 31986U, 49473U, 9292U, 8319U, 30018U, 47320U, 9261U, 
+    8259U, 27969U, 45050U, 25860U, 42837U, 26690U, 43647U, 26062U, 
+    43019U, 26913U, 43885U, 41268U, 45572U, 41415U, 46550U, 41553U, 
+    48010U, 41800U, 17283U, 11215U, 28780U, 46019U, 31823U, 49244U, 
+    28534U, 45760U, 28709U, 45953U, 30525U, 47894U, 29900U, 47137U, 
+    31525U, 48895U, 32074U, 49580U, 30171U, 47473U, 30145U, 47447U, 
+    28542U, 45768U, 28551U, 45777U, 25488U, 42450U, 26179U, 43128U, 
+    30179U, 47481U, 25499U, 42461U, 31535U, 48913U, 30760U, 48154U, 
+    28480U, 45706U, 28718U, 45962U, 6111U, 6223U, 31754U, 49134U, 
+    6140U, 6252U, 28667U, 45911U, 6097U, 6209U, 31711U, 49091U, 
+    28772U, 46011U, 31796U, 49217U, 28354U, 45562U, 29308U, 46540U, 
+    30611U, 48000U, 16135U, 17789U, 6081U, 6193U, 29780U, 47017U, 
+    28426U, 45644U, 29593U, 46835U, 31976U, 49463U, 28489U, 45715U, 
+    29705U, 46955U, 32042U, 49548U, 28384U, 45602U, 29531U, 46773U, 
+    31944U, 49431U, 28471U, 45697U, 29695U, 46945U, 32032U, 49538U, 
+    45439U, 28686U, 45930U, 30286U, 47644U, 31730U, 49110U, 30502U, 
+    47871U, 29877U, 47114U, 30737U, 48131U, 28698U, 45942U, 30298U, 
+    47656U, 31742U, 49122U, 30514U, 47883U, 29889U, 47126U, 30749U, 
+    48143U, 30415U, 47789U, 6125U, 6237U, 31913U, 49376U, 32021U, 
+    49527U, 31814U, 49235U, 28819U, 46058U, 31862U, 49283U, 30491U, 
+    47860U, 38844U, 25455U, 42403U, 38217U, 25417U, 42365U, 38710U, 
+    25436U, 42384U, 5273U, 1468U, 27677U, 44728U, 26022U, 42971U, 
+    26873U, 43845U, 5342U, 1682U, 5359U, 1699U, 5296U, 1571U, 
+    3428U, 5376U, 1716U, 3609U, 5393U, 1733U, 3626U, 5410U, 
+    1750U, 30770U, 48164U, 8362U, 7561U, 572U, 826U, 3134U, 
+    11364U, 31652U, 49021U, 28305U, 45420U, 15596U, 16949U, 15710U, 
+    17188U, 15721U, 17199U, 28333U, 45484U, 28858U, 46106U, 31893U, 
+    49323U, 17052U, 16959U, 28798U, 46037U, 17072U, 30545U, 47914U, 
+    17210U, 31841U, 49262U, 16928U, 28623U, 45867U, 17154U, 31620U, 
+    48989U, 17062U, 16980U, 28829U, 46068U, 17093U, 30566U, 47935U, 
+    17231U, 31872U, 49293U, 28287U, 45361U, 28677U, 45921U, 30277U, 
+    47635U, 28364U, 45582U, 31924U, 49411U, 28394U, 45612U, 31954U, 
+    49441U, 31721U, 49101U, 28951U, 46186U, 31574U, 48952U, 31673U, 
+    49042U, 30389U, 47763U, 30425U, 47807U, 29791U, 47028U, 31686U, 
+    49066U, 30402U, 47776U, 30439U, 47821U, 29804U, 47041U, 6312U, 
+    38813U, 25445U, 42393U, 6294U, 38177U, 25407U, 42355U, 5499U, 
+    2016U, 6303U, 38670U, 25426U, 42374U, 5265U, 1460U, 5324U, 
+    1664U, 5333U, 1673U, 5350U, 1690U, 5288U, 1563U, 3420U, 
+    5367U, 1707U, 3600U, 5384U, 1724U, 3617U, 5401U, 1741U, 
+    5582U, 2054U, 30777U, 48171U, 1072U, 8576U, 15310U, 1320U, 
+    8856U, 16677U, 944U, 8432U, 15048U, 1192U, 8712U, 16432U, 
+    1008U, 8504U, 15182U, 1256U, 8784U, 16556U, 1135U, 8647U, 
+    15473U, 1383U, 8927U, 16815U, 24537U, 57260U, 39239U, 57695U, 
+    24660U, 57286U, 39300U, 57734U, 1104U, 8612U, 15350U, 1352U, 
+    8892U, 16724U, 976U, 8468U, 15088U, 1224U, 8748U, 16479U, 
+    1040U, 8540U, 15214U, 1288U, 8820U, 16588U, 1163U, 8679U, 
+    15508U, 1411U, 8959U, 16856U, 8033U, 3317U, 8051U, 3339U, 
+    9835U, 7830U, 38796U, 38160U, 38653U, 38786U, 38150U, 38643U, 
+    7843U, 9608U, 40730U, 40056U, 40227U, 41158U, 11527U, 1801U, 
+    3721U, 1827U, 3747U, 3794U, 1865U, 3820U, 11584U, 1788U, 
+    3708U, 1814U, 3734U, 3781U, 1852U, 3807U, 9134U, 9658U, 
+    11375U, 9225U, 9701U, 11429U, 11500U, 1088U, 8594U, 15326U, 
+    1336U, 8874U, 16693U, 960U, 8450U, 15064U, 1208U, 8730U, 
+    16448U, 1024U, 8522U, 15198U, 1272U, 8802U, 16572U, 1149U, 
+    8663U, 15487U, 1397U, 8943U, 16829U, 1120U, 8630U, 15366U, 
+    1368U, 8910U, 16740U, 992U, 8486U, 15104U, 1240U, 8766U, 
+    16495U, 1056U, 8558U, 15230U, 1304U, 8838U, 16604U, 1177U, 
+    8695U, 15522U, 1425U, 8975U, 16870U, 15154U, 16528U, 15238U, 
+    16620U, 24199U, 39015U, 24527U, 39229U, 24291U, 39045U, 57669U, 
+    24637U, 39277U, 57721U, 9529U, 24607U, 57272U, 39247U, 57707U, 
+    24668U, 57298U, 39308U, 57745U, 8123U, 1064U, 8567U, 15302U, 
+    1312U, 8847U, 16669U, 936U, 8423U, 15040U, 1184U, 8703U, 
+    16424U, 1000U, 8495U, 15174U, 1248U, 8775U, 16548U, 1128U, 
+    8639U, 15466U, 1376U, 8919U, 16808U, 7817U, 1096U, 8603U, 
+    15342U, 1344U, 8883U, 16716U, 968U, 8459U, 15080U, 1216U, 
+    8739U, 16471U, 1032U, 8531U, 15206U, 1280U, 8811U, 16580U, 
+    1156U, 8671U, 15501U, 1404U, 8951U, 16849U, 26123U, 43072U, 
+    26974U, 43938U, 5509U, 15247U, 6654U, 40592U, 16629U, 7014U, 
+    27358U, 44413U, 10920U, 1898U, 14974U, 6392U, 39927U, 16373U, 
+    6818U, 25520U, 42482U, 10371U, 1971U, 2062U, 6523U, 40072U, 
+    2199U, 6916U, 26200U, 43149U, 10467U, 6321U, 15416U, 41078U, 
+    16773U, 28171U, 45252U, 11016U, 7749U, 9183U, 9670U, 11387U, 
+    1774U, 3694U, 14881U, 14868U, 14841U, 14909U, 14897U, 9500U, 
+    14855U, 14736U, 24322U, 39076U, 24109U, 39002U, 24329U, 39083U, 
+    38776U, 38140U, 38633U, 38967U, 24115U, 39008U, 24357U, 39111U, 
+    24336U, 39090U, 24370U, 39125U, 24343U, 39097U, 24376U, 39140U, 
+    24350U, 39104U, 24382U, 39146U, 24404U, 39187U, 24457U, 39221U, 
+    24389U, 39153U, 24411U, 39204U, 24686U, 39326U, 7945U, 23951U, 
+    23014U, 23636U, 25464U, 42426U, 26141U, 43090U, 29910U, 47147U, 
+    15969U, 17623U, 25475U, 42437U, 26152U, 43101U, 26165U, 43114U, 
+    1080U, 8585U, 15318U, 1328U, 8865U, 16685U, 952U, 8441U, 
+    15056U, 1200U, 8721U, 16440U, 1016U, 8513U, 15190U, 1264U, 
+    8793U, 16564U, 1142U, 8655U, 15480U, 1390U, 8935U, 16822U, 
+    9027U, 7188U, 9093U, 7276U, 8983U, 7144U, 9049U, 7210U, 
+    9005U, 7166U, 9071U, 7243U, 26096U, 43045U, 26947U, 43911U, 
+    1112U, 8621U, 15358U, 1360U, 8901U, 16732U, 984U, 8477U, 
+    15096U, 1232U, 8757U, 16487U, 1048U, 8549U, 15222U, 1296U, 
+    8829U, 16596U, 1170U, 8687U, 15515U, 1418U, 8967U, 16863U, 
+    9038U, 7199U, 9104U, 7287U, 8994U, 7155U, 9060U, 7221U, 
+    9016U, 7177U, 9082U, 7254U, 26132U, 43081U, 26983U, 43947U, 
+    16028U, 17682U, 16081U, 17735U, 23967U, 23030U, 23652U, 8187U, 
+    2924U, 4640U, 424U, 10037U, 23983U, 38866U, 38231U, 23660U, 
+    38724U, 24033U, 38897U, 38254U, 38747U, 24271U, 39025U, 24608U, 
+    39248U, 24314U, 57247U, 39068U, 57682U, 24669U, 57299U, 39309U, 
+    57746U, 8228U, 2983U, 4699U, 483U, 11645U, 7795U, 7849U, 
+    7909U, 8384U, 8419U, 9827U, 7766U, 9200U, 9682U, 11404U, 
+    38851U, 38224U, 38717U, 24452U, 22824U, 23533U, 22947U, 23582U, 
+    22115U, 7965U, 5982U, 47489U, 23134U, 23744U, 2641U, 2402U, 
+    3987U, 22996U, 23618U, 2554U, 22124U, 2328U, 3913U, 47166U, 
+    5518U, 15260U, 6668U, 40605U, 16637U, 7023U, 27366U, 44421U, 
+    10932U, 1907U, 14987U, 6406U, 39940U, 16381U, 6827U, 25528U, 
+    42490U, 10383U, 1980U, 2077U, 6537U, 40085U, 2209U, 6925U, 
+    26208U, 43157U, 10479U, 6328U, 15428U, 41090U, 16780U, 7112U, 
+    28178U, 45259U, 11027U, 28964U, 46199U, 30883U, 48265U, 22803U, 
+    23513U, 23842U, 22878U, 678U, 37478U, 23112U, 23722U, 2614U, 
+    2376U, 3961U, 2716U, 4215U, 248U, 2464U, 4049U, 94U, 
+    613U, 29428U, 57513U, 46670U, 58079U, 31337U, 57591U, 48707U, 
+    58157U, 22767U, 23477U, 23812U, 22848U, 645U, 37427U, 2838U, 
+    23073U, 4554U, 23683U, 2566U, 338U, 2340U, 3925U, 2677U, 
+    4176U, 209U, 2425U, 4010U, 55U, 583U, 9916U, 9155U, 
+    9792U, 10051U, 3650U, 10011U, 3641U, 25574U, 42536U, 26254U, 
+    43203U, 14785U, 3853U, 24395U, 4293U, 39178U, 4786U, 14963U, 
+    3864U, 15645U, 3877U, 17040U, 3900U, 5572U, 15399U, 54885U, 
+    16756U, 55115U, 27708U, 44759U, 1961U, 15137U, 54872U, 16511U, 
+    55090U, 26053U, 43002U, 2044U, 2166U, 54842U, 2269U, 54857U, 
+    26904U, 43876U, 6384U, 15552U, 54898U, 16884U, 11447U, 55140U, 
+    28232U, 45319U, 1887U, 3842U, 3070U, 4808U, 3081U, 4819U, 
+    9603U, 8235U, 2993U, 4709U, 493U, 27698U, 44749U, 26043U, 
+    42992U, 26894U, 43866U, 25769U, 42739U, 26599U, 43556U, 29470U, 
+    46712U, 31379U, 48749U, 39168U, 39131U, 39194U, 39159U, 3058U, 
+    4774U, 511U, 3097U, 4835U, 523U, 39117U, 7587U, 29064U, 
+    46286U, 30983U, 48352U, 29098U, 46322U, 31017U, 48410U, 3760U, 
+    32624U, 50076U, 34751U, 14162U, 18174U, 58376U, 19476U, 59529U, 
+    52080U, 21553U, 60979U, 29021U, 46256U, 33596U, 50962U, 36143U, 
+    14458U, 18496U, 58724U, 19941U, 59997U, 53457U, 22029U, 61447U, 
+    30940U, 48322U, 35045U, 52332U, 29456U, 57525U, 46698U, 58091U, 
+    36395U, 53705U, 31365U, 57603U, 48735U, 58169U, 32557U, 50009U, 
+    28960U, 46195U, 33529U, 50895U, 30879U, 48261U, 31545U, 48923U, 
+    28561U, 45787U, 31559U, 48937U, 28581U, 45807U, 28571U, 45797U, 
+    27998U, 45079U, 16004U, 17658U, 18688U, 58789U, 16057U, 17711U, 
+    18700U, 58802U, 32707U, 50158U, 29128U, 46342U, 33690U, 51055U, 
+    31047U, 48430U, 32634U, 50086U, 29030U, 46265U, 33606U, 50972U, 
+    30949U, 48331U, 9894U, 34811U, 52126U, 36203U, 53527U, 16158U, 
+    17812U, 16015U, 17669U, 16194U, 17848U, 16068U, 17722U, 32783U, 
+    50220U, 29230U, 46431U, 33766U, 51117U, 31161U, 48544U, 6014U, 
+    6029U, 37304U, 27252U, 37323U, 27270U, 32867U, 50276U, 35127U, 
+    52414U, 33813U, 51150U, 36466U, 53776U, 31473U, 48843U, 16183U, 
+    54938U, 17837U, 55191U, 16289U, 54983U, 17943U, 55236U, 13624U, 
+    16047U, 54910U, 17701U, 55163U, 16229U, 54953U, 17883U, 55206U, 
+    16347U, 55060U, 18001U, 55313U, 13636U, 16100U, 54924U, 17754U, 
+    55177U, 35117U, 54998U, 52404U, 55251U, 29553U, 56048U, 46795U, 
+    56456U, 36456U, 55075U, 53766U, 55328U, 31452U, 56061U, 48822U, 
+    56469U, 35075U, 52362U, 29498U, 46740U, 36425U, 53735U, 31407U, 
+    48777U, 32520U, 49972U, 34690U, 52019U, 28892U, 46140U, 33505U, 
+    50871U, 36095U, 53409U, 14689U, 30832U, 48227U, 32096U, 33155U, 
+    50563U, 35565U, 52907U, 14617U, 47680U, 32109U, 33479U, 50845U, 
+    36069U, 53383U, 14675U, 48178U, 35723U, 53051U, 14645U, 33492U, 
+    50858U, 36082U, 53396U, 30795U, 48190U, 33182U, 50590U, 35592U, 
+    52934U, 14631U, 30345U, 47719U, 32533U, 49985U, 34717U, 52046U, 
+    28904U, 46152U, 41891U, 50452U, 42199U, 52594U, 41455U, 47173U, 
+    35752U, 53080U, 14660U, 34306U, 51633U, 26503U, 43460U, 35357U, 
+    52699U, 30063U, 47365U, 36324U, 53634U, 31218U, 48588U, 34377U, 
+    51704U, 35420U, 52762U, 26297U, 43254U, 34981U, 52268U, 29321U, 
+    46563U, 26824U, 43789U, 36354U, 53664U, 31261U, 48631U, 34946U, 
+    52233U, 36293U, 53603U, 35012U, 52299U, 29352U, 46594U, 34341U, 
+    51668U, 26554U, 43511U, 35388U, 52730U, 30108U, 47410U, 34414U, 
+    51741U, 35453U, 52795U, 32082U, 33141U, 50549U, 35551U, 52893U, 
+    47667U, 35708U, 53036U, 33168U, 50576U, 35578U, 52920U, 30332U, 
+    47706U, 35737U, 53065U, 34290U, 51617U, 26470U, 43427U, 35343U, 
+    52685U, 30034U, 47336U, 34360U, 51687U, 35405U, 52747U, 34325U, 
+    51652U, 26521U, 43478U, 35374U, 52716U, 30079U, 47381U, 34397U, 
+    51724U, 35438U, 52780U, 34703U, 52032U, 36108U, 53422U, 14703U, 
+    34998U, 52285U, 36371U, 53681U, 34961U, 52248U, 36308U, 53618U, 
+    32796U, 50233U, 34881U, 14195U, 18210U, 58415U, 19521U, 59578U, 
+    52182U, 21598U, 61028U, 29242U, 46443U, 33779U, 51130U, 36273U, 
+    14491U, 18532U, 58763U, 19986U, 60046U, 53583U, 22074U, 61496U, 
+    31173U, 48556U, 35143U, 52430U, 29574U, 57564U, 46816U, 58130U, 
+    36482U, 53792U, 31488U, 57642U, 48858U, 58208U, 16038U, 17692U, 
+    16219U, 17873U, 16091U, 17745U, 24441U, 39210U, 24717U, 39332U, 
+    40897U, 44962U, 40528U, 44279U, 40560U, 44341U, 40912U, 45004U, 
+    40544U, 44310U, 40576U, 44372U, 41640U, 48499U, 42412U, 54743U, 
+    24834U, 13715U, 25136U, 13911U, 24926U, 13813U, 39441U, 25228U, 
+    14009U, 39651U, 40323U, 13127U, 27047U, 12307U, 44074U, 13337U, 
+    10226U, 10651U, 22506U, 11923U, 37873U, 12743U, 23216U, 12119U, 
+    38375U, 12939U, 22206U, 11727U, 37573U, 12547U, 40453U, 13213U, 
+    27177U, 12393U, 44204U, 13423U, 10336U, 10821U, 22656U, 12021U, 
+    38023U, 12841U, 23366U, 12217U, 38525U, 13037U, 22356U, 11825U, 
+    37723U, 12645U, 40373U, 57847U, 27097U, 57413U, 44124U, 57979U, 
+    10717U, 25040U, 39555U, 22564U, 37931U, 23274U, 38433U, 22264U, 
+    37631U, 40503U, 57913U, 27227U, 57479U, 44254U, 58045U, 10887U, 
+    25342U, 39765U, 22714U, 38081U, 23424U, 38583U, 22414U, 37781U, 
+    24771U, 13648U, 25073U, 13844U, 24863U, 13746U, 39378U, 25165U, 
+    13942U, 39588U, 40268U, 13068U, 26992U, 12248U, 44019U, 13278U, 
+    10151U, 10580U, 22443U, 11856U, 37810U, 12676U, 23153U, 12052U, 
+    38312U, 12872U, 22143U, 11660U, 37510U, 12480U, 40398U, 13154U, 
+    27122U, 12334U, 44149U, 13364U, 10261U, 10750U, 22593U, 11954U, 
+    37960U, 12774U, 23303U, 12150U, 38462U, 12970U, 22293U, 11758U, 
+    37660U, 12578U, 24788U, 13666U, 25090U, 13862U, 24880U, 13764U, 
+    39395U, 25182U, 13960U, 39605U, 24817U, 13697U, 25119U, 13893U, 
+    24909U, 13795U, 39424U, 25211U, 13991U, 39634U, 40308U, 13111U, 
+    27032U, 12291U, 44059U, 13321U, 10206U, 10632U, 22489U, 11905U, 
+    37856U, 12725U, 23199U, 12101U, 38358U, 12921U, 22189U, 11709U, 
+    37556U, 12529U, 40438U, 13197U, 27162U, 12377U, 44189U, 13407U, 
+    10316U, 10802U, 22639U, 12003U, 38006U, 12823U, 23349U, 12199U, 
+    38508U, 13019U, 22339U, 11807U, 37706U, 12627U, 40283U, 13084U, 
+    27007U, 12264U, 44034U, 13294U, 10171U, 10599U, 22460U, 11874U, 
+    37827U, 12694U, 23170U, 12070U, 38329U, 12890U, 22160U, 11678U, 
+    37527U, 12498U, 40413U, 13170U, 27137U, 12350U, 44164U, 13380U, 
+    10281U, 10769U, 22610U, 11972U, 37977U, 12792U, 23320U, 12168U, 
+    38479U, 12988U, 22310U, 11776U, 37677U, 12596U, 40348U, 57814U, 
+    27072U, 57380U, 44099U, 57946U, 10684U, 25017U, 39532U, 22535U, 
+    37902U, 23245U, 38404U, 22235U, 37602U, 40478U, 57880U, 27202U, 
+    57446U, 44229U, 58012U, 10854U, 25319U, 39742U, 22685U, 38052U, 
+    23395U, 38554U, 22385U, 37752U, 24848U, 13730U, 25150U, 13926U, 
+    24940U, 13828U, 39455U, 25242U, 14024U, 39665U, 40335U, 13140U, 
+    27059U, 12320U, 44086U, 13350U, 10243U, 10667U, 22520U, 11938U, 
+    37887U, 12758U, 23230U, 12134U, 38389U, 12954U, 22220U, 11742U, 
+    37587U, 12562U, 40465U, 13226U, 27189U, 12406U, 44216U, 13436U, 
+    10353U, 10837U, 22670U, 12036U, 38037U, 12856U, 23380U, 12232U, 
+    38539U, 13052U, 22370U, 11840U, 37737U, 12660U, 40385U, 57863U, 
+    27109U, 57429U, 44136U, 57995U, 10733U, 25051U, 39566U, 22578U, 
+    37945U, 23288U, 38447U, 22278U, 37645U, 40515U, 57929U, 27239U, 
+    57495U, 44266U, 58061U, 10903U, 25353U, 39776U, 22728U, 38095U, 
+    23438U, 38597U, 22428U, 37795U, 24802U, 13681U, 25104U, 13877U, 
+    24894U, 13779U, 39409U, 25196U, 13975U, 39619U, 40295U, 13097U, 
+    27019U, 12277U, 44046U, 13307U, 10188U, 10615U, 22474U, 11889U, 
+    37841U, 12709U, 23184U, 12085U, 38343U, 12905U, 22174U, 11693U, 
+    37541U, 12513U, 40425U, 13183U, 27149U, 12363U, 44176U, 13393U, 
+    10298U, 10785U, 22624U, 11987U, 37991U, 12807U, 23334U, 12183U, 
+    38493U, 13003U, 22324U, 11791U, 37691U, 12611U, 40360U, 57830U, 
+    27084U, 57396U, 44111U, 57962U, 10700U, 25028U, 39543U, 22549U, 
+    37916U, 23259U, 38418U, 22249U, 37616U, 40490U, 57896U, 27214U, 
+    57462U, 44241U, 58028U, 10870U, 25330U, 39753U, 22699U, 38066U, 
+    23409U, 38568U, 22399U, 37766U, 29273U, 12434U, 46461U, 13464U, 
+    31204U, 12459U, 48574U, 13489U, 29611U, 46853U, 31515U, 48885U, 
+    29138U, 46352U, 31057U, 48440U, 29039U, 46274U, 30958U, 48340U, 
+    29200U, 46401U, 31119U, 48489U, 29189U, 46390U, 31108U, 48478U, 
+    32644U, 34761U, 29050U, 33616U, 36153U, 30969U, 24136U, 24464U, 
+    24208U, 24544U, 24167U, 24495U, 24239U, 24575U, 32728U, 34845U, 
+    29159U, 33711U, 36237U, 31078U, 32613U, 50065U, 29011U, 46246U, 
+    33585U, 50951U, 30930U, 48312U, 32570U, 50022U, 28972U, 46207U, 
+    33542U, 50908U, 30891U, 48273U, 27911U, 44977U, 27288U, 44295U, 
+    27318U, 44357U, 27938U, 45019U, 27303U, 44326U, 27333U, 44388U, 
+    31129U, 48512U, 37376U, 54757U, 33839U, 31582U, 9817U, 10125U, 
+    3680U, 41863U, 32806U, 41368U, 29251U, 41964U, 33789U, 41674U, 
+    31182U, 32602U, 50054U, 29001U, 46236U, 33574U, 50940U, 30920U, 
+    48302U, 29446U, 46688U, 31355U, 48725U, 32820U, 50243U, 34891U, 
+    14206U, 18222U, 58428U, 19532U, 59590U, 52192U, 21609U, 61040U, 
+    29264U, 46452U, 33803U, 51140U, 36283U, 14502U, 18544U, 58776U, 
+    19997U, 60058U, 53593U, 22085U, 61508U, 31195U, 48565U, 35174U, 
+    52461U, 29602U, 57577U, 46844U, 58143U, 36502U, 53812U, 31506U, 
+    57655U, 48876U, 58221U, 9148U, 24424U, 7836U, 32591U, 50043U, 
+    28991U, 46226U, 33563U, 50929U, 30910U, 48292U, 29436U, 46678U, 
+    31345U, 48715U, 32718U, 50169U, 34835U, 14184U, 18198U, 58402U, 
+    19498U, 59553U, 52150U, 21575U, 61003U, 29150U, 46364U, 33701U, 
+    51066U, 36227U, 14480U, 18520U, 58750U, 19963U, 60021U, 53551U, 
+    22051U, 61471U, 31069U, 48452U, 35107U, 52394U, 29540U, 57551U, 
+    46782U, 58117U, 36446U, 53756U, 31439U, 57629U, 48809U, 58195U, 
+    8353U, 1475U, 3272U, 9140U, 52666U, 47292U, 52471U, 29621U, 
+    46863U, 41829U, 32546U, 49998U, 11243U, 41022U, 20302U, 28115U, 
+    19038U, 59093U, 45196U, 56384U, 20935U, 55801U, 60348U, 56985U, 
+    40841U, 20141U, 27842U, 18877U, 58922U, 44893U, 56193U, 20774U, 
+    55600U, 60177U, 56774U, 42110U, 20625U, 34730U, 19453U, 59504U, 
+    52059U, 56583U, 21530U, 55984U, 60954U, 57178U, 41317U, 28930U, 
+    46176U, 11118U, 41930U, 33518U, 50884U, 11273U, 41050U, 20332U, 
+    28143U, 19068U, 59125U, 45224U, 56420U, 20965U, 55839U, 60380U, 
+    57025U, 40869U, 20171U, 27870U, 18907U, 58954U, 44921U, 56229U, 
+    20804U, 55638U, 60209U, 56814U, 42281U, 20649U, 36122U, 19918U, 
+    59972U, 53436U, 56613U, 22006U, 56016U, 61422U, 57212U, 41610U, 
+    30858U, 48251U, 11159U, 33067U, 50475U, 35467U, 52809U, 30187U, 
+    47497U, 35293U, 52634U, 29947U, 47235U, 36337U, 53647U, 31245U, 
+    48615U, 40927U, 20201U, 28020U, 18937U, 58986U, 45101U, 56265U, 
+    20834U, 55676U, 60241U, 56854U, 40746U, 20040U, 27747U, 18776U, 
+    58815U, 44798U, 56074U, 20673U, 55475U, 60070U, 56643U, 41989U, 
+    20542U, 34208U, 19252U, 59323U, 51535U, 56482U, 21329U, 55877U, 
+    60773U, 57065U, 40959U, 20235U, 28052U, 18971U, 59022U, 45133U, 
+    56305U, 20868U, 55718U, 60277U, 56898U, 40778U, 20074U, 27779U, 
+    18810U, 58851U, 44830U, 56114U, 20707U, 55517U, 60106U, 56687U, 
+    42015U, 20570U, 34234U, 19280U, 59353U, 51561U, 56516U, 21357U, 
+    55913U, 60803U, 57103U, 41818U, 32135U, 49588U, 11228U, 41173U, 
+    28267U, 45341U, 11104U, 40991U, 20269U, 28084U, 19005U, 59058U, 
+    45165U, 56345U, 20902U, 55760U, 60313U, 56942U, 40810U, 20108U, 
+    27811U, 18844U, 58887U, 44862U, 56154U, 20741U, 55559U, 60142U, 
+    56731U, 42070U, 20598U, 34482U, 19308U, 59383U, 51838U, 56550U, 
+    21385U, 55949U, 60833U, 57141U, 40943U, 20218U, 28036U, 18954U, 
+    59004U, 45117U, 56285U, 20851U, 55697U, 60259U, 56876U, 40762U, 
+    20057U, 27763U, 18793U, 58833U, 44814U, 56094U, 20690U, 55496U, 
+    60088U, 56665U, 42002U, 20556U, 34221U, 19266U, 59338U, 51548U, 
+    56499U, 21343U, 55895U, 60788U, 57084U, 40975U, 20252U, 28068U, 
+    18988U, 59040U, 45149U, 56325U, 20885U, 55739U, 60295U, 56920U, 
+    40794U, 20091U, 27795U, 18827U, 58869U, 44846U, 56134U, 20724U, 
+    55538U, 60124U, 56709U, 42028U, 20584U, 34469U, 19294U, 59368U, 
+    51796U, 56533U, 21371U, 55931U, 60818U, 57122U, 41007U, 20286U, 
+    28100U, 19022U, 59076U, 45181U, 56365U, 20919U, 55781U, 60331U, 
+    56964U, 40826U, 20125U, 27827U, 18861U, 58905U, 44878U, 56174U, 
+    20758U, 55580U, 60160U, 56753U, 42083U, 20612U, 34495U, 19322U, 
+    59398U, 51851U, 56567U, 21399U, 55967U, 60848U, 57160U, 41978U, 
+    33849U, 51176U, 11303U, 41696U, 31591U, 48960U, 11200U, 53492U, 
+    48386U, 41327U, 29075U, 41620U, 30994U, 53480U, 48363U, 41337U, 
+    29118U, 41630U, 31037U, 50109U, 46297U, 50995U, 48374U, 32122U, 
+    34507U, 28255U, 41904U, 42254U, 41532U, 41840U, 42151U, 41347U, 
+    41941U, 42322U, 41653U, 42212U, 52607U, 41467U, 47210U, 41492U, 
+    47248U, 42055U, 51823U, 44005U, 35307U, 29960U, 42189U, 35153U, 
+    52440U, 11318U, 21664U, 41424U, 29583U, 46825U, 11146U, 42041U, 
+    51809U, 40255U, 43992U, 33079U, 50487U, 35479U, 52821U, 30198U, 
+    47508U, 33092U, 50500U, 35492U, 52834U, 30210U, 47520U, 42226U, 
+    52621U, 41480U, 47223U, 42345U, 36492U, 53802U, 11332U, 22096U, 
+    41687U, 31497U, 48867U, 11187U, 41852U, 32772U, 50209U, 11258U, 
+    41036U, 20317U, 28129U, 19053U, 59109U, 45210U, 56402U, 20950U, 
+    55820U, 60364U, 57005U, 40855U, 20156U, 27856U, 18892U, 58938U, 
+    44907U, 56211U, 20789U, 55619U, 60193U, 56794U, 42163U, 20637U, 
+    34870U, 19509U, 59565U, 52171U, 56598U, 21586U, 56000U, 61015U, 
+    57195U, 41358U, 29220U, 46421U, 11132U, 41953U, 33755U, 51106U, 
+    11288U, 41064U, 20347U, 28157U, 19083U, 59141U, 45238U, 56438U, 
+    20980U, 55858U, 60396U, 57045U, 40883U, 20186U, 27884U, 18922U, 
+    58970U, 44935U, 56247U, 20819U, 55657U, 60225U, 56834U, 42334U, 
+    20661U, 36262U, 19974U, 60033U, 53572U, 56628U, 22062U, 56032U, 
+    61483U, 57229U, 41664U, 31151U, 48534U, 11173U, 35321U, 52648U, 
+    29987U, 47275U, 29973U, 47261U, 16240U, 17894U, 16110U, 17764U, 
+    24127U, 24692U, 25585U, 42555U, 26265U, 43222U, 7999U, 1589U, 
+    3501U, 1520U, 3369U, 32697U, 50148U, 34801U, 14173U, 18186U, 
+    58389U, 19487U, 59541U, 52116U, 21564U, 60991U, 29109U, 46333U, 
+    33669U, 51034U, 36193U, 14469U, 18508U, 58737U, 19952U, 60009U, 
+    53517U, 22040U, 61459U, 31028U, 48421U, 35086U, 52373U, 29521U, 
+    57538U, 46763U, 58104U, 36436U, 53746U, 31430U, 57616U, 48800U, 
+    58182U, 25668U, 42638U, 26396U, 43353U, 8111U, 9569U, 32742U, 
+    50179U, 29172U, 46373U, 33725U, 51076U, 31091U, 48461U, 6044U, 
+    5726U, 6156U, 5821U, 35025U, 14238U, 18257U, 58466U, 19564U, 
+    59625U, 52312U, 21641U, 61075U, 6056U, 5738U, 6168U, 5833U, 
+    35928U, 14388U, 18420U, 58642U, 19831U, 59878U, 53242U, 21919U, 
+    61328U, 6068U, 5750U, 6180U, 5845U, 33977U, 51304U, 31772U, 
+    49160U, 32341U, 49807U, 28510U, 45736U, 33990U, 51317U, 31784U, 
+    49172U, 32354U, 49820U, 28522U, 45748U, 32156U, 49609U, 28295U, 
+    45369U, 32435U, 49901U, 34566U, 14105U, 18112U, 58309U, 19385U, 
+    59454U, 51909U, 21462U, 60904U, 28727U, 45971U, 33195U, 50603U, 
+    35605U, 14308U, 18333U, 58548U, 19701U, 59761U, 52947U, 21789U, 
+    61211U, 30368U, 47742U, 32221U, 49687U, 28373U, 45591U, 34089U, 
+    51416U, 31933U, 49420U, 32255U, 49721U, 28404U, 45622U, 34123U, 
+    51450U, 31964U, 49451U, 33967U, 51294U, 31763U, 49143U, 27984U, 
+    45065U, 27733U, 44784U, 34576U, 14116U, 18124U, 58322U, 19396U, 
+    59466U, 51919U, 21473U, 60916U, 34679U, 14139U, 18149U, 58349U, 
+    19441U, 59491U, 52008U, 21518U, 60941U, 35872U, 14355U, 18384U, 
+    58603U, 19798U, 59842U, 53200U, 21886U, 61292U, 33057U, 50465U, 
+    30162U, 47464U, 35654U, 14331U, 18358U, 58575U, 19724U, 59786U, 
+    52996U, 21812U, 61236U, 32500U, 49952U, 28849U, 46088U, 32177U, 
+    49630U, 28314U, 45429U, 34003U, 51330U, 31804U, 49225U, 16145U, 
+    17799U, 15992U, 17646U, 34631U, 51960U, 35824U, 53152U, 32328U, 
+    49794U, 28498U, 45724U, 16253U, 17907U, 16122U, 17776U, 32290U, 
+    49756U, 28455U, 45681U, 32906U, 50315U, 37342U, 54662U, 35196U, 
+    52497U, 54696U, 53822U, 29679U, 46929U, 47548U, 45839U, 33384U, 
+    50764U, 37359U, 54679U, 35972U, 53286U, 54714U, 53839U, 30665U, 
+    48072U, 34158U, 51485U, 32005U, 49500U, 30452U, 47834U, 41706U, 
+    13268U, 31601U, 12470U, 48970U, 13500U, 16278U, 54968U, 55343U, 
+    17932U, 55221U, 55409U, 32198U, 49664U, 28342U, 45493U, 32830U, 
+    50253U, 34901U, 52202U, 29283U, 46471U, 33346U, 50740U, 35883U, 
+    53211U, 30586U, 47964U, 34066U, 51393U, 31902U, 49332U, 9277U, 
+    8304U, 30004U, 47306U, 9243U, 8241U, 27952U, 45033U, 32278U, 
+    49744U, 28435U, 45653U, 32894U, 50303U, 35184U, 52485U, 29648U, 
+    46890U, 33372U, 50752U, 35960U, 53274U, 30634U, 48033U, 34146U, 
+    51473U, 31985U, 49472U, 9291U, 8318U, 30017U, 47319U, 9260U, 
+    8258U, 27968U, 45049U, 16312U, 55029U, 55376U, 17966U, 55282U, 
+    55442U, 16300U, 55013U, 55359U, 17954U, 55266U, 55425U, 16323U, 
+    55044U, 55392U, 17977U, 55297U, 55458U, 15567U, 16899U, 15605U, 
+    17000U, 15656U, 17113U, 15585U, 16917U, 15635U, 17030U, 15674U, 
+    17131U, 15749U, 17301U, 15731U, 17262U, 29634U, 14040U, 18042U, 
+    58234U, 19148U, 59211U, 46876U, 21153U, 60583U, 30620U, 14067U, 
+    18071U, 58265U, 19199U, 59266U, 48019U, 21204U, 60638U, 27898U, 
+    44949U, 27925U, 44991U, 32490U, 49942U, 34657U, 51986U, 28591U, 
+    19098U, 59157U, 45817U, 21067U, 60490U, 28867U, 19122U, 59183U, 
+    46115U, 21091U, 60516U, 30807U, 19226U, 59295U, 48202U, 21231U, 
+    60667U, 30222U, 19175U, 59240U, 47566U, 21180U, 60612U, 41304U, 
+    13240U, 28879U, 12420U, 46127U, 13450U, 41597U, 13254U, 30819U, 
+    12445U, 48214U, 13475U, 15770U, 17352U, 32671U, 50122U, 15882U, 
+    17536U, 15614U, 17009U, 29085U, 46309U, 15804U, 17428U, 33643U, 
+    51008U, 15946U, 17600U, 15684U, 17141U, 31004U, 48397U, 15783U, 
+    17365U, 15895U, 17549U, 34824U, 52139U, 33679U, 51044U, 36216U, 
+    53540U, 15794U, 17418U, 15936U, 17590U, 35850U, 53178U, 28602U, 
+    19110U, 59170U, 45828U, 21079U, 60503U, 28916U, 19135U, 59197U, 
+    46164U, 21104U, 60530U, 30844U, 19239U, 59309U, 48239U, 21244U, 
+    60681U, 30233U, 19187U, 59253U, 47591U, 21192U, 60625U, 41267U, 
+    45571U, 41414U, 46549U, 41552U, 48009U, 41799U, 17282U, 11214U, 
+    32445U, 34586U, 28736U, 33274U, 35694U, 30465U, 32842U, 34913U, 
+    29294U, 33358U, 35895U, 30597U, 28643U, 45887U, 30253U, 47611U, 
+    31661U, 49030U, 30377U, 47751U, 32424U, 49890U, 28717U, 45961U, 
+    6110U, 5792U, 6222U, 5887U, 28654U, 45898U, 30264U, 47622U, 
+    31698U, 49078U, 30478U, 47847U, 29864U, 47101U, 30724U, 48118U, 
+    29768U, 47005U, 30713U, 48107U, 33956U, 51283U, 31753U, 49133U, 
+    6139U, 6251U, 31640U, 49009U, 30357U, 47731U, 32377U, 49843U, 
+    28666U, 45910U, 6096U, 5778U, 6208U, 5873U, 29757U, 46994U, 
+    33909U, 51236U, 31710U, 49090U, 28353U, 45561U, 29307U, 46539U, 
+    30610U, 47999U, 16134U, 17788U, 29659U, 14055U, 18058U, 58251U, 
+    19163U, 59227U, 46901U, 21168U, 60599U, 30645U, 14082U, 18087U, 
+    58282U, 19214U, 59282U, 48044U, 21219U, 60654U, 28749U, 45988U, 
+    29922U, 47185U, 30120U, 47422U, 28760U, 45999U, 29934U, 47197U, 
+    30132U, 47434U, 29839U, 47076U, 32062U, 49568U, 29816U, 47053U, 
+    32051U, 49557U, 29851U, 47088U, 29827U, 47064U, 6080U, 5762U, 
+    6192U, 5857U, 32991U, 50386U, 29779U, 47016U, 41877U, 32977U, 
+    41442U, 29744U, 41916U, 33422U, 41584U, 30700U, 32267U, 49733U, 
+    28425U, 45643U, 32883U, 50292U, 35163U, 14261U, 18282U, 58493U, 
+    19587U, 59650U, 52450U, 21675U, 61100U, 29592U, 46834U, 35949U, 
+    14411U, 18445U, 58669U, 19854U, 59903U, 53263U, 21942U, 61353U, 
+    34135U, 51462U, 31975U, 49462U, 32317U, 49783U, 28488U, 45714U, 
+    32933U, 50342U, 35223U, 14285U, 18308U, 58521U, 19611U, 59676U, 
+    52524U, 21699U, 61126U, 29704U, 46954U, 35999U, 14435U, 18471U, 
+    58697U, 19878U, 59929U, 53313U, 21966U, 61379U, 34197U, 51524U, 
+    32041U, 49547U, 32232U, 49698U, 28383U, 45601U, 32856U, 50265U, 
+    35096U, 14249U, 18269U, 58479U, 19575U, 59637U, 52383U, 21652U, 
+    61087U, 29530U, 46772U, 35938U, 14399U, 18432U, 58655U, 19842U, 
+    59890U, 53252U, 21930U, 61340U, 34100U, 51427U, 31943U, 49430U, 
+    32306U, 49772U, 28470U, 45696U, 32922U, 50331U, 35212U, 14273U, 
+    18295U, 58507U, 19599U, 59663U, 52513U, 21687U, 61113U, 29694U, 
+    46944U, 35988U, 14423U, 18458U, 58683U, 19866U, 59916U, 53302U, 
+    21954U, 61366U, 34186U, 51513U, 32031U, 49537U, 41215U, 20387U, 
+    45409U, 21020U, 60439U, 41747U, 20495U, 49207U, 21282U, 60722U, 
+    49640U, 45438U, 41257U, 20423U, 45527U, 21056U, 60478U, 41404U, 
+    20459U, 46505U, 21142U, 60571U, 41789U, 20531U, 49366U, 21318U, 
+    60761U, 41204U, 20375U, 45398U, 21008U, 60426U, 41736U, 20483U, 
+    49196U, 21270U, 60709U, 41246U, 20411U, 45516U, 21044U, 60465U, 
+    41393U, 20447U, 46494U, 21130U, 60558U, 41778U, 20519U, 49355U, 
+    21306U, 60748U, 32398U, 49864U, 34540U, 19357U, 59424U, 51883U, 
+    21434U, 60874U, 28685U, 45929U, 33115U, 50523U, 35525U, 19673U, 
+    59731U, 52867U, 21761U, 61181U, 30285U, 47643U, 33930U, 51257U, 
+    31729U, 49109U, 33300U, 50694U, 35778U, 19748U, 59812U, 53106U, 
+    21836U, 61262U, 30501U, 47870U, 33031U, 50426U, 35267U, 19623U, 
+    59689U, 52568U, 21711U, 61139U, 29876U, 47113U, 33436U, 50802U, 
+    36043U, 19890U, 59942U, 53357U, 21978U, 61392U, 30736U, 48130U, 
+    41192U, 20362U, 45386U, 20995U, 60412U, 41724U, 20470U, 49184U, 
+    21257U, 60695U, 41234U, 20398U, 45504U, 21031U, 60451U, 41381U, 
+    20434U, 46482U, 21117U, 60544U, 41766U, 20506U, 49343U, 21293U, 
+    60734U, 32411U, 49877U, 34553U, 19371U, 59439U, 51896U, 21448U, 
+    60889U, 28697U, 45941U, 33128U, 50536U, 35538U, 19687U, 59746U, 
+    52880U, 21775U, 61196U, 30297U, 47655U, 33943U, 51270U, 31741U, 
+    49121U, 33313U, 50707U, 35791U, 19762U, 59827U, 53119U, 21850U, 
+    61277U, 30513U, 47882U, 33044U, 50439U, 35280U, 19637U, 59704U, 
+    52581U, 21725U, 61154U, 29888U, 47125U, 33449U, 50815U, 36056U, 
+    19904U, 59957U, 53370U, 21992U, 61407U, 30748U, 48142U, 33233U, 
+    50641U, 35643U, 14319U, 18345U, 58561U, 19712U, 59773U, 52985U, 
+    21800U, 61223U, 30414U, 47788U, 6124U, 5806U, 6236U, 5901U, 
+    34174U, 51501U, 32020U, 49526U, 34013U, 51340U, 31813U, 49234U, 
+    32469U, 49921U, 34610U, 14127U, 18136U, 58335U, 19418U, 59478U, 
+    51939U, 21495U, 60928U, 28818U, 46057U, 34034U, 51361U, 31861U, 
+    49282U, 33288U, 50682U, 35766U, 14342U, 18370U, 58588U, 19735U, 
+    59798U, 53094U, 21823U, 61248U, 30490U, 47859U, 34927U, 14217U, 
+    18234U, 58441U, 19543U, 59602U, 52214U, 21620U, 61052U, 35909U, 
+    14367U, 18397U, 58617U, 19810U, 59855U, 53223U, 21898U, 61305U, 
+    33462U, 50828U, 30769U, 48163U, 41514U, 30153U, 47455U, 15576U, 
+    41277U, 16908U, 28446U, 45672U, 15626U, 41433U, 17021U, 29670U, 
+    46920U, 15665U, 41575U, 17122U, 30656U, 48063U, 15740U, 41809U, 
+    17292U, 31996U, 49491U, 33870U, 51197U, 31651U, 49020U, 42095U, 
+    42239U, 42174U, 42266U, 41183U, 28277U, 45351U, 41286U, 28613U, 
+    45857U, 41523U, 30244U, 47602U, 41715U, 31610U, 48979U, 41225U, 
+    28323U, 45465U, 41295U, 28788U, 46027U, 41543U, 30535U, 47904U, 
+    41757U, 31831U, 49252U, 32166U, 49619U, 28304U, 45419U, 15759U, 
+    17321U, 15851U, 17505U, 15595U, 16948U, 15817U, 17451U, 15709U, 
+    17187U, 15829U, 17463U, 15720U, 17198U, 32187U, 49653U, 28332U, 
+    45483U, 32509U, 49961U, 28857U, 46105U, 34055U, 51382U, 31892U, 
+    49322U, 17376U, 17051U, 17332U, 32459U, 49911U, 15862U, 18567U, 
+    17516U, 18633U, 34600U, 19407U, 51929U, 21484U, 16958U, 28797U, 
+    46036U, 17398U, 33326U, 50720U, 15916U, 18600U, 17570U, 18666U, 
+    35804U, 19776U, 53132U, 21864U, 17071U, 30544U, 47913U, 32955U, 
+    50364U, 35245U, 52546U, 29724U, 46974U, 33400U, 50780U, 36021U, 
+    53335U, 30680U, 48087U, 17475U, 34024U, 51351U, 17209U, 31840U, 
+    49261U, 17311U, 32367U, 49833U, 15841U, 18556U, 17495U, 18622U, 
+    34520U, 19335U, 51863U, 21412U, 16927U, 28622U, 45866U, 15906U, 
+    18589U, 17560U, 18655U, 35505U, 19651U, 52847U, 21739U, 32944U, 
+    50353U, 35234U, 52535U, 29714U, 46964U, 36010U, 53324U, 17441U, 
+    33860U, 51187U, 17153U, 31619U, 48988U, 17387U, 17061U, 17342U, 
+    32480U, 49932U, 15872U, 18578U, 17526U, 18644U, 34621U, 19430U, 
+    51950U, 21507U, 16979U, 28828U, 46067U, 17408U, 33336U, 50730U, 
+    15926U, 18611U, 17580U, 18677U, 35814U, 19787U, 53142U, 21875U, 
+    17092U, 30565U, 47934U, 32966U, 50375U, 35256U, 52557U, 29734U, 
+    46984U, 33411U, 50791U, 36032U, 53346U, 30690U, 48097U, 17485U, 
+    34045U, 51372U, 17230U, 31871U, 49292U, 32146U, 49599U, 28286U, 
+    45360U, 32388U, 49854U, 34530U, 14094U, 18100U, 58296U, 19346U, 
+    59412U, 51873U, 21423U, 60862U, 28676U, 45920U, 33105U, 50513U, 
+    35515U, 14297U, 18321U, 58535U, 19662U, 59719U, 52857U, 21750U, 
+    61169U, 30276U, 47634U, 32210U, 49676U, 28363U, 45581U, 34078U, 
+    51405U, 31923U, 49410U, 32243U, 49709U, 28393U, 45611U, 34111U, 
+    51438U, 31953U, 49440U, 33920U, 51247U, 31720U, 49100U, 34667U, 
+    51996U, 35860U, 53188U, 34644U, 51973U, 35837U, 53165U, 33829U, 
+    51166U, 31573U, 48951U, 33881U, 51208U, 31672U, 49041U, 33205U, 
+    50613U, 35615U, 52957U, 30388U, 47762U, 33244U, 50652U, 35664U, 
+    53006U, 30424U, 47806U, 33003U, 50398U, 29790U, 47027U, 33895U, 
+    51222U, 31685U, 49065U, 33219U, 50627U, 35629U, 52971U, 30401U, 
+    47775U, 33259U, 50667U, 35679U, 53021U, 30438U, 47820U, 33017U, 
+    50412U, 29803U, 47040U, 34936U, 14227U, 18245U, 58453U, 19553U, 
+    59613U, 52223U, 21630U, 61063U, 35918U, 14377U, 18408U, 58629U, 
+    19820U, 59866U, 53232U, 21908U, 61316U, 33470U, 50836U, 30776U, 
+    48170U, 24955U, 39470U, 25257U, 39680U, 29379U, 46621U, 31288U, 
+    48658U, 24979U, 39494U, 14513U, 25281U, 39704U, 14539U, 29403U, 
+    46645U, 14565U, 31312U, 48682U, 14591U, 24741U, 57324U, 39348U, 
+    57758U, 24536U, 57259U, 39238U, 57694U, 24659U, 57285U, 39299U, 
+    25003U, 39518U, 25305U, 39728U, 24300U, 39054U, 24646U, 39286U, 
+    24198U, 39014U, 24526U, 39228U, 24290U, 39044U, 57668U, 24636U, 
+    39276U, 57720U, 24279U, 39033U, 24625U, 39265U, 24966U, 39481U, 
+    25268U, 39691U, 29390U, 46632U, 31299U, 48669U, 24990U, 39505U, 
+    14525U, 25292U, 39715U, 14551U, 29414U, 46656U, 14577U, 31323U, 
+    48693U, 14603U, 24750U, 57337U, 39357U, 57771U, 24606U, 57271U, 
+    39246U, 57706U, 24667U, 57297U, 39307U, 42121U, 42292U, 24151U, 
+    24479U, 24223U, 24559U, 24182U, 24510U, 24254U, 24590U, 42136U, 
+    42307U, 16171U, 17825U, 16266U, 17920U, 16027U, 17681U, 16207U, 
+    17861U, 16335U, 17989U, 16080U, 17734U, 24731U, 39338U, 34859U, 
+    52160U, 24270U, 39024U, 24761U, 39368U, 36251U, 53561U, 24616U, 
+    39256U, 25063U, 57352U, 39578U, 57786U, 24313U, 57246U, 39067U, 
+    25365U, 57366U, 39788U, 57800U, 24677U, 57311U, 39317U, 9826U, 
+    32581U, 50033U, 34741U, 14151U, 18162U, 58363U, 19465U, 59517U, 
+    52070U, 21542U, 60967U, 28982U, 46217U, 33553U, 50919U, 36133U, 
+    14447U, 18484U, 58711U, 19930U, 59985U, 53447U, 22018U, 61435U, 
+    30901U, 48283U, 35035U, 52322U, 29427U, 57512U, 46669U, 58078U, 
+    36385U, 53695U, 31336U, 57590U, 48706U, 58156U, 32761U, 50198U, 
+    29210U, 46411U, 33744U, 51095U, 31141U, 48524U, 35059U, 52346U, 
+    29469U, 46711U, 36409U, 53719U, 31378U, 48748U, 32658U, 50096U, 
+    34775U, 52090U, 29063U, 46285U, 33630U, 50982U, 36167U, 53467U, 
+    30982U, 48351U, 32684U, 50135U, 34788U, 52103U, 29097U, 46321U, 
+    33656U, 51021U, 36180U, 53504U, 31016U, 48409U, 32751U, 50188U, 
+    29180U, 46381U, 33734U, 51085U, 31099U, 48469U, 9163U, 9781U, 
+    556U, 9881U, 7540U, 10032U, 7913U, 7550U, 1840U, 3769U, 
+    8042U, 3328U, 8060U, 3350U, 9841U, 10106U, 11540U, 27392U, 
+    44455U, 25596U, 42566U, 26276U, 43233U, 28192U, 45273U, 9546U, 
+    5129U, 39816U, 27485U, 44548U, 39798U, 4797U, 25701U, 42671U, 
+    39807U, 26429U, 43386U, 28210U, 45291U, 8181U, 7800U, 7729U, 
+    9847U, 7615U, 7739U, 7857U, 10137U, 9998U, 5563U, 15379U, 
+    6737U, 40687U, 16748U, 7077U, 27589U, 44668U, 10992U, 1952U, 
+    15117U, 6475U, 40013U, 16503U, 6881U, 25906U, 42883U, 10443U, 
+    2035U, 2142U, 6606U, 40184U, 2259U, 6979U, 26749U, 43722U, 
+    10556U, 6377U, 15534U, 41140U, 16877U, 7136U, 28225U, 45312U, 
+    11082U, 29181U, 46382U, 31100U, 48470U, 11556U, 9810U, 3591U, 
+    8092U, 3379U, 10082U, 3669U, 10144U, 793U, 5718U, 8026U, 
+    10113U, 
 };
 
 #endif // GET_INSTRINFO_MC_DESC
diff --git a/arch/X86/X86GenInstrInfo_reduce.inc b/arch/X86/X86GenInstrInfo_reduce.inc
index e6daa2d..de98b28 100644
--- a/arch/X86/X86GenInstrInfo_reduce.inc
+++ b/arch/X86/X86GenInstrInfo_reduce.inc
@@ -16,7 +16,7 @@
 enum {
     X86_PHI	= 0,
     X86_INLINEASM	= 1,
-    X86_PROLOG_LABEL	= 2,
+    X86_CFI_INSTRUCTION	= 2,
     X86_EH_LABEL	= 3,
     X86_GC_LABEL	= 4,
     X86_KILL	= 5,
@@ -33,1697 +33,1663 @@
     X86_LIFETIME_END	= 16,
     X86_STACKMAP	= 17,
     X86_PATCHPOINT	= 18,
-    X86_AAA	= 19,
-    X86_AAD8i8	= 20,
-    X86_AAM8i8	= 21,
-    X86_AAS	= 22,
-    X86_ACQUIRE_MOV16rm	= 23,
-    X86_ACQUIRE_MOV32rm	= 24,
-    X86_ACQUIRE_MOV64rm	= 25,
-    X86_ACQUIRE_MOV8rm	= 26,
-    X86_ADC16i16	= 27,
-    X86_ADC16mi	= 28,
-    X86_ADC16mi8	= 29,
-    X86_ADC16mr	= 30,
-    X86_ADC16ri	= 31,
-    X86_ADC16ri8	= 32,
-    X86_ADC16rm	= 33,
-    X86_ADC16rr	= 34,
-    X86_ADC16rr_REV	= 35,
-    X86_ADC32i32	= 36,
-    X86_ADC32mi	= 37,
-    X86_ADC32mi8	= 38,
-    X86_ADC32mr	= 39,
-    X86_ADC32ri	= 40,
-    X86_ADC32ri8	= 41,
-    X86_ADC32rm	= 42,
-    X86_ADC32rr	= 43,
-    X86_ADC32rr_REV	= 44,
-    X86_ADC64i32	= 45,
-    X86_ADC64mi32	= 46,
-    X86_ADC64mi8	= 47,
-    X86_ADC64mr	= 48,
-    X86_ADC64ri32	= 49,
-    X86_ADC64ri8	= 50,
-    X86_ADC64rm	= 51,
-    X86_ADC64rr	= 52,
-    X86_ADC64rr_REV	= 53,
-    X86_ADC8i8	= 54,
-    X86_ADC8mi	= 55,
-    X86_ADC8mr	= 56,
-    X86_ADC8ri	= 57,
-    X86_ADC8rm	= 58,
-    X86_ADC8rr	= 59,
-    X86_ADC8rr_REV	= 60,
-    X86_ADCX32rm	= 61,
-    X86_ADCX32rr	= 62,
-    X86_ADCX64rm	= 63,
-    X86_ADCX64rr	= 64,
-    X86_ADD16i16	= 65,
-    X86_ADD16mi	= 66,
-    X86_ADD16mi8	= 67,
-    X86_ADD16mr	= 68,
-    X86_ADD16ri	= 69,
-    X86_ADD16ri8	= 70,
-    X86_ADD16ri8_DB	= 71,
-    X86_ADD16ri_DB	= 72,
-    X86_ADD16rm	= 73,
-    X86_ADD16rr	= 74,
-    X86_ADD16rr_DB	= 75,
-    X86_ADD16rr_REV	= 76,
-    X86_ADD32i32	= 77,
-    X86_ADD32mi	= 78,
-    X86_ADD32mi8	= 79,
-    X86_ADD32mr	= 80,
-    X86_ADD32ri	= 81,
-    X86_ADD32ri8	= 82,
-    X86_ADD32ri8_DB	= 83,
-    X86_ADD32ri_DB	= 84,
-    X86_ADD32rm	= 85,
-    X86_ADD32rr	= 86,
-    X86_ADD32rr_DB	= 87,
-    X86_ADD32rr_REV	= 88,
-    X86_ADD64i32	= 89,
-    X86_ADD64mi32	= 90,
-    X86_ADD64mi8	= 91,
-    X86_ADD64mr	= 92,
-    X86_ADD64ri32	= 93,
-    X86_ADD64ri32_DB	= 94,
-    X86_ADD64ri8	= 95,
-    X86_ADD64ri8_DB	= 96,
-    X86_ADD64rm	= 97,
-    X86_ADD64rr	= 98,
-    X86_ADD64rr_DB	= 99,
-    X86_ADD64rr_REV	= 100,
-    X86_ADD8i8	= 101,
-    X86_ADD8mi	= 102,
-    X86_ADD8mr	= 103,
-    X86_ADD8ri	= 104,
-    X86_ADD8ri8	= 105,
-    X86_ADD8rm	= 106,
-    X86_ADD8rr	= 107,
-    X86_ADD8rr_REV	= 108,
-    X86_ADJCALLSTACKDOWN32	= 109,
-    X86_ADJCALLSTACKDOWN64	= 110,
-    X86_ADJCALLSTACKUP32	= 111,
-    X86_ADJCALLSTACKUP64	= 112,
-    X86_ADOX32rm	= 113,
-    X86_ADOX32rr	= 114,
-    X86_ADOX64rm	= 115,
-    X86_ADOX64rr	= 116,
-    X86_AND16i16	= 117,
-    X86_AND16mi	= 118,
-    X86_AND16mi8	= 119,
-    X86_AND16mr	= 120,
-    X86_AND16ri	= 121,
-    X86_AND16ri8	= 122,
-    X86_AND16rm	= 123,
-    X86_AND16rr	= 124,
-    X86_AND16rr_REV	= 125,
-    X86_AND32i32	= 126,
-    X86_AND32mi	= 127,
-    X86_AND32mi8	= 128,
-    X86_AND32mr	= 129,
-    X86_AND32ri	= 130,
-    X86_AND32ri8	= 131,
-    X86_AND32rm	= 132,
-    X86_AND32rr	= 133,
-    X86_AND32rr_REV	= 134,
-    X86_AND64i32	= 135,
-    X86_AND64mi32	= 136,
-    X86_AND64mi8	= 137,
-    X86_AND64mr	= 138,
-    X86_AND64ri32	= 139,
-    X86_AND64ri8	= 140,
-    X86_AND64rm	= 141,
-    X86_AND64rr	= 142,
-    X86_AND64rr_REV	= 143,
-    X86_AND8i8	= 144,
-    X86_AND8mi	= 145,
-    X86_AND8mr	= 146,
-    X86_AND8ri	= 147,
-    X86_AND8ri8	= 148,
-    X86_AND8rm	= 149,
-    X86_AND8rr	= 150,
-    X86_AND8rr_REV	= 151,
-    X86_ANDN32rm	= 152,
-    X86_ANDN32rr	= 153,
-    X86_ANDN64rm	= 154,
-    X86_ANDN64rr	= 155,
-    X86_ARPL16mr	= 156,
-    X86_ARPL16rr	= 157,
-    X86_ATOMADD6432	= 158,
-    X86_ATOMAND16	= 159,
-    X86_ATOMAND32	= 160,
-    X86_ATOMAND64	= 161,
-    X86_ATOMAND6432	= 162,
-    X86_ATOMAND8	= 163,
-    X86_ATOMMAX16	= 164,
-    X86_ATOMMAX32	= 165,
-    X86_ATOMMAX64	= 166,
-    X86_ATOMMAX6432	= 167,
-    X86_ATOMMAX8	= 168,
-    X86_ATOMMIN16	= 169,
-    X86_ATOMMIN32	= 170,
-    X86_ATOMMIN64	= 171,
-    X86_ATOMMIN6432	= 172,
-    X86_ATOMMIN8	= 173,
-    X86_ATOMNAND16	= 174,
-    X86_ATOMNAND32	= 175,
-    X86_ATOMNAND64	= 176,
-    X86_ATOMNAND6432	= 177,
-    X86_ATOMNAND8	= 178,
-    X86_ATOMOR16	= 179,
-    X86_ATOMOR32	= 180,
-    X86_ATOMOR64	= 181,
-    X86_ATOMOR6432	= 182,
-    X86_ATOMOR8	= 183,
-    X86_ATOMSUB6432	= 184,
-    X86_ATOMSWAP6432	= 185,
-    X86_ATOMUMAX16	= 186,
-    X86_ATOMUMAX32	= 187,
-    X86_ATOMUMAX64	= 188,
-    X86_ATOMUMAX6432	= 189,
-    X86_ATOMUMAX8	= 190,
-    X86_ATOMUMIN16	= 191,
-    X86_ATOMUMIN32	= 192,
-    X86_ATOMUMIN64	= 193,
-    X86_ATOMUMIN6432	= 194,
-    X86_ATOMUMIN8	= 195,
-    X86_ATOMXOR16	= 196,
-    X86_ATOMXOR32	= 197,
-    X86_ATOMXOR64	= 198,
-    X86_ATOMXOR6432	= 199,
-    X86_ATOMXOR8	= 200,
-    X86_BEXTR32rm	= 201,
-    X86_BEXTR32rr	= 202,
-    X86_BEXTR64rm	= 203,
-    X86_BEXTR64rr	= 204,
-    X86_BEXTRI32mi	= 205,
-    X86_BEXTRI32ri	= 206,
-    X86_BEXTRI64mi	= 207,
-    X86_BEXTRI64ri	= 208,
-    X86_BLCFILL32rm	= 209,
-    X86_BLCFILL32rr	= 210,
-    X86_BLCFILL64rm	= 211,
-    X86_BLCFILL64rr	= 212,
-    X86_BLCI32rm	= 213,
-    X86_BLCI32rr	= 214,
-    X86_BLCI64rm	= 215,
-    X86_BLCI64rr	= 216,
-    X86_BLCIC32rm	= 217,
-    X86_BLCIC32rr	= 218,
-    X86_BLCIC64rm	= 219,
-    X86_BLCIC64rr	= 220,
-    X86_BLCMSK32rm	= 221,
-    X86_BLCMSK32rr	= 222,
-    X86_BLCMSK64rm	= 223,
-    X86_BLCMSK64rr	= 224,
-    X86_BLCS32rm	= 225,
-    X86_BLCS32rr	= 226,
-    X86_BLCS64rm	= 227,
-    X86_BLCS64rr	= 228,
-    X86_BLSFILL32rm	= 229,
-    X86_BLSFILL32rr	= 230,
-    X86_BLSFILL64rm	= 231,
-    X86_BLSFILL64rr	= 232,
-    X86_BLSI32rm	= 233,
-    X86_BLSI32rr	= 234,
-    X86_BLSI64rm	= 235,
-    X86_BLSI64rr	= 236,
-    X86_BLSIC32rm	= 237,
-    X86_BLSIC32rr	= 238,
-    X86_BLSIC64rm	= 239,
-    X86_BLSIC64rr	= 240,
-    X86_BLSMSK32rm	= 241,
-    X86_BLSMSK32rr	= 242,
-    X86_BLSMSK64rm	= 243,
-    X86_BLSMSK64rr	= 244,
-    X86_BLSR32rm	= 245,
-    X86_BLSR32rr	= 246,
-    X86_BLSR64rm	= 247,
-    X86_BLSR64rr	= 248,
-    X86_BOUNDS16rm	= 249,
-    X86_BOUNDS32rm	= 250,
-    X86_BSF16rm	= 251,
-    X86_BSF16rr	= 252,
-    X86_BSF32rm	= 253,
-    X86_BSF32rr	= 254,
-    X86_BSF64rm	= 255,
-    X86_BSF64rr	= 256,
-    X86_BSR16rm	= 257,
-    X86_BSR16rr	= 258,
-    X86_BSR32rm	= 259,
-    X86_BSR32rr	= 260,
-    X86_BSR64rm	= 261,
-    X86_BSR64rr	= 262,
-    X86_BSWAP32r	= 263,
-    X86_BSWAP64r	= 264,
-    X86_BT16mi8	= 265,
-    X86_BT16mr	= 266,
-    X86_BT16ri8	= 267,
-    X86_BT16rr	= 268,
-    X86_BT32mi8	= 269,
-    X86_BT32mr	= 270,
-    X86_BT32ri8	= 271,
-    X86_BT32rr	= 272,
-    X86_BT64mi8	= 273,
-    X86_BT64mr	= 274,
-    X86_BT64ri8	= 275,
-    X86_BT64rr	= 276,
-    X86_BTC16mi8	= 277,
-    X86_BTC16mr	= 278,
-    X86_BTC16ri8	= 279,
-    X86_BTC16rr	= 280,
-    X86_BTC32mi8	= 281,
-    X86_BTC32mr	= 282,
-    X86_BTC32ri8	= 283,
-    X86_BTC32rr	= 284,
-    X86_BTC64mi8	= 285,
-    X86_BTC64mr	= 286,
-    X86_BTC64ri8	= 287,
-    X86_BTC64rr	= 288,
-    X86_BTR16mi8	= 289,
-    X86_BTR16mr	= 290,
-    X86_BTR16ri8	= 291,
-    X86_BTR16rr	= 292,
-    X86_BTR32mi8	= 293,
-    X86_BTR32mr	= 294,
-    X86_BTR32ri8	= 295,
-    X86_BTR32rr	= 296,
-    X86_BTR64mi8	= 297,
-    X86_BTR64mr	= 298,
-    X86_BTR64ri8	= 299,
-    X86_BTR64rr	= 300,
-    X86_BTS16mi8	= 301,
-    X86_BTS16mr	= 302,
-    X86_BTS16ri8	= 303,
-    X86_BTS16rr	= 304,
-    X86_BTS32mi8	= 305,
-    X86_BTS32mr	= 306,
-    X86_BTS32ri8	= 307,
-    X86_BTS32rr	= 308,
-    X86_BTS64mi8	= 309,
-    X86_BTS64mr	= 310,
-    X86_BTS64ri8	= 311,
-    X86_BTS64rr	= 312,
-    X86_BZHI32rm	= 313,
-    X86_BZHI32rr	= 314,
-    X86_BZHI64rm	= 315,
-    X86_BZHI64rr	= 316,
-    X86_CALL16m	= 317,
-    X86_CALL16r	= 318,
-    X86_CALL32m	= 319,
-    X86_CALL32r	= 320,
-    X86_CALL64m	= 321,
-    X86_CALL64pcrel32	= 322,
-    X86_CALL64r	= 323,
-    X86_CALLpcrel16	= 324,
-    X86_CALLpcrel32	= 325,
-    X86_CBW	= 326,
-    X86_CDQ	= 327,
-    X86_CDQE	= 328,
-    X86_CLAC	= 329,
-    X86_CLC	= 330,
-    X86_CLD	= 331,
-    X86_CLGI	= 332,
-    X86_CLI	= 333,
-    X86_CLTS	= 334,
-    X86_CMC	= 335,
-    X86_CMOVA16rm	= 336,
-    X86_CMOVA16rr	= 337,
-    X86_CMOVA32rm	= 338,
-    X86_CMOVA32rr	= 339,
-    X86_CMOVA64rm	= 340,
-    X86_CMOVA64rr	= 341,
-    X86_CMOVAE16rm	= 342,
-    X86_CMOVAE16rr	= 343,
-    X86_CMOVAE32rm	= 344,
-    X86_CMOVAE32rr	= 345,
-    X86_CMOVAE64rm	= 346,
-    X86_CMOVAE64rr	= 347,
-    X86_CMOVB16rm	= 348,
-    X86_CMOVB16rr	= 349,
-    X86_CMOVB32rm	= 350,
-    X86_CMOVB32rr	= 351,
-    X86_CMOVB64rm	= 352,
-    X86_CMOVB64rr	= 353,
-    X86_CMOVBE16rm	= 354,
-    X86_CMOVBE16rr	= 355,
-    X86_CMOVBE32rm	= 356,
-    X86_CMOVBE32rr	= 357,
-    X86_CMOVBE64rm	= 358,
-    X86_CMOVBE64rr	= 359,
-    X86_CMOVE16rm	= 360,
-    X86_CMOVE16rr	= 361,
-    X86_CMOVE32rm	= 362,
-    X86_CMOVE32rr	= 363,
-    X86_CMOVE64rm	= 364,
-    X86_CMOVE64rr	= 365,
-    X86_CMOVG16rm	= 366,
-    X86_CMOVG16rr	= 367,
-    X86_CMOVG32rm	= 368,
-    X86_CMOVG32rr	= 369,
-    X86_CMOVG64rm	= 370,
-    X86_CMOVG64rr	= 371,
-    X86_CMOVGE16rm	= 372,
-    X86_CMOVGE16rr	= 373,
-    X86_CMOVGE32rm	= 374,
-    X86_CMOVGE32rr	= 375,
-    X86_CMOVGE64rm	= 376,
-    X86_CMOVGE64rr	= 377,
-    X86_CMOVL16rm	= 378,
-    X86_CMOVL16rr	= 379,
-    X86_CMOVL32rm	= 380,
-    X86_CMOVL32rr	= 381,
-    X86_CMOVL64rm	= 382,
-    X86_CMOVL64rr	= 383,
-    X86_CMOVLE16rm	= 384,
-    X86_CMOVLE16rr	= 385,
-    X86_CMOVLE32rm	= 386,
-    X86_CMOVLE32rr	= 387,
-    X86_CMOVLE64rm	= 388,
-    X86_CMOVLE64rr	= 389,
-    X86_CMOVNE16rm	= 390,
-    X86_CMOVNE16rr	= 391,
-    X86_CMOVNE32rm	= 392,
-    X86_CMOVNE32rr	= 393,
-    X86_CMOVNE64rm	= 394,
-    X86_CMOVNE64rr	= 395,
-    X86_CMOVNO16rm	= 396,
-    X86_CMOVNO16rr	= 397,
-    X86_CMOVNO32rm	= 398,
-    X86_CMOVNO32rr	= 399,
-    X86_CMOVNO64rm	= 400,
-    X86_CMOVNO64rr	= 401,
-    X86_CMOVNP16rm	= 402,
-    X86_CMOVNP16rr	= 403,
-    X86_CMOVNP32rm	= 404,
-    X86_CMOVNP32rr	= 405,
-    X86_CMOVNP64rm	= 406,
-    X86_CMOVNP64rr	= 407,
-    X86_CMOVNS16rm	= 408,
-    X86_CMOVNS16rr	= 409,
-    X86_CMOVNS32rm	= 410,
-    X86_CMOVNS32rr	= 411,
-    X86_CMOVNS64rm	= 412,
-    X86_CMOVNS64rr	= 413,
-    X86_CMOVO16rm	= 414,
-    X86_CMOVO16rr	= 415,
-    X86_CMOVO32rm	= 416,
-    X86_CMOVO32rr	= 417,
-    X86_CMOVO64rm	= 418,
-    X86_CMOVO64rr	= 419,
-    X86_CMOVP16rm	= 420,
-    X86_CMOVP16rr	= 421,
-    X86_CMOVP32rm	= 422,
-    X86_CMOVP32rr	= 423,
-    X86_CMOVP64rm	= 424,
-    X86_CMOVP64rr	= 425,
-    X86_CMOVS16rm	= 426,
-    X86_CMOVS16rr	= 427,
-    X86_CMOVS32rm	= 428,
-    X86_CMOVS32rr	= 429,
-    X86_CMOVS64rm	= 430,
-    X86_CMOVS64rr	= 431,
-    X86_CMOV_FR32	= 432,
-    X86_CMOV_FR64	= 433,
-    X86_CMOV_GR16	= 434,
-    X86_CMOV_GR32	= 435,
-    X86_CMOV_GR8	= 436,
-    X86_CMOV_RFP32	= 437,
-    X86_CMOV_RFP64	= 438,
-    X86_CMOV_RFP80	= 439,
-    X86_CMOV_V16F32	= 440,
-    X86_CMOV_V2F64	= 441,
-    X86_CMOV_V2I64	= 442,
-    X86_CMOV_V4F32	= 443,
-    X86_CMOV_V4F64	= 444,
-    X86_CMOV_V4I64	= 445,
-    X86_CMOV_V8F32	= 446,
-    X86_CMOV_V8F64	= 447,
-    X86_CMOV_V8I64	= 448,
-    X86_CMP16i16	= 449,
-    X86_CMP16mi	= 450,
-    X86_CMP16mi8	= 451,
-    X86_CMP16mr	= 452,
-    X86_CMP16ri	= 453,
-    X86_CMP16ri8	= 454,
-    X86_CMP16rm	= 455,
-    X86_CMP16rr	= 456,
-    X86_CMP16rr_REV	= 457,
-    X86_CMP32i32	= 458,
-    X86_CMP32mi	= 459,
-    X86_CMP32mi8	= 460,
-    X86_CMP32mr	= 461,
-    X86_CMP32ri	= 462,
-    X86_CMP32ri8	= 463,
-    X86_CMP32rm	= 464,
-    X86_CMP32rr	= 465,
-    X86_CMP32rr_REV	= 466,
-    X86_CMP64i32	= 467,
-    X86_CMP64mi32	= 468,
-    X86_CMP64mi8	= 469,
-    X86_CMP64mr	= 470,
-    X86_CMP64ri32	= 471,
-    X86_CMP64ri8	= 472,
-    X86_CMP64rm	= 473,
-    X86_CMP64rr	= 474,
-    X86_CMP64rr_REV	= 475,
-    X86_CMP8i8	= 476,
-    X86_CMP8mi	= 477,
-    X86_CMP8mr	= 478,
-    X86_CMP8ri	= 479,
-    X86_CMP8rm	= 480,
-    X86_CMP8rr	= 481,
-    X86_CMP8rr_REV	= 482,
-    X86_CMPS16	= 483,
-    X86_CMPS32	= 484,
-    X86_CMPS64	= 485,
-    X86_CMPS8	= 486,
-    X86_CMPXCHG16B	= 487,
-    X86_CMPXCHG16rm	= 488,
-    X86_CMPXCHG16rr	= 489,
-    X86_CMPXCHG32rm	= 490,
-    X86_CMPXCHG32rr	= 491,
-    X86_CMPXCHG64rm	= 492,
-    X86_CMPXCHG64rr	= 493,
-    X86_CMPXCHG8B	= 494,
-    X86_CMPXCHG8rm	= 495,
-    X86_CMPXCHG8rr	= 496,
-    X86_CPUID32	= 497,
-    X86_CPUID64	= 498,
-    X86_CQO	= 499,
-    X86_CS_PREFIX	= 500,
-    X86_CWD	= 501,
-    X86_CWDE	= 502,
-    X86_DAA	= 503,
-    X86_DAS	= 504,
-    X86_DATA16_PREFIX	= 505,
-    X86_DEC16m	= 506,
-    X86_DEC16r	= 507,
-    X86_DEC32_16r	= 508,
-    X86_DEC32_32r	= 509,
-    X86_DEC32m	= 510,
-    X86_DEC32r	= 511,
-    X86_DEC64_16m	= 512,
-    X86_DEC64_16r	= 513,
-    X86_DEC64_32m	= 514,
-    X86_DEC64_32r	= 515,
-    X86_DEC64m	= 516,
-    X86_DEC64r	= 517,
-    X86_DEC8m	= 518,
-    X86_DEC8r	= 519,
-    X86_DIV16m	= 520,
-    X86_DIV16r	= 521,
-    X86_DIV32m	= 522,
-    X86_DIV32r	= 523,
-    X86_DIV64m	= 524,
-    X86_DIV64r	= 525,
-    X86_DIV8m	= 526,
-    X86_DIV8r	= 527,
-    X86_DS_PREFIX	= 528,
-    X86_EH_RETURN	= 529,
-    X86_EH_RETURN64	= 530,
-    X86_EH_SjLj_LongJmp32	= 531,
-    X86_EH_SjLj_LongJmp64	= 532,
-    X86_EH_SjLj_SetJmp32	= 533,
-    X86_EH_SjLj_SetJmp64	= 534,
-    X86_EH_SjLj_Setup	= 535,
-    X86_ENTER	= 536,
-    X86_ES_PREFIX	= 537,
-    X86_FARCALL16i	= 538,
-    X86_FARCALL16m	= 539,
-    X86_FARCALL32i	= 540,
-    X86_FARCALL32m	= 541,
-    X86_FARCALL64	= 542,
-    X86_FARJMP16i	= 543,
-    X86_FARJMP16m	= 544,
-    X86_FARJMP32i	= 545,
-    X86_FARJMP32m	= 546,
-    X86_FARJMP64	= 547,
-    X86_FSETPM	= 548,
-    X86_FS_PREFIX	= 549,
-    X86_GETSEC	= 550,
-    X86_GS_PREFIX	= 551,
-    X86_HLT	= 552,
-    X86_IDIV16m	= 553,
-    X86_IDIV16r	= 554,
-    X86_IDIV32m	= 555,
-    X86_IDIV32r	= 556,
-    X86_IDIV64m	= 557,
-    X86_IDIV64r	= 558,
-    X86_IDIV8m	= 559,
-    X86_IDIV8r	= 560,
-    X86_IMUL16m	= 561,
-    X86_IMUL16r	= 562,
-    X86_IMUL16rm	= 563,
-    X86_IMUL16rmi	= 564,
-    X86_IMUL16rmi8	= 565,
-    X86_IMUL16rr	= 566,
-    X86_IMUL16rri	= 567,
-    X86_IMUL16rri8	= 568,
-    X86_IMUL32m	= 569,
-    X86_IMUL32r	= 570,
-    X86_IMUL32rm	= 571,
-    X86_IMUL32rmi	= 572,
-    X86_IMUL32rmi8	= 573,
-    X86_IMUL32rr	= 574,
-    X86_IMUL32rri	= 575,
-    X86_IMUL32rri8	= 576,
-    X86_IMUL64m	= 577,
-    X86_IMUL64r	= 578,
-    X86_IMUL64rm	= 579,
-    X86_IMUL64rmi32	= 580,
-    X86_IMUL64rmi8	= 581,
-    X86_IMUL64rr	= 582,
-    X86_IMUL64rri32	= 583,
-    X86_IMUL64rri8	= 584,
-    X86_IMUL8m	= 585,
-    X86_IMUL8r	= 586,
-    X86_IN16	= 587,
-    X86_IN16ri	= 588,
-    X86_IN16rr	= 589,
-    X86_IN32	= 590,
-    X86_IN32ri	= 591,
-    X86_IN32rr	= 592,
-    X86_IN8	= 593,
-    X86_IN8ri	= 594,
-    X86_IN8rr	= 595,
-    X86_INC16m	= 596,
-    X86_INC16r	= 597,
-    X86_INC32_16r	= 598,
-    X86_INC32_32r	= 599,
-    X86_INC32m	= 600,
-    X86_INC32r	= 601,
-    X86_INC64_16m	= 602,
-    X86_INC64_16r	= 603,
-    X86_INC64_32m	= 604,
-    X86_INC64_32r	= 605,
-    X86_INC64m	= 606,
-    X86_INC64r	= 607,
-    X86_INC8m	= 608,
-    X86_INC8r	= 609,
-    X86_INT	= 610,
-    X86_INT1	= 611,
-    X86_INT3	= 612,
-    X86_INTO	= 613,
-    X86_INVD	= 614,
-    X86_INVEPT32	= 615,
-    X86_INVEPT64	= 616,
-    X86_INVLPG	= 617,
-    X86_INVLPGA32	= 618,
-    X86_INVLPGA64	= 619,
-    X86_INVPCID32	= 620,
-    X86_INVPCID64	= 621,
-    X86_INVVPID32	= 622,
-    X86_INVVPID64	= 623,
-    X86_IRET16	= 624,
-    X86_IRET32	= 625,
-    X86_IRET64	= 626,
-    X86_Int_MemBarrier	= 627,
-    X86_JAE_1	= 628,
-    X86_JAE_2	= 629,
-    X86_JAE_4	= 630,
-    X86_JA_1	= 631,
-    X86_JA_2	= 632,
-    X86_JA_4	= 633,
-    X86_JBE_1	= 634,
-    X86_JBE_2	= 635,
-    X86_JBE_4	= 636,
-    X86_JB_1	= 637,
-    X86_JB_2	= 638,
-    X86_JB_4	= 639,
-    X86_JCXZ	= 640,
-    X86_JECXZ_32	= 641,
-    X86_JECXZ_64	= 642,
-    X86_JE_1	= 643,
-    X86_JE_2	= 644,
-    X86_JE_4	= 645,
-    X86_JGE_1	= 646,
-    X86_JGE_2	= 647,
-    X86_JGE_4	= 648,
-    X86_JG_1	= 649,
-    X86_JG_2	= 650,
-    X86_JG_4	= 651,
-    X86_JLE_1	= 652,
-    X86_JLE_2	= 653,
-    X86_JLE_4	= 654,
-    X86_JL_1	= 655,
-    X86_JL_2	= 656,
-    X86_JL_4	= 657,
-    X86_JMP16m	= 658,
-    X86_JMP16r	= 659,
-    X86_JMP32m	= 660,
-    X86_JMP32r	= 661,
-    X86_JMP64m	= 662,
-    X86_JMP64r	= 663,
-    X86_JMP_1	= 664,
-    X86_JMP_2	= 665,
-    X86_JMP_4	= 666,
-    X86_JNE_1	= 667,
-    X86_JNE_2	= 668,
-    X86_JNE_4	= 669,
-    X86_JNO_1	= 670,
-    X86_JNO_2	= 671,
-    X86_JNO_4	= 672,
-    X86_JNP_1	= 673,
-    X86_JNP_2	= 674,
-    X86_JNP_4	= 675,
-    X86_JNS_1	= 676,
-    X86_JNS_2	= 677,
-    X86_JNS_4	= 678,
-    X86_JO_1	= 679,
-    X86_JO_2	= 680,
-    X86_JO_4	= 681,
-    X86_JP_1	= 682,
-    X86_JP_2	= 683,
-    X86_JP_4	= 684,
-    X86_JRCXZ	= 685,
-    X86_JS_1	= 686,
-    X86_JS_2	= 687,
-    X86_JS_4	= 688,
-    X86_LAHF	= 689,
-    X86_LAR16rm	= 690,
-    X86_LAR16rr	= 691,
-    X86_LAR32rm	= 692,
-    X86_LAR32rr	= 693,
-    X86_LAR64rm	= 694,
-    X86_LAR64rr	= 695,
-    X86_LCMPXCHG16	= 696,
-    X86_LCMPXCHG16B	= 697,
-    X86_LCMPXCHG32	= 698,
-    X86_LCMPXCHG64	= 699,
-    X86_LCMPXCHG8	= 700,
-    X86_LCMPXCHG8B	= 701,
-    X86_LDS16rm	= 702,
-    X86_LDS32rm	= 703,
-    X86_LEA16r	= 704,
-    X86_LEA32r	= 705,
-    X86_LEA64_32r	= 706,
-    X86_LEA64r	= 707,
-    X86_LEAVE	= 708,
-    X86_LEAVE64	= 709,
-    X86_LES16rm	= 710,
-    X86_LES32rm	= 711,
-    X86_LFS16rm	= 712,
-    X86_LFS32rm	= 713,
-    X86_LFS64rm	= 714,
-    X86_LGDT16m	= 715,
-    X86_LGDT32m	= 716,
-    X86_LGDT64m	= 717,
-    X86_LGS16rm	= 718,
-    X86_LGS32rm	= 719,
-    X86_LGS64rm	= 720,
-    X86_LIDT16m	= 721,
-    X86_LIDT32m	= 722,
-    X86_LIDT64m	= 723,
-    X86_LLDT16m	= 724,
-    X86_LLDT16r	= 725,
-    X86_LMSW16m	= 726,
-    X86_LMSW16r	= 727,
-    X86_LOCK_ADD16mi	= 728,
-    X86_LOCK_ADD16mi8	= 729,
-    X86_LOCK_ADD16mr	= 730,
-    X86_LOCK_ADD32mi	= 731,
-    X86_LOCK_ADD32mi8	= 732,
-    X86_LOCK_ADD32mr	= 733,
-    X86_LOCK_ADD64mi32	= 734,
-    X86_LOCK_ADD64mi8	= 735,
-    X86_LOCK_ADD64mr	= 736,
-    X86_LOCK_ADD8mi	= 737,
-    X86_LOCK_ADD8mr	= 738,
-    X86_LOCK_AND16mi	= 739,
-    X86_LOCK_AND16mi8	= 740,
-    X86_LOCK_AND16mr	= 741,
-    X86_LOCK_AND32mi	= 742,
-    X86_LOCK_AND32mi8	= 743,
-    X86_LOCK_AND32mr	= 744,
-    X86_LOCK_AND64mi32	= 745,
-    X86_LOCK_AND64mi8	= 746,
-    X86_LOCK_AND64mr	= 747,
-    X86_LOCK_AND8mi	= 748,
-    X86_LOCK_AND8mr	= 749,
-    X86_LOCK_DEC16m	= 750,
-    X86_LOCK_DEC32m	= 751,
-    X86_LOCK_DEC64m	= 752,
-    X86_LOCK_DEC8m	= 753,
-    X86_LOCK_INC16m	= 754,
-    X86_LOCK_INC32m	= 755,
-    X86_LOCK_INC64m	= 756,
-    X86_LOCK_INC8m	= 757,
-    X86_LOCK_OR16mi	= 758,
-    X86_LOCK_OR16mi8	= 759,
-    X86_LOCK_OR16mr	= 760,
-    X86_LOCK_OR32mi	= 761,
-    X86_LOCK_OR32mi8	= 762,
-    X86_LOCK_OR32mr	= 763,
-    X86_LOCK_OR64mi32	= 764,
-    X86_LOCK_OR64mi8	= 765,
-    X86_LOCK_OR64mr	= 766,
-    X86_LOCK_OR8mi	= 767,
-    X86_LOCK_OR8mr	= 768,
-    X86_LOCK_PREFIX	= 769,
-    X86_LOCK_SUB16mi	= 770,
-    X86_LOCK_SUB16mi8	= 771,
-    X86_LOCK_SUB16mr	= 772,
-    X86_LOCK_SUB32mi	= 773,
-    X86_LOCK_SUB32mi8	= 774,
-    X86_LOCK_SUB32mr	= 775,
-    X86_LOCK_SUB64mi32	= 776,
-    X86_LOCK_SUB64mi8	= 777,
-    X86_LOCK_SUB64mr	= 778,
-    X86_LOCK_SUB8mi	= 779,
-    X86_LOCK_SUB8mr	= 780,
-    X86_LOCK_XOR16mi	= 781,
-    X86_LOCK_XOR16mi8	= 782,
-    X86_LOCK_XOR16mr	= 783,
-    X86_LOCK_XOR32mi	= 784,
-    X86_LOCK_XOR32mi8	= 785,
-    X86_LOCK_XOR32mr	= 786,
-    X86_LOCK_XOR64mi32	= 787,
-    X86_LOCK_XOR64mi8	= 788,
-    X86_LOCK_XOR64mr	= 789,
-    X86_LOCK_XOR8mi	= 790,
-    X86_LOCK_XOR8mr	= 791,
-    X86_LODSB	= 792,
-    X86_LODSL	= 793,
-    X86_LODSQ	= 794,
-    X86_LODSW	= 795,
-    X86_LOOP	= 796,
-    X86_LOOPE	= 797,
-    X86_LOOPNE	= 798,
-    X86_LRETIL	= 799,
-    X86_LRETIQ	= 800,
-    X86_LRETIW	= 801,
-    X86_LRETL	= 802,
-    X86_LRETQ	= 803,
-    X86_LRETW	= 804,
-    X86_LSL16rm	= 805,
-    X86_LSL16rr	= 806,
-    X86_LSL32rm	= 807,
-    X86_LSL32rr	= 808,
-    X86_LSL64rm	= 809,
-    X86_LSL64rr	= 810,
-    X86_LSS16rm	= 811,
-    X86_LSS32rm	= 812,
-    X86_LSS64rm	= 813,
-    X86_LTRm	= 814,
-    X86_LTRr	= 815,
-    X86_LXADD16	= 816,
-    X86_LXADD32	= 817,
-    X86_LXADD64	= 818,
-    X86_LXADD8	= 819,
-    X86_LZCNT16rm	= 820,
-    X86_LZCNT16rr	= 821,
-    X86_LZCNT32rm	= 822,
-    X86_LZCNT32rr	= 823,
-    X86_LZCNT64rm	= 824,
-    X86_LZCNT64rr	= 825,
-    X86_MONTMUL	= 826,
-    X86_MORESTACK_RET	= 827,
-    X86_MORESTACK_RET_RESTORE_R10	= 828,
-    X86_MOV16ao16	= 829,
-    X86_MOV16ao16_16	= 830,
-    X86_MOV16mi	= 831,
-    X86_MOV16mr	= 832,
-    X86_MOV16ms	= 833,
-    X86_MOV16o16a	= 834,
-    X86_MOV16o16a_16	= 835,
-    X86_MOV16ri	= 836,
-    X86_MOV16ri_alt	= 837,
-    X86_MOV16rm	= 838,
-    X86_MOV16rr	= 839,
-    X86_MOV16rr_REV	= 840,
-    X86_MOV16rs	= 841,
-    X86_MOV16sm	= 842,
-    X86_MOV16sr	= 843,
-    X86_MOV32ao32	= 844,
-    X86_MOV32ao32_16	= 845,
-    X86_MOV32cr	= 846,
-    X86_MOV32dr	= 847,
-    X86_MOV32mi	= 848,
-    X86_MOV32mr	= 849,
-    X86_MOV32ms	= 850,
-    X86_MOV32o32a	= 851,
-    X86_MOV32o32a_16	= 852,
-    X86_MOV32r0	= 853,
-    X86_MOV32rc	= 854,
-    X86_MOV32rd	= 855,
-    X86_MOV32ri	= 856,
-    X86_MOV32ri64	= 857,
-    X86_MOV32ri_alt	= 858,
-    X86_MOV32rm	= 859,
-    X86_MOV32rr	= 860,
-    X86_MOV32rr_REV	= 861,
-    X86_MOV32rs	= 862,
-    X86_MOV32sm	= 863,
-    X86_MOV32sr	= 864,
-    X86_MOV64ao16	= 865,
-    X86_MOV64ao32	= 866,
-    X86_MOV64ao64	= 867,
-    X86_MOV64ao8	= 868,
-    X86_MOV64cr	= 869,
-    X86_MOV64dr	= 870,
-    X86_MOV64mi32	= 871,
-    X86_MOV64mr	= 872,
-    X86_MOV64ms	= 873,
-    X86_MOV64o16a	= 874,
-    X86_MOV64o32a	= 875,
-    X86_MOV64o64a	= 876,
-    X86_MOV64o8a	= 877,
-    X86_MOV64rc	= 878,
-    X86_MOV64rd	= 879,
-    X86_MOV64ri	= 880,
-    X86_MOV64ri32	= 881,
-    X86_MOV64rm	= 882,
-    X86_MOV64rr	= 883,
-    X86_MOV64rr_REV	= 884,
-    X86_MOV64rs	= 885,
-    X86_MOV64sm	= 886,
-    X86_MOV64sr	= 887,
-    X86_MOV8ao8	= 888,
-    X86_MOV8ao8_16	= 889,
-    X86_MOV8mi	= 890,
-    X86_MOV8mr	= 891,
-    X86_MOV8mr_NOREX	= 892,
-    X86_MOV8o8a	= 893,
-    X86_MOV8o8a_16	= 894,
-    X86_MOV8ri	= 895,
-    X86_MOV8ri_alt	= 896,
-    X86_MOV8rm	= 897,
-    X86_MOV8rm_NOREX	= 898,
-    X86_MOV8rr	= 899,
-    X86_MOV8rr_NOREX	= 900,
-    X86_MOV8rr_REV	= 901,
-    X86_MOVBE16mr	= 902,
-    X86_MOVBE16rm	= 903,
-    X86_MOVBE32mr	= 904,
-    X86_MOVBE32rm	= 905,
-    X86_MOVBE64mr	= 906,
-    X86_MOVBE64rm	= 907,
-    X86_MOVPC32r	= 908,
-    X86_MOVSB	= 909,
-    X86_MOVSL	= 910,
-    X86_MOVSQ	= 911,
-    X86_MOVSW	= 912,
-    X86_MOVSX16rm8	= 913,
-    X86_MOVSX16rr8	= 914,
-    X86_MOVSX32rm16	= 915,
-    X86_MOVSX32rm8	= 916,
-    X86_MOVSX32rr16	= 917,
-    X86_MOVSX32rr8	= 918,
-    X86_MOVSX64rm16	= 919,
-    X86_MOVSX64rm32	= 920,
-    X86_MOVSX64rm8	= 921,
-    X86_MOVSX64rr16	= 922,
-    X86_MOVSX64rr32	= 923,
-    X86_MOVSX64rr8	= 924,
-    X86_MOVZX16rm8	= 925,
-    X86_MOVZX16rr8	= 926,
-    X86_MOVZX32_NOREXrm8	= 927,
-    X86_MOVZX32_NOREXrr8	= 928,
-    X86_MOVZX32rm16	= 929,
-    X86_MOVZX32rm8	= 930,
-    X86_MOVZX32rr16	= 931,
-    X86_MOVZX32rr8	= 932,
-    X86_MOVZX64rm16_Q	= 933,
-    X86_MOVZX64rm8_Q	= 934,
-    X86_MOVZX64rr16_Q	= 935,
-    X86_MOVZX64rr8_Q	= 936,
-    X86_MUL16m	= 937,
-    X86_MUL16r	= 938,
-    X86_MUL32m	= 939,
-    X86_MUL32r	= 940,
-    X86_MUL64m	= 941,
-    X86_MUL64r	= 942,
-    X86_MUL8m	= 943,
-    X86_MUL8r	= 944,
-    X86_MULX32rm	= 945,
-    X86_MULX32rr	= 946,
-    X86_MULX64rm	= 947,
-    X86_MULX64rr	= 948,
-    X86_NEG16m	= 949,
-    X86_NEG16r	= 950,
-    X86_NEG32m	= 951,
-    X86_NEG32r	= 952,
-    X86_NEG64m	= 953,
-    X86_NEG64r	= 954,
-    X86_NEG8m	= 955,
-    X86_NEG8r	= 956,
-    X86_NOOP	= 957,
-    X86_NOOP18_16m4	= 958,
-    X86_NOOP18_16m5	= 959,
-    X86_NOOP18_16m6	= 960,
-    X86_NOOP18_16m7	= 961,
-    X86_NOOP18_16r4	= 962,
-    X86_NOOP18_16r5	= 963,
-    X86_NOOP18_16r6	= 964,
-    X86_NOOP18_16r7	= 965,
-    X86_NOOP18_m4	= 966,
-    X86_NOOP18_m5	= 967,
-    X86_NOOP18_m6	= 968,
-    X86_NOOP18_m7	= 969,
-    X86_NOOP18_r4	= 970,
-    X86_NOOP18_r5	= 971,
-    X86_NOOP18_r6	= 972,
-    X86_NOOP18_r7	= 973,
-    X86_NOOPL	= 974,
-    X86_NOOPL_19	= 975,
-    X86_NOOPL_1a	= 976,
-    X86_NOOPL_1b	= 977,
-    X86_NOOPL_1c	= 978,
-    X86_NOOPL_1d	= 979,
-    X86_NOOPL_1e	= 980,
-    X86_NOOPW	= 981,
-    X86_NOOPW_19	= 982,
-    X86_NOOPW_1a	= 983,
-    X86_NOOPW_1b	= 984,
-    X86_NOOPW_1c	= 985,
-    X86_NOOPW_1d	= 986,
-    X86_NOOPW_1e	= 987,
-    X86_NOT16m	= 988,
-    X86_NOT16r	= 989,
-    X86_NOT32m	= 990,
-    X86_NOT32r	= 991,
-    X86_NOT64m	= 992,
-    X86_NOT64r	= 993,
-    X86_NOT8m	= 994,
-    X86_NOT8r	= 995,
-    X86_OR16i16	= 996,
-    X86_OR16mi	= 997,
-    X86_OR16mi8	= 998,
-    X86_OR16mr	= 999,
-    X86_OR16ri	= 1000,
-    X86_OR16ri8	= 1001,
-    X86_OR16rm	= 1002,
-    X86_OR16rr	= 1003,
-    X86_OR16rr_REV	= 1004,
-    X86_OR32i32	= 1005,
-    X86_OR32mi	= 1006,
-    X86_OR32mi8	= 1007,
-    X86_OR32mr	= 1008,
-    X86_OR32mrLocked	= 1009,
-    X86_OR32ri	= 1010,
-    X86_OR32ri8	= 1011,
-    X86_OR32rm	= 1012,
-    X86_OR32rr	= 1013,
-    X86_OR32rr_REV	= 1014,
-    X86_OR64i32	= 1015,
-    X86_OR64mi32	= 1016,
-    X86_OR64mi8	= 1017,
-    X86_OR64mr	= 1018,
-    X86_OR64ri32	= 1019,
-    X86_OR64ri8	= 1020,
-    X86_OR64rm	= 1021,
-    X86_OR64rr	= 1022,
-    X86_OR64rr_REV	= 1023,
-    X86_OR8i8	= 1024,
-    X86_OR8mi	= 1025,
-    X86_OR8mr	= 1026,
-    X86_OR8ri	= 1027,
-    X86_OR8ri8	= 1028,
-    X86_OR8rm	= 1029,
-    X86_OR8rr	= 1030,
-    X86_OR8rr_REV	= 1031,
-    X86_OUT16ir	= 1032,
-    X86_OUT16rr	= 1033,
-    X86_OUT32ir	= 1034,
-    X86_OUT32rr	= 1035,
-    X86_OUT8ir	= 1036,
-    X86_OUT8rr	= 1037,
-    X86_OUTSB	= 1038,
-    X86_OUTSL	= 1039,
-    X86_OUTSW	= 1040,
-    X86_PDEP32rm	= 1041,
-    X86_PDEP32rr	= 1042,
-    X86_PDEP64rm	= 1043,
-    X86_PDEP64rr	= 1044,
-    X86_PEXT32rm	= 1045,
-    X86_PEXT32rr	= 1046,
-    X86_PEXT64rm	= 1047,
-    X86_PEXT64rr	= 1048,
-    X86_POP16r	= 1049,
-    X86_POP16rmm	= 1050,
-    X86_POP16rmr	= 1051,
-    X86_POP32r	= 1052,
-    X86_POP32rmm	= 1053,
-    X86_POP32rmr	= 1054,
-    X86_POP64r	= 1055,
-    X86_POP64rmm	= 1056,
-    X86_POP64rmr	= 1057,
-    X86_POPA16	= 1058,
-    X86_POPA32	= 1059,
-    X86_POPDS16	= 1060,
-    X86_POPDS32	= 1061,
-    X86_POPES16	= 1062,
-    X86_POPES32	= 1063,
-    X86_POPF16	= 1064,
-    X86_POPF32	= 1065,
-    X86_POPF64	= 1066,
-    X86_POPFS16	= 1067,
-    X86_POPFS32	= 1068,
-    X86_POPFS64	= 1069,
-    X86_POPGS16	= 1070,
-    X86_POPGS32	= 1071,
-    X86_POPGS64	= 1072,
-    X86_POPSS16	= 1073,
-    X86_POPSS32	= 1074,
-    X86_PUSH16i8	= 1075,
-    X86_PUSH16r	= 1076,
-    X86_PUSH16rmm	= 1077,
-    X86_PUSH16rmr	= 1078,
-    X86_PUSH32i8	= 1079,
-    X86_PUSH32r	= 1080,
-    X86_PUSH32rmm	= 1081,
-    X86_PUSH32rmr	= 1082,
-    X86_PUSH64i16	= 1083,
-    X86_PUSH64i32	= 1084,
-    X86_PUSH64i8	= 1085,
-    X86_PUSH64r	= 1086,
-    X86_PUSH64rmm	= 1087,
-    X86_PUSH64rmr	= 1088,
-    X86_PUSHA16	= 1089,
-    X86_PUSHA32	= 1090,
-    X86_PUSHCS16	= 1091,
-    X86_PUSHCS32	= 1092,
-    X86_PUSHDS16	= 1093,
-    X86_PUSHDS32	= 1094,
-    X86_PUSHES16	= 1095,
-    X86_PUSHES32	= 1096,
-    X86_PUSHF16	= 1097,
-    X86_PUSHF32	= 1098,
-    X86_PUSHF64	= 1099,
-    X86_PUSHFS16	= 1100,
-    X86_PUSHFS32	= 1101,
-    X86_PUSHFS64	= 1102,
-    X86_PUSHGS16	= 1103,
-    X86_PUSHGS32	= 1104,
-    X86_PUSHGS64	= 1105,
-    X86_PUSHSS16	= 1106,
-    X86_PUSHSS32	= 1107,
-    X86_PUSHi16	= 1108,
-    X86_PUSHi32	= 1109,
-    X86_RCL16m1	= 1110,
-    X86_RCL16mCL	= 1111,
-    X86_RCL16mi	= 1112,
-    X86_RCL16r1	= 1113,
-    X86_RCL16rCL	= 1114,
-    X86_RCL16ri	= 1115,
-    X86_RCL32m1	= 1116,
-    X86_RCL32mCL	= 1117,
-    X86_RCL32mi	= 1118,
-    X86_RCL32r1	= 1119,
-    X86_RCL32rCL	= 1120,
-    X86_RCL32ri	= 1121,
-    X86_RCL64m1	= 1122,
-    X86_RCL64mCL	= 1123,
-    X86_RCL64mi	= 1124,
-    X86_RCL64r1	= 1125,
-    X86_RCL64rCL	= 1126,
-    X86_RCL64ri	= 1127,
-    X86_RCL8m1	= 1128,
-    X86_RCL8mCL	= 1129,
-    X86_RCL8mi	= 1130,
-    X86_RCL8r1	= 1131,
-    X86_RCL8rCL	= 1132,
-    X86_RCL8ri	= 1133,
-    X86_RCR16m1	= 1134,
-    X86_RCR16mCL	= 1135,
-    X86_RCR16mi	= 1136,
-    X86_RCR16r1	= 1137,
-    X86_RCR16rCL	= 1138,
-    X86_RCR16ri	= 1139,
-    X86_RCR32m1	= 1140,
-    X86_RCR32mCL	= 1141,
-    X86_RCR32mi	= 1142,
-    X86_RCR32r1	= 1143,
-    X86_RCR32rCL	= 1144,
-    X86_RCR32ri	= 1145,
-    X86_RCR64m1	= 1146,
-    X86_RCR64mCL	= 1147,
-    X86_RCR64mi	= 1148,
-    X86_RCR64r1	= 1149,
-    X86_RCR64rCL	= 1150,
-    X86_RCR64ri	= 1151,
-    X86_RCR8m1	= 1152,
-    X86_RCR8mCL	= 1153,
-    X86_RCR8mi	= 1154,
-    X86_RCR8r1	= 1155,
-    X86_RCR8rCL	= 1156,
-    X86_RCR8ri	= 1157,
-    X86_RDFSBASE	= 1158,
-    X86_RDFSBASE64	= 1159,
-    X86_RDGSBASE	= 1160,
-    X86_RDGSBASE64	= 1161,
-    X86_RDMSR	= 1162,
-    X86_RDPMC	= 1163,
-    X86_RDRAND16r	= 1164,
-    X86_RDRAND32r	= 1165,
-    X86_RDRAND64r	= 1166,
-    X86_RDSEED16r	= 1167,
-    X86_RDSEED32r	= 1168,
-    X86_RDSEED64r	= 1169,
-    X86_RDTSC	= 1170,
-    X86_RDTSCP	= 1171,
-    X86_RELEASE_MOV16mr	= 1172,
-    X86_RELEASE_MOV32mr	= 1173,
-    X86_RELEASE_MOV64mr	= 1174,
-    X86_RELEASE_MOV8mr	= 1175,
-    X86_REPNE_PREFIX	= 1176,
-    X86_REP_MOVSB_32	= 1177,
-    X86_REP_MOVSB_64	= 1178,
-    X86_REP_MOVSD_32	= 1179,
-    X86_REP_MOVSD_64	= 1180,
-    X86_REP_MOVSQ_64	= 1181,
-    X86_REP_MOVSW_32	= 1182,
-    X86_REP_MOVSW_64	= 1183,
-    X86_REP_PREFIX	= 1184,
-    X86_REP_STOSB_32	= 1185,
-    X86_REP_STOSB_64	= 1186,
-    X86_REP_STOSD_32	= 1187,
-    X86_REP_STOSD_64	= 1188,
-    X86_REP_STOSQ_64	= 1189,
-    X86_REP_STOSW_32	= 1190,
-    X86_REP_STOSW_64	= 1191,
-    X86_RETIL	= 1192,
-    X86_RETIQ	= 1193,
-    X86_RETIW	= 1194,
-    X86_RETL	= 1195,
-    X86_RETQ	= 1196,
-    X86_RETW	= 1197,
-    X86_REX64_PREFIX	= 1198,
-    X86_ROL16m1	= 1199,
-    X86_ROL16mCL	= 1200,
-    X86_ROL16mi	= 1201,
-    X86_ROL16r1	= 1202,
-    X86_ROL16rCL	= 1203,
-    X86_ROL16ri	= 1204,
-    X86_ROL32m1	= 1205,
-    X86_ROL32mCL	= 1206,
-    X86_ROL32mi	= 1207,
-    X86_ROL32r1	= 1208,
-    X86_ROL32rCL	= 1209,
-    X86_ROL32ri	= 1210,
-    X86_ROL64m1	= 1211,
-    X86_ROL64mCL	= 1212,
-    X86_ROL64mi	= 1213,
-    X86_ROL64r1	= 1214,
-    X86_ROL64rCL	= 1215,
-    X86_ROL64ri	= 1216,
-    X86_ROL8m1	= 1217,
-    X86_ROL8mCL	= 1218,
-    X86_ROL8mi	= 1219,
-    X86_ROL8r1	= 1220,
-    X86_ROL8rCL	= 1221,
-    X86_ROL8ri	= 1222,
-    X86_ROR16m1	= 1223,
-    X86_ROR16mCL	= 1224,
-    X86_ROR16mi	= 1225,
-    X86_ROR16r1	= 1226,
-    X86_ROR16rCL	= 1227,
-    X86_ROR16ri	= 1228,
-    X86_ROR32m1	= 1229,
-    X86_ROR32mCL	= 1230,
-    X86_ROR32mi	= 1231,
-    X86_ROR32r1	= 1232,
-    X86_ROR32rCL	= 1233,
-    X86_ROR32ri	= 1234,
-    X86_ROR64m1	= 1235,
-    X86_ROR64mCL	= 1236,
-    X86_ROR64mi	= 1237,
-    X86_ROR64r1	= 1238,
-    X86_ROR64rCL	= 1239,
-    X86_ROR64ri	= 1240,
-    X86_ROR8m1	= 1241,
-    X86_ROR8mCL	= 1242,
-    X86_ROR8mi	= 1243,
-    X86_ROR8r1	= 1244,
-    X86_ROR8rCL	= 1245,
-    X86_ROR8ri	= 1246,
-    X86_RORX32mi	= 1247,
-    X86_RORX32ri	= 1248,
-    X86_RORX64mi	= 1249,
-    X86_RORX64ri	= 1250,
-    X86_RSM	= 1251,
-    X86_SAHF	= 1252,
-    X86_SAL16m1	= 1253,
-    X86_SAL16mCL	= 1254,
-    X86_SAL16mi	= 1255,
-    X86_SAL16r1	= 1256,
-    X86_SAL16rCL	= 1257,
-    X86_SAL16ri	= 1258,
-    X86_SAL32m1	= 1259,
-    X86_SAL32mCL	= 1260,
-    X86_SAL32mi	= 1261,
-    X86_SAL32r1	= 1262,
-    X86_SAL32rCL	= 1263,
-    X86_SAL32ri	= 1264,
-    X86_SAL64m1	= 1265,
-    X86_SAL64mCL	= 1266,
-    X86_SAL64mi	= 1267,
-    X86_SAL64r1	= 1268,
-    X86_SAL64rCL	= 1269,
-    X86_SAL64ri	= 1270,
-    X86_SAL8m1	= 1271,
-    X86_SAL8mCL	= 1272,
-    X86_SAL8mi	= 1273,
-    X86_SAL8r1	= 1274,
-    X86_SAL8rCL	= 1275,
-    X86_SAL8ri	= 1276,
-    X86_SALC	= 1277,
-    X86_SAR16m1	= 1278,
-    X86_SAR16mCL	= 1279,
-    X86_SAR16mi	= 1280,
-    X86_SAR16r1	= 1281,
-    X86_SAR16rCL	= 1282,
-    X86_SAR16ri	= 1283,
-    X86_SAR32m1	= 1284,
-    X86_SAR32mCL	= 1285,
-    X86_SAR32mi	= 1286,
-    X86_SAR32r1	= 1287,
-    X86_SAR32rCL	= 1288,
-    X86_SAR32ri	= 1289,
-    X86_SAR64m1	= 1290,
-    X86_SAR64mCL	= 1291,
-    X86_SAR64mi	= 1292,
-    X86_SAR64r1	= 1293,
-    X86_SAR64rCL	= 1294,
-    X86_SAR64ri	= 1295,
-    X86_SAR8m1	= 1296,
-    X86_SAR8mCL	= 1297,
-    X86_SAR8mi	= 1298,
-    X86_SAR8r1	= 1299,
-    X86_SAR8rCL	= 1300,
-    X86_SAR8ri	= 1301,
-    X86_SARX32rm	= 1302,
-    X86_SARX32rr	= 1303,
-    X86_SARX64rm	= 1304,
-    X86_SARX64rr	= 1305,
-    X86_SBB16i16	= 1306,
-    X86_SBB16mi	= 1307,
-    X86_SBB16mi8	= 1308,
-    X86_SBB16mr	= 1309,
-    X86_SBB16ri	= 1310,
-    X86_SBB16ri8	= 1311,
-    X86_SBB16rm	= 1312,
-    X86_SBB16rr	= 1313,
-    X86_SBB16rr_REV	= 1314,
-    X86_SBB32i32	= 1315,
-    X86_SBB32mi	= 1316,
-    X86_SBB32mi8	= 1317,
-    X86_SBB32mr	= 1318,
-    X86_SBB32ri	= 1319,
-    X86_SBB32ri8	= 1320,
-    X86_SBB32rm	= 1321,
-    X86_SBB32rr	= 1322,
-    X86_SBB32rr_REV	= 1323,
-    X86_SBB64i32	= 1324,
-    X86_SBB64mi32	= 1325,
-    X86_SBB64mi8	= 1326,
-    X86_SBB64mr	= 1327,
-    X86_SBB64ri32	= 1328,
-    X86_SBB64ri8	= 1329,
-    X86_SBB64rm	= 1330,
-    X86_SBB64rr	= 1331,
-    X86_SBB64rr_REV	= 1332,
-    X86_SBB8i8	= 1333,
-    X86_SBB8mi	= 1334,
-    X86_SBB8mr	= 1335,
-    X86_SBB8ri	= 1336,
-    X86_SBB8rm	= 1337,
-    X86_SBB8rr	= 1338,
-    X86_SBB8rr_REV	= 1339,
-    X86_SCAS16	= 1340,
-    X86_SCAS32	= 1341,
-    X86_SCAS64	= 1342,
-    X86_SCAS8	= 1343,
-    X86_SEG_ALLOCA_32	= 1344,
-    X86_SEG_ALLOCA_64	= 1345,
-    X86_SETAEm	= 1346,
-    X86_SETAEr	= 1347,
-    X86_SETAm	= 1348,
-    X86_SETAr	= 1349,
-    X86_SETBEm	= 1350,
-    X86_SETBEr	= 1351,
-    X86_SETB_C16r	= 1352,
-    X86_SETB_C32r	= 1353,
-    X86_SETB_C64r	= 1354,
-    X86_SETB_C8r	= 1355,
-    X86_SETBm	= 1356,
-    X86_SETBr	= 1357,
-    X86_SETEm	= 1358,
-    X86_SETEr	= 1359,
-    X86_SETGEm	= 1360,
-    X86_SETGEr	= 1361,
-    X86_SETGm	= 1362,
-    X86_SETGr	= 1363,
-    X86_SETLEm	= 1364,
-    X86_SETLEr	= 1365,
-    X86_SETLm	= 1366,
-    X86_SETLr	= 1367,
-    X86_SETNEm	= 1368,
-    X86_SETNEr	= 1369,
-    X86_SETNOm	= 1370,
-    X86_SETNOr	= 1371,
-    X86_SETNPm	= 1372,
-    X86_SETNPr	= 1373,
-    X86_SETNSm	= 1374,
-    X86_SETNSr	= 1375,
-    X86_SETOm	= 1376,
-    X86_SETOr	= 1377,
-    X86_SETPm	= 1378,
-    X86_SETPr	= 1379,
-    X86_SETSm	= 1380,
-    X86_SETSr	= 1381,
-    X86_SGDT16m	= 1382,
-    X86_SGDT32m	= 1383,
-    X86_SGDT64m	= 1384,
-    X86_SHL16m1	= 1385,
-    X86_SHL16mCL	= 1386,
-    X86_SHL16mi	= 1387,
-    X86_SHL16r1	= 1388,
-    X86_SHL16rCL	= 1389,
-    X86_SHL16ri	= 1390,
-    X86_SHL32m1	= 1391,
-    X86_SHL32mCL	= 1392,
-    X86_SHL32mi	= 1393,
-    X86_SHL32r1	= 1394,
-    X86_SHL32rCL	= 1395,
-    X86_SHL32ri	= 1396,
-    X86_SHL64m1	= 1397,
-    X86_SHL64mCL	= 1398,
-    X86_SHL64mi	= 1399,
-    X86_SHL64r1	= 1400,
-    X86_SHL64rCL	= 1401,
-    X86_SHL64ri	= 1402,
-    X86_SHL8m1	= 1403,
-    X86_SHL8mCL	= 1404,
-    X86_SHL8mi	= 1405,
-    X86_SHL8r1	= 1406,
-    X86_SHL8rCL	= 1407,
-    X86_SHL8ri	= 1408,
-    X86_SHLD16mrCL	= 1409,
-    X86_SHLD16mri8	= 1410,
-    X86_SHLD16rrCL	= 1411,
-    X86_SHLD16rri8	= 1412,
-    X86_SHLD32mrCL	= 1413,
-    X86_SHLD32mri8	= 1414,
-    X86_SHLD32rrCL	= 1415,
-    X86_SHLD32rri8	= 1416,
-    X86_SHLD64mrCL	= 1417,
-    X86_SHLD64mri8	= 1418,
-    X86_SHLD64rrCL	= 1419,
-    X86_SHLD64rri8	= 1420,
-    X86_SHLX32rm	= 1421,
-    X86_SHLX32rr	= 1422,
-    X86_SHLX64rm	= 1423,
-    X86_SHLX64rr	= 1424,
-    X86_SHR16m1	= 1425,
-    X86_SHR16mCL	= 1426,
-    X86_SHR16mi	= 1427,
-    X86_SHR16r1	= 1428,
-    X86_SHR16rCL	= 1429,
-    X86_SHR16ri	= 1430,
-    X86_SHR32m1	= 1431,
-    X86_SHR32mCL	= 1432,
-    X86_SHR32mi	= 1433,
-    X86_SHR32r1	= 1434,
-    X86_SHR32rCL	= 1435,
-    X86_SHR32ri	= 1436,
-    X86_SHR64m1	= 1437,
-    X86_SHR64mCL	= 1438,
-    X86_SHR64mi	= 1439,
-    X86_SHR64r1	= 1440,
-    X86_SHR64rCL	= 1441,
-    X86_SHR64ri	= 1442,
-    X86_SHR8m1	= 1443,
-    X86_SHR8mCL	= 1444,
-    X86_SHR8mi	= 1445,
-    X86_SHR8r1	= 1446,
-    X86_SHR8rCL	= 1447,
-    X86_SHR8ri	= 1448,
-    X86_SHRD16mrCL	= 1449,
-    X86_SHRD16mri8	= 1450,
-    X86_SHRD16rrCL	= 1451,
-    X86_SHRD16rri8	= 1452,
-    X86_SHRD32mrCL	= 1453,
-    X86_SHRD32mri8	= 1454,
-    X86_SHRD32rrCL	= 1455,
-    X86_SHRD32rri8	= 1456,
-    X86_SHRD64mrCL	= 1457,
-    X86_SHRD64mri8	= 1458,
-    X86_SHRD64rrCL	= 1459,
-    X86_SHRD64rri8	= 1460,
-    X86_SHRX32rm	= 1461,
-    X86_SHRX32rr	= 1462,
-    X86_SHRX64rm	= 1463,
-    X86_SHRX64rr	= 1464,
-    X86_SIDT16m	= 1465,
-    X86_SIDT32m	= 1466,
-    X86_SIDT64m	= 1467,
-    X86_SKINIT	= 1468,
-    X86_SLDT16m	= 1469,
-    X86_SLDT16r	= 1470,
-    X86_SLDT32r	= 1471,
-    X86_SLDT64m	= 1472,
-    X86_SLDT64r	= 1473,
-    X86_SMSW16m	= 1474,
-    X86_SMSW16r	= 1475,
-    X86_SMSW32r	= 1476,
-    X86_SMSW64r	= 1477,
-    X86_SS_PREFIX	= 1478,
-    X86_STAC	= 1479,
-    X86_STC	= 1480,
-    X86_STD	= 1481,
-    X86_STGI	= 1482,
-    X86_STI	= 1483,
-    X86_STOSB	= 1484,
-    X86_STOSL	= 1485,
-    X86_STOSQ	= 1486,
-    X86_STOSW	= 1487,
-    X86_STR16r	= 1488,
-    X86_STR32r	= 1489,
-    X86_STR64r	= 1490,
-    X86_STRm	= 1491,
-    X86_SUB16i16	= 1492,
-    X86_SUB16mi	= 1493,
-    X86_SUB16mi8	= 1494,
-    X86_SUB16mr	= 1495,
-    X86_SUB16ri	= 1496,
-    X86_SUB16ri8	= 1497,
-    X86_SUB16rm	= 1498,
-    X86_SUB16rr	= 1499,
-    X86_SUB16rr_REV	= 1500,
-    X86_SUB32i32	= 1501,
-    X86_SUB32mi	= 1502,
-    X86_SUB32mi8	= 1503,
-    X86_SUB32mr	= 1504,
-    X86_SUB32ri	= 1505,
-    X86_SUB32ri8	= 1506,
-    X86_SUB32rm	= 1507,
-    X86_SUB32rr	= 1508,
-    X86_SUB32rr_REV	= 1509,
-    X86_SUB64i32	= 1510,
-    X86_SUB64mi32	= 1511,
-    X86_SUB64mi8	= 1512,
-    X86_SUB64mr	= 1513,
-    X86_SUB64ri32	= 1514,
-    X86_SUB64ri8	= 1515,
-    X86_SUB64rm	= 1516,
-    X86_SUB64rr	= 1517,
-    X86_SUB64rr_REV	= 1518,
-    X86_SUB8i8	= 1519,
-    X86_SUB8mi	= 1520,
-    X86_SUB8mr	= 1521,
-    X86_SUB8ri	= 1522,
-    X86_SUB8ri8	= 1523,
-    X86_SUB8rm	= 1524,
-    X86_SUB8rr	= 1525,
-    X86_SUB8rr_REV	= 1526,
-    X86_SWAPGS	= 1527,
-    X86_SYSCALL	= 1528,
-    X86_SYSENTER	= 1529,
-    X86_SYSEXIT	= 1530,
-    X86_SYSEXIT64	= 1531,
-    X86_SYSRET	= 1532,
-    X86_SYSRET64	= 1533,
-    X86_T1MSKC32rm	= 1534,
-    X86_T1MSKC32rr	= 1535,
-    X86_T1MSKC64rm	= 1536,
-    X86_T1MSKC64rr	= 1537,
-    X86_TAILJMPd	= 1538,
-    X86_TAILJMPd64	= 1539,
-    X86_TAILJMPm	= 1540,
-    X86_TAILJMPm64	= 1541,
-    X86_TAILJMPr	= 1542,
-    X86_TAILJMPr64	= 1543,
-    X86_TCRETURNdi	= 1544,
-    X86_TCRETURNdi64	= 1545,
-    X86_TCRETURNmi	= 1546,
-    X86_TCRETURNmi64	= 1547,
-    X86_TCRETURNri	= 1548,
-    X86_TCRETURNri64	= 1549,
-    X86_TEST16i16	= 1550,
-    X86_TEST16mi	= 1551,
-    X86_TEST16mi_alt	= 1552,
-    X86_TEST16ri	= 1553,
-    X86_TEST16ri_alt	= 1554,
-    X86_TEST16rm	= 1555,
-    X86_TEST16rr	= 1556,
-    X86_TEST32i32	= 1557,
-    X86_TEST32mi	= 1558,
-    X86_TEST32mi_alt	= 1559,
-    X86_TEST32ri	= 1560,
-    X86_TEST32ri_alt	= 1561,
-    X86_TEST32rm	= 1562,
-    X86_TEST32rr	= 1563,
-    X86_TEST64i32	= 1564,
-    X86_TEST64mi32	= 1565,
-    X86_TEST64mi32_alt	= 1566,
-    X86_TEST64ri32	= 1567,
-    X86_TEST64ri32_alt	= 1568,
-    X86_TEST64rm	= 1569,
-    X86_TEST64rr	= 1570,
-    X86_TEST8i8	= 1571,
-    X86_TEST8mi	= 1572,
-    X86_TEST8mi_alt	= 1573,
-    X86_TEST8ri	= 1574,
-    X86_TEST8ri_NOREX	= 1575,
-    X86_TEST8ri_alt	= 1576,
-    X86_TEST8rm	= 1577,
-    X86_TEST8rr	= 1578,
-    X86_TLSCall_32	= 1579,
-    X86_TLSCall_64	= 1580,
-    X86_TLS_addr32	= 1581,
-    X86_TLS_addr64	= 1582,
-    X86_TLS_base_addr32	= 1583,
-    X86_TLS_base_addr64	= 1584,
-    X86_TRAP	= 1585,
-    X86_TZCNT16rm	= 1586,
-    X86_TZCNT16rr	= 1587,
-    X86_TZCNT32rm	= 1588,
-    X86_TZCNT32rr	= 1589,
-    X86_TZCNT64rm	= 1590,
-    X86_TZCNT64rr	= 1591,
-    X86_TZMSK32rm	= 1592,
-    X86_TZMSK32rr	= 1593,
-    X86_TZMSK64rm	= 1594,
-    X86_TZMSK64rr	= 1595,
-    X86_UD2B	= 1596,
-    X86_VAARG_64	= 1597,
-    X86_VASTART_SAVE_XMM_REGS	= 1598,
-    X86_VERRm	= 1599,
-    X86_VERRr	= 1600,
-    X86_VERWm	= 1601,
-    X86_VERWr	= 1602,
-    X86_VMCALL	= 1603,
-    X86_VMCLEARm	= 1604,
-    X86_VMFUNC	= 1605,
-    X86_VMLAUNCH	= 1606,
-    X86_VMLOAD32	= 1607,
-    X86_VMLOAD64	= 1608,
-    X86_VMMCALL	= 1609,
-    X86_VMPTRLDm	= 1610,
-    X86_VMPTRSTm	= 1611,
-    X86_VMREAD32rm	= 1612,
-    X86_VMREAD32rr	= 1613,
-    X86_VMREAD64rm	= 1614,
-    X86_VMREAD64rr	= 1615,
-    X86_VMRESUME	= 1616,
-    X86_VMRUN32	= 1617,
-    X86_VMRUN64	= 1618,
-    X86_VMSAVE32	= 1619,
-    X86_VMSAVE64	= 1620,
-    X86_VMWRITE32rm	= 1621,
-    X86_VMWRITE32rr	= 1622,
-    X86_VMWRITE64rm	= 1623,
-    X86_VMWRITE64rr	= 1624,
-    X86_VMXOFF	= 1625,
-    X86_VMXON	= 1626,
-    X86_W64ALLOCA	= 1627,
-    X86_WBINVD	= 1628,
-    X86_WIN_ALLOCA	= 1629,
-    X86_WIN_FTOL_32	= 1630,
-    X86_WIN_FTOL_64	= 1631,
-    X86_WRFSBASE	= 1632,
-    X86_WRFSBASE64	= 1633,
-    X86_WRGSBASE	= 1634,
-    X86_WRGSBASE64	= 1635,
-    X86_WRMSR	= 1636,
-    X86_XADD16rm	= 1637,
-    X86_XADD16rr	= 1638,
-    X86_XADD32rm	= 1639,
-    X86_XADD32rr	= 1640,
-    X86_XADD64rm	= 1641,
-    X86_XADD64rr	= 1642,
-    X86_XADD8rm	= 1643,
-    X86_XADD8rr	= 1644,
-    X86_XCHG16ar	= 1645,
-    X86_XCHG16rm	= 1646,
-    X86_XCHG16rr	= 1647,
-    X86_XCHG32ar	= 1648,
-    X86_XCHG32ar64	= 1649,
-    X86_XCHG32rm	= 1650,
-    X86_XCHG32rr	= 1651,
-    X86_XCHG64ar	= 1652,
-    X86_XCHG64rm	= 1653,
-    X86_XCHG64rr	= 1654,
-    X86_XCHG8rm	= 1655,
-    X86_XCHG8rr	= 1656,
-    X86_XCRYPTCBC	= 1657,
-    X86_XCRYPTCFB	= 1658,
-    X86_XCRYPTCTR	= 1659,
-    X86_XCRYPTECB	= 1660,
-    X86_XCRYPTOFB	= 1661,
-    X86_XGETBV	= 1662,
-    X86_XLAT	= 1663,
-    X86_XOR16i16	= 1664,
-    X86_XOR16mi	= 1665,
-    X86_XOR16mi8	= 1666,
-    X86_XOR16mr	= 1667,
-    X86_XOR16ri	= 1668,
-    X86_XOR16ri8	= 1669,
-    X86_XOR16rm	= 1670,
-    X86_XOR16rr	= 1671,
-    X86_XOR16rr_REV	= 1672,
-    X86_XOR32i32	= 1673,
-    X86_XOR32mi	= 1674,
-    X86_XOR32mi8	= 1675,
-    X86_XOR32mr	= 1676,
-    X86_XOR32ri	= 1677,
-    X86_XOR32ri8	= 1678,
-    X86_XOR32rm	= 1679,
-    X86_XOR32rr	= 1680,
-    X86_XOR32rr_REV	= 1681,
-    X86_XOR64i32	= 1682,
-    X86_XOR64mi32	= 1683,
-    X86_XOR64mi8	= 1684,
-    X86_XOR64mr	= 1685,
-    X86_XOR64ri32	= 1686,
-    X86_XOR64ri8	= 1687,
-    X86_XOR64rm	= 1688,
-    X86_XOR64rr	= 1689,
-    X86_XOR64rr_REV	= 1690,
-    X86_XOR8i8	= 1691,
-    X86_XOR8mi	= 1692,
-    X86_XOR8mr	= 1693,
-    X86_XOR8ri	= 1694,
-    X86_XOR8ri8	= 1695,
-    X86_XOR8rm	= 1696,
-    X86_XOR8rr	= 1697,
-    X86_XOR8rr_REV	= 1698,
-    X86_XRSTOR	= 1699,
-    X86_XRSTOR64	= 1700,
-    X86_XSAVE	= 1701,
-    X86_XSAVE64	= 1702,
-    X86_XSAVEOPT	= 1703,
-    X86_XSAVEOPT64	= 1704,
-    X86_XSETBV	= 1705,
-    X86_XSHA1	= 1706,
-    X86_XSHA256	= 1707,
-    X86_XSTORE	= 1708,
-    X86_INSTRUCTION_LIST_END = 1709
+    X86_LOAD_STACK_GUARD	= 19,
+    X86_AAA	= 20,
+    X86_AAD8i8	= 21,
+    X86_AAM8i8	= 22,
+    X86_AAS	= 23,
+    X86_ACQUIRE_MOV16rm	= 24,
+    X86_ACQUIRE_MOV32rm	= 25,
+    X86_ACQUIRE_MOV64rm	= 26,
+    X86_ACQUIRE_MOV8rm	= 27,
+    X86_ADC16i16	= 28,
+    X86_ADC16mi	= 29,
+    X86_ADC16mi8	= 30,
+    X86_ADC16mr	= 31,
+    X86_ADC16ri	= 32,
+    X86_ADC16ri8	= 33,
+    X86_ADC16rm	= 34,
+    X86_ADC16rr	= 35,
+    X86_ADC16rr_REV	= 36,
+    X86_ADC32i32	= 37,
+    X86_ADC32mi	= 38,
+    X86_ADC32mi8	= 39,
+    X86_ADC32mr	= 40,
+    X86_ADC32ri	= 41,
+    X86_ADC32ri8	= 42,
+    X86_ADC32rm	= 43,
+    X86_ADC32rr	= 44,
+    X86_ADC32rr_REV	= 45,
+    X86_ADC64i32	= 46,
+    X86_ADC64mi32	= 47,
+    X86_ADC64mi8	= 48,
+    X86_ADC64mr	= 49,
+    X86_ADC64ri32	= 50,
+    X86_ADC64ri8	= 51,
+    X86_ADC64rm	= 52,
+    X86_ADC64rr	= 53,
+    X86_ADC64rr_REV	= 54,
+    X86_ADC8i8	= 55,
+    X86_ADC8mi	= 56,
+    X86_ADC8mr	= 57,
+    X86_ADC8ri	= 58,
+    X86_ADC8rm	= 59,
+    X86_ADC8rr	= 60,
+    X86_ADC8rr_REV	= 61,
+    X86_ADCX32rm	= 62,
+    X86_ADCX32rr	= 63,
+    X86_ADCX64rm	= 64,
+    X86_ADCX64rr	= 65,
+    X86_ADD16i16	= 66,
+    X86_ADD16mi	= 67,
+    X86_ADD16mi8	= 68,
+    X86_ADD16mr	= 69,
+    X86_ADD16ri	= 70,
+    X86_ADD16ri8	= 71,
+    X86_ADD16ri8_DB	= 72,
+    X86_ADD16ri_DB	= 73,
+    X86_ADD16rm	= 74,
+    X86_ADD16rr	= 75,
+    X86_ADD16rr_DB	= 76,
+    X86_ADD16rr_REV	= 77,
+    X86_ADD32i32	= 78,
+    X86_ADD32mi	= 79,
+    X86_ADD32mi8	= 80,
+    X86_ADD32mr	= 81,
+    X86_ADD32ri	= 82,
+    X86_ADD32ri8	= 83,
+    X86_ADD32ri8_DB	= 84,
+    X86_ADD32ri_DB	= 85,
+    X86_ADD32rm	= 86,
+    X86_ADD32rr	= 87,
+    X86_ADD32rr_DB	= 88,
+    X86_ADD32rr_REV	= 89,
+    X86_ADD64i32	= 90,
+    X86_ADD64mi32	= 91,
+    X86_ADD64mi8	= 92,
+    X86_ADD64mr	= 93,
+    X86_ADD64ri32	= 94,
+    X86_ADD64ri32_DB	= 95,
+    X86_ADD64ri8	= 96,
+    X86_ADD64ri8_DB	= 97,
+    X86_ADD64rm	= 98,
+    X86_ADD64rr	= 99,
+    X86_ADD64rr_DB	= 100,
+    X86_ADD64rr_REV	= 101,
+    X86_ADD8i8	= 102,
+    X86_ADD8mi	= 103,
+    X86_ADD8mr	= 104,
+    X86_ADD8ri	= 105,
+    X86_ADD8ri8	= 106,
+    X86_ADD8rm	= 107,
+    X86_ADD8rr	= 108,
+    X86_ADD8rr_REV	= 109,
+    X86_ADJCALLSTACKDOWN32	= 110,
+    X86_ADJCALLSTACKDOWN64	= 111,
+    X86_ADJCALLSTACKUP32	= 112,
+    X86_ADJCALLSTACKUP64	= 113,
+    X86_ADOX32rm	= 114,
+    X86_ADOX32rr	= 115,
+    X86_ADOX64rm	= 116,
+    X86_ADOX64rr	= 117,
+    X86_AND16i16	= 118,
+    X86_AND16mi	= 119,
+    X86_AND16mi8	= 120,
+    X86_AND16mr	= 121,
+    X86_AND16ri	= 122,
+    X86_AND16ri8	= 123,
+    X86_AND16rm	= 124,
+    X86_AND16rr	= 125,
+    X86_AND16rr_REV	= 126,
+    X86_AND32i32	= 127,
+    X86_AND32mi	= 128,
+    X86_AND32mi8	= 129,
+    X86_AND32mr	= 130,
+    X86_AND32ri	= 131,
+    X86_AND32ri8	= 132,
+    X86_AND32rm	= 133,
+    X86_AND32rr	= 134,
+    X86_AND32rr_REV	= 135,
+    X86_AND64i32	= 136,
+    X86_AND64mi32	= 137,
+    X86_AND64mi8	= 138,
+    X86_AND64mr	= 139,
+    X86_AND64ri32	= 140,
+    X86_AND64ri8	= 141,
+    X86_AND64rm	= 142,
+    X86_AND64rr	= 143,
+    X86_AND64rr_REV	= 144,
+    X86_AND8i8	= 145,
+    X86_AND8mi	= 146,
+    X86_AND8mr	= 147,
+    X86_AND8ri	= 148,
+    X86_AND8ri8	= 149,
+    X86_AND8rm	= 150,
+    X86_AND8rr	= 151,
+    X86_AND8rr_REV	= 152,
+    X86_ANDN32rm	= 153,
+    X86_ANDN32rr	= 154,
+    X86_ANDN64rm	= 155,
+    X86_ANDN64rr	= 156,
+    X86_ARPL16mr	= 157,
+    X86_ARPL16rr	= 158,
+    X86_BEXTR32rm	= 159,
+    X86_BEXTR32rr	= 160,
+    X86_BEXTR64rm	= 161,
+    X86_BEXTR64rr	= 162,
+    X86_BEXTRI32mi	= 163,
+    X86_BEXTRI32ri	= 164,
+    X86_BEXTRI64mi	= 165,
+    X86_BEXTRI64ri	= 166,
+    X86_BLCFILL32rm	= 167,
+    X86_BLCFILL32rr	= 168,
+    X86_BLCFILL64rm	= 169,
+    X86_BLCFILL64rr	= 170,
+    X86_BLCI32rm	= 171,
+    X86_BLCI32rr	= 172,
+    X86_BLCI64rm	= 173,
+    X86_BLCI64rr	= 174,
+    X86_BLCIC32rm	= 175,
+    X86_BLCIC32rr	= 176,
+    X86_BLCIC64rm	= 177,
+    X86_BLCIC64rr	= 178,
+    X86_BLCMSK32rm	= 179,
+    X86_BLCMSK32rr	= 180,
+    X86_BLCMSK64rm	= 181,
+    X86_BLCMSK64rr	= 182,
+    X86_BLCS32rm	= 183,
+    X86_BLCS32rr	= 184,
+    X86_BLCS64rm	= 185,
+    X86_BLCS64rr	= 186,
+    X86_BLSFILL32rm	= 187,
+    X86_BLSFILL32rr	= 188,
+    X86_BLSFILL64rm	= 189,
+    X86_BLSFILL64rr	= 190,
+    X86_BLSI32rm	= 191,
+    X86_BLSI32rr	= 192,
+    X86_BLSI64rm	= 193,
+    X86_BLSI64rr	= 194,
+    X86_BLSIC32rm	= 195,
+    X86_BLSIC32rr	= 196,
+    X86_BLSIC64rm	= 197,
+    X86_BLSIC64rr	= 198,
+    X86_BLSMSK32rm	= 199,
+    X86_BLSMSK32rr	= 200,
+    X86_BLSMSK64rm	= 201,
+    X86_BLSMSK64rr	= 202,
+    X86_BLSR32rm	= 203,
+    X86_BLSR32rr	= 204,
+    X86_BLSR64rm	= 205,
+    X86_BLSR64rr	= 206,
+    X86_BOUNDS16rm	= 207,
+    X86_BOUNDS32rm	= 208,
+    X86_BSF16rm	= 209,
+    X86_BSF16rr	= 210,
+    X86_BSF32rm	= 211,
+    X86_BSF32rr	= 212,
+    X86_BSF64rm	= 213,
+    X86_BSF64rr	= 214,
+    X86_BSR16rm	= 215,
+    X86_BSR16rr	= 216,
+    X86_BSR32rm	= 217,
+    X86_BSR32rr	= 218,
+    X86_BSR64rm	= 219,
+    X86_BSR64rr	= 220,
+    X86_BSWAP32r	= 221,
+    X86_BSWAP64r	= 222,
+    X86_BT16mi8	= 223,
+    X86_BT16mr	= 224,
+    X86_BT16ri8	= 225,
+    X86_BT16rr	= 226,
+    X86_BT32mi8	= 227,
+    X86_BT32mr	= 228,
+    X86_BT32ri8	= 229,
+    X86_BT32rr	= 230,
+    X86_BT64mi8	= 231,
+    X86_BT64mr	= 232,
+    X86_BT64ri8	= 233,
+    X86_BT64rr	= 234,
+    X86_BTC16mi8	= 235,
+    X86_BTC16mr	= 236,
+    X86_BTC16ri8	= 237,
+    X86_BTC16rr	= 238,
+    X86_BTC32mi8	= 239,
+    X86_BTC32mr	= 240,
+    X86_BTC32ri8	= 241,
+    X86_BTC32rr	= 242,
+    X86_BTC64mi8	= 243,
+    X86_BTC64mr	= 244,
+    X86_BTC64ri8	= 245,
+    X86_BTC64rr	= 246,
+    X86_BTR16mi8	= 247,
+    X86_BTR16mr	= 248,
+    X86_BTR16ri8	= 249,
+    X86_BTR16rr	= 250,
+    X86_BTR32mi8	= 251,
+    X86_BTR32mr	= 252,
+    X86_BTR32ri8	= 253,
+    X86_BTR32rr	= 254,
+    X86_BTR64mi8	= 255,
+    X86_BTR64mr	= 256,
+    X86_BTR64ri8	= 257,
+    X86_BTR64rr	= 258,
+    X86_BTS16mi8	= 259,
+    X86_BTS16mr	= 260,
+    X86_BTS16ri8	= 261,
+    X86_BTS16rr	= 262,
+    X86_BTS32mi8	= 263,
+    X86_BTS32mr	= 264,
+    X86_BTS32ri8	= 265,
+    X86_BTS32rr	= 266,
+    X86_BTS64mi8	= 267,
+    X86_BTS64mr	= 268,
+    X86_BTS64ri8	= 269,
+    X86_BTS64rr	= 270,
+    X86_BZHI32rm	= 271,
+    X86_BZHI32rr	= 272,
+    X86_BZHI64rm	= 273,
+    X86_BZHI64rr	= 274,
+    X86_CALL16m	= 275,
+    X86_CALL16r	= 276,
+    X86_CALL32m	= 277,
+    X86_CALL32r	= 278,
+    X86_CALL64m	= 279,
+    X86_CALL64pcrel32	= 280,
+    X86_CALL64r	= 281,
+    X86_CALLpcrel16	= 282,
+    X86_CALLpcrel32	= 283,
+    X86_CBW	= 284,
+    X86_CDQ	= 285,
+    X86_CDQE	= 286,
+    X86_CLAC	= 287,
+    X86_CLC	= 288,
+    X86_CLD	= 289,
+    X86_CLGI	= 290,
+    X86_CLI	= 291,
+    X86_CLTS	= 292,
+    X86_CMC	= 293,
+    X86_CMOVA16rm	= 294,
+    X86_CMOVA16rr	= 295,
+    X86_CMOVA32rm	= 296,
+    X86_CMOVA32rr	= 297,
+    X86_CMOVA64rm	= 298,
+    X86_CMOVA64rr	= 299,
+    X86_CMOVAE16rm	= 300,
+    X86_CMOVAE16rr	= 301,
+    X86_CMOVAE32rm	= 302,
+    X86_CMOVAE32rr	= 303,
+    X86_CMOVAE64rm	= 304,
+    X86_CMOVAE64rr	= 305,
+    X86_CMOVB16rm	= 306,
+    X86_CMOVB16rr	= 307,
+    X86_CMOVB32rm	= 308,
+    X86_CMOVB32rr	= 309,
+    X86_CMOVB64rm	= 310,
+    X86_CMOVB64rr	= 311,
+    X86_CMOVBE16rm	= 312,
+    X86_CMOVBE16rr	= 313,
+    X86_CMOVBE32rm	= 314,
+    X86_CMOVBE32rr	= 315,
+    X86_CMOVBE64rm	= 316,
+    X86_CMOVBE64rr	= 317,
+    X86_CMOVE16rm	= 318,
+    X86_CMOVE16rr	= 319,
+    X86_CMOVE32rm	= 320,
+    X86_CMOVE32rr	= 321,
+    X86_CMOVE64rm	= 322,
+    X86_CMOVE64rr	= 323,
+    X86_CMOVG16rm	= 324,
+    X86_CMOVG16rr	= 325,
+    X86_CMOVG32rm	= 326,
+    X86_CMOVG32rr	= 327,
+    X86_CMOVG64rm	= 328,
+    X86_CMOVG64rr	= 329,
+    X86_CMOVGE16rm	= 330,
+    X86_CMOVGE16rr	= 331,
+    X86_CMOVGE32rm	= 332,
+    X86_CMOVGE32rr	= 333,
+    X86_CMOVGE64rm	= 334,
+    X86_CMOVGE64rr	= 335,
+    X86_CMOVL16rm	= 336,
+    X86_CMOVL16rr	= 337,
+    X86_CMOVL32rm	= 338,
+    X86_CMOVL32rr	= 339,
+    X86_CMOVL64rm	= 340,
+    X86_CMOVL64rr	= 341,
+    X86_CMOVLE16rm	= 342,
+    X86_CMOVLE16rr	= 343,
+    X86_CMOVLE32rm	= 344,
+    X86_CMOVLE32rr	= 345,
+    X86_CMOVLE64rm	= 346,
+    X86_CMOVLE64rr	= 347,
+    X86_CMOVNE16rm	= 348,
+    X86_CMOVNE16rr	= 349,
+    X86_CMOVNE32rm	= 350,
+    X86_CMOVNE32rr	= 351,
+    X86_CMOVNE64rm	= 352,
+    X86_CMOVNE64rr	= 353,
+    X86_CMOVNO16rm	= 354,
+    X86_CMOVNO16rr	= 355,
+    X86_CMOVNO32rm	= 356,
+    X86_CMOVNO32rr	= 357,
+    X86_CMOVNO64rm	= 358,
+    X86_CMOVNO64rr	= 359,
+    X86_CMOVNP16rm	= 360,
+    X86_CMOVNP16rr	= 361,
+    X86_CMOVNP32rm	= 362,
+    X86_CMOVNP32rr	= 363,
+    X86_CMOVNP64rm	= 364,
+    X86_CMOVNP64rr	= 365,
+    X86_CMOVNS16rm	= 366,
+    X86_CMOVNS16rr	= 367,
+    X86_CMOVNS32rm	= 368,
+    X86_CMOVNS32rr	= 369,
+    X86_CMOVNS64rm	= 370,
+    X86_CMOVNS64rr	= 371,
+    X86_CMOVO16rm	= 372,
+    X86_CMOVO16rr	= 373,
+    X86_CMOVO32rm	= 374,
+    X86_CMOVO32rr	= 375,
+    X86_CMOVO64rm	= 376,
+    X86_CMOVO64rr	= 377,
+    X86_CMOVP16rm	= 378,
+    X86_CMOVP16rr	= 379,
+    X86_CMOVP32rm	= 380,
+    X86_CMOVP32rr	= 381,
+    X86_CMOVP64rm	= 382,
+    X86_CMOVP64rr	= 383,
+    X86_CMOVS16rm	= 384,
+    X86_CMOVS16rr	= 385,
+    X86_CMOVS32rm	= 386,
+    X86_CMOVS32rr	= 387,
+    X86_CMOVS64rm	= 388,
+    X86_CMOVS64rr	= 389,
+    X86_CMOV_FR32	= 390,
+    X86_CMOV_FR64	= 391,
+    X86_CMOV_GR16	= 392,
+    X86_CMOV_GR32	= 393,
+    X86_CMOV_GR8	= 394,
+    X86_CMOV_RFP32	= 395,
+    X86_CMOV_RFP64	= 396,
+    X86_CMOV_RFP80	= 397,
+    X86_CMOV_V16F32	= 398,
+    X86_CMOV_V2F64	= 399,
+    X86_CMOV_V2I64	= 400,
+    X86_CMOV_V4F32	= 401,
+    X86_CMOV_V4F64	= 402,
+    X86_CMOV_V4I64	= 403,
+    X86_CMOV_V8F32	= 404,
+    X86_CMOV_V8F64	= 405,
+    X86_CMOV_V8I64	= 406,
+    X86_CMP16i16	= 407,
+    X86_CMP16mi	= 408,
+    X86_CMP16mi8	= 409,
+    X86_CMP16mr	= 410,
+    X86_CMP16ri	= 411,
+    X86_CMP16ri8	= 412,
+    X86_CMP16rm	= 413,
+    X86_CMP16rr	= 414,
+    X86_CMP16rr_REV	= 415,
+    X86_CMP32i32	= 416,
+    X86_CMP32mi	= 417,
+    X86_CMP32mi8	= 418,
+    X86_CMP32mr	= 419,
+    X86_CMP32ri	= 420,
+    X86_CMP32ri8	= 421,
+    X86_CMP32rm	= 422,
+    X86_CMP32rr	= 423,
+    X86_CMP32rr_REV	= 424,
+    X86_CMP64i32	= 425,
+    X86_CMP64mi32	= 426,
+    X86_CMP64mi8	= 427,
+    X86_CMP64mr	= 428,
+    X86_CMP64ri32	= 429,
+    X86_CMP64ri8	= 430,
+    X86_CMP64rm	= 431,
+    X86_CMP64rr	= 432,
+    X86_CMP64rr_REV	= 433,
+    X86_CMP8i8	= 434,
+    X86_CMP8mi	= 435,
+    X86_CMP8mr	= 436,
+    X86_CMP8ri	= 437,
+    X86_CMP8rm	= 438,
+    X86_CMP8rr	= 439,
+    X86_CMP8rr_REV	= 440,
+    X86_CMPSB	= 441,
+    X86_CMPSL	= 442,
+    X86_CMPSQ	= 443,
+    X86_CMPSW	= 444,
+    X86_CMPXCHG16B	= 445,
+    X86_CMPXCHG16rm	= 446,
+    X86_CMPXCHG16rr	= 447,
+    X86_CMPXCHG32rm	= 448,
+    X86_CMPXCHG32rr	= 449,
+    X86_CMPXCHG64rm	= 450,
+    X86_CMPXCHG64rr	= 451,
+    X86_CMPXCHG8B	= 452,
+    X86_CMPXCHG8rm	= 453,
+    X86_CMPXCHG8rr	= 454,
+    X86_CPUID32	= 455,
+    X86_CPUID64	= 456,
+    X86_CQO	= 457,
+    X86_CS_PREFIX	= 458,
+    X86_CWD	= 459,
+    X86_CWDE	= 460,
+    X86_DAA	= 461,
+    X86_DAS	= 462,
+    X86_DATA16_PREFIX	= 463,
+    X86_DEC16m	= 464,
+    X86_DEC16r	= 465,
+    X86_DEC32_16r	= 466,
+    X86_DEC32_32r	= 467,
+    X86_DEC32m	= 468,
+    X86_DEC32r	= 469,
+    X86_DEC64_16m	= 470,
+    X86_DEC64_16r	= 471,
+    X86_DEC64_32m	= 472,
+    X86_DEC64_32r	= 473,
+    X86_DEC64m	= 474,
+    X86_DEC64r	= 475,
+    X86_DEC8m	= 476,
+    X86_DEC8r	= 477,
+    X86_DIV16m	= 478,
+    X86_DIV16r	= 479,
+    X86_DIV32m	= 480,
+    X86_DIV32r	= 481,
+    X86_DIV64m	= 482,
+    X86_DIV64r	= 483,
+    X86_DIV8m	= 484,
+    X86_DIV8r	= 485,
+    X86_DS_PREFIX	= 486,
+    X86_EH_RETURN	= 487,
+    X86_EH_RETURN64	= 488,
+    X86_EH_SjLj_LongJmp32	= 489,
+    X86_EH_SjLj_LongJmp64	= 490,
+    X86_EH_SjLj_SetJmp32	= 491,
+    X86_EH_SjLj_SetJmp64	= 492,
+    X86_EH_SjLj_Setup	= 493,
+    X86_ENTER	= 494,
+    X86_ES_PREFIX	= 495,
+    X86_FARCALL16i	= 496,
+    X86_FARCALL16m	= 497,
+    X86_FARCALL32i	= 498,
+    X86_FARCALL32m	= 499,
+    X86_FARCALL64	= 500,
+    X86_FARJMP16i	= 501,
+    X86_FARJMP16m	= 502,
+    X86_FARJMP32i	= 503,
+    X86_FARJMP32m	= 504,
+    X86_FARJMP64	= 505,
+    X86_FSETPM	= 506,
+    X86_FS_PREFIX	= 507,
+    X86_GETSEC	= 508,
+    X86_GS_PREFIX	= 509,
+    X86_HLT	= 510,
+    X86_IDIV16m	= 511,
+    X86_IDIV16r	= 512,
+    X86_IDIV32m	= 513,
+    X86_IDIV32r	= 514,
+    X86_IDIV64m	= 515,
+    X86_IDIV64r	= 516,
+    X86_IDIV8m	= 517,
+    X86_IDIV8r	= 518,
+    X86_IMUL16m	= 519,
+    X86_IMUL16r	= 520,
+    X86_IMUL16rm	= 521,
+    X86_IMUL16rmi	= 522,
+    X86_IMUL16rmi8	= 523,
+    X86_IMUL16rr	= 524,
+    X86_IMUL16rri	= 525,
+    X86_IMUL16rri8	= 526,
+    X86_IMUL32m	= 527,
+    X86_IMUL32r	= 528,
+    X86_IMUL32rm	= 529,
+    X86_IMUL32rmi	= 530,
+    X86_IMUL32rmi8	= 531,
+    X86_IMUL32rr	= 532,
+    X86_IMUL32rri	= 533,
+    X86_IMUL32rri8	= 534,
+    X86_IMUL64m	= 535,
+    X86_IMUL64r	= 536,
+    X86_IMUL64rm	= 537,
+    X86_IMUL64rmi32	= 538,
+    X86_IMUL64rmi8	= 539,
+    X86_IMUL64rr	= 540,
+    X86_IMUL64rri32	= 541,
+    X86_IMUL64rri8	= 542,
+    X86_IMUL8m	= 543,
+    X86_IMUL8r	= 544,
+    X86_IN16ri	= 545,
+    X86_IN16rr	= 546,
+    X86_IN32ri	= 547,
+    X86_IN32rr	= 548,
+    X86_IN8ri	= 549,
+    X86_IN8rr	= 550,
+    X86_INC16m	= 551,
+    X86_INC16r	= 552,
+    X86_INC32_16r	= 553,
+    X86_INC32_32r	= 554,
+    X86_INC32m	= 555,
+    X86_INC32r	= 556,
+    X86_INC64_16m	= 557,
+    X86_INC64_16r	= 558,
+    X86_INC64_32m	= 559,
+    X86_INC64_32r	= 560,
+    X86_INC64m	= 561,
+    X86_INC64r	= 562,
+    X86_INC8m	= 563,
+    X86_INC8r	= 564,
+    X86_INSB	= 565,
+    X86_INSL	= 566,
+    X86_INSW	= 567,
+    X86_INT	= 568,
+    X86_INT1	= 569,
+    X86_INT3	= 570,
+    X86_INTO	= 571,
+    X86_INVD	= 572,
+    X86_INVEPT32	= 573,
+    X86_INVEPT64	= 574,
+    X86_INVLPG	= 575,
+    X86_INVLPGA32	= 576,
+    X86_INVLPGA64	= 577,
+    X86_INVPCID32	= 578,
+    X86_INVPCID64	= 579,
+    X86_INVVPID32	= 580,
+    X86_INVVPID64	= 581,
+    X86_IRET16	= 582,
+    X86_IRET32	= 583,
+    X86_IRET64	= 584,
+    X86_Int_MemBarrier	= 585,
+    X86_JAE_1	= 586,
+    X86_JAE_2	= 587,
+    X86_JAE_4	= 588,
+    X86_JA_1	= 589,
+    X86_JA_2	= 590,
+    X86_JA_4	= 591,
+    X86_JBE_1	= 592,
+    X86_JBE_2	= 593,
+    X86_JBE_4	= 594,
+    X86_JB_1	= 595,
+    X86_JB_2	= 596,
+    X86_JB_4	= 597,
+    X86_JCXZ	= 598,
+    X86_JECXZ_32	= 599,
+    X86_JECXZ_64	= 600,
+    X86_JE_1	= 601,
+    X86_JE_2	= 602,
+    X86_JE_4	= 603,
+    X86_JGE_1	= 604,
+    X86_JGE_2	= 605,
+    X86_JGE_4	= 606,
+    X86_JG_1	= 607,
+    X86_JG_2	= 608,
+    X86_JG_4	= 609,
+    X86_JLE_1	= 610,
+    X86_JLE_2	= 611,
+    X86_JLE_4	= 612,
+    X86_JL_1	= 613,
+    X86_JL_2	= 614,
+    X86_JL_4	= 615,
+    X86_JMP16m	= 616,
+    X86_JMP16r	= 617,
+    X86_JMP32m	= 618,
+    X86_JMP32r	= 619,
+    X86_JMP64m	= 620,
+    X86_JMP64r	= 621,
+    X86_JMP_1	= 622,
+    X86_JMP_2	= 623,
+    X86_JMP_4	= 624,
+    X86_JNE_1	= 625,
+    X86_JNE_2	= 626,
+    X86_JNE_4	= 627,
+    X86_JNO_1	= 628,
+    X86_JNO_2	= 629,
+    X86_JNO_4	= 630,
+    X86_JNP_1	= 631,
+    X86_JNP_2	= 632,
+    X86_JNP_4	= 633,
+    X86_JNS_1	= 634,
+    X86_JNS_2	= 635,
+    X86_JNS_4	= 636,
+    X86_JO_1	= 637,
+    X86_JO_2	= 638,
+    X86_JO_4	= 639,
+    X86_JP_1	= 640,
+    X86_JP_2	= 641,
+    X86_JP_4	= 642,
+    X86_JRCXZ	= 643,
+    X86_JS_1	= 644,
+    X86_JS_2	= 645,
+    X86_JS_4	= 646,
+    X86_LAHF	= 647,
+    X86_LAR16rm	= 648,
+    X86_LAR16rr	= 649,
+    X86_LAR32rm	= 650,
+    X86_LAR32rr	= 651,
+    X86_LAR64rm	= 652,
+    X86_LAR64rr	= 653,
+    X86_LCMPXCHG16	= 654,
+    X86_LCMPXCHG16B	= 655,
+    X86_LCMPXCHG32	= 656,
+    X86_LCMPXCHG64	= 657,
+    X86_LCMPXCHG8	= 658,
+    X86_LCMPXCHG8B	= 659,
+    X86_LDS16rm	= 660,
+    X86_LDS32rm	= 661,
+    X86_LEA16r	= 662,
+    X86_LEA32r	= 663,
+    X86_LEA64_32r	= 664,
+    X86_LEA64r	= 665,
+    X86_LEAVE	= 666,
+    X86_LEAVE64	= 667,
+    X86_LES16rm	= 668,
+    X86_LES32rm	= 669,
+    X86_LFS16rm	= 670,
+    X86_LFS32rm	= 671,
+    X86_LFS64rm	= 672,
+    X86_LGDT16m	= 673,
+    X86_LGDT32m	= 674,
+    X86_LGDT64m	= 675,
+    X86_LGS16rm	= 676,
+    X86_LGS32rm	= 677,
+    X86_LGS64rm	= 678,
+    X86_LIDT16m	= 679,
+    X86_LIDT32m	= 680,
+    X86_LIDT64m	= 681,
+    X86_LLDT16m	= 682,
+    X86_LLDT16r	= 683,
+    X86_LMSW16m	= 684,
+    X86_LMSW16r	= 685,
+    X86_LOCK_ADD16mi	= 686,
+    X86_LOCK_ADD16mi8	= 687,
+    X86_LOCK_ADD16mr	= 688,
+    X86_LOCK_ADD32mi	= 689,
+    X86_LOCK_ADD32mi8	= 690,
+    X86_LOCK_ADD32mr	= 691,
+    X86_LOCK_ADD64mi32	= 692,
+    X86_LOCK_ADD64mi8	= 693,
+    X86_LOCK_ADD64mr	= 694,
+    X86_LOCK_ADD8mi	= 695,
+    X86_LOCK_ADD8mr	= 696,
+    X86_LOCK_AND16mi	= 697,
+    X86_LOCK_AND16mi8	= 698,
+    X86_LOCK_AND16mr	= 699,
+    X86_LOCK_AND32mi	= 700,
+    X86_LOCK_AND32mi8	= 701,
+    X86_LOCK_AND32mr	= 702,
+    X86_LOCK_AND64mi32	= 703,
+    X86_LOCK_AND64mi8	= 704,
+    X86_LOCK_AND64mr	= 705,
+    X86_LOCK_AND8mi	= 706,
+    X86_LOCK_AND8mr	= 707,
+    X86_LOCK_DEC16m	= 708,
+    X86_LOCK_DEC32m	= 709,
+    X86_LOCK_DEC64m	= 710,
+    X86_LOCK_DEC8m	= 711,
+    X86_LOCK_INC16m	= 712,
+    X86_LOCK_INC32m	= 713,
+    X86_LOCK_INC64m	= 714,
+    X86_LOCK_INC8m	= 715,
+    X86_LOCK_OR16mi	= 716,
+    X86_LOCK_OR16mi8	= 717,
+    X86_LOCK_OR16mr	= 718,
+    X86_LOCK_OR32mi	= 719,
+    X86_LOCK_OR32mi8	= 720,
+    X86_LOCK_OR32mr	= 721,
+    X86_LOCK_OR64mi32	= 722,
+    X86_LOCK_OR64mi8	= 723,
+    X86_LOCK_OR64mr	= 724,
+    X86_LOCK_OR8mi	= 725,
+    X86_LOCK_OR8mr	= 726,
+    X86_LOCK_PREFIX	= 727,
+    X86_LOCK_SUB16mi	= 728,
+    X86_LOCK_SUB16mi8	= 729,
+    X86_LOCK_SUB16mr	= 730,
+    X86_LOCK_SUB32mi	= 731,
+    X86_LOCK_SUB32mi8	= 732,
+    X86_LOCK_SUB32mr	= 733,
+    X86_LOCK_SUB64mi32	= 734,
+    X86_LOCK_SUB64mi8	= 735,
+    X86_LOCK_SUB64mr	= 736,
+    X86_LOCK_SUB8mi	= 737,
+    X86_LOCK_SUB8mr	= 738,
+    X86_LOCK_XOR16mi	= 739,
+    X86_LOCK_XOR16mi8	= 740,
+    X86_LOCK_XOR16mr	= 741,
+    X86_LOCK_XOR32mi	= 742,
+    X86_LOCK_XOR32mi8	= 743,
+    X86_LOCK_XOR32mr	= 744,
+    X86_LOCK_XOR64mi32	= 745,
+    X86_LOCK_XOR64mi8	= 746,
+    X86_LOCK_XOR64mr	= 747,
+    X86_LOCK_XOR8mi	= 748,
+    X86_LOCK_XOR8mr	= 749,
+    X86_LODSB	= 750,
+    X86_LODSL	= 751,
+    X86_LODSQ	= 752,
+    X86_LODSW	= 753,
+    X86_LOOP	= 754,
+    X86_LOOPE	= 755,
+    X86_LOOPNE	= 756,
+    X86_LRETIL	= 757,
+    X86_LRETIQ	= 758,
+    X86_LRETIW	= 759,
+    X86_LRETL	= 760,
+    X86_LRETQ	= 761,
+    X86_LRETW	= 762,
+    X86_LSL16rm	= 763,
+    X86_LSL16rr	= 764,
+    X86_LSL32rm	= 765,
+    X86_LSL32rr	= 766,
+    X86_LSL64rm	= 767,
+    X86_LSL64rr	= 768,
+    X86_LSS16rm	= 769,
+    X86_LSS32rm	= 770,
+    X86_LSS64rm	= 771,
+    X86_LTRm	= 772,
+    X86_LTRr	= 773,
+    X86_LXADD16	= 774,
+    X86_LXADD32	= 775,
+    X86_LXADD64	= 776,
+    X86_LXADD8	= 777,
+    X86_LZCNT16rm	= 778,
+    X86_LZCNT16rr	= 779,
+    X86_LZCNT32rm	= 780,
+    X86_LZCNT32rr	= 781,
+    X86_LZCNT64rm	= 782,
+    X86_LZCNT64rr	= 783,
+    X86_MONTMUL	= 784,
+    X86_MORESTACK_RET	= 785,
+    X86_MORESTACK_RET_RESTORE_R10	= 786,
+    X86_MOV16ao16	= 787,
+    X86_MOV16ao16_16	= 788,
+    X86_MOV16mi	= 789,
+    X86_MOV16mr	= 790,
+    X86_MOV16ms	= 791,
+    X86_MOV16o16a	= 792,
+    X86_MOV16o16a_16	= 793,
+    X86_MOV16ri	= 794,
+    X86_MOV16ri_alt	= 795,
+    X86_MOV16rm	= 796,
+    X86_MOV16rr	= 797,
+    X86_MOV16rr_REV	= 798,
+    X86_MOV16rs	= 799,
+    X86_MOV16sm	= 800,
+    X86_MOV16sr	= 801,
+    X86_MOV32ao32	= 802,
+    X86_MOV32ao32_16	= 803,
+    X86_MOV32cr	= 804,
+    X86_MOV32dr	= 805,
+    X86_MOV32mi	= 806,
+    X86_MOV32mr	= 807,
+    X86_MOV32ms	= 808,
+    X86_MOV32o32a	= 809,
+    X86_MOV32o32a_16	= 810,
+    X86_MOV32r0	= 811,
+    X86_MOV32rc	= 812,
+    X86_MOV32rd	= 813,
+    X86_MOV32ri	= 814,
+    X86_MOV32ri64	= 815,
+    X86_MOV32ri_alt	= 816,
+    X86_MOV32rm	= 817,
+    X86_MOV32rr	= 818,
+    X86_MOV32rr_REV	= 819,
+    X86_MOV32rs	= 820,
+    X86_MOV32sm	= 821,
+    X86_MOV32sr	= 822,
+    X86_MOV64ao16	= 823,
+    X86_MOV64ao32	= 824,
+    X86_MOV64ao64	= 825,
+    X86_MOV64ao8	= 826,
+    X86_MOV64cr	= 827,
+    X86_MOV64dr	= 828,
+    X86_MOV64mi32	= 829,
+    X86_MOV64mr	= 830,
+    X86_MOV64ms	= 831,
+    X86_MOV64o16a	= 832,
+    X86_MOV64o32a	= 833,
+    X86_MOV64o64a	= 834,
+    X86_MOV64o8a	= 835,
+    X86_MOV64rc	= 836,
+    X86_MOV64rd	= 837,
+    X86_MOV64ri	= 838,
+    X86_MOV64ri32	= 839,
+    X86_MOV64rm	= 840,
+    X86_MOV64rr	= 841,
+    X86_MOV64rr_REV	= 842,
+    X86_MOV64rs	= 843,
+    X86_MOV64sm	= 844,
+    X86_MOV64sr	= 845,
+    X86_MOV8ao8	= 846,
+    X86_MOV8ao8_16	= 847,
+    X86_MOV8mi	= 848,
+    X86_MOV8mr	= 849,
+    X86_MOV8mr_NOREX	= 850,
+    X86_MOV8o8a	= 851,
+    X86_MOV8o8a_16	= 852,
+    X86_MOV8ri	= 853,
+    X86_MOV8ri_alt	= 854,
+    X86_MOV8rm	= 855,
+    X86_MOV8rm_NOREX	= 856,
+    X86_MOV8rr	= 857,
+    X86_MOV8rr_NOREX	= 858,
+    X86_MOV8rr_REV	= 859,
+    X86_MOVBE16mr	= 860,
+    X86_MOVBE16rm	= 861,
+    X86_MOVBE32mr	= 862,
+    X86_MOVBE32rm	= 863,
+    X86_MOVBE64mr	= 864,
+    X86_MOVBE64rm	= 865,
+    X86_MOVPC32r	= 866,
+    X86_MOVSB	= 867,
+    X86_MOVSL	= 868,
+    X86_MOVSQ	= 869,
+    X86_MOVSW	= 870,
+    X86_MOVSX16rm8	= 871,
+    X86_MOVSX16rr8	= 872,
+    X86_MOVSX32rm16	= 873,
+    X86_MOVSX32rm8	= 874,
+    X86_MOVSX32rr16	= 875,
+    X86_MOVSX32rr8	= 876,
+    X86_MOVSX64rm16	= 877,
+    X86_MOVSX64rm32	= 878,
+    X86_MOVSX64rm8	= 879,
+    X86_MOVSX64rr16	= 880,
+    X86_MOVSX64rr32	= 881,
+    X86_MOVSX64rr8	= 882,
+    X86_MOVZX16rm8	= 883,
+    X86_MOVZX16rr8	= 884,
+    X86_MOVZX32_NOREXrm8	= 885,
+    X86_MOVZX32_NOREXrr8	= 886,
+    X86_MOVZX32rm16	= 887,
+    X86_MOVZX32rm8	= 888,
+    X86_MOVZX32rr16	= 889,
+    X86_MOVZX32rr8	= 890,
+    X86_MOVZX64rm16_Q	= 891,
+    X86_MOVZX64rm8_Q	= 892,
+    X86_MOVZX64rr16_Q	= 893,
+    X86_MOVZX64rr8_Q	= 894,
+    X86_MUL16m	= 895,
+    X86_MUL16r	= 896,
+    X86_MUL32m	= 897,
+    X86_MUL32r	= 898,
+    X86_MUL64m	= 899,
+    X86_MUL64r	= 900,
+    X86_MUL8m	= 901,
+    X86_MUL8r	= 902,
+    X86_MULX32rm	= 903,
+    X86_MULX32rr	= 904,
+    X86_MULX64rm	= 905,
+    X86_MULX64rr	= 906,
+    X86_NEG16m	= 907,
+    X86_NEG16r	= 908,
+    X86_NEG32m	= 909,
+    X86_NEG32r	= 910,
+    X86_NEG64m	= 911,
+    X86_NEG64r	= 912,
+    X86_NEG8m	= 913,
+    X86_NEG8r	= 914,
+    X86_NOOP	= 915,
+    X86_NOOP18_16m4	= 916,
+    X86_NOOP18_16m5	= 917,
+    X86_NOOP18_16m6	= 918,
+    X86_NOOP18_16m7	= 919,
+    X86_NOOP18_16r4	= 920,
+    X86_NOOP18_16r5	= 921,
+    X86_NOOP18_16r6	= 922,
+    X86_NOOP18_16r7	= 923,
+    X86_NOOP18_m4	= 924,
+    X86_NOOP18_m5	= 925,
+    X86_NOOP18_m6	= 926,
+    X86_NOOP18_m7	= 927,
+    X86_NOOP18_r4	= 928,
+    X86_NOOP18_r5	= 929,
+    X86_NOOP18_r6	= 930,
+    X86_NOOP18_r7	= 931,
+    X86_NOOPL	= 932,
+    X86_NOOPL_19	= 933,
+    X86_NOOPL_1a	= 934,
+    X86_NOOPL_1b	= 935,
+    X86_NOOPL_1c	= 936,
+    X86_NOOPL_1d	= 937,
+    X86_NOOPL_1e	= 938,
+    X86_NOOPW	= 939,
+    X86_NOOPW_19	= 940,
+    X86_NOOPW_1a	= 941,
+    X86_NOOPW_1b	= 942,
+    X86_NOOPW_1c	= 943,
+    X86_NOOPW_1d	= 944,
+    X86_NOOPW_1e	= 945,
+    X86_NOT16m	= 946,
+    X86_NOT16r	= 947,
+    X86_NOT32m	= 948,
+    X86_NOT32r	= 949,
+    X86_NOT64m	= 950,
+    X86_NOT64r	= 951,
+    X86_NOT8m	= 952,
+    X86_NOT8r	= 953,
+    X86_OR16i16	= 954,
+    X86_OR16mi	= 955,
+    X86_OR16mi8	= 956,
+    X86_OR16mr	= 957,
+    X86_OR16ri	= 958,
+    X86_OR16ri8	= 959,
+    X86_OR16rm	= 960,
+    X86_OR16rr	= 961,
+    X86_OR16rr_REV	= 962,
+    X86_OR32i32	= 963,
+    X86_OR32mi	= 964,
+    X86_OR32mi8	= 965,
+    X86_OR32mr	= 966,
+    X86_OR32mrLocked	= 967,
+    X86_OR32ri	= 968,
+    X86_OR32ri8	= 969,
+    X86_OR32rm	= 970,
+    X86_OR32rr	= 971,
+    X86_OR32rr_REV	= 972,
+    X86_OR64i32	= 973,
+    X86_OR64mi32	= 974,
+    X86_OR64mi8	= 975,
+    X86_OR64mr	= 976,
+    X86_OR64ri32	= 977,
+    X86_OR64ri8	= 978,
+    X86_OR64rm	= 979,
+    X86_OR64rr	= 980,
+    X86_OR64rr_REV	= 981,
+    X86_OR8i8	= 982,
+    X86_OR8mi	= 983,
+    X86_OR8mr	= 984,
+    X86_OR8ri	= 985,
+    X86_OR8ri8	= 986,
+    X86_OR8rm	= 987,
+    X86_OR8rr	= 988,
+    X86_OR8rr_REV	= 989,
+    X86_OUT16ir	= 990,
+    X86_OUT16rr	= 991,
+    X86_OUT32ir	= 992,
+    X86_OUT32rr	= 993,
+    X86_OUT8ir	= 994,
+    X86_OUT8rr	= 995,
+    X86_OUTSB	= 996,
+    X86_OUTSL	= 997,
+    X86_OUTSW	= 998,
+    X86_PDEP32rm	= 999,
+    X86_PDEP32rr	= 1000,
+    X86_PDEP64rm	= 1001,
+    X86_PDEP64rr	= 1002,
+    X86_PEXT32rm	= 1003,
+    X86_PEXT32rr	= 1004,
+    X86_PEXT64rm	= 1005,
+    X86_PEXT64rr	= 1006,
+    X86_POP16r	= 1007,
+    X86_POP16rmm	= 1008,
+    X86_POP16rmr	= 1009,
+    X86_POP32r	= 1010,
+    X86_POP32rmm	= 1011,
+    X86_POP32rmr	= 1012,
+    X86_POP64r	= 1013,
+    X86_POP64rmm	= 1014,
+    X86_POP64rmr	= 1015,
+    X86_POPA16	= 1016,
+    X86_POPA32	= 1017,
+    X86_POPDS16	= 1018,
+    X86_POPDS32	= 1019,
+    X86_POPES16	= 1020,
+    X86_POPES32	= 1021,
+    X86_POPF16	= 1022,
+    X86_POPF32	= 1023,
+    X86_POPF64	= 1024,
+    X86_POPFS16	= 1025,
+    X86_POPFS32	= 1026,
+    X86_POPFS64	= 1027,
+    X86_POPGS16	= 1028,
+    X86_POPGS32	= 1029,
+    X86_POPGS64	= 1030,
+    X86_POPSS16	= 1031,
+    X86_POPSS32	= 1032,
+    X86_PUSH16i8	= 1033,
+    X86_PUSH16r	= 1034,
+    X86_PUSH16rmm	= 1035,
+    X86_PUSH16rmr	= 1036,
+    X86_PUSH32i8	= 1037,
+    X86_PUSH32r	= 1038,
+    X86_PUSH32rmm	= 1039,
+    X86_PUSH32rmr	= 1040,
+    X86_PUSH64i16	= 1041,
+    X86_PUSH64i32	= 1042,
+    X86_PUSH64i8	= 1043,
+    X86_PUSH64r	= 1044,
+    X86_PUSH64rmm	= 1045,
+    X86_PUSH64rmr	= 1046,
+    X86_PUSHA16	= 1047,
+    X86_PUSHA32	= 1048,
+    X86_PUSHCS16	= 1049,
+    X86_PUSHCS32	= 1050,
+    X86_PUSHDS16	= 1051,
+    X86_PUSHDS32	= 1052,
+    X86_PUSHES16	= 1053,
+    X86_PUSHES32	= 1054,
+    X86_PUSHF16	= 1055,
+    X86_PUSHF32	= 1056,
+    X86_PUSHF64	= 1057,
+    X86_PUSHFS16	= 1058,
+    X86_PUSHFS32	= 1059,
+    X86_PUSHFS64	= 1060,
+    X86_PUSHGS16	= 1061,
+    X86_PUSHGS32	= 1062,
+    X86_PUSHGS64	= 1063,
+    X86_PUSHSS16	= 1064,
+    X86_PUSHSS32	= 1065,
+    X86_PUSHi16	= 1066,
+    X86_PUSHi32	= 1067,
+    X86_RCL16m1	= 1068,
+    X86_RCL16mCL	= 1069,
+    X86_RCL16mi	= 1070,
+    X86_RCL16r1	= 1071,
+    X86_RCL16rCL	= 1072,
+    X86_RCL16ri	= 1073,
+    X86_RCL32m1	= 1074,
+    X86_RCL32mCL	= 1075,
+    X86_RCL32mi	= 1076,
+    X86_RCL32r1	= 1077,
+    X86_RCL32rCL	= 1078,
+    X86_RCL32ri	= 1079,
+    X86_RCL64m1	= 1080,
+    X86_RCL64mCL	= 1081,
+    X86_RCL64mi	= 1082,
+    X86_RCL64r1	= 1083,
+    X86_RCL64rCL	= 1084,
+    X86_RCL64ri	= 1085,
+    X86_RCL8m1	= 1086,
+    X86_RCL8mCL	= 1087,
+    X86_RCL8mi	= 1088,
+    X86_RCL8r1	= 1089,
+    X86_RCL8rCL	= 1090,
+    X86_RCL8ri	= 1091,
+    X86_RCR16m1	= 1092,
+    X86_RCR16mCL	= 1093,
+    X86_RCR16mi	= 1094,
+    X86_RCR16r1	= 1095,
+    X86_RCR16rCL	= 1096,
+    X86_RCR16ri	= 1097,
+    X86_RCR32m1	= 1098,
+    X86_RCR32mCL	= 1099,
+    X86_RCR32mi	= 1100,
+    X86_RCR32r1	= 1101,
+    X86_RCR32rCL	= 1102,
+    X86_RCR32ri	= 1103,
+    X86_RCR64m1	= 1104,
+    X86_RCR64mCL	= 1105,
+    X86_RCR64mi	= 1106,
+    X86_RCR64r1	= 1107,
+    X86_RCR64rCL	= 1108,
+    X86_RCR64ri	= 1109,
+    X86_RCR8m1	= 1110,
+    X86_RCR8mCL	= 1111,
+    X86_RCR8mi	= 1112,
+    X86_RCR8r1	= 1113,
+    X86_RCR8rCL	= 1114,
+    X86_RCR8ri	= 1115,
+    X86_RDFSBASE	= 1116,
+    X86_RDFSBASE64	= 1117,
+    X86_RDGSBASE	= 1118,
+    X86_RDGSBASE64	= 1119,
+    X86_RDMSR	= 1120,
+    X86_RDPMC	= 1121,
+    X86_RDRAND16r	= 1122,
+    X86_RDRAND32r	= 1123,
+    X86_RDRAND64r	= 1124,
+    X86_RDSEED16r	= 1125,
+    X86_RDSEED32r	= 1126,
+    X86_RDSEED64r	= 1127,
+    X86_RDTSC	= 1128,
+    X86_RDTSCP	= 1129,
+    X86_RELEASE_MOV16mr	= 1130,
+    X86_RELEASE_MOV32mr	= 1131,
+    X86_RELEASE_MOV64mr	= 1132,
+    X86_RELEASE_MOV8mr	= 1133,
+    X86_REPNE_PREFIX	= 1134,
+    X86_REP_MOVSB_32	= 1135,
+    X86_REP_MOVSB_64	= 1136,
+    X86_REP_MOVSD_32	= 1137,
+    X86_REP_MOVSD_64	= 1138,
+    X86_REP_MOVSQ_64	= 1139,
+    X86_REP_MOVSW_32	= 1140,
+    X86_REP_MOVSW_64	= 1141,
+    X86_REP_PREFIX	= 1142,
+    X86_REP_STOSB_32	= 1143,
+    X86_REP_STOSB_64	= 1144,
+    X86_REP_STOSD_32	= 1145,
+    X86_REP_STOSD_64	= 1146,
+    X86_REP_STOSQ_64	= 1147,
+    X86_REP_STOSW_32	= 1148,
+    X86_REP_STOSW_64	= 1149,
+    X86_RETIL	= 1150,
+    X86_RETIQ	= 1151,
+    X86_RETIW	= 1152,
+    X86_RETL	= 1153,
+    X86_RETQ	= 1154,
+    X86_RETW	= 1155,
+    X86_REX64_PREFIX	= 1156,
+    X86_ROL16m1	= 1157,
+    X86_ROL16mCL	= 1158,
+    X86_ROL16mi	= 1159,
+    X86_ROL16r1	= 1160,
+    X86_ROL16rCL	= 1161,
+    X86_ROL16ri	= 1162,
+    X86_ROL32m1	= 1163,
+    X86_ROL32mCL	= 1164,
+    X86_ROL32mi	= 1165,
+    X86_ROL32r1	= 1166,
+    X86_ROL32rCL	= 1167,
+    X86_ROL32ri	= 1168,
+    X86_ROL64m1	= 1169,
+    X86_ROL64mCL	= 1170,
+    X86_ROL64mi	= 1171,
+    X86_ROL64r1	= 1172,
+    X86_ROL64rCL	= 1173,
+    X86_ROL64ri	= 1174,
+    X86_ROL8m1	= 1175,
+    X86_ROL8mCL	= 1176,
+    X86_ROL8mi	= 1177,
+    X86_ROL8r1	= 1178,
+    X86_ROL8rCL	= 1179,
+    X86_ROL8ri	= 1180,
+    X86_ROR16m1	= 1181,
+    X86_ROR16mCL	= 1182,
+    X86_ROR16mi	= 1183,
+    X86_ROR16r1	= 1184,
+    X86_ROR16rCL	= 1185,
+    X86_ROR16ri	= 1186,
+    X86_ROR32m1	= 1187,
+    X86_ROR32mCL	= 1188,
+    X86_ROR32mi	= 1189,
+    X86_ROR32r1	= 1190,
+    X86_ROR32rCL	= 1191,
+    X86_ROR32ri	= 1192,
+    X86_ROR64m1	= 1193,
+    X86_ROR64mCL	= 1194,
+    X86_ROR64mi	= 1195,
+    X86_ROR64r1	= 1196,
+    X86_ROR64rCL	= 1197,
+    X86_ROR64ri	= 1198,
+    X86_ROR8m1	= 1199,
+    X86_ROR8mCL	= 1200,
+    X86_ROR8mi	= 1201,
+    X86_ROR8r1	= 1202,
+    X86_ROR8rCL	= 1203,
+    X86_ROR8ri	= 1204,
+    X86_RORX32mi	= 1205,
+    X86_RORX32ri	= 1206,
+    X86_RORX64mi	= 1207,
+    X86_RORX64ri	= 1208,
+    X86_RSM	= 1209,
+    X86_SAHF	= 1210,
+    X86_SAL16m1	= 1211,
+    X86_SAL16mCL	= 1212,
+    X86_SAL16mi	= 1213,
+    X86_SAL16r1	= 1214,
+    X86_SAL16rCL	= 1215,
+    X86_SAL16ri	= 1216,
+    X86_SAL32m1	= 1217,
+    X86_SAL32mCL	= 1218,
+    X86_SAL32mi	= 1219,
+    X86_SAL32r1	= 1220,
+    X86_SAL32rCL	= 1221,
+    X86_SAL32ri	= 1222,
+    X86_SAL64m1	= 1223,
+    X86_SAL64mCL	= 1224,
+    X86_SAL64mi	= 1225,
+    X86_SAL64r1	= 1226,
+    X86_SAL64rCL	= 1227,
+    X86_SAL64ri	= 1228,
+    X86_SAL8m1	= 1229,
+    X86_SAL8mCL	= 1230,
+    X86_SAL8mi	= 1231,
+    X86_SAL8r1	= 1232,
+    X86_SAL8rCL	= 1233,
+    X86_SAL8ri	= 1234,
+    X86_SALC	= 1235,
+    X86_SAR16m1	= 1236,
+    X86_SAR16mCL	= 1237,
+    X86_SAR16mi	= 1238,
+    X86_SAR16r1	= 1239,
+    X86_SAR16rCL	= 1240,
+    X86_SAR16ri	= 1241,
+    X86_SAR32m1	= 1242,
+    X86_SAR32mCL	= 1243,
+    X86_SAR32mi	= 1244,
+    X86_SAR32r1	= 1245,
+    X86_SAR32rCL	= 1246,
+    X86_SAR32ri	= 1247,
+    X86_SAR64m1	= 1248,
+    X86_SAR64mCL	= 1249,
+    X86_SAR64mi	= 1250,
+    X86_SAR64r1	= 1251,
+    X86_SAR64rCL	= 1252,
+    X86_SAR64ri	= 1253,
+    X86_SAR8m1	= 1254,
+    X86_SAR8mCL	= 1255,
+    X86_SAR8mi	= 1256,
+    X86_SAR8r1	= 1257,
+    X86_SAR8rCL	= 1258,
+    X86_SAR8ri	= 1259,
+    X86_SARX32rm	= 1260,
+    X86_SARX32rr	= 1261,
+    X86_SARX64rm	= 1262,
+    X86_SARX64rr	= 1263,
+    X86_SBB16i16	= 1264,
+    X86_SBB16mi	= 1265,
+    X86_SBB16mi8	= 1266,
+    X86_SBB16mr	= 1267,
+    X86_SBB16ri	= 1268,
+    X86_SBB16ri8	= 1269,
+    X86_SBB16rm	= 1270,
+    X86_SBB16rr	= 1271,
+    X86_SBB16rr_REV	= 1272,
+    X86_SBB32i32	= 1273,
+    X86_SBB32mi	= 1274,
+    X86_SBB32mi8	= 1275,
+    X86_SBB32mr	= 1276,
+    X86_SBB32ri	= 1277,
+    X86_SBB32ri8	= 1278,
+    X86_SBB32rm	= 1279,
+    X86_SBB32rr	= 1280,
+    X86_SBB32rr_REV	= 1281,
+    X86_SBB64i32	= 1282,
+    X86_SBB64mi32	= 1283,
+    X86_SBB64mi8	= 1284,
+    X86_SBB64mr	= 1285,
+    X86_SBB64ri32	= 1286,
+    X86_SBB64ri8	= 1287,
+    X86_SBB64rm	= 1288,
+    X86_SBB64rr	= 1289,
+    X86_SBB64rr_REV	= 1290,
+    X86_SBB8i8	= 1291,
+    X86_SBB8mi	= 1292,
+    X86_SBB8mr	= 1293,
+    X86_SBB8ri	= 1294,
+    X86_SBB8rm	= 1295,
+    X86_SBB8rr	= 1296,
+    X86_SBB8rr_REV	= 1297,
+    X86_SCASB	= 1298,
+    X86_SCASL	= 1299,
+    X86_SCASQ	= 1300,
+    X86_SCASW	= 1301,
+    X86_SEG_ALLOCA_32	= 1302,
+    X86_SEG_ALLOCA_64	= 1303,
+    X86_SEH_EndPrologue	= 1304,
+    X86_SEH_Epilogue	= 1305,
+    X86_SEH_PushFrame	= 1306,
+    X86_SEH_PushReg	= 1307,
+    X86_SEH_SaveReg	= 1308,
+    X86_SEH_SaveXMM	= 1309,
+    X86_SEH_SetFrame	= 1310,
+    X86_SEH_StackAlloc	= 1311,
+    X86_SETAEm	= 1312,
+    X86_SETAEr	= 1313,
+    X86_SETAm	= 1314,
+    X86_SETAr	= 1315,
+    X86_SETBEm	= 1316,
+    X86_SETBEr	= 1317,
+    X86_SETB_C16r	= 1318,
+    X86_SETB_C32r	= 1319,
+    X86_SETB_C64r	= 1320,
+    X86_SETB_C8r	= 1321,
+    X86_SETBm	= 1322,
+    X86_SETBr	= 1323,
+    X86_SETEm	= 1324,
+    X86_SETEr	= 1325,
+    X86_SETGEm	= 1326,
+    X86_SETGEr	= 1327,
+    X86_SETGm	= 1328,
+    X86_SETGr	= 1329,
+    X86_SETLEm	= 1330,
+    X86_SETLEr	= 1331,
+    X86_SETLm	= 1332,
+    X86_SETLr	= 1333,
+    X86_SETNEm	= 1334,
+    X86_SETNEr	= 1335,
+    X86_SETNOm	= 1336,
+    X86_SETNOr	= 1337,
+    X86_SETNPm	= 1338,
+    X86_SETNPr	= 1339,
+    X86_SETNSm	= 1340,
+    X86_SETNSr	= 1341,
+    X86_SETOm	= 1342,
+    X86_SETOr	= 1343,
+    X86_SETPm	= 1344,
+    X86_SETPr	= 1345,
+    X86_SETSm	= 1346,
+    X86_SETSr	= 1347,
+    X86_SGDT16m	= 1348,
+    X86_SGDT32m	= 1349,
+    X86_SGDT64m	= 1350,
+    X86_SHL16m1	= 1351,
+    X86_SHL16mCL	= 1352,
+    X86_SHL16mi	= 1353,
+    X86_SHL16r1	= 1354,
+    X86_SHL16rCL	= 1355,
+    X86_SHL16ri	= 1356,
+    X86_SHL32m1	= 1357,
+    X86_SHL32mCL	= 1358,
+    X86_SHL32mi	= 1359,
+    X86_SHL32r1	= 1360,
+    X86_SHL32rCL	= 1361,
+    X86_SHL32ri	= 1362,
+    X86_SHL64m1	= 1363,
+    X86_SHL64mCL	= 1364,
+    X86_SHL64mi	= 1365,
+    X86_SHL64r1	= 1366,
+    X86_SHL64rCL	= 1367,
+    X86_SHL64ri	= 1368,
+    X86_SHL8m1	= 1369,
+    X86_SHL8mCL	= 1370,
+    X86_SHL8mi	= 1371,
+    X86_SHL8r1	= 1372,
+    X86_SHL8rCL	= 1373,
+    X86_SHL8ri	= 1374,
+    X86_SHLD16mrCL	= 1375,
+    X86_SHLD16mri8	= 1376,
+    X86_SHLD16rrCL	= 1377,
+    X86_SHLD16rri8	= 1378,
+    X86_SHLD32mrCL	= 1379,
+    X86_SHLD32mri8	= 1380,
+    X86_SHLD32rrCL	= 1381,
+    X86_SHLD32rri8	= 1382,
+    X86_SHLD64mrCL	= 1383,
+    X86_SHLD64mri8	= 1384,
+    X86_SHLD64rrCL	= 1385,
+    X86_SHLD64rri8	= 1386,
+    X86_SHLX32rm	= 1387,
+    X86_SHLX32rr	= 1388,
+    X86_SHLX64rm	= 1389,
+    X86_SHLX64rr	= 1390,
+    X86_SHR16m1	= 1391,
+    X86_SHR16mCL	= 1392,
+    X86_SHR16mi	= 1393,
+    X86_SHR16r1	= 1394,
+    X86_SHR16rCL	= 1395,
+    X86_SHR16ri	= 1396,
+    X86_SHR32m1	= 1397,
+    X86_SHR32mCL	= 1398,
+    X86_SHR32mi	= 1399,
+    X86_SHR32r1	= 1400,
+    X86_SHR32rCL	= 1401,
+    X86_SHR32ri	= 1402,
+    X86_SHR64m1	= 1403,
+    X86_SHR64mCL	= 1404,
+    X86_SHR64mi	= 1405,
+    X86_SHR64r1	= 1406,
+    X86_SHR64rCL	= 1407,
+    X86_SHR64ri	= 1408,
+    X86_SHR8m1	= 1409,
+    X86_SHR8mCL	= 1410,
+    X86_SHR8mi	= 1411,
+    X86_SHR8r1	= 1412,
+    X86_SHR8rCL	= 1413,
+    X86_SHR8ri	= 1414,
+    X86_SHRD16mrCL	= 1415,
+    X86_SHRD16mri8	= 1416,
+    X86_SHRD16rrCL	= 1417,
+    X86_SHRD16rri8	= 1418,
+    X86_SHRD32mrCL	= 1419,
+    X86_SHRD32mri8	= 1420,
+    X86_SHRD32rrCL	= 1421,
+    X86_SHRD32rri8	= 1422,
+    X86_SHRD64mrCL	= 1423,
+    X86_SHRD64mri8	= 1424,
+    X86_SHRD64rrCL	= 1425,
+    X86_SHRD64rri8	= 1426,
+    X86_SHRX32rm	= 1427,
+    X86_SHRX32rr	= 1428,
+    X86_SHRX64rm	= 1429,
+    X86_SHRX64rr	= 1430,
+    X86_SIDT16m	= 1431,
+    X86_SIDT32m	= 1432,
+    X86_SIDT64m	= 1433,
+    X86_SKINIT	= 1434,
+    X86_SLDT16m	= 1435,
+    X86_SLDT16r	= 1436,
+    X86_SLDT32r	= 1437,
+    X86_SLDT64m	= 1438,
+    X86_SLDT64r	= 1439,
+    X86_SMSW16m	= 1440,
+    X86_SMSW16r	= 1441,
+    X86_SMSW32r	= 1442,
+    X86_SMSW64r	= 1443,
+    X86_SS_PREFIX	= 1444,
+    X86_STAC	= 1445,
+    X86_STC	= 1446,
+    X86_STD	= 1447,
+    X86_STGI	= 1448,
+    X86_STI	= 1449,
+    X86_STOSB	= 1450,
+    X86_STOSL	= 1451,
+    X86_STOSQ	= 1452,
+    X86_STOSW	= 1453,
+    X86_STR16r	= 1454,
+    X86_STR32r	= 1455,
+    X86_STR64r	= 1456,
+    X86_STRm	= 1457,
+    X86_SUB16i16	= 1458,
+    X86_SUB16mi	= 1459,
+    X86_SUB16mi8	= 1460,
+    X86_SUB16mr	= 1461,
+    X86_SUB16ri	= 1462,
+    X86_SUB16ri8	= 1463,
+    X86_SUB16rm	= 1464,
+    X86_SUB16rr	= 1465,
+    X86_SUB16rr_REV	= 1466,
+    X86_SUB32i32	= 1467,
+    X86_SUB32mi	= 1468,
+    X86_SUB32mi8	= 1469,
+    X86_SUB32mr	= 1470,
+    X86_SUB32ri	= 1471,
+    X86_SUB32ri8	= 1472,
+    X86_SUB32rm	= 1473,
+    X86_SUB32rr	= 1474,
+    X86_SUB32rr_REV	= 1475,
+    X86_SUB64i32	= 1476,
+    X86_SUB64mi32	= 1477,
+    X86_SUB64mi8	= 1478,
+    X86_SUB64mr	= 1479,
+    X86_SUB64ri32	= 1480,
+    X86_SUB64ri8	= 1481,
+    X86_SUB64rm	= 1482,
+    X86_SUB64rr	= 1483,
+    X86_SUB64rr_REV	= 1484,
+    X86_SUB8i8	= 1485,
+    X86_SUB8mi	= 1486,
+    X86_SUB8mr	= 1487,
+    X86_SUB8ri	= 1488,
+    X86_SUB8ri8	= 1489,
+    X86_SUB8rm	= 1490,
+    X86_SUB8rr	= 1491,
+    X86_SUB8rr_REV	= 1492,
+    X86_SWAPGS	= 1493,
+    X86_SYSCALL	= 1494,
+    X86_SYSENTER	= 1495,
+    X86_SYSEXIT	= 1496,
+    X86_SYSEXIT64	= 1497,
+    X86_SYSRET	= 1498,
+    X86_SYSRET64	= 1499,
+    X86_T1MSKC32rm	= 1500,
+    X86_T1MSKC32rr	= 1501,
+    X86_T1MSKC64rm	= 1502,
+    X86_T1MSKC64rr	= 1503,
+    X86_TAILJMPd	= 1504,
+    X86_TAILJMPd64	= 1505,
+    X86_TAILJMPm	= 1506,
+    X86_TAILJMPm64	= 1507,
+    X86_TAILJMPr	= 1508,
+    X86_TAILJMPr64	= 1509,
+    X86_TCRETURNdi	= 1510,
+    X86_TCRETURNdi64	= 1511,
+    X86_TCRETURNmi	= 1512,
+    X86_TCRETURNmi64	= 1513,
+    X86_TCRETURNri	= 1514,
+    X86_TCRETURNri64	= 1515,
+    X86_TEST16i16	= 1516,
+    X86_TEST16mi	= 1517,
+    X86_TEST16mi_alt	= 1518,
+    X86_TEST16ri	= 1519,
+    X86_TEST16ri_alt	= 1520,
+    X86_TEST16rm	= 1521,
+    X86_TEST16rr	= 1522,
+    X86_TEST32i32	= 1523,
+    X86_TEST32mi	= 1524,
+    X86_TEST32mi_alt	= 1525,
+    X86_TEST32ri	= 1526,
+    X86_TEST32ri_alt	= 1527,
+    X86_TEST32rm	= 1528,
+    X86_TEST32rr	= 1529,
+    X86_TEST64i32	= 1530,
+    X86_TEST64mi32	= 1531,
+    X86_TEST64mi32_alt	= 1532,
+    X86_TEST64ri32	= 1533,
+    X86_TEST64ri32_alt	= 1534,
+    X86_TEST64rm	= 1535,
+    X86_TEST64rr	= 1536,
+    X86_TEST8i8	= 1537,
+    X86_TEST8mi	= 1538,
+    X86_TEST8mi_alt	= 1539,
+    X86_TEST8ri	= 1540,
+    X86_TEST8ri_NOREX	= 1541,
+    X86_TEST8ri_alt	= 1542,
+    X86_TEST8rm	= 1543,
+    X86_TEST8rr	= 1544,
+    X86_TLSCall_32	= 1545,
+    X86_TLSCall_64	= 1546,
+    X86_TLS_addr32	= 1547,
+    X86_TLS_addr64	= 1548,
+    X86_TLS_base_addr32	= 1549,
+    X86_TLS_base_addr64	= 1550,
+    X86_TRAP	= 1551,
+    X86_TZCNT16rm	= 1552,
+    X86_TZCNT16rr	= 1553,
+    X86_TZCNT32rm	= 1554,
+    X86_TZCNT32rr	= 1555,
+    X86_TZCNT64rm	= 1556,
+    X86_TZCNT64rr	= 1557,
+    X86_TZMSK32rm	= 1558,
+    X86_TZMSK32rr	= 1559,
+    X86_TZMSK64rm	= 1560,
+    X86_TZMSK64rr	= 1561,
+    X86_UD2B	= 1562,
+    X86_VAARG_64	= 1563,
+    X86_VASTART_SAVE_XMM_REGS	= 1564,
+    X86_VERRm	= 1565,
+    X86_VERRr	= 1566,
+    X86_VERWm	= 1567,
+    X86_VERWr	= 1568,
+    X86_VMCALL	= 1569,
+    X86_VMCLEARm	= 1570,
+    X86_VMFUNC	= 1571,
+    X86_VMLAUNCH	= 1572,
+    X86_VMLOAD32	= 1573,
+    X86_VMLOAD64	= 1574,
+    X86_VMMCALL	= 1575,
+    X86_VMPTRLDm	= 1576,
+    X86_VMPTRSTm	= 1577,
+    X86_VMREAD32rm	= 1578,
+    X86_VMREAD32rr	= 1579,
+    X86_VMREAD64rm	= 1580,
+    X86_VMREAD64rr	= 1581,
+    X86_VMRESUME	= 1582,
+    X86_VMRUN32	= 1583,
+    X86_VMRUN64	= 1584,
+    X86_VMSAVE32	= 1585,
+    X86_VMSAVE64	= 1586,
+    X86_VMWRITE32rm	= 1587,
+    X86_VMWRITE32rr	= 1588,
+    X86_VMWRITE64rm	= 1589,
+    X86_VMWRITE64rr	= 1590,
+    X86_VMXOFF	= 1591,
+    X86_VMXON	= 1592,
+    X86_W64ALLOCA	= 1593,
+    X86_WBINVD	= 1594,
+    X86_WIN_ALLOCA	= 1595,
+    X86_WIN_FTOL_32	= 1596,
+    X86_WIN_FTOL_64	= 1597,
+    X86_WRFSBASE	= 1598,
+    X86_WRFSBASE64	= 1599,
+    X86_WRGSBASE	= 1600,
+    X86_WRGSBASE64	= 1601,
+    X86_WRMSR	= 1602,
+    X86_XADD16rm	= 1603,
+    X86_XADD16rr	= 1604,
+    X86_XADD32rm	= 1605,
+    X86_XADD32rr	= 1606,
+    X86_XADD64rm	= 1607,
+    X86_XADD64rr	= 1608,
+    X86_XADD8rm	= 1609,
+    X86_XADD8rr	= 1610,
+    X86_XCHG16ar	= 1611,
+    X86_XCHG16rm	= 1612,
+    X86_XCHG16rr	= 1613,
+    X86_XCHG32ar	= 1614,
+    X86_XCHG32ar64	= 1615,
+    X86_XCHG32rm	= 1616,
+    X86_XCHG32rr	= 1617,
+    X86_XCHG64ar	= 1618,
+    X86_XCHG64rm	= 1619,
+    X86_XCHG64rr	= 1620,
+    X86_XCHG8rm	= 1621,
+    X86_XCHG8rr	= 1622,
+    X86_XCRYPTCBC	= 1623,
+    X86_XCRYPTCFB	= 1624,
+    X86_XCRYPTCTR	= 1625,
+    X86_XCRYPTECB	= 1626,
+    X86_XCRYPTOFB	= 1627,
+    X86_XGETBV	= 1628,
+    X86_XLAT	= 1629,
+    X86_XOR16i16	= 1630,
+    X86_XOR16mi	= 1631,
+    X86_XOR16mi8	= 1632,
+    X86_XOR16mr	= 1633,
+    X86_XOR16ri	= 1634,
+    X86_XOR16ri8	= 1635,
+    X86_XOR16rm	= 1636,
+    X86_XOR16rr	= 1637,
+    X86_XOR16rr_REV	= 1638,
+    X86_XOR32i32	= 1639,
+    X86_XOR32mi	= 1640,
+    X86_XOR32mi8	= 1641,
+    X86_XOR32mr	= 1642,
+    X86_XOR32ri	= 1643,
+    X86_XOR32ri8	= 1644,
+    X86_XOR32rm	= 1645,
+    X86_XOR32rr	= 1646,
+    X86_XOR32rr_REV	= 1647,
+    X86_XOR64i32	= 1648,
+    X86_XOR64mi32	= 1649,
+    X86_XOR64mi8	= 1650,
+    X86_XOR64mr	= 1651,
+    X86_XOR64ri32	= 1652,
+    X86_XOR64ri8	= 1653,
+    X86_XOR64rm	= 1654,
+    X86_XOR64rr	= 1655,
+    X86_XOR64rr_REV	= 1656,
+    X86_XOR8i8	= 1657,
+    X86_XOR8mi	= 1658,
+    X86_XOR8mr	= 1659,
+    X86_XOR8ri	= 1660,
+    X86_XOR8ri8	= 1661,
+    X86_XOR8rm	= 1662,
+    X86_XOR8rr	= 1663,
+    X86_XOR8rr_REV	= 1664,
+    X86_XRSTOR	= 1665,
+    X86_XRSTOR64	= 1666,
+    X86_XSAVE	= 1667,
+    X86_XSAVE64	= 1668,
+    X86_XSAVEOPT	= 1669,
+    X86_XSAVEOPT64	= 1670,
+    X86_XSETBV	= 1671,
+    X86_XSHA1	= 1672,
+    X86_XSHA256	= 1673,
+    X86_XSTORE	= 1674,
+    X86_INSTRUCTION_LIST_END = 1675
 };
 
 #endif // GET_INSTRINFO_ENUM
@@ -1819,1698 +1785,1663 @@
   /* 625 */ 'R', 'C', 'R', '8', 'r', '1', 0,
   /* 632 */ 'S', 'H', 'R', '8', 'r', '1', 0,
   /* 639 */ 'R', 'O', 'R', '8', 'r', '1', 0,
-  /* 646 */ 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 0,
-  /* 658 */ 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 0,
-  /* 670 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 682 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 695 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 707 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 720 */ 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 0,
-  /* 733 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 744 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 756 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 768 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 781 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '3', '2', 0,
-  /* 791 */ 'P', 'U', 'S', 'H', 'A', '3', '2', 0,
-  /* 799 */ 'P', 'O', 'P', 'A', '3', '2', 0,
-  /* 806 */ 'V', 'M', 'L', 'O', 'A', 'D', '3', '2', 0,
-  /* 815 */ 'L', 'X', 'A', 'D', 'D', '3', '2', 0,
-  /* 823 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '3', '2', 0,
-  /* 833 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '3', '2', 0,
-  /* 843 */ 'C', 'P', 'U', 'I', 'D', '3', '2', 0,
-  /* 851 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 0,
-  /* 861 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 0,
-  /* 872 */ 'V', 'M', 'S', 'A', 'V', 'E', '3', '2', 0,
-  /* 881 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 0,
-  /* 892 */ 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 0,
-  /* 904 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 0,
-  /* 915 */ 'P', 'U', 'S', 'H', 'F', '3', '2', 0,
-  /* 923 */ 'P', 'O', 'P', 'F', '3', '2', 0,
-  /* 930 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 0,
-  /* 941 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 0,
-  /* 951 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 0,
-  /* 962 */ 'V', 'M', 'R', 'U', 'N', '3', '2', 0,
-  /* 970 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '3', '2', 0,
-  /* 989 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 0,
-  /* 1000 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '3', '2', 0,
-  /* 1017 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 0,
-  /* 1027 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', 0,
-  /* 1037 */ 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 0,
-  /* 1046 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 0,
-  /* 1056 */ 'S', 'C', 'A', 'S', '3', '2', 0,
-  /* 1063 */ 'P', 'U', 'S', 'H', 'C', 'S', '3', '2', 0,
-  /* 1072 */ 'P', 'U', 'S', 'H', 'D', 'S', '3', '2', 0,
-  /* 1081 */ 'P', 'O', 'P', 'D', 'S', '3', '2', 0,
-  /* 1089 */ 'P', 'U', 'S', 'H', 'E', 'S', '3', '2', 0,
-  /* 1098 */ 'P', 'O', 'P', 'E', 'S', '3', '2', 0,
-  /* 1106 */ 'P', 'U', 'S', 'H', 'F', 'S', '3', '2', 0,
-  /* 1115 */ 'P', 'O', 'P', 'F', 'S', '3', '2', 0,
-  /* 1123 */ 'P', 'U', 'S', 'H', 'G', 'S', '3', '2', 0,
-  /* 1132 */ 'P', 'O', 'P', 'G', 'S', '3', '2', 0,
-  /* 1140 */ 'C', 'M', 'P', 'S', '3', '2', 0,
-  /* 1147 */ 'P', 'U', 'S', 'H', 'S', 'S', '3', '2', 0,
-  /* 1156 */ 'P', 'O', 'P', 'S', 'S', '3', '2', 0,
-  /* 1164 */ 'I', 'R', 'E', 'T', '3', '2', 0,
-  /* 1171 */ 'I', 'N', 'V', 'E', 'P', 'T', '3', '2', 0,
-  /* 1180 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 0,
-  /* 1190 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 0,
-  /* 1201 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '3', '2', 0,
-  /* 1215 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '3', '2', 0,
-  /* 1228 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '3', '2', 0,
-  /* 1241 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '3', '2', 0,
-  /* 1254 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '3', '2', 0,
-  /* 1267 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '3', '2', 0,
-  /* 1279 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '3', '2', 0,
-  /* 1292 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '3', '2', 0,
-  /* 1305 */ 'J', 'E', 'C', 'X', 'Z', '_', '3', '2', 0,
-  /* 1314 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 1325 */ 'S', 'B', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 1334 */ 'S', 'U', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 1343 */ 'A', 'D', 'C', '3', '2', 'i', '3', '2', 0,
-  /* 1352 */ 'A', 'D', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 1361 */ 'A', 'N', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 1370 */ 'C', 'M', 'P', '3', '2', 'i', '3', '2', 0,
-  /* 1379 */ 'X', 'O', 'R', '3', '2', 'i', '3', '2', 0,
-  /* 1388 */ 'T', 'E', 'S', 'T', '3', '2', 'i', '3', '2', 0,
-  /* 1398 */ 'S', 'B', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 1407 */ 'S', 'U', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 1416 */ 'A', 'D', 'C', '6', '4', 'i', '3', '2', 0,
-  /* 1425 */ 'A', 'D', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 1434 */ 'A', 'N', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 1443 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '3', '2', 0,
-  /* 1453 */ 'C', 'M', 'P', '6', '4', 'i', '3', '2', 0,
-  /* 1462 */ 'X', 'O', 'R', '6', '4', 'i', '3', '2', 0,
-  /* 1471 */ 'T', 'E', 'S', 'T', '6', '4', 'i', '3', '2', 0,
-  /* 1481 */ 'P', 'U', 'S', 'H', 'i', '3', '2', 0,
-  /* 1489 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1499 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1514 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1524 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1539 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1554 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1564 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1579 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1593 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1604 */ 'M', 'O', 'V', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1614 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '3', '2', 0,
-  /* 1626 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1636 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1646 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1656 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1666 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1676 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1686 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1696 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1707 */ 'M', 'O', 'V', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1717 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '3', '2', 0,
-  /* 1729 */ 'C', 'A', 'L', 'L', '6', '4', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 1743 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 1755 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '3', '2', 0,
-  /* 1767 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', 0,
-  /* 1777 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '3', '2', 0,
-  /* 1787 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '3', '2', 0,
-  /* 1805 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '3', '2', 0,
-  /* 1822 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 1833 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 1849 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '3', '2', 0,
-  /* 1861 */ 'J', 'A', '_', '2', 0,
-  /* 1866 */ 'J', 'B', '_', '2', 0,
-  /* 1871 */ 'J', 'A', 'E', '_', '2', 0,
-  /* 1877 */ 'J', 'B', 'E', '_', '2', 0,
-  /* 1883 */ 'J', 'G', 'E', '_', '2', 0,
-  /* 1889 */ 'J', 'E', '_', '2', 0,
-  /* 1894 */ 'J', 'L', 'E', '_', '2', 0,
-  /* 1900 */ 'J', 'N', 'E', '_', '2', 0,
-  /* 1906 */ 'J', 'G', '_', '2', 0,
-  /* 1911 */ 'J', 'L', '_', '2', 0,
-  /* 1916 */ 'J', 'O', '_', '2', 0,
-  /* 1921 */ 'J', 'N', 'O', '_', '2', 0,
-  /* 1927 */ 'J', 'P', '_', '2', 0,
-  /* 1932 */ 'J', 'M', 'P', '_', '2', 0,
-  /* 1938 */ 'J', 'N', 'P', '_', '2', 0,
-  /* 1944 */ 'J', 'S', '_', '2', 0,
-  /* 1949 */ 'J', 'N', 'S', '_', '2', 0,
-  /* 1955 */ 'I', 'N', 'T', '3', 0,
-  /* 1960 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '6', '4', 0,
-  /* 1970 */ 'V', 'M', 'L', 'O', 'A', 'D', '6', '4', 0,
-  /* 1979 */ 'L', 'X', 'A', 'D', 'D', '6', '4', 0,
-  /* 1987 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '6', '4', 0,
-  /* 1997 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '6', '4', 0,
-  /* 2007 */ 'C', 'P', 'U', 'I', 'D', '6', '4', 0,
-  /* 2015 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 0,
-  /* 2025 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 0,
-  /* 2036 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2047 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2058 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2069 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2080 */ 'L', 'E', 'A', 'V', 'E', '6', '4', 0,
-  /* 2088 */ 'V', 'M', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 2097 */ 'X', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 2105 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 0,
-  /* 2116 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 0,
-  /* 2127 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 0,
-  /* 2138 */ 'P', 'U', 'S', 'H', 'F', '6', '4', 0,
-  /* 2146 */ 'P', 'O', 'P', 'F', '6', '4', 0,
-  /* 2153 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 0,
-  /* 2164 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 0,
-  /* 2175 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 0,
-  /* 2186 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 0,
-  /* 2197 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '6', '4', 0,
-  /* 2207 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 0,
-  /* 2217 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 0,
-  /* 2228 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', '6', '4', 0,
-  /* 2240 */ 'V', 'M', 'R', 'U', 'N', '6', '4', 0,
-  /* 2248 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '6', '4', 0,
-  /* 2267 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 0,
-  /* 2278 */ 'F', 'A', 'R', 'J', 'M', 'P', '6', '4', 0,
-  /* 2287 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '6', '4', 0,
-  /* 2304 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 0,
-  /* 2314 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 0,
-  /* 2323 */ 'X', 'R', 'S', 'T', 'O', 'R', '6', '4', 0,
-  /* 2332 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 0,
-  /* 2342 */ 'S', 'C', 'A', 'S', '6', '4', 0,
-  /* 2349 */ 'P', 'U', 'S', 'H', 'F', 'S', '6', '4', 0,
-  /* 2358 */ 'P', 'O', 'P', 'F', 'S', '6', '4', 0,
-  /* 2366 */ 'P', 'U', 'S', 'H', 'G', 'S', '6', '4', 0,
-  /* 2375 */ 'P', 'O', 'P', 'G', 'S', '6', '4', 0,
-  /* 2383 */ 'C', 'M', 'P', 'S', '6', '4', 0,
-  /* 2390 */ 'I', 'R', 'E', 'T', '6', '4', 0,
-  /* 2397 */ 'S', 'Y', 'S', 'R', 'E', 'T', '6', '4', 0,
-  /* 2406 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', '6', '4', 0,
-  /* 2416 */ 'I', 'N', 'V', 'E', 'P', 'T', '6', '4', 0,
-  /* 2425 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', '6', '4', 0,
-  /* 2436 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 0,
-  /* 2446 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 0,
-  /* 2457 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '6', '4', 0,
-  /* 2471 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '6', '4', 0,
-  /* 2484 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '6', '4', 0,
-  /* 2497 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '6', '4', 0,
-  /* 2510 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '6', '4', 0,
-  /* 2523 */ 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 0,
-  /* 2532 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '6', '4', 0,
-  /* 2544 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'Q', '_', '6', '4', 0,
-  /* 2557 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'Q', '_', '6', '4', 0,
-  /* 2570 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '6', '4', 0,
-  /* 2583 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '6', '4', 0,
-  /* 2596 */ 'J', 'E', 'C', 'X', 'Z', '_', '6', '4', 0,
-  /* 2605 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 2616 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', '6', '4', 0,
-  /* 2627 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', '6', '4', 0,
-  /* 2640 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', '6', '4', 0,
-  /* 2653 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '6', '4', 0,
-  /* 2663 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', '6', '4', 0,
-  /* 2676 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', '6', '4', 0,
-  /* 2687 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '6', '4', 0,
-  /* 2697 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '6', '4', 0,
-  /* 2715 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '6', '4', 0,
-  /* 2732 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', '6', '4', 0,
-  /* 2743 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', '6', '4', 0,
-  /* 2754 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 2765 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 2781 */ 'J', 'A', '_', '4', 0,
-  /* 2786 */ 'J', 'B', '_', '4', 0,
-  /* 2791 */ 'J', 'A', 'E', '_', '4', 0,
-  /* 2797 */ 'J', 'B', 'E', '_', '4', 0,
-  /* 2803 */ 'J', 'G', 'E', '_', '4', 0,
-  /* 2809 */ 'J', 'E', '_', '4', 0,
-  /* 2814 */ 'J', 'L', 'E', '_', '4', 0,
-  /* 2820 */ 'J', 'N', 'E', '_', '4', 0,
-  /* 2826 */ 'J', 'G', '_', '4', 0,
-  /* 2831 */ 'J', 'L', '_', '4', 0,
-  /* 2836 */ 'J', 'O', '_', '4', 0,
-  /* 2841 */ 'J', 'N', 'O', '_', '4', 0,
-  /* 2847 */ 'J', 'P', '_', '4', 0,
-  /* 2852 */ 'J', 'M', 'P', '_', '4', 0,
-  /* 2858 */ 'J', 'N', 'P', '_', '4', 0,
-  /* 2864 */ 'J', 'S', '_', '4', 0,
-  /* 2869 */ 'J', 'N', 'S', '_', '4', 0,
-  /* 2875 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '4', 0,
-  /* 2887 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '4', 0,
-  /* 2897 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '4', 0,
-  /* 2909 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '4', 0,
-  /* 2919 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '5', 0,
-  /* 2931 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '5', 0,
-  /* 2941 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '5', 0,
-  /* 2953 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '5', 0,
-  /* 2963 */ 'P', 'U', 'S', 'H', 'A', '1', '6', 0,
-  /* 2971 */ 'P', 'O', 'P', 'A', '1', '6', 0,
-  /* 2978 */ 'L', 'X', 'A', 'D', 'D', '1', '6', 0,
-  /* 2986 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 0,
-  /* 2996 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 0,
-  /* 3007 */ 'P', 'U', 'S', 'H', 'F', '1', '6', 0,
-  /* 3015 */ 'P', 'O', 'P', 'F', '1', '6', 0,
-  /* 3022 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 0,
-  /* 3033 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 0,
-  /* 3043 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 0,
-  /* 3054 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', 0,
-  /* 3064 */ 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 0,
-  /* 3073 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 0,
-  /* 3083 */ 'S', 'C', 'A', 'S', '1', '6', 0,
-  /* 3090 */ 'P', 'U', 'S', 'H', 'C', 'S', '1', '6', 0,
-  /* 3099 */ 'P', 'U', 'S', 'H', 'D', 'S', '1', '6', 0,
-  /* 3108 */ 'P', 'O', 'P', 'D', 'S', '1', '6', 0,
-  /* 3116 */ 'P', 'U', 'S', 'H', 'E', 'S', '1', '6', 0,
-  /* 3125 */ 'P', 'O', 'P', 'E', 'S', '1', '6', 0,
-  /* 3133 */ 'P', 'U', 'S', 'H', 'F', 'S', '1', '6', 0,
-  /* 3142 */ 'P', 'O', 'P', 'F', 'S', '1', '6', 0,
-  /* 3150 */ 'P', 'U', 'S', 'H', 'G', 'S', '1', '6', 0,
-  /* 3159 */ 'P', 'O', 'P', 'G', 'S', '1', '6', 0,
-  /* 3167 */ 'C', 'M', 'P', 'S', '1', '6', 0,
-  /* 3174 */ 'P', 'U', 'S', 'H', 'S', 'S', '1', '6', 0,
-  /* 3183 */ 'P', 'O', 'P', 'S', 'S', '1', '6', 0,
-  /* 3191 */ 'I', 'R', 'E', 'T', '1', '6', 0,
-  /* 3198 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 0,
-  /* 3208 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 0,
-  /* 3219 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', '_', '1', '6', 0,
-  /* 3232 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', '_', '1', '6', 0,
-  /* 3245 */ 'M', 'O', 'V', '8', 'a', 'o', '8', '_', '1', '6', 0,
-  /* 3256 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', '_', '1', '6', 0,
-  /* 3269 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', '_', '1', '6', 0,
-  /* 3282 */ 'M', 'O', 'V', '8', 'o', '8', 'a', '_', '1', '6', 0,
-  /* 3293 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '1', '6', 0,
-  /* 3303 */ 'S', 'B', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 3312 */ 'S', 'U', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 3321 */ 'A', 'D', 'C', '1', '6', 'i', '1', '6', 0,
-  /* 3330 */ 'A', 'D', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 3339 */ 'A', 'N', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 3348 */ 'C', 'M', 'P', '1', '6', 'i', '1', '6', 0,
-  /* 3357 */ 'X', 'O', 'R', '1', '6', 'i', '1', '6', 0,
-  /* 3366 */ 'T', 'E', 'S', 'T', '1', '6', 'i', '1', '6', 0,
-  /* 3376 */ 'P', 'U', 'S', 'H', 'i', '1', '6', 0,
-  /* 3384 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '1', '6', 0,
-  /* 3396 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 3408 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 3420 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '1', '6', 0,
-  /* 3432 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '1', '6', 0,
-  /* 3442 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', 0,
-  /* 3452 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 3464 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 3476 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '1', '6', 0,
-  /* 3488 */ 'X', 'S', 'H', 'A', '2', '5', '6', 0,
-  /* 3496 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '6', 0,
-  /* 3508 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '6', 0,
-  /* 3518 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '6', 0,
-  /* 3530 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '6', 0,
-  /* 3540 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '7', 0,
-  /* 3552 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '7', 0,
-  /* 3562 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '7', 0,
-  /* 3574 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '7', 0,
-  /* 3584 */ 'L', 'X', 'A', 'D', 'D', '8', 0,
-  /* 3591 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 0,
-  /* 3600 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 0,
-  /* 3610 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 0,
-  /* 3620 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 0,
-  /* 3629 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 0,
-  /* 3639 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 0,
-  /* 3648 */ 'A', 'T', 'O', 'M', 'O', 'R', '8', 0,
-  /* 3656 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 0,
-  /* 3665 */ 'S', 'C', 'A', 'S', '8', 0,
-  /* 3671 */ 'C', 'M', 'P', 'S', '8', 0,
-  /* 3677 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 0,
-  /* 3686 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 0,
-  /* 3696 */ 'P', 'U', 'S', 'H', '3', '2', 'i', '8', 0,
-  /* 3705 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '8', 0,
-  /* 3714 */ 'P', 'U', 'S', 'H', '1', '6', 'i', '8', 0,
-  /* 3723 */ 'S', 'B', 'B', '8', 'i', '8', 0,
-  /* 3730 */ 'S', 'U', 'B', '8', 'i', '8', 0,
-  /* 3737 */ 'A', 'D', 'C', '8', 'i', '8', 0,
-  /* 3744 */ 'A', 'A', 'D', '8', 'i', '8', 0,
-  /* 3751 */ 'A', 'D', 'D', '8', 'i', '8', 0,
-  /* 3758 */ 'A', 'N', 'D', '8', 'i', '8', 0,
-  /* 3765 */ 'A', 'A', 'M', '8', 'i', '8', 0,
-  /* 3772 */ 'C', 'M', 'P', '8', 'i', '8', 0,
-  /* 3779 */ 'X', 'O', 'R', '8', 'i', '8', 0,
-  /* 3786 */ 'T', 'E', 'S', 'T', '8', 'i', '8', 0,
-  /* 3794 */ 'S', 'B', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 3803 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 3817 */ 'A', 'D', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 3826 */ 'B', 'T', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 3835 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 3849 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 3863 */ 'C', 'M', 'P', '3', '2', 'm', 'i', '8', 0,
-  /* 3872 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 3886 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 3899 */ 'B', 'T', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 3908 */ 'B', 'T', 'S', '3', '2', 'm', 'i', '8', 0,
-  /* 3917 */ 'B', 'T', '3', '2', 'm', 'i', '8', 0,
-  /* 3925 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 3934 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 3948 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 3957 */ 'B', 'T', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 3966 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 3980 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 3994 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '8', 0,
-  /* 4003 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 4017 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 4030 */ 'B', 'T', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 4039 */ 'B', 'T', 'S', '6', '4', 'm', 'i', '8', 0,
-  /* 4048 */ 'B', 'T', '6', '4', 'm', 'i', '8', 0,
-  /* 4056 */ 'S', 'B', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 4065 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 4079 */ 'A', 'D', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 4088 */ 'B', 'T', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 4097 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 4111 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 4125 */ 'C', 'M', 'P', '1', '6', 'm', 'i', '8', 0,
-  /* 4134 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 4148 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 4161 */ 'B', 'T', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 4170 */ 'B', 'T', 'S', '1', '6', 'm', 'i', '8', 0,
-  /* 4179 */ 'B', 'T', '1', '6', 'm', 'i', '8', 0,
-  /* 4187 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', '8', 0,
-  /* 4198 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '8', 0,
-  /* 4209 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', '8', 0,
-  /* 4220 */ 'S', 'B', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 4229 */ 'S', 'U', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 4238 */ 'A', 'D', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 4247 */ 'B', 'T', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 4256 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 4265 */ 'A', 'N', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 4274 */ 'C', 'M', 'P', '3', '2', 'r', 'i', '8', 0,
-  /* 4283 */ 'X', 'O', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 4292 */ 'B', 'T', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 4301 */ 'B', 'T', 'S', '3', '2', 'r', 'i', '8', 0,
-  /* 4310 */ 'B', 'T', '3', '2', 'r', 'i', '8', 0,
-  /* 4318 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 4327 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 4336 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 4345 */ 'B', 'T', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 4354 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 4363 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 4372 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '8', 0,
-  /* 4381 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 4390 */ 'B', 'T', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 4399 */ 'B', 'T', 'S', '6', '4', 'r', 'i', '8', 0,
-  /* 4408 */ 'B', 'T', '6', '4', 'r', 'i', '8', 0,
-  /* 4416 */ 'S', 'B', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 4425 */ 'S', 'U', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 4434 */ 'A', 'D', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 4443 */ 'B', 'T', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 4452 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 4461 */ 'A', 'N', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 4470 */ 'C', 'M', 'P', '1', '6', 'r', 'i', '8', 0,
-  /* 4479 */ 'X', 'O', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 4488 */ 'B', 'T', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 4497 */ 'B', 'T', 'S', '1', '6', 'r', 'i', '8', 0,
-  /* 4506 */ 'B', 'T', '1', '6', 'r', 'i', '8', 0,
-  /* 4514 */ 'S', 'U', 'B', '8', 'r', 'i', '8', 0,
-  /* 4522 */ 'A', 'D', 'D', '8', 'r', 'i', '8', 0,
-  /* 4530 */ 'A', 'N', 'D', '8', 'r', 'i', '8', 0,
-  /* 4538 */ 'X', 'O', 'R', '8', 'r', 'i', '8', 0,
-  /* 4546 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 4557 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 4568 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 4579 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 4590 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 4601 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 4612 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 4623 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 4634 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 4645 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 4656 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 4667 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 4678 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 4689 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 4700 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 4711 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 4722 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 4733 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '8', 0,
-  /* 4744 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 4755 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 4766 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'm', '8', 0,
-  /* 4783 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '8', 0,
-  /* 4792 */ 'M', 'O', 'V', '8', 'a', 'o', '8', 0,
-  /* 4800 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 4811 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 4822 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '8', 0,
-  /* 4833 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 4844 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 4855 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'r', '8', 0,
-  /* 4872 */ 'N', 'O', 'O', 'P', 'L', '_', '1', '9', 0,
-  /* 4881 */ 'N', 'O', 'O', 'P', 'W', '_', '1', '9', 0,
-  /* 4890 */ 'A', 'A', 'A', 0,
-  /* 4894 */ 'D', 'A', 'A', 0,
-  /* 4898 */ 'W', '6', '4', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 4908 */ 'W', 'I', 'N', '_', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 4919 */ 'U', 'D', '2', 'B', 0,
-  /* 4924 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'B', 0,
-  /* 4936 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'B', 0,
-  /* 4947 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'E', 'C', 'B', 0,
-  /* 4957 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', '_', 'D', 'B', 0,
-  /* 4970 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 4982 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 4994 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 5006 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '_', 'D', 'B', 0,
-  /* 5017 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '_', 'D', 'B', 0,
-  /* 5028 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'D', 'B', 0,
-  /* 5039 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'D', 'B', 0,
-  /* 5050 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'D', 'B', 0,
-  /* 5061 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'F', 'B', 0,
-  /* 5071 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'O', 'F', 'B', 0,
-  /* 5081 */ 'L', 'O', 'D', 'S', 'B', 0,
-  /* 5087 */ 'S', 'T', 'O', 'S', 'B', 0,
-  /* 5093 */ 'O', 'U', 'T', 'S', 'B', 0,
-  /* 5099 */ 'M', 'O', 'V', 'S', 'B', 0,
-  /* 5105 */ 'C', 'L', 'A', 'C', 0,
-  /* 5110 */ 'S', 'T', 'A', 'C', 0,
-  /* 5115 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'B', 'C', 0,
-  /* 5125 */ 'G', 'E', 'T', 'S', 'E', 'C', 0,
-  /* 5132 */ 'S', 'A', 'L', 'C', 0,
-  /* 5137 */ 'C', 'L', 'C', 0,
-  /* 5141 */ 'C', 'M', 'C', 0,
-  /* 5145 */ 'R', 'D', 'P', 'M', 'C', 0,
-  /* 5151 */ 'V', 'M', 'F', 'U', 'N', 'C', 0,
-  /* 5158 */ 'R', 'D', 'T', 'S', 'C', 0,
-  /* 5164 */ 'S', 'T', 'C', 0,
-  /* 5168 */ 'C', 'L', 'D', 0,
-  /* 5172 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 5185 */ 'S', 'T', 'D', 0,
-  /* 5189 */ 'W', 'B', 'I', 'N', 'V', 'D', 0,
-  /* 5196 */ 'C', 'W', 'D', 0,
-  /* 5200 */ 'R', 'E', 'G', '_', 'S', 'E', 'Q', 'U', 'E', 'N', 'C', 'E', 0,
-  /* 5213 */ 'C', 'W', 'D', 'E', 0,
-  /* 5218 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 5225 */ 'V', 'M', 'R', 'E', 'S', 'U', 'M', 'E', 0,
-  /* 5234 */ 'L', 'O', 'O', 'P', 'N', 'E', 0,
-  /* 5241 */ 'L', 'O', 'O', 'P', 'E', 0,
-  /* 5247 */ 'C', 'D', 'Q', 'E', 0,
-  /* 5252 */ 'X', 'S', 'T', 'O', 'R', 'E', 0,
-  /* 5259 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5268 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5277 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5286 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5295 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 5305 */ 'L', 'E', 'A', 'V', 'E', 0,
-  /* 5311 */ 'X', 'S', 'A', 'V', 'E', 0,
-  /* 5317 */ 'I', 'M', 'P', 'L', 'I', 'C', 'I', 'T', '_', 'D', 'E', 'F', 0,
-  /* 5330 */ 'V', 'M', 'X', 'O', 'F', 'F', 0,
-  /* 5337 */ 'L', 'A', 'H', 'F', 0,
-  /* 5342 */ 'S', 'A', 'H', 'F', 0,
-  /* 5347 */ 'E', 'X', 'T', 'R', 'A', 'C', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 5362 */ 'I', 'N', 'S', 'E', 'R', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 5376 */ 'S', 'U', 'B', 'R', 'E', 'G', '_', 'T', 'O', '_', 'R', 'E', 'G', 0,
-  /* 5390 */ 'I', 'N', 'V', 'L', 'P', 'G', 0,
-  /* 5397 */ 'V', 'M', 'L', 'A', 'U', 'N', 'C', 'H', 0,
-  /* 5406 */ 'C', 'L', 'G', 'I', 0,
-  /* 5411 */ 'S', 'T', 'G', 'I', 0,
-  /* 5416 */ 'P', 'H', 'I', 0,
-  /* 5420 */ 'C', 'L', 'I', 0,
-  /* 5424 */ 'S', 'T', 'I', 0,
-  /* 5428 */ 'S', 'A', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5437 */ 'R', 'C', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5446 */ 'S', 'H', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5455 */ 'R', 'O', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5464 */ 'S', 'A', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5473 */ 'R', 'C', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5482 */ 'S', 'H', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5491 */ 'R', 'O', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5500 */ 'S', 'A', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5509 */ 'R', 'C', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5518 */ 'S', 'H', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5527 */ 'R', 'O', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5536 */ 'S', 'A', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5545 */ 'R', 'C', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5554 */ 'S', 'H', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5563 */ 'R', 'O', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5572 */ 'S', 'A', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5581 */ 'R', 'C', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5590 */ 'S', 'H', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5599 */ 'R', 'O', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5608 */ 'S', 'A', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5617 */ 'R', 'C', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5626 */ 'S', 'H', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5635 */ 'R', 'O', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5644 */ 'S', 'A', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5652 */ 'R', 'C', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5660 */ 'S', 'H', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5668 */ 'R', 'O', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5676 */ 'S', 'A', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5684 */ 'R', 'C', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5692 */ 'S', 'H', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5700 */ 'R', 'O', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5708 */ 'S', 'A', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5717 */ 'R', 'C', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5726 */ 'S', 'H', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5735 */ 'R', 'O', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5744 */ 'S', 'A', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5753 */ 'R', 'C', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5762 */ 'S', 'H', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5771 */ 'R', 'O', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5780 */ 'S', 'A', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5789 */ 'R', 'C', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5798 */ 'S', 'H', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5807 */ 'R', 'O', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5816 */ 'S', 'A', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5825 */ 'R', 'C', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5834 */ 'S', 'H', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5843 */ 'R', 'O', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5852 */ 'S', 'A', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5861 */ 'R', 'C', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5870 */ 'S', 'H', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5879 */ 'R', 'O', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5888 */ 'S', 'A', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5897 */ 'R', 'C', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5906 */ 'S', 'H', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5915 */ 'R', 'O', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5924 */ 'S', 'A', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5932 */ 'R', 'C', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5940 */ 'S', 'H', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5948 */ 'R', 'O', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5956 */ 'S', 'A', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5964 */ 'R', 'C', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5972 */ 'S', 'H', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5980 */ 'R', 'O', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5988 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 5999 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 6010 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 6021 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 6032 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 6043 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 6054 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 6065 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 6076 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 6087 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 6098 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 6109 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 6120 */ 'G', 'C', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 6129 */ 'P', 'R', 'O', 'L', 'O', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 6142 */ 'E', 'H', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 6151 */ 'L', 'R', 'E', 'T', 'I', 'L', 0,
-  /* 6158 */ 'V', 'M', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 6166 */ 'V', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 6173 */ 'S', 'Y', 'S', 'C', 'A', 'L', 'L', 0,
-  /* 6181 */ 'K', 'I', 'L', 'L', 0,
-  /* 6186 */ 'N', 'O', 'O', 'P', 'L', 0,
-  /* 6192 */ 'L', 'O', 'D', 'S', 'L', 0,
-  /* 6198 */ 'S', 'T', 'O', 'S', 'L', 0,
-  /* 6204 */ 'O', 'U', 'T', 'S', 'L', 0,
-  /* 6210 */ 'M', 'O', 'V', 'S', 'L', 0,
-  /* 6216 */ 'L', 'R', 'E', 'T', 'L', 0,
-  /* 6222 */ 'M', 'O', 'N', 'T', 'M', 'U', 'L', 0,
-  /* 6230 */ 'F', 'S', 'E', 'T', 'P', 'M', 0,
-  /* 6237 */ 'I', 'N', 'L', 'I', 'N', 'E', 'A', 'S', 'M', 0,
-  /* 6247 */ 'R', 'S', 'M', 0,
-  /* 6251 */ 'V', 'M', 'X', 'O', 'N', 0,
-  /* 6257 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', 0,
-  /* 6267 */ 'C', 'Q', 'O', 0,
-  /* 6271 */ 'I', 'N', 'T', 'O', 0,
-  /* 6276 */ 'S', 'T', 'A', 'C', 'K', 'M', 'A', 'P', 0,
-  /* 6285 */ 'T', 'R', 'A', 'P', 0,
-  /* 6290 */ 'R', 'D', 'T', 'S', 'C', 'P', 0,
-  /* 6297 */ 'L', 'O', 'O', 'P', 0,
-  /* 6302 */ 'N', 'O', 'O', 'P', 0,
-  /* 6307 */ 'C', 'D', 'Q', 0,
-  /* 6311 */ 'L', 'R', 'E', 'T', 'I', 'Q', 0,
-  /* 6318 */ 'L', 'O', 'D', 'S', 'Q', 0,
-  /* 6324 */ 'S', 'T', 'O', 'S', 'Q', 0,
-  /* 6330 */ 'M', 'O', 'V', 'S', 'Q', 0,
-  /* 6336 */ 'L', 'R', 'E', 'T', 'Q', 0,
-  /* 6342 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '1', '6', '_', 'Q', 0,
-  /* 6356 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '1', '6', '_', 'Q', 0,
-  /* 6370 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '8', '_', 'Q', 0,
-  /* 6383 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '8', '_', 'Q', 0,
-  /* 6396 */ 'S', 'Y', 'S', 'E', 'N', 'T', 'E', 'R', 0,
-  /* 6405 */ 'X', 'R', 'S', 'T', 'O', 'R', 0,
-  /* 6412 */ 'R', 'D', 'M', 'S', 'R', 0,
-  /* 6418 */ 'W', 'R', 'M', 'S', 'R', 0,
-  /* 6424 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'T', 'R', 0,
-  /* 6434 */ 'A', 'A', 'S', 0,
-  /* 6438 */ 'D', 'A', 'S', 0,
-  /* 6442 */ 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 0,
-  /* 6464 */ 'S', 'W', 'A', 'P', 'G', 'S', 0,
-  /* 6471 */ 'C', 'O', 'P', 'Y', '_', 'T', 'O', '_', 'R', 'E', 'G', 'C', 'L', 'A', 'S', 'S', 0,
-  /* 6488 */ 'C', 'L', 'T', 'S', 0,
-  /* 6493 */ 'X', 'L', 'A', 'T', 0,
-  /* 6498 */ 'S', 'Y', 'S', 'R', 'E', 'T', 0,
-  /* 6505 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', 0,
-  /* 6519 */ 'S', 'K', 'I', 'N', 'I', 'T', 0,
-  /* 6526 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', 0,
-  /* 6534 */ 'H', 'L', 'T', 0,
-  /* 6538 */ 'P', 'A', 'T', 'C', 'H', 'P', 'O', 'I', 'N', 'T', 0,
-  /* 6549 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', 0,
-  /* 6558 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 6573 */ 'X', 'G', 'E', 'T', 'B', 'V', 0,
-  /* 6580 */ 'X', 'S', 'E', 'T', 'B', 'V', 0,
-  /* 6587 */ 'S', 'B', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6599 */ 'S', 'U', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6611 */ 'A', 'D', 'C', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6623 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6635 */ 'A', 'N', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6647 */ 'C', 'M', 'P', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6659 */ 'X', 'O', 'R', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6671 */ 'M', 'O', 'V', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6683 */ 'S', 'B', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6695 */ 'S', 'U', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6707 */ 'A', 'D', 'C', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6719 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6731 */ 'A', 'N', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6743 */ 'C', 'M', 'P', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6755 */ 'X', 'O', 'R', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6767 */ 'M', 'O', 'V', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6779 */ 'S', 'B', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6791 */ 'S', 'U', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6803 */ 'A', 'D', 'C', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6815 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6827 */ 'A', 'N', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6839 */ 'C', 'M', 'P', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6851 */ 'X', 'O', 'R', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6863 */ 'M', 'O', 'V', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6875 */ 'S', 'B', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6886 */ 'S', 'U', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6897 */ 'A', 'D', 'C', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6908 */ 'A', 'D', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6919 */ 'A', 'N', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6930 */ 'C', 'M', 'P', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6941 */ 'X', 'O', 'R', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6952 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6963 */ 'C', 'B', 'W', 0,
-  /* 6967 */ 'L', 'R', 'E', 'T', 'I', 'W', 0,
-  /* 6974 */ 'N', 'O', 'O', 'P', 'W', 0,
-  /* 6980 */ 'L', 'O', 'D', 'S', 'W', 0,
-  /* 6986 */ 'S', 'T', 'O', 'S', 'W', 0,
-  /* 6992 */ 'O', 'U', 'T', 'S', 'W', 0,
-  /* 6998 */ 'M', 'O', 'V', 'S', 'W', 0,
-  /* 7004 */ 'L', 'R', 'E', 'T', 'W', 0,
-  /* 7010 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7024 */ 'M', 'O', 'V', '8', 'r', 'm', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7037 */ 'M', 'O', 'V', '8', 'm', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7050 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7063 */ 'R', 'E', 'X', '6', '4', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7076 */ 'D', 'A', 'T', 'A', '1', '6', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7090 */ 'R', 'E', 'P', 'N', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7103 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7115 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7126 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7136 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7146 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7156 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7166 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7176 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7186 */ 'C', 'O', 'P', 'Y', 0,
-  /* 7191 */ 'J', 'C', 'X', 'Z', 0,
-  /* 7196 */ 'J', 'R', 'C', 'X', 'Z', 0,
-  /* 7202 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
-  /* 7211 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
-  /* 7220 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
-  /* 7230 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
-  /* 7240 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
-  /* 7250 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
-  /* 7260 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
-  /* 7270 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
-  /* 7279 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
-  /* 7287 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
-  /* 7296 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
-  /* 7305 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
-  /* 7314 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
-  /* 7323 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
-  /* 7331 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
-  /* 7339 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
-  /* 7348 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
-  /* 7357 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
-  /* 7366 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
-  /* 7379 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
-  /* 7387 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
-  /* 7395 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
-  /* 7404 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
-  /* 7413 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
-  /* 7424 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
-  /* 7434 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
-  /* 7445 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
-  /* 7455 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
-  /* 7466 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
-  /* 7474 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
-  /* 7487 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
-  /* 7495 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
-  /* 7508 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
-  /* 7521 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
-  /* 7532 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
-  /* 7540 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
-  /* 7548 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
-  /* 7556 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
-  /* 7564 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
-  /* 7572 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
-  /* 7580 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
-  /* 7588 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
-  /* 7596 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7604 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7617 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7629 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
-  /* 7638 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
-  /* 7646 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
-  /* 7655 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
-  /* 7666 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
-  /* 7674 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
-  /* 7682 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
-  /* 7690 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
-  /* 7698 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
-  /* 7706 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
-  /* 7714 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
-  /* 7722 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
-  /* 7730 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
-  /* 7739 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
-  /* 7747 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
-  /* 7760 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
-  /* 7768 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
-  /* 7781 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
-  /* 7794 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
-  /* 7802 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
-  /* 7810 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
-  /* 7818 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
-  /* 7826 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
-  /* 7834 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
-  /* 7842 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
-  /* 7850 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
-  /* 7858 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7866 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7879 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7891 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
-  /* 7900 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
-  /* 7908 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
-  /* 7915 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
-  /* 7927 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
-  /* 7934 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
-  /* 7946 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
-  /* 7958 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
-  /* 7965 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
-  /* 7972 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
-  /* 7979 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
-  /* 7986 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
-  /* 7993 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
-  /* 8000 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
-  /* 8007 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
-  /* 8014 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
-  /* 8021 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
-  /* 8033 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
-  /* 8044 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
-  /* 8052 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
-  /* 8059 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
-  /* 8070 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
-  /* 8080 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
-  /* 8090 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
-  /* 8098 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
-  /* 8106 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
-  /* 8114 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
-  /* 8122 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
-  /* 8130 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
-  /* 8141 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
-  /* 8149 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
-  /* 8157 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
-  /* 8165 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
-  /* 8173 */ 'I', 'N', '3', '2', 'r', 'i', 0,
-  /* 8180 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
-  /* 8188 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
-  /* 8196 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
-  /* 8204 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
-  /* 8212 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 8220 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 8228 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
-  /* 8237 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
-  /* 8245 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
-  /* 8254 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
-  /* 8265 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
-  /* 8273 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
-  /* 8281 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
-  /* 8289 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
-  /* 8297 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
-  /* 8305 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
-  /* 8313 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
-  /* 8321 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
-  /* 8329 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
-  /* 8337 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
-  /* 8346 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
-  /* 8354 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
-  /* 8362 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
-  /* 8370 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
-  /* 8378 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
-  /* 8386 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
-  /* 8394 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
-  /* 8402 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
-  /* 8410 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
-  /* 8418 */ 'I', 'N', '1', '6', 'r', 'i', 0,
-  /* 8425 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
-  /* 8433 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
-  /* 8441 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
-  /* 8449 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
-  /* 8457 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 8465 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 8473 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
-  /* 8482 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
-  /* 8490 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
-  /* 8497 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
-  /* 8504 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
-  /* 8511 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
-  /* 8518 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
-  /* 8525 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
-  /* 8532 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
-  /* 8539 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
-  /* 8546 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
-  /* 8553 */ 'I', 'N', '8', 'r', 'i', 0,
-  /* 8559 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
-  /* 8566 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
-  /* 8573 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
-  /* 8580 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
-  /* 8587 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
-  /* 8594 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
-  /* 8601 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
-  /* 8609 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
-  /* 8616 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
-  /* 8627 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
-  /* 8637 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
-  /* 8647 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
-  /* 8659 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
-  /* 8671 */ 'N', 'E', 'G', '3', '2', 'm', 0,
-  /* 8678 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
-  /* 8689 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
-  /* 8697 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
-  /* 8707 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 8715 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 8723 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 8731 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 8739 */ 'N', 'O', 'T', '3', '2', 'm', 0,
-  /* 8746 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
-  /* 8754 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 8764 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 8774 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
-  /* 8786 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
-  /* 8798 */ 'N', 'E', 'G', '6', '4', 'm', 0,
-  /* 8805 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
-  /* 8813 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
-  /* 8821 */ 'J', 'M', 'P', '6', '4', 'm', 0,
-  /* 8828 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 8836 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 8844 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 8852 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 8860 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
-  /* 8868 */ 'N', 'O', 'T', '6', '4', 'm', 0,
-  /* 8875 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
-  /* 8883 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
-  /* 8895 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
-  /* 8907 */ 'N', 'E', 'G', '1', '6', 'm', 0,
-  /* 8914 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
-  /* 8925 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
-  /* 8933 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
-  /* 8943 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 8951 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 8959 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 8967 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 8975 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 8983 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 8991 */ 'N', 'O', 'T', '1', '6', 'm', 0,
-  /* 8998 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
-  /* 9006 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 9014 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 9022 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 9032 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 9042 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
-  /* 9053 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
-  /* 9064 */ 'N', 'E', 'G', '8', 'm', 0,
-  /* 9070 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
-  /* 9077 */ 'N', 'O', 'T', '8', 'm', 0,
-  /* 9083 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
-  /* 9090 */ 'S', 'E', 'T', 'A', 'm', 0,
-  /* 9096 */ 'S', 'E', 'T', 'B', 'm', 0,
-  /* 9102 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
-  /* 9111 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
-  /* 9118 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
-  /* 9125 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
-  /* 9132 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
-  /* 9139 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
-  /* 9146 */ 'S', 'E', 'T', 'E', 'm', 0,
-  /* 9152 */ 'S', 'E', 'T', 'G', 'm', 0,
-  /* 9158 */ 'S', 'E', 'T', 'L', 'm', 0,
-  /* 9164 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
-  /* 9171 */ 'S', 'E', 'T', 'O', 'm', 0,
-  /* 9177 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
-  /* 9186 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
-  /* 9193 */ 'S', 'E', 'T', 'P', 'm', 0,
-  /* 9199 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
-  /* 9208 */ 'V', 'E', 'R', 'R', 'm', 0,
-  /* 9214 */ 'L', 'T', 'R', 'm', 0,
-  /* 9219 */ 'S', 'T', 'R', 'm', 0,
-  /* 9224 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
-  /* 9231 */ 'S', 'E', 'T', 'S', 'm', 0,
-  /* 9237 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
-  /* 9246 */ 'V', 'E', 'R', 'W', 'm', 0,
-  /* 9252 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
-  /* 9262 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
-  /* 9271 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
-  /* 9281 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
-  /* 9290 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
-  /* 9300 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
-  /* 9309 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
-  /* 9319 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
-  /* 9327 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
-  /* 9335 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
-  /* 9345 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
-  /* 9353 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 9363 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 9373 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
-  /* 9384 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
-  /* 9395 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
-  /* 9404 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
-  /* 9412 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
-  /* 9423 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
-  /* 9434 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
-  /* 9445 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
-  /* 9456 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
-  /* 9467 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
-  /* 9479 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
-  /* 9489 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
-  /* 9497 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
-  /* 9509 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
-  /* 9519 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
-  /* 9528 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
-  /* 9537 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
-  /* 9546 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9557 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9568 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9578 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 9590 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 9602 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
-  /* 9610 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
-  /* 9619 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
-  /* 9629 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
-  /* 9638 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
-  /* 9649 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
-  /* 9659 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
-  /* 9668 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
-  /* 9676 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
-  /* 9687 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
-  /* 9697 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
-  /* 9705 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
-  /* 9713 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 9721 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 9730 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
-  /* 9740 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
-  /* 9749 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 9757 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 9768 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
-  /* 9776 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
-  /* 9784 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
-  /* 9792 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
-  /* 9803 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
-  /* 9811 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
-  /* 9821 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 9831 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 9841 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
-  /* 9850 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
-  /* 9859 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
-  /* 9875 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
-  /* 9884 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 9893 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 9902 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
-  /* 9911 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 9920 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 9929 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
-  /* 9939 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
-  /* 9947 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
-  /* 9955 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
-  /* 9965 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
-  /* 9973 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 9983 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 9993 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
-  /* 10004 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
-  /* 10015 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
-  /* 10024 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
-  /* 10032 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
-  /* 10043 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
-  /* 10054 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
-  /* 10065 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
-  /* 10076 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
-  /* 10087 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
-  /* 10099 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
-  /* 10109 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
-  /* 10117 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
-  /* 10129 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
-  /* 10139 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
-  /* 10148 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
-  /* 10157 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 10166 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 10177 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 10188 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 10198 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 10210 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 10222 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
-  /* 10230 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
-  /* 10239 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
-  /* 10249 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
-  /* 10258 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
-  /* 10269 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
-  /* 10279 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
-  /* 10288 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
-  /* 10296 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
-  /* 10307 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
-  /* 10317 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
-  /* 10325 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
-  /* 10333 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 10341 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 10350 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
-  /* 10360 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
-  /* 10369 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
-  /* 10377 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
-  /* 10385 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
-  /* 10396 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 10404 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
-  /* 10414 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 10424 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 10434 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
-  /* 10443 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
-  /* 10452 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
-  /* 10468 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
-  /* 10477 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 10486 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 10495 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
-  /* 10504 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 10513 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 10522 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
-  /* 10532 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
-  /* 10540 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
-  /* 10548 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
-  /* 10558 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
-  /* 10566 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
-  /* 10575 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
-  /* 10583 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
-  /* 10594 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
-  /* 10605 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
-  /* 10616 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
-  /* 10627 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
-  /* 10638 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
-  /* 10648 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
-  /* 10656 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
-  /* 10668 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
-  /* 10678 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
-  /* 10686 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
-  /* 10695 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
-  /* 10705 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
-  /* 10716 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
-  /* 10726 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
-  /* 10734 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
-  /* 10745 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
-  /* 10755 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
-  /* 10763 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
-  /* 10771 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
-  /* 10779 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 10787 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 10798 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
-  /* 10806 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
-  /* 10814 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
-  /* 10822 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
-  /* 10833 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
-  /* 10841 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
-  /* 10851 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 10861 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 10871 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
-  /* 10880 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
-  /* 10896 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
-  /* 10903 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
-  /* 10910 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
-  /* 10917 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
-  /* 10925 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
-  /* 10932 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
-  /* 10943 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
-  /* 10950 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
-  /* 10957 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
-  /* 10965 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
-  /* 10980 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
-  /* 10988 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
-  /* 10996 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
-  /* 11004 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
-  /* 11018 */ 'L', 'E', 'A', '3', '2', 'r', 0,
-  /* 11025 */ 'D', 'E', 'C', '3', '2', 'r', 0,
-  /* 11032 */ 'I', 'N', 'C', '3', '2', 'r', 0,
-  /* 11039 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
-  /* 11048 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
-  /* 11058 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
-  /* 11068 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
-  /* 11078 */ 'N', 'E', 'G', '3', '2', 'r', 0,
-  /* 11085 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
-  /* 11093 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
-  /* 11101 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
-  /* 11109 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
-  /* 11118 */ 'J', 'M', 'P', '3', '2', 'r', 0,
-  /* 11125 */ 'P', 'O', 'P', '3', '2', 'r', 0,
-  /* 11132 */ 'S', 'T', 'R', '3', '2', 'r', 0,
-  /* 11139 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
-  /* 11147 */ 'N', 'O', 'T', '3', '2', 'r', 0,
-  /* 11154 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
-  /* 11162 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
-  /* 11170 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 11180 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 11190 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
-  /* 11200 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 11210 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 11220 */ 'L', 'E', 'A', '6', '4', 'r', 0,
-  /* 11227 */ 'D', 'E', 'C', '6', '4', 'r', 0,
-  /* 11234 */ 'I', 'N', 'C', '6', '4', 'r', 0,
-  /* 11241 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
-  /* 11251 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
-  /* 11261 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
-  /* 11271 */ 'N', 'E', 'G', '6', '4', 'r', 0,
-  /* 11278 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
-  /* 11286 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
-  /* 11294 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
-  /* 11302 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
-  /* 11311 */ 'J', 'M', 'P', '6', '4', 'r', 0,
-  /* 11318 */ 'P', 'O', 'P', '6', '4', 'r', 0,
-  /* 11325 */ 'S', 'T', 'R', '6', '4', 'r', 0,
-  /* 11332 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
-  /* 11340 */ 'N', 'O', 'T', '6', '4', 'r', 0,
-  /* 11347 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
-  /* 11355 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
-  /* 11363 */ 'L', 'E', 'A', '1', '6', 'r', 0,
-  /* 11370 */ 'D', 'E', 'C', '1', '6', 'r', 0,
-  /* 11377 */ 'I', 'N', 'C', '1', '6', 'r', 0,
-  /* 11384 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
-  /* 11394 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
-  /* 11404 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
-  /* 11414 */ 'N', 'E', 'G', '1', '6', 'r', 0,
-  /* 11421 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
-  /* 11429 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
-  /* 11437 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
-  /* 11445 */ 'J', 'M', 'P', '1', '6', 'r', 0,
-  /* 11452 */ 'P', 'O', 'P', '1', '6', 'r', 0,
-  /* 11459 */ 'S', 'T', 'R', '1', '6', 'r', 0,
-  /* 11466 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 11474 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 11482 */ 'N', 'O', 'T', '1', '6', 'r', 0,
-  /* 11489 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
-  /* 11497 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 11505 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 11513 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 11523 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 11533 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 11543 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 11553 */ 'D', 'E', 'C', '8', 'r', 0,
-  /* 11559 */ 'I', 'N', 'C', '8', 'r', 0,
-  /* 11565 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
-  /* 11574 */ 'N', 'E', 'G', '8', 'r', 0,
-  /* 11580 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
-  /* 11587 */ 'N', 'O', 'T', '8', 'r', 0,
-  /* 11593 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
-  /* 11600 */ 'S', 'E', 'T', 'A', 'r', 0,
-  /* 11606 */ 'S', 'E', 'T', 'B', 'r', 0,
-  /* 11612 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
-  /* 11619 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
-  /* 11626 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
-  /* 11633 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
-  /* 11640 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
-  /* 11647 */ 'S', 'E', 'T', 'E', 'r', 0,
-  /* 11653 */ 'S', 'E', 'T', 'G', 'r', 0,
-  /* 11659 */ 'S', 'E', 'T', 'L', 'r', 0,
-  /* 11665 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
-  /* 11672 */ 'S', 'E', 'T', 'O', 'r', 0,
-  /* 11678 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
-  /* 11687 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
-  /* 11694 */ 'S', 'E', 'T', 'P', 'r', 0,
-  /* 11700 */ 'V', 'E', 'R', 'R', 'r', 0,
-  /* 11706 */ 'L', 'T', 'R', 'r', 0,
-  /* 11711 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
-  /* 11718 */ 'S', 'E', 'T', 'S', 'r', 0,
-  /* 11724 */ 'V', 'E', 'R', 'W', 'r', 0,
-  /* 11730 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
-  /* 11739 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
-  /* 11748 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
-  /* 11757 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
-  /* 11765 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
-  /* 11773 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
-  /* 11781 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
-  /* 11789 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
-  /* 11804 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
-  /* 11812 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
-  /* 11820 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
-  /* 11827 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
-  /* 11835 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
-  /* 11848 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
-  /* 11856 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
-  /* 11864 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
-  /* 11877 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
-  /* 11890 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
-  /* 11900 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
-  /* 11908 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 11921 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 11933 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
-  /* 11941 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
-  /* 11949 */ 'B', 'T', '3', '2', 'm', 'r', 0,
-  /* 11956 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
-  /* 11972 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
-  /* 11980 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
-  /* 11993 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
-  /* 12001 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
-  /* 12009 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
-  /* 12022 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
-  /* 12035 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
-  /* 12045 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
-  /* 12053 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 12066 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 12078 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
-  /* 12086 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
-  /* 12094 */ 'B', 'T', '6', '4', 'm', 'r', 0,
-  /* 12101 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
-  /* 12117 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
-  /* 12125 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
-  /* 12138 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
-  /* 12146 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
-  /* 12154 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
-  /* 12167 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
-  /* 12180 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
-  /* 12190 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
-  /* 12199 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
-  /* 12207 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 12220 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 12232 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
-  /* 12240 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
-  /* 12248 */ 'B', 'T', '1', '6', 'm', 'r', 0,
-  /* 12255 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
-  /* 12271 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
-  /* 12278 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
-  /* 12290 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
-  /* 12297 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
-  /* 12309 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
-  /* 12321 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
-  /* 12328 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
-  /* 12340 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
-  /* 12351 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
-  /* 12366 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
-  /* 12376 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
-  /* 12385 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
-  /* 12395 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
-  /* 12404 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
-  /* 12414 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
-  /* 12423 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
-  /* 12433 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
-  /* 12441 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
-  /* 12449 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
-  /* 12459 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
-  /* 12467 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 12477 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 12487 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
-  /* 12498 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
-  /* 12506 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
-  /* 12517 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
-  /* 12526 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
-  /* 12534 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
-  /* 12545 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
-  /* 12556 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
-  /* 12567 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
-  /* 12578 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
-  /* 12589 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
-  /* 12601 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
-  /* 12611 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
-  /* 12619 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
-  /* 12631 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
-  /* 12641 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
-  /* 12650 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
-  /* 12659 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
-  /* 12668 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12679 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12690 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12700 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 12712 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 12724 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
-  /* 12732 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
-  /* 12741 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
-  /* 12751 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
-  /* 12760 */ 'I', 'N', '3', '2', 'r', 'r', 0,
-  /* 12767 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
-  /* 12778 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
-  /* 12788 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
-  /* 12797 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
-  /* 12805 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
-  /* 12816 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
-  /* 12826 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
-  /* 12834 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
-  /* 12842 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 12850 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 12859 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 12867 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 12877 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
-  /* 12886 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
-  /* 12897 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
-  /* 12905 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
-  /* 12915 */ 'B', 'T', '3', '2', 'r', 'r', 0,
-  /* 12922 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 12932 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 12942 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
-  /* 12951 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
-  /* 12959 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
-  /* 12968 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
-  /* 12976 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
-  /* 12985 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 12994 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 13003 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
-  /* 13012 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 13021 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 13030 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
-  /* 13040 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
-  /* 13048 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
-  /* 13056 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
-  /* 13066 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
-  /* 13074 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 13084 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 13094 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
-  /* 13105 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
-  /* 13113 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
-  /* 13124 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
-  /* 13133 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
-  /* 13141 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
-  /* 13152 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
-  /* 13163 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
-  /* 13174 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
-  /* 13185 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
-  /* 13196 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
-  /* 13208 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
-  /* 13218 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
-  /* 13226 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
-  /* 13238 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
-  /* 13248 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
-  /* 13257 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
-  /* 13266 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 13275 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 13286 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 13297 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 13307 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 13319 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 13331 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
-  /* 13339 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
-  /* 13348 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
-  /* 13358 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
-  /* 13367 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
-  /* 13378 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
-  /* 13388 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
-  /* 13397 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
-  /* 13405 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
-  /* 13416 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
-  /* 13426 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
-  /* 13434 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
-  /* 13442 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 13450 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 13459 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 13467 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 13477 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
-  /* 13486 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
-  /* 13497 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
-  /* 13505 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
-  /* 13515 */ 'B', 'T', '6', '4', 'r', 'r', 0,
-  /* 13522 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 13532 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 13542 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
-  /* 13551 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
-  /* 13560 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
-  /* 13568 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
-  /* 13577 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 13586 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 13595 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
-  /* 13604 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 13613 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 13622 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
-  /* 13632 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
-  /* 13640 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
-  /* 13648 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
-  /* 13658 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
-  /* 13666 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
-  /* 13674 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
-  /* 13683 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
-  /* 13691 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
-  /* 13702 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
-  /* 13713 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
-  /* 13724 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
-  /* 13735 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
-  /* 13746 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
-  /* 13756 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
-  /* 13764 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
-  /* 13776 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
-  /* 13786 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
-  /* 13795 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
-  /* 13803 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
-  /* 13812 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
-  /* 13822 */ 'I', 'N', '1', '6', 'r', 'r', 0,
-  /* 13829 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
-  /* 13840 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
-  /* 13850 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
-  /* 13858 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
-  /* 13869 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
-  /* 13879 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
-  /* 13887 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
-  /* 13895 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
-  /* 13903 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
-  /* 13911 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
-  /* 13922 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
-  /* 13930 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
-  /* 13940 */ 'B', 'T', '1', '6', 'r', 'r', 0,
-  /* 13947 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 13957 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 13967 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
-  /* 13976 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
-  /* 13984 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
-  /* 13992 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
-  /* 13999 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
-  /* 14006 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
-  /* 14013 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
-  /* 14021 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
-  /* 14028 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
-  /* 14039 */ 'I', 'N', '8', 'r', 'r', 0,
-  /* 14045 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
-  /* 14052 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
-  /* 14059 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
-  /* 14067 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
-  /* 14074 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
-  /* 14081 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
-  /* 14089 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
-  /* 14097 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
-  /* 14105 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
-  /* 14113 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
-  /* 14121 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
-  /* 14129 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
-  /* 14137 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
-  /* 14145 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
-  /* 14153 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 14168 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 14183 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 14196 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 14209 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 14221 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14234 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14246 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14259 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14271 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14283 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 646 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '3', '2', 0,
+  /* 656 */ 'P', 'U', 'S', 'H', 'A', '3', '2', 0,
+  /* 664 */ 'P', 'O', 'P', 'A', '3', '2', 0,
+  /* 671 */ 'V', 'M', 'L', 'O', 'A', 'D', '3', '2', 0,
+  /* 680 */ 'L', 'X', 'A', 'D', 'D', '3', '2', 0,
+  /* 688 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '3', '2', 0,
+  /* 698 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '3', '2', 0,
+  /* 708 */ 'C', 'P', 'U', 'I', 'D', '3', '2', 0,
+  /* 716 */ 'V', 'M', 'S', 'A', 'V', 'E', '3', '2', 0,
+  /* 725 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 0,
+  /* 736 */ 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 0,
+  /* 748 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 0,
+  /* 759 */ 'P', 'U', 'S', 'H', 'F', '3', '2', 0,
+  /* 767 */ 'P', 'O', 'P', 'F', '3', '2', 0,
+  /* 774 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 0,
+  /* 785 */ 'V', 'M', 'R', 'U', 'N', '3', '2', 0,
+  /* 793 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '3', '2', 0,
+  /* 812 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 0,
+  /* 823 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '3', '2', 0,
+  /* 840 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 0,
+  /* 850 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', 0,
+  /* 860 */ 'P', 'U', 'S', 'H', 'C', 'S', '3', '2', 0,
+  /* 869 */ 'P', 'U', 'S', 'H', 'D', 'S', '3', '2', 0,
+  /* 878 */ 'P', 'O', 'P', 'D', 'S', '3', '2', 0,
+  /* 886 */ 'P', 'U', 'S', 'H', 'E', 'S', '3', '2', 0,
+  /* 895 */ 'P', 'O', 'P', 'E', 'S', '3', '2', 0,
+  /* 903 */ 'P', 'U', 'S', 'H', 'F', 'S', '3', '2', 0,
+  /* 912 */ 'P', 'O', 'P', 'F', 'S', '3', '2', 0,
+  /* 920 */ 'P', 'U', 'S', 'H', 'G', 'S', '3', '2', 0,
+  /* 929 */ 'P', 'O', 'P', 'G', 'S', '3', '2', 0,
+  /* 937 */ 'P', 'U', 'S', 'H', 'S', 'S', '3', '2', 0,
+  /* 946 */ 'P', 'O', 'P', 'S', 'S', '3', '2', 0,
+  /* 954 */ 'I', 'R', 'E', 'T', '3', '2', 0,
+  /* 961 */ 'I', 'N', 'V', 'E', 'P', 'T', '3', '2', 0,
+  /* 970 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '3', '2', 0,
+  /* 984 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '3', '2', 0,
+  /* 997 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '3', '2', 0,
+  /* 1010 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '3', '2', 0,
+  /* 1023 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '3', '2', 0,
+  /* 1036 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '3', '2', 0,
+  /* 1048 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '3', '2', 0,
+  /* 1061 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '3', '2', 0,
+  /* 1074 */ 'J', 'E', 'C', 'X', 'Z', '_', '3', '2', 0,
+  /* 1083 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 1094 */ 'S', 'B', 'B', '3', '2', 'i', '3', '2', 0,
+  /* 1103 */ 'S', 'U', 'B', '3', '2', 'i', '3', '2', 0,
+  /* 1112 */ 'A', 'D', 'C', '3', '2', 'i', '3', '2', 0,
+  /* 1121 */ 'A', 'D', 'D', '3', '2', 'i', '3', '2', 0,
+  /* 1130 */ 'A', 'N', 'D', '3', '2', 'i', '3', '2', 0,
+  /* 1139 */ 'C', 'M', 'P', '3', '2', 'i', '3', '2', 0,
+  /* 1148 */ 'X', 'O', 'R', '3', '2', 'i', '3', '2', 0,
+  /* 1157 */ 'T', 'E', 'S', 'T', '3', '2', 'i', '3', '2', 0,
+  /* 1167 */ 'S', 'B', 'B', '6', '4', 'i', '3', '2', 0,
+  /* 1176 */ 'S', 'U', 'B', '6', '4', 'i', '3', '2', 0,
+  /* 1185 */ 'A', 'D', 'C', '6', '4', 'i', '3', '2', 0,
+  /* 1194 */ 'A', 'D', 'D', '6', '4', 'i', '3', '2', 0,
+  /* 1203 */ 'A', 'N', 'D', '6', '4', 'i', '3', '2', 0,
+  /* 1212 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '3', '2', 0,
+  /* 1222 */ 'C', 'M', 'P', '6', '4', 'i', '3', '2', 0,
+  /* 1231 */ 'X', 'O', 'R', '6', '4', 'i', '3', '2', 0,
+  /* 1240 */ 'T', 'E', 'S', 'T', '6', '4', 'i', '3', '2', 0,
+  /* 1250 */ 'P', 'U', 'S', 'H', 'i', '3', '2', 0,
+  /* 1258 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1268 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1283 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1293 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1308 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1323 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1333 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1348 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1362 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1373 */ 'M', 'O', 'V', '6', '4', 'm', 'i', '3', '2', 0,
+  /* 1383 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '3', '2', 0,
+  /* 1395 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1405 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1415 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1425 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1435 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1445 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1455 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1465 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1476 */ 'M', 'O', 'V', '6', '4', 'r', 'i', '3', '2', 0,
+  /* 1486 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '3', '2', 0,
+  /* 1498 */ 'C', 'A', 'L', 'L', '6', '4', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
+  /* 1512 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
+  /* 1524 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '3', '2', 0,
+  /* 1536 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', 0,
+  /* 1546 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '3', '2', 0,
+  /* 1556 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '3', '2', 0,
+  /* 1574 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '3', '2', 0,
+  /* 1591 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 1602 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 1618 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '3', '2', 0,
+  /* 1630 */ 'J', 'A', '_', '2', 0,
+  /* 1635 */ 'J', 'B', '_', '2', 0,
+  /* 1640 */ 'J', 'A', 'E', '_', '2', 0,
+  /* 1646 */ 'J', 'B', 'E', '_', '2', 0,
+  /* 1652 */ 'J', 'G', 'E', '_', '2', 0,
+  /* 1658 */ 'J', 'E', '_', '2', 0,
+  /* 1663 */ 'J', 'L', 'E', '_', '2', 0,
+  /* 1669 */ 'J', 'N', 'E', '_', '2', 0,
+  /* 1675 */ 'J', 'G', '_', '2', 0,
+  /* 1680 */ 'J', 'L', '_', '2', 0,
+  /* 1685 */ 'J', 'O', '_', '2', 0,
+  /* 1690 */ 'J', 'N', 'O', '_', '2', 0,
+  /* 1696 */ 'J', 'P', '_', '2', 0,
+  /* 1701 */ 'J', 'M', 'P', '_', '2', 0,
+  /* 1707 */ 'J', 'N', 'P', '_', '2', 0,
+  /* 1713 */ 'J', 'S', '_', '2', 0,
+  /* 1718 */ 'J', 'N', 'S', '_', '2', 0,
+  /* 1724 */ 'I', 'N', 'T', '3', 0,
+  /* 1729 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '6', '4', 0,
+  /* 1739 */ 'V', 'M', 'L', 'O', 'A', 'D', '6', '4', 0,
+  /* 1748 */ 'L', 'X', 'A', 'D', 'D', '6', '4', 0,
+  /* 1756 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '6', '4', 0,
+  /* 1766 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '6', '4', 0,
+  /* 1776 */ 'C', 'P', 'U', 'I', 'D', '6', '4', 0,
+  /* 1784 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 1795 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 1806 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 1817 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
+  /* 1828 */ 'L', 'E', 'A', 'V', 'E', '6', '4', 0,
+  /* 1836 */ 'V', 'M', 'S', 'A', 'V', 'E', '6', '4', 0,
+  /* 1845 */ 'X', 'S', 'A', 'V', 'E', '6', '4', 0,
+  /* 1853 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 0,
+  /* 1864 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 0,
+  /* 1875 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 0,
+  /* 1886 */ 'P', 'U', 'S', 'H', 'F', '6', '4', 0,
+  /* 1894 */ 'P', 'O', 'P', 'F', '6', '4', 0,
+  /* 1901 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 0,
+  /* 1912 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 0,
+  /* 1923 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 0,
+  /* 1934 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 0,
+  /* 1945 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '6', '4', 0,
+  /* 1955 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', '6', '4', 0,
+  /* 1967 */ 'V', 'M', 'R', 'U', 'N', '6', '4', 0,
+  /* 1975 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '6', '4', 0,
+  /* 1994 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 0,
+  /* 2005 */ 'F', 'A', 'R', 'J', 'M', 'P', '6', '4', 0,
+  /* 2014 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '6', '4', 0,
+  /* 2031 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 0,
+  /* 2041 */ 'X', 'R', 'S', 'T', 'O', 'R', '6', '4', 0,
+  /* 2050 */ 'P', 'U', 'S', 'H', 'F', 'S', '6', '4', 0,
+  /* 2059 */ 'P', 'O', 'P', 'F', 'S', '6', '4', 0,
+  /* 2067 */ 'P', 'U', 'S', 'H', 'G', 'S', '6', '4', 0,
+  /* 2076 */ 'P', 'O', 'P', 'G', 'S', '6', '4', 0,
+  /* 2084 */ 'I', 'R', 'E', 'T', '6', '4', 0,
+  /* 2091 */ 'S', 'Y', 'S', 'R', 'E', 'T', '6', '4', 0,
+  /* 2100 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', '6', '4', 0,
+  /* 2110 */ 'I', 'N', 'V', 'E', 'P', 'T', '6', '4', 0,
+  /* 2119 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', '6', '4', 0,
+  /* 2130 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '6', '4', 0,
+  /* 2144 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '6', '4', 0,
+  /* 2157 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '6', '4', 0,
+  /* 2170 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '6', '4', 0,
+  /* 2183 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '6', '4', 0,
+  /* 2196 */ 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 0,
+  /* 2205 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '6', '4', 0,
+  /* 2217 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'Q', '_', '6', '4', 0,
+  /* 2230 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'Q', '_', '6', '4', 0,
+  /* 2243 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '6', '4', 0,
+  /* 2256 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '6', '4', 0,
+  /* 2269 */ 'J', 'E', 'C', 'X', 'Z', '_', '6', '4', 0,
+  /* 2278 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 2289 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', '6', '4', 0,
+  /* 2300 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', '6', '4', 0,
+  /* 2313 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', '6', '4', 0,
+  /* 2326 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '6', '4', 0,
+  /* 2336 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', '6', '4', 0,
+  /* 2349 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', '6', '4', 0,
+  /* 2360 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '6', '4', 0,
+  /* 2370 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '6', '4', 0,
+  /* 2388 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '6', '4', 0,
+  /* 2405 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', '6', '4', 0,
+  /* 2416 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', '6', '4', 0,
+  /* 2427 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 2438 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 2454 */ 'J', 'A', '_', '4', 0,
+  /* 2459 */ 'J', 'B', '_', '4', 0,
+  /* 2464 */ 'J', 'A', 'E', '_', '4', 0,
+  /* 2470 */ 'J', 'B', 'E', '_', '4', 0,
+  /* 2476 */ 'J', 'G', 'E', '_', '4', 0,
+  /* 2482 */ 'J', 'E', '_', '4', 0,
+  /* 2487 */ 'J', 'L', 'E', '_', '4', 0,
+  /* 2493 */ 'J', 'N', 'E', '_', '4', 0,
+  /* 2499 */ 'J', 'G', '_', '4', 0,
+  /* 2504 */ 'J', 'L', '_', '4', 0,
+  /* 2509 */ 'J', 'O', '_', '4', 0,
+  /* 2514 */ 'J', 'N', 'O', '_', '4', 0,
+  /* 2520 */ 'J', 'P', '_', '4', 0,
+  /* 2525 */ 'J', 'M', 'P', '_', '4', 0,
+  /* 2531 */ 'J', 'N', 'P', '_', '4', 0,
+  /* 2537 */ 'J', 'S', '_', '4', 0,
+  /* 2542 */ 'J', 'N', 'S', '_', '4', 0,
+  /* 2548 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '4', 0,
+  /* 2560 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '4', 0,
+  /* 2570 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '4', 0,
+  /* 2582 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '4', 0,
+  /* 2592 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '5', 0,
+  /* 2604 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '5', 0,
+  /* 2614 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '5', 0,
+  /* 2626 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '5', 0,
+  /* 2636 */ 'P', 'U', 'S', 'H', 'A', '1', '6', 0,
+  /* 2644 */ 'P', 'O', 'P', 'A', '1', '6', 0,
+  /* 2651 */ 'L', 'X', 'A', 'D', 'D', '1', '6', 0,
+  /* 2659 */ 'P', 'U', 'S', 'H', 'F', '1', '6', 0,
+  /* 2667 */ 'P', 'O', 'P', 'F', '1', '6', 0,
+  /* 2674 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 0,
+  /* 2685 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', 0,
+  /* 2695 */ 'P', 'U', 'S', 'H', 'C', 'S', '1', '6', 0,
+  /* 2704 */ 'P', 'U', 'S', 'H', 'D', 'S', '1', '6', 0,
+  /* 2713 */ 'P', 'O', 'P', 'D', 'S', '1', '6', 0,
+  /* 2721 */ 'P', 'U', 'S', 'H', 'E', 'S', '1', '6', 0,
+  /* 2730 */ 'P', 'O', 'P', 'E', 'S', '1', '6', 0,
+  /* 2738 */ 'P', 'U', 'S', 'H', 'F', 'S', '1', '6', 0,
+  /* 2747 */ 'P', 'O', 'P', 'F', 'S', '1', '6', 0,
+  /* 2755 */ 'P', 'U', 'S', 'H', 'G', 'S', '1', '6', 0,
+  /* 2764 */ 'P', 'O', 'P', 'G', 'S', '1', '6', 0,
+  /* 2772 */ 'P', 'U', 'S', 'H', 'S', 'S', '1', '6', 0,
+  /* 2781 */ 'P', 'O', 'P', 'S', 'S', '1', '6', 0,
+  /* 2789 */ 'I', 'R', 'E', 'T', '1', '6', 0,
+  /* 2796 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', '_', '1', '6', 0,
+  /* 2809 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', '_', '1', '6', 0,
+  /* 2822 */ 'M', 'O', 'V', '8', 'a', 'o', '8', '_', '1', '6', 0,
+  /* 2833 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', '_', '1', '6', 0,
+  /* 2846 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', '_', '1', '6', 0,
+  /* 2859 */ 'M', 'O', 'V', '8', 'o', '8', 'a', '_', '1', '6', 0,
+  /* 2870 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '1', '6', 0,
+  /* 2880 */ 'S', 'B', 'B', '1', '6', 'i', '1', '6', 0,
+  /* 2889 */ 'S', 'U', 'B', '1', '6', 'i', '1', '6', 0,
+  /* 2898 */ 'A', 'D', 'C', '1', '6', 'i', '1', '6', 0,
+  /* 2907 */ 'A', 'D', 'D', '1', '6', 'i', '1', '6', 0,
+  /* 2916 */ 'A', 'N', 'D', '1', '6', 'i', '1', '6', 0,
+  /* 2925 */ 'C', 'M', 'P', '1', '6', 'i', '1', '6', 0,
+  /* 2934 */ 'X', 'O', 'R', '1', '6', 'i', '1', '6', 0,
+  /* 2943 */ 'T', 'E', 'S', 'T', '1', '6', 'i', '1', '6', 0,
+  /* 2953 */ 'P', 'U', 'S', 'H', 'i', '1', '6', 0,
+  /* 2961 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '1', '6', 0,
+  /* 2973 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '1', '6', 0,
+  /* 2985 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '1', '6', 0,
+  /* 2997 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '1', '6', 0,
+  /* 3009 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '1', '6', 0,
+  /* 3019 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', 0,
+  /* 3029 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '1', '6', 0,
+  /* 3041 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '1', '6', 0,
+  /* 3053 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '1', '6', 0,
+  /* 3065 */ 'X', 'S', 'H', 'A', '2', '5', '6', 0,
+  /* 3073 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '6', 0,
+  /* 3085 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '6', 0,
+  /* 3095 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '6', 0,
+  /* 3107 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '6', 0,
+  /* 3117 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '7', 0,
+  /* 3129 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '7', 0,
+  /* 3139 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '7', 0,
+  /* 3151 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '7', 0,
+  /* 3161 */ 'L', 'X', 'A', 'D', 'D', '8', 0,
+  /* 3168 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 0,
+  /* 3178 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 0,
+  /* 3187 */ 'P', 'U', 'S', 'H', '3', '2', 'i', '8', 0,
+  /* 3196 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '8', 0,
+  /* 3205 */ 'P', 'U', 'S', 'H', '1', '6', 'i', '8', 0,
+  /* 3214 */ 'S', 'B', 'B', '8', 'i', '8', 0,
+  /* 3221 */ 'S', 'U', 'B', '8', 'i', '8', 0,
+  /* 3228 */ 'A', 'D', 'C', '8', 'i', '8', 0,
+  /* 3235 */ 'A', 'A', 'D', '8', 'i', '8', 0,
+  /* 3242 */ 'A', 'D', 'D', '8', 'i', '8', 0,
+  /* 3249 */ 'A', 'N', 'D', '8', 'i', '8', 0,
+  /* 3256 */ 'A', 'A', 'M', '8', 'i', '8', 0,
+  /* 3263 */ 'C', 'M', 'P', '8', 'i', '8', 0,
+  /* 3270 */ 'X', 'O', 'R', '8', 'i', '8', 0,
+  /* 3277 */ 'T', 'E', 'S', 'T', '8', 'i', '8', 0,
+  /* 3285 */ 'S', 'B', 'B', '3', '2', 'm', 'i', '8', 0,
+  /* 3294 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', '8', 0,
+  /* 3308 */ 'A', 'D', 'C', '3', '2', 'm', 'i', '8', 0,
+  /* 3317 */ 'B', 'T', 'C', '3', '2', 'm', 'i', '8', 0,
+  /* 3326 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', '8', 0,
+  /* 3340 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', '8', 0,
+  /* 3354 */ 'C', 'M', 'P', '3', '2', 'm', 'i', '8', 0,
+  /* 3363 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
+  /* 3377 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
+  /* 3390 */ 'B', 'T', 'R', '3', '2', 'm', 'i', '8', 0,
+  /* 3399 */ 'B', 'T', 'S', '3', '2', 'm', 'i', '8', 0,
+  /* 3408 */ 'B', 'T', '3', '2', 'm', 'i', '8', 0,
+  /* 3416 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '8', 0,
+  /* 3425 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '8', 0,
+  /* 3439 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '8', 0,
+  /* 3448 */ 'B', 'T', 'C', '6', '4', 'm', 'i', '8', 0,
+  /* 3457 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '8', 0,
+  /* 3471 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '8', 0,
+  /* 3485 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '8', 0,
+  /* 3494 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
+  /* 3508 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
+  /* 3521 */ 'B', 'T', 'R', '6', '4', 'm', 'i', '8', 0,
+  /* 3530 */ 'B', 'T', 'S', '6', '4', 'm', 'i', '8', 0,
+  /* 3539 */ 'B', 'T', '6', '4', 'm', 'i', '8', 0,
+  /* 3547 */ 'S', 'B', 'B', '1', '6', 'm', 'i', '8', 0,
+  /* 3556 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', '8', 0,
+  /* 3570 */ 'A', 'D', 'C', '1', '6', 'm', 'i', '8', 0,
+  /* 3579 */ 'B', 'T', 'C', '1', '6', 'm', 'i', '8', 0,
+  /* 3588 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', '8', 0,
+  /* 3602 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', '8', 0,
+  /* 3616 */ 'C', 'M', 'P', '1', '6', 'm', 'i', '8', 0,
+  /* 3625 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
+  /* 3639 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
+  /* 3652 */ 'B', 'T', 'R', '1', '6', 'm', 'i', '8', 0,
+  /* 3661 */ 'B', 'T', 'S', '1', '6', 'm', 'i', '8', 0,
+  /* 3670 */ 'B', 'T', '1', '6', 'm', 'i', '8', 0,
+  /* 3678 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', '8', 0,
+  /* 3689 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '8', 0,
+  /* 3700 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', '8', 0,
+  /* 3711 */ 'S', 'B', 'B', '3', '2', 'r', 'i', '8', 0,
+  /* 3720 */ 'S', 'U', 'B', '3', '2', 'r', 'i', '8', 0,
+  /* 3729 */ 'A', 'D', 'C', '3', '2', 'r', 'i', '8', 0,
+  /* 3738 */ 'B', 'T', 'C', '3', '2', 'r', 'i', '8', 0,
+  /* 3747 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', 0,
+  /* 3756 */ 'A', 'N', 'D', '3', '2', 'r', 'i', '8', 0,
+  /* 3765 */ 'C', 'M', 'P', '3', '2', 'r', 'i', '8', 0,
+  /* 3774 */ 'X', 'O', 'R', '3', '2', 'r', 'i', '8', 0,
+  /* 3783 */ 'B', 'T', 'R', '3', '2', 'r', 'i', '8', 0,
+  /* 3792 */ 'B', 'T', 'S', '3', '2', 'r', 'i', '8', 0,
+  /* 3801 */ 'B', 'T', '3', '2', 'r', 'i', '8', 0,
+  /* 3809 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '8', 0,
+  /* 3818 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '8', 0,
+  /* 3827 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '8', 0,
+  /* 3836 */ 'B', 'T', 'C', '6', '4', 'r', 'i', '8', 0,
+  /* 3845 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', 0,
+  /* 3854 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '8', 0,
+  /* 3863 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '8', 0,
+  /* 3872 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '8', 0,
+  /* 3881 */ 'B', 'T', 'R', '6', '4', 'r', 'i', '8', 0,
+  /* 3890 */ 'B', 'T', 'S', '6', '4', 'r', 'i', '8', 0,
+  /* 3899 */ 'B', 'T', '6', '4', 'r', 'i', '8', 0,
+  /* 3907 */ 'S', 'B', 'B', '1', '6', 'r', 'i', '8', 0,
+  /* 3916 */ 'S', 'U', 'B', '1', '6', 'r', 'i', '8', 0,
+  /* 3925 */ 'A', 'D', 'C', '1', '6', 'r', 'i', '8', 0,
+  /* 3934 */ 'B', 'T', 'C', '1', '6', 'r', 'i', '8', 0,
+  /* 3943 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', 0,
+  /* 3952 */ 'A', 'N', 'D', '1', '6', 'r', 'i', '8', 0,
+  /* 3961 */ 'C', 'M', 'P', '1', '6', 'r', 'i', '8', 0,
+  /* 3970 */ 'X', 'O', 'R', '1', '6', 'r', 'i', '8', 0,
+  /* 3979 */ 'B', 'T', 'R', '1', '6', 'r', 'i', '8', 0,
+  /* 3988 */ 'B', 'T', 'S', '1', '6', 'r', 'i', '8', 0,
+  /* 3997 */ 'B', 'T', '1', '6', 'r', 'i', '8', 0,
+  /* 4005 */ 'S', 'U', 'B', '8', 'r', 'i', '8', 0,
+  /* 4013 */ 'A', 'D', 'D', '8', 'r', 'i', '8', 0,
+  /* 4021 */ 'A', 'N', 'D', '8', 'r', 'i', '8', 0,
+  /* 4029 */ 'X', 'O', 'R', '8', 'r', 'i', '8', 0,
+  /* 4037 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
+  /* 4048 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
+  /* 4059 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
+  /* 4070 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
+  /* 4081 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
+  /* 4092 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
+  /* 4103 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
+  /* 4114 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
+  /* 4125 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', '8', 0,
+  /* 4136 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
+  /* 4147 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
+  /* 4158 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '8', 0,
+  /* 4169 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
+  /* 4180 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
+  /* 4191 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', '8', 0,
+  /* 4202 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '8', 0,
+  /* 4213 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '8', 0,
+  /* 4224 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '8', 0,
+  /* 4235 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'm', '8', 0,
+  /* 4246 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'm', '8', 0,
+  /* 4257 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'm', '8', 0,
+  /* 4274 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '8', 0,
+  /* 4283 */ 'M', 'O', 'V', '8', 'a', 'o', '8', 0,
+  /* 4291 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '8', 0,
+  /* 4302 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '8', 0,
+  /* 4313 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '8', 0,
+  /* 4324 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'r', '8', 0,
+  /* 4335 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'r', '8', 0,
+  /* 4346 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'r', '8', 0,
+  /* 4363 */ 'N', 'O', 'O', 'P', 'L', '_', '1', '9', 0,
+  /* 4372 */ 'N', 'O', 'O', 'P', 'W', '_', '1', '9', 0,
+  /* 4381 */ 'A', 'A', 'A', 0,
+  /* 4385 */ 'D', 'A', 'A', 0,
+  /* 4389 */ 'W', '6', '4', 'A', 'L', 'L', 'O', 'C', 'A', 0,
+  /* 4399 */ 'W', 'I', 'N', '_', 'A', 'L', 'L', 'O', 'C', 'A', 0,
+  /* 4410 */ 'U', 'D', '2', 'B', 0,
+  /* 4415 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'B', 0,
+  /* 4427 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'B', 0,
+  /* 4438 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'E', 'C', 'B', 0,
+  /* 4448 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', '_', 'D', 'B', 0,
+  /* 4461 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', '_', 'D', 'B', 0,
+  /* 4473 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', '_', 'D', 'B', 0,
+  /* 4485 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', '_', 'D', 'B', 0,
+  /* 4497 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '_', 'D', 'B', 0,
+  /* 4508 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '_', 'D', 'B', 0,
+  /* 4519 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'D', 'B', 0,
+  /* 4530 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'D', 'B', 0,
+  /* 4541 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'D', 'B', 0,
+  /* 4552 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'F', 'B', 0,
+  /* 4562 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'O', 'F', 'B', 0,
+  /* 4572 */ 'S', 'C', 'A', 'S', 'B', 0,
+  /* 4578 */ 'L', 'O', 'D', 'S', 'B', 0,
+  /* 4584 */ 'I', 'N', 'S', 'B', 0,
+  /* 4589 */ 'S', 'T', 'O', 'S', 'B', 0,
+  /* 4595 */ 'C', 'M', 'P', 'S', 'B', 0,
+  /* 4601 */ 'O', 'U', 'T', 'S', 'B', 0,
+  /* 4607 */ 'M', 'O', 'V', 'S', 'B', 0,
+  /* 4613 */ 'C', 'L', 'A', 'C', 0,
+  /* 4618 */ 'S', 'T', 'A', 'C', 0,
+  /* 4623 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'B', 'C', 0,
+  /* 4633 */ 'G', 'E', 'T', 'S', 'E', 'C', 0,
+  /* 4640 */ 'S', 'A', 'L', 'C', 0,
+  /* 4645 */ 'C', 'L', 'C', 0,
+  /* 4649 */ 'C', 'M', 'C', 0,
+  /* 4653 */ 'R', 'D', 'P', 'M', 'C', 0,
+  /* 4659 */ 'V', 'M', 'F', 'U', 'N', 'C', 0,
+  /* 4666 */ 'R', 'D', 'T', 'S', 'C', 0,
+  /* 4672 */ 'S', 'T', 'C', 0,
+  /* 4676 */ 'C', 'L', 'D', 0,
+  /* 4680 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 4693 */ 'L', 'O', 'A', 'D', '_', 'S', 'T', 'A', 'C', 'K', '_', 'G', 'U', 'A', 'R', 'D', 0,
+  /* 4710 */ 'S', 'T', 'D', 0,
+  /* 4714 */ 'W', 'B', 'I', 'N', 'V', 'D', 0,
+  /* 4721 */ 'C', 'W', 'D', 0,
+  /* 4725 */ 'R', 'E', 'G', '_', 'S', 'E', 'Q', 'U', 'E', 'N', 'C', 'E', 0,
+  /* 4738 */ 'C', 'W', 'D', 'E', 0,
+  /* 4743 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 4750 */ 'V', 'M', 'R', 'E', 'S', 'U', 'M', 'E', 0,
+  /* 4759 */ 'L', 'O', 'O', 'P', 'N', 'E', 0,
+  /* 4766 */ 'L', 'O', 'O', 'P', 'E', 0,
+  /* 4772 */ 'C', 'D', 'Q', 'E', 0,
+  /* 4777 */ 'X', 'S', 'T', 'O', 'R', 'E', 0,
+  /* 4784 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 4793 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 4802 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 4811 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', 0,
+  /* 4820 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 4830 */ 'L', 'E', 'A', 'V', 'E', 0,
+  /* 4836 */ 'X', 'S', 'A', 'V', 'E', 0,
+  /* 4842 */ 'I', 'M', 'P', 'L', 'I', 'C', 'I', 'T', '_', 'D', 'E', 'F', 0,
+  /* 4855 */ 'V', 'M', 'X', 'O', 'F', 'F', 0,
+  /* 4862 */ 'L', 'A', 'H', 'F', 0,
+  /* 4867 */ 'S', 'A', 'H', 'F', 0,
+  /* 4872 */ 'E', 'X', 'T', 'R', 'A', 'C', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
+  /* 4887 */ 'I', 'N', 'S', 'E', 'R', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
+  /* 4901 */ 'S', 'U', 'B', 'R', 'E', 'G', '_', 'T', 'O', '_', 'R', 'E', 'G', 0,
+  /* 4915 */ 'I', 'N', 'V', 'L', 'P', 'G', 0,
+  /* 4922 */ 'V', 'M', 'L', 'A', 'U', 'N', 'C', 'H', 0,
+  /* 4931 */ 'C', 'L', 'G', 'I', 0,
+  /* 4936 */ 'S', 'T', 'G', 'I', 0,
+  /* 4941 */ 'P', 'H', 'I', 0,
+  /* 4945 */ 'C', 'L', 'I', 0,
+  /* 4949 */ 'S', 'T', 'I', 0,
+  /* 4953 */ 'S', 'A', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 4962 */ 'R', 'C', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 4971 */ 'S', 'H', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 4980 */ 'R', 'O', 'L', '3', '2', 'm', 'C', 'L', 0,
+  /* 4989 */ 'S', 'A', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 4998 */ 'R', 'C', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 5007 */ 'S', 'H', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 5016 */ 'R', 'O', 'R', '3', '2', 'm', 'C', 'L', 0,
+  /* 5025 */ 'S', 'A', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 5034 */ 'R', 'C', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 5043 */ 'S', 'H', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 5052 */ 'R', 'O', 'L', '6', '4', 'm', 'C', 'L', 0,
+  /* 5061 */ 'S', 'A', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 5070 */ 'R', 'C', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 5079 */ 'S', 'H', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 5088 */ 'R', 'O', 'R', '6', '4', 'm', 'C', 'L', 0,
+  /* 5097 */ 'S', 'A', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 5106 */ 'R', 'C', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 5115 */ 'S', 'H', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 5124 */ 'R', 'O', 'L', '1', '6', 'm', 'C', 'L', 0,
+  /* 5133 */ 'S', 'A', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 5142 */ 'R', 'C', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 5151 */ 'S', 'H', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 5160 */ 'R', 'O', 'R', '1', '6', 'm', 'C', 'L', 0,
+  /* 5169 */ 'S', 'A', 'L', '8', 'm', 'C', 'L', 0,
+  /* 5177 */ 'R', 'C', 'L', '8', 'm', 'C', 'L', 0,
+  /* 5185 */ 'S', 'H', 'L', '8', 'm', 'C', 'L', 0,
+  /* 5193 */ 'R', 'O', 'L', '8', 'm', 'C', 'L', 0,
+  /* 5201 */ 'S', 'A', 'R', '8', 'm', 'C', 'L', 0,
+  /* 5209 */ 'R', 'C', 'R', '8', 'm', 'C', 'L', 0,
+  /* 5217 */ 'S', 'H', 'R', '8', 'm', 'C', 'L', 0,
+  /* 5225 */ 'R', 'O', 'R', '8', 'm', 'C', 'L', 0,
+  /* 5233 */ 'S', 'A', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 5242 */ 'R', 'C', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 5251 */ 'S', 'H', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 5260 */ 'R', 'O', 'L', '3', '2', 'r', 'C', 'L', 0,
+  /* 5269 */ 'S', 'A', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 5278 */ 'R', 'C', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 5287 */ 'S', 'H', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 5296 */ 'R', 'O', 'R', '3', '2', 'r', 'C', 'L', 0,
+  /* 5305 */ 'S', 'A', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 5314 */ 'R', 'C', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 5323 */ 'S', 'H', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 5332 */ 'R', 'O', 'L', '6', '4', 'r', 'C', 'L', 0,
+  /* 5341 */ 'S', 'A', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 5350 */ 'R', 'C', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 5359 */ 'S', 'H', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 5368 */ 'R', 'O', 'R', '6', '4', 'r', 'C', 'L', 0,
+  /* 5377 */ 'S', 'A', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 5386 */ 'R', 'C', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 5395 */ 'S', 'H', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 5404 */ 'R', 'O', 'L', '1', '6', 'r', 'C', 'L', 0,
+  /* 5413 */ 'S', 'A', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 5422 */ 'R', 'C', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 5431 */ 'S', 'H', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 5440 */ 'R', 'O', 'R', '1', '6', 'r', 'C', 'L', 0,
+  /* 5449 */ 'S', 'A', 'L', '8', 'r', 'C', 'L', 0,
+  /* 5457 */ 'R', 'C', 'L', '8', 'r', 'C', 'L', 0,
+  /* 5465 */ 'S', 'H', 'L', '8', 'r', 'C', 'L', 0,
+  /* 5473 */ 'R', 'O', 'L', '8', 'r', 'C', 'L', 0,
+  /* 5481 */ 'S', 'A', 'R', '8', 'r', 'C', 'L', 0,
+  /* 5489 */ 'R', 'C', 'R', '8', 'r', 'C', 'L', 0,
+  /* 5497 */ 'S', 'H', 'R', '8', 'r', 'C', 'L', 0,
+  /* 5505 */ 'R', 'O', 'R', '8', 'r', 'C', 'L', 0,
+  /* 5513 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
+  /* 5524 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
+  /* 5535 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
+  /* 5546 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
+  /* 5557 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
+  /* 5568 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
+  /* 5579 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
+  /* 5590 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
+  /* 5601 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
+  /* 5612 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
+  /* 5623 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
+  /* 5634 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
+  /* 5645 */ 'G', 'C', '_', 'L', 'A', 'B', 'E', 'L', 0,
+  /* 5654 */ 'E', 'H', '_', 'L', 'A', 'B', 'E', 'L', 0,
+  /* 5663 */ 'L', 'R', 'E', 'T', 'I', 'L', 0,
+  /* 5670 */ 'V', 'M', 'M', 'C', 'A', 'L', 'L', 0,
+  /* 5678 */ 'V', 'M', 'C', 'A', 'L', 'L', 0,
+  /* 5685 */ 'S', 'Y', 'S', 'C', 'A', 'L', 'L', 0,
+  /* 5693 */ 'K', 'I', 'L', 'L', 0,
+  /* 5698 */ 'N', 'O', 'O', 'P', 'L', 0,
+  /* 5704 */ 'S', 'C', 'A', 'S', 'L', 0,
+  /* 5710 */ 'L', 'O', 'D', 'S', 'L', 0,
+  /* 5716 */ 'I', 'N', 'S', 'L', 0,
+  /* 5721 */ 'S', 'T', 'O', 'S', 'L', 0,
+  /* 5727 */ 'C', 'M', 'P', 'S', 'L', 0,
+  /* 5733 */ 'O', 'U', 'T', 'S', 'L', 0,
+  /* 5739 */ 'M', 'O', 'V', 'S', 'L', 0,
+  /* 5745 */ 'L', 'R', 'E', 'T', 'L', 0,
+  /* 5751 */ 'M', 'O', 'N', 'T', 'M', 'U', 'L', 0,
+  /* 5759 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 0,
+  /* 5771 */ 'F', 'S', 'E', 'T', 'P', 'M', 0,
+  /* 5778 */ 'I', 'N', 'L', 'I', 'N', 'E', 'A', 'S', 'M', 0,
+  /* 5788 */ 'R', 'S', 'M', 0,
+  /* 5792 */ 'C', 'F', 'I', '_', 'I', 'N', 'S', 'T', 'R', 'U', 'C', 'T', 'I', 'O', 'N', 0,
+  /* 5808 */ 'V', 'M', 'X', 'O', 'N', 0,
+  /* 5814 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', 0,
+  /* 5824 */ 'C', 'Q', 'O', 0,
+  /* 5828 */ 'I', 'N', 'T', 'O', 0,
+  /* 5833 */ 'S', 'T', 'A', 'C', 'K', 'M', 'A', 'P', 0,
+  /* 5842 */ 'T', 'R', 'A', 'P', 0,
+  /* 5847 */ 'R', 'D', 'T', 'S', 'C', 'P', 0,
+  /* 5854 */ 'L', 'O', 'O', 'P', 0,
+  /* 5859 */ 'N', 'O', 'O', 'P', 0,
+  /* 5864 */ 'C', 'D', 'Q', 0,
+  /* 5868 */ 'L', 'R', 'E', 'T', 'I', 'Q', 0,
+  /* 5875 */ 'S', 'C', 'A', 'S', 'Q', 0,
+  /* 5881 */ 'L', 'O', 'D', 'S', 'Q', 0,
+  /* 5887 */ 'S', 'T', 'O', 'S', 'Q', 0,
+  /* 5893 */ 'C', 'M', 'P', 'S', 'Q', 0,
+  /* 5899 */ 'M', 'O', 'V', 'S', 'Q', 0,
+  /* 5905 */ 'L', 'R', 'E', 'T', 'Q', 0,
+  /* 5911 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '1', '6', '_', 'Q', 0,
+  /* 5925 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '1', '6', '_', 'Q', 0,
+  /* 5939 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '8', '_', 'Q', 0,
+  /* 5952 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '8', '_', 'Q', 0,
+  /* 5965 */ 'S', 'Y', 'S', 'E', 'N', 'T', 'E', 'R', 0,
+  /* 5974 */ 'X', 'R', 'S', 'T', 'O', 'R', 0,
+  /* 5981 */ 'R', 'D', 'M', 'S', 'R', 0,
+  /* 5987 */ 'W', 'R', 'M', 'S', 'R', 0,
+  /* 5993 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'T', 'R', 0,
+  /* 6003 */ 'A', 'A', 'S', 0,
+  /* 6007 */ 'D', 'A', 'S', 0,
+  /* 6011 */ 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 0,
+  /* 6033 */ 'S', 'W', 'A', 'P', 'G', 'S', 0,
+  /* 6040 */ 'C', 'O', 'P', 'Y', '_', 'T', 'O', '_', 'R', 'E', 'G', 'C', 'L', 'A', 'S', 'S', 0,
+  /* 6057 */ 'C', 'L', 'T', 'S', 0,
+  /* 6062 */ 'X', 'L', 'A', 'T', 0,
+  /* 6067 */ 'S', 'Y', 'S', 'R', 'E', 'T', 0,
+  /* 6074 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', 0,
+  /* 6088 */ 'S', 'K', 'I', 'N', 'I', 'T', 0,
+  /* 6095 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', 0,
+  /* 6103 */ 'H', 'L', 'T', 0,
+  /* 6107 */ 'P', 'A', 'T', 'C', 'H', 'P', 'O', 'I', 'N', 'T', 0,
+  /* 6118 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', 0,
+  /* 6127 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 6142 */ 'X', 'G', 'E', 'T', 'B', 'V', 0,
+  /* 6149 */ 'X', 'S', 'E', 'T', 'B', 'V', 0,
+  /* 6156 */ 'S', 'B', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6168 */ 'S', 'U', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6180 */ 'A', 'D', 'C', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6192 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6204 */ 'A', 'N', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6216 */ 'C', 'M', 'P', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6228 */ 'X', 'O', 'R', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6240 */ 'M', 'O', 'V', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6252 */ 'S', 'B', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6264 */ 'S', 'U', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6276 */ 'A', 'D', 'C', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6288 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6300 */ 'A', 'N', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6312 */ 'C', 'M', 'P', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6324 */ 'X', 'O', 'R', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6336 */ 'M', 'O', 'V', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6348 */ 'S', 'B', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6360 */ 'S', 'U', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6372 */ 'A', 'D', 'C', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6384 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6396 */ 'A', 'N', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6408 */ 'C', 'M', 'P', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6420 */ 'X', 'O', 'R', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6432 */ 'M', 'O', 'V', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6444 */ 'S', 'B', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6455 */ 'S', 'U', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6466 */ 'A', 'D', 'C', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6477 */ 'A', 'D', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6488 */ 'A', 'N', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6499 */ 'C', 'M', 'P', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6510 */ 'X', 'O', 'R', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6521 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
+  /* 6532 */ 'C', 'B', 'W', 0,
+  /* 6536 */ 'L', 'R', 'E', 'T', 'I', 'W', 0,
+  /* 6543 */ 'N', 'O', 'O', 'P', 'W', 0,
+  /* 6549 */ 'S', 'C', 'A', 'S', 'W', 0,
+  /* 6555 */ 'L', 'O', 'D', 'S', 'W', 0,
+  /* 6561 */ 'I', 'N', 'S', 'W', 0,
+  /* 6566 */ 'S', 'T', 'O', 'S', 'W', 0,
+  /* 6572 */ 'C', 'M', 'P', 'S', 'W', 0,
+  /* 6578 */ 'O', 'U', 'T', 'S', 'W', 0,
+  /* 6584 */ 'M', 'O', 'V', 'S', 'W', 0,
+  /* 6590 */ 'L', 'R', 'E', 'T', 'W', 0,
+  /* 6596 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 6610 */ 'M', 'O', 'V', '8', 'r', 'm', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 6623 */ 'M', 'O', 'V', '8', 'm', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 6636 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
+  /* 6649 */ 'R', 'E', 'X', '6', '4', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6662 */ 'D', 'A', 'T', 'A', '1', '6', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6676 */ 'R', 'E', 'P', 'N', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6689 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6701 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6712 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6722 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6732 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6742 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6752 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6762 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
+  /* 6772 */ 'C', 'O', 'P', 'Y', 0,
+  /* 6777 */ 'J', 'C', 'X', 'Z', 0,
+  /* 6782 */ 'J', 'R', 'C', 'X', 'Z', 0,
+  /* 6788 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
+  /* 6797 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
+  /* 6806 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
+  /* 6816 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
+  /* 6826 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
+  /* 6836 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
+  /* 6846 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
+  /* 6856 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
+  /* 6865 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
+  /* 6873 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
+  /* 6882 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
+  /* 6891 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
+  /* 6900 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
+  /* 6909 */ 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 0,
+  /* 6924 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
+  /* 6932 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
+  /* 6940 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
+  /* 6949 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
+  /* 6958 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
+  /* 6967 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
+  /* 6980 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
+  /* 6988 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
+  /* 6996 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
+  /* 7005 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
+  /* 7014 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 7028 */ 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 0,
+  /* 7041 */ 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 7054 */ 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 7070 */ 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 0,
+  /* 7082 */ 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 0,
+  /* 7094 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
+  /* 7105 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
+  /* 7115 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
+  /* 7126 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
+  /* 7136 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
+  /* 7147 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
+  /* 7155 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
+  /* 7168 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
+  /* 7176 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
+  /* 7189 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
+  /* 7202 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
+  /* 7213 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
+  /* 7221 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
+  /* 7229 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
+  /* 7237 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
+  /* 7245 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
+  /* 7253 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
+  /* 7261 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
+  /* 7269 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
+  /* 7277 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 7285 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 7298 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
+  /* 7310 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
+  /* 7319 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
+  /* 7327 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
+  /* 7336 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
+  /* 7347 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
+  /* 7355 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
+  /* 7363 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
+  /* 7371 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
+  /* 7379 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
+  /* 7387 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
+  /* 7395 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
+  /* 7403 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
+  /* 7411 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
+  /* 7420 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
+  /* 7428 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
+  /* 7441 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
+  /* 7449 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
+  /* 7462 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
+  /* 7475 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
+  /* 7483 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
+  /* 7491 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
+  /* 7499 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
+  /* 7507 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
+  /* 7515 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
+  /* 7523 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
+  /* 7531 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
+  /* 7539 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 7547 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 7560 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
+  /* 7572 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
+  /* 7581 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
+  /* 7589 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
+  /* 7596 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
+  /* 7608 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
+  /* 7615 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
+  /* 7627 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
+  /* 7639 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
+  /* 7646 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
+  /* 7653 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
+  /* 7660 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
+  /* 7667 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
+  /* 7674 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
+  /* 7681 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
+  /* 7688 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
+  /* 7695 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
+  /* 7702 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
+  /* 7714 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
+  /* 7725 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
+  /* 7733 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
+  /* 7740 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
+  /* 7751 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
+  /* 7761 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
+  /* 7771 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
+  /* 7779 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
+  /* 7787 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
+  /* 7795 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
+  /* 7803 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
+  /* 7811 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
+  /* 7822 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
+  /* 7830 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
+  /* 7838 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
+  /* 7846 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
+  /* 7854 */ 'I', 'N', '3', '2', 'r', 'i', 0,
+  /* 7861 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
+  /* 7869 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
+  /* 7877 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
+  /* 7885 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
+  /* 7893 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 7901 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
+  /* 7909 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
+  /* 7918 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
+  /* 7926 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
+  /* 7935 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
+  /* 7946 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
+  /* 7954 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
+  /* 7962 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
+  /* 7970 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
+  /* 7978 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
+  /* 7986 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
+  /* 7994 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
+  /* 8002 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
+  /* 8010 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
+  /* 8018 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
+  /* 8027 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
+  /* 8035 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
+  /* 8043 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
+  /* 8051 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
+  /* 8059 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
+  /* 8067 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
+  /* 8075 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
+  /* 8083 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
+  /* 8091 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
+  /* 8099 */ 'I', 'N', '1', '6', 'r', 'i', 0,
+  /* 8106 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
+  /* 8114 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
+  /* 8122 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
+  /* 8130 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
+  /* 8138 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 8146 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
+  /* 8154 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
+  /* 8163 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
+  /* 8171 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
+  /* 8178 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
+  /* 8185 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
+  /* 8192 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
+  /* 8199 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
+  /* 8206 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
+  /* 8213 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
+  /* 8220 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
+  /* 8227 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
+  /* 8234 */ 'I', 'N', '8', 'r', 'i', 0,
+  /* 8240 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
+  /* 8247 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
+  /* 8254 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
+  /* 8261 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
+  /* 8268 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
+  /* 8275 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
+  /* 8282 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
+  /* 8290 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
+  /* 8297 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
+  /* 8308 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
+  /* 8318 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
+  /* 8328 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
+  /* 8340 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
+  /* 8352 */ 'N', 'E', 'G', '3', '2', 'm', 0,
+  /* 8359 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
+  /* 8370 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
+  /* 8378 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
+  /* 8388 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 8396 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
+  /* 8404 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 8412 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
+  /* 8420 */ 'N', 'O', 'T', '3', '2', 'm', 0,
+  /* 8427 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
+  /* 8435 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 8445 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
+  /* 8455 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
+  /* 8467 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
+  /* 8479 */ 'N', 'E', 'G', '6', '4', 'm', 0,
+  /* 8486 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
+  /* 8494 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
+  /* 8502 */ 'J', 'M', 'P', '6', '4', 'm', 0,
+  /* 8509 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 8517 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
+  /* 8525 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 8533 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
+  /* 8541 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
+  /* 8549 */ 'N', 'O', 'T', '6', '4', 'm', 0,
+  /* 8556 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
+  /* 8564 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
+  /* 8576 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
+  /* 8588 */ 'N', 'E', 'G', '1', '6', 'm', 0,
+  /* 8595 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
+  /* 8606 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
+  /* 8614 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
+  /* 8624 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 8632 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
+  /* 8640 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 8648 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
+  /* 8656 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 8664 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
+  /* 8672 */ 'N', 'O', 'T', '1', '6', 'm', 0,
+  /* 8679 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
+  /* 8687 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 8695 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
+  /* 8703 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 8713 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
+  /* 8723 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
+  /* 8734 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
+  /* 8745 */ 'N', 'E', 'G', '8', 'm', 0,
+  /* 8751 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
+  /* 8758 */ 'N', 'O', 'T', '8', 'm', 0,
+  /* 8764 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
+  /* 8771 */ 'S', 'E', 'T', 'A', 'm', 0,
+  /* 8777 */ 'S', 'E', 'T', 'B', 'm', 0,
+  /* 8783 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
+  /* 8792 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
+  /* 8799 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
+  /* 8806 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
+  /* 8813 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
+  /* 8820 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
+  /* 8827 */ 'S', 'E', 'T', 'E', 'm', 0,
+  /* 8833 */ 'S', 'E', 'T', 'G', 'm', 0,
+  /* 8839 */ 'S', 'E', 'T', 'L', 'm', 0,
+  /* 8845 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
+  /* 8852 */ 'S', 'E', 'T', 'O', 'm', 0,
+  /* 8858 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
+  /* 8867 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
+  /* 8874 */ 'S', 'E', 'T', 'P', 'm', 0,
+  /* 8880 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
+  /* 8889 */ 'V', 'E', 'R', 'R', 'm', 0,
+  /* 8895 */ 'L', 'T', 'R', 'm', 0,
+  /* 8900 */ 'S', 'T', 'R', 'm', 0,
+  /* 8905 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
+  /* 8912 */ 'S', 'E', 'T', 'S', 'm', 0,
+  /* 8918 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
+  /* 8927 */ 'V', 'E', 'R', 'W', 'm', 0,
+  /* 8933 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
+  /* 8943 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
+  /* 8952 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
+  /* 8962 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
+  /* 8971 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
+  /* 8981 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
+  /* 8990 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
+  /* 9000 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
+  /* 9008 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
+  /* 9016 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
+  /* 9026 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
+  /* 9034 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 9044 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
+  /* 9054 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
+  /* 9065 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
+  /* 9076 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
+  /* 9085 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
+  /* 9093 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
+  /* 9104 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
+  /* 9115 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
+  /* 9126 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
+  /* 9137 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
+  /* 9148 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
+  /* 9160 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
+  /* 9170 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
+  /* 9178 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
+  /* 9190 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
+  /* 9200 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
+  /* 9209 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
+  /* 9218 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
+  /* 9227 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 9238 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 9249 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
+  /* 9259 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 9271 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
+  /* 9283 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
+  /* 9291 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
+  /* 9300 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
+  /* 9310 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
+  /* 9319 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
+  /* 9330 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
+  /* 9340 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
+  /* 9349 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
+  /* 9357 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
+  /* 9368 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
+  /* 9378 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
+  /* 9386 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
+  /* 9394 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 9402 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
+  /* 9411 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
+  /* 9421 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
+  /* 9430 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 9438 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
+  /* 9449 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
+  /* 9457 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
+  /* 9465 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
+  /* 9473 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
+  /* 9484 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
+  /* 9492 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
+  /* 9502 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 9512 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
+  /* 9522 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
+  /* 9531 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
+  /* 9540 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
+  /* 9556 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
+  /* 9565 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 9574 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
+  /* 9583 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
+  /* 9592 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 9601 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
+  /* 9610 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
+  /* 9620 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
+  /* 9628 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
+  /* 9636 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
+  /* 9646 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
+  /* 9654 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 9664 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
+  /* 9674 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
+  /* 9685 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
+  /* 9696 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
+  /* 9705 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
+  /* 9713 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
+  /* 9724 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
+  /* 9735 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
+  /* 9746 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
+  /* 9757 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
+  /* 9768 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
+  /* 9780 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
+  /* 9790 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
+  /* 9798 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
+  /* 9810 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
+  /* 9820 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
+  /* 9829 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
+  /* 9838 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
+  /* 9847 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 9858 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 9869 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
+  /* 9879 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 9891 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
+  /* 9903 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
+  /* 9911 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
+  /* 9920 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
+  /* 9930 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
+  /* 9939 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
+  /* 9950 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
+  /* 9960 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
+  /* 9969 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
+  /* 9977 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
+  /* 9988 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
+  /* 9998 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
+  /* 10006 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
+  /* 10014 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 10022 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
+  /* 10031 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
+  /* 10041 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
+  /* 10050 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
+  /* 10058 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
+  /* 10066 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
+  /* 10077 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
+  /* 10085 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
+  /* 10095 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 10105 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
+  /* 10115 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
+  /* 10124 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
+  /* 10133 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
+  /* 10149 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
+  /* 10158 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 10167 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
+  /* 10176 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
+  /* 10185 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 10194 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
+  /* 10203 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
+  /* 10213 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
+  /* 10221 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
+  /* 10229 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
+  /* 10239 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
+  /* 10247 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
+  /* 10256 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
+  /* 10264 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
+  /* 10275 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
+  /* 10286 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
+  /* 10297 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
+  /* 10308 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
+  /* 10319 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
+  /* 10329 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
+  /* 10337 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
+  /* 10349 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
+  /* 10359 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
+  /* 10367 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
+  /* 10376 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
+  /* 10386 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
+  /* 10397 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
+  /* 10407 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
+  /* 10415 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
+  /* 10426 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
+  /* 10436 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
+  /* 10444 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
+  /* 10452 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
+  /* 10460 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 10468 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
+  /* 10479 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
+  /* 10487 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
+  /* 10495 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
+  /* 10503 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
+  /* 10514 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
+  /* 10522 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
+  /* 10532 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 10542 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
+  /* 10552 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
+  /* 10561 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
+  /* 10577 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
+  /* 10584 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
+  /* 10591 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
+  /* 10598 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
+  /* 10606 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
+  /* 10613 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
+  /* 10624 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
+  /* 10631 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
+  /* 10638 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
+  /* 10646 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
+  /* 10661 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
+  /* 10669 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
+  /* 10677 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
+  /* 10685 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
+  /* 10699 */ 'L', 'E', 'A', '3', '2', 'r', 0,
+  /* 10706 */ 'D', 'E', 'C', '3', '2', 'r', 0,
+  /* 10713 */ 'I', 'N', 'C', '3', '2', 'r', 0,
+  /* 10720 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
+  /* 10729 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
+  /* 10739 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
+  /* 10749 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
+  /* 10759 */ 'N', 'E', 'G', '3', '2', 'r', 0,
+  /* 10766 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
+  /* 10774 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
+  /* 10782 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
+  /* 10790 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
+  /* 10799 */ 'J', 'M', 'P', '3', '2', 'r', 0,
+  /* 10806 */ 'P', 'O', 'P', '3', '2', 'r', 0,
+  /* 10813 */ 'S', 'T', 'R', '3', '2', 'r', 0,
+  /* 10820 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
+  /* 10828 */ 'N', 'O', 'T', '3', '2', 'r', 0,
+  /* 10835 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
+  /* 10843 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
+  /* 10851 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 10861 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
+  /* 10871 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
+  /* 10881 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 10891 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
+  /* 10901 */ 'L', 'E', 'A', '6', '4', 'r', 0,
+  /* 10908 */ 'D', 'E', 'C', '6', '4', 'r', 0,
+  /* 10915 */ 'I', 'N', 'C', '6', '4', 'r', 0,
+  /* 10922 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
+  /* 10932 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
+  /* 10942 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
+  /* 10952 */ 'N', 'E', 'G', '6', '4', 'r', 0,
+  /* 10959 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
+  /* 10967 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
+  /* 10975 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
+  /* 10983 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
+  /* 10992 */ 'J', 'M', 'P', '6', '4', 'r', 0,
+  /* 10999 */ 'P', 'O', 'P', '6', '4', 'r', 0,
+  /* 11006 */ 'S', 'T', 'R', '6', '4', 'r', 0,
+  /* 11013 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
+  /* 11021 */ 'N', 'O', 'T', '6', '4', 'r', 0,
+  /* 11028 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
+  /* 11036 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
+  /* 11044 */ 'L', 'E', 'A', '1', '6', 'r', 0,
+  /* 11051 */ 'D', 'E', 'C', '1', '6', 'r', 0,
+  /* 11058 */ 'I', 'N', 'C', '1', '6', 'r', 0,
+  /* 11065 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
+  /* 11075 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
+  /* 11085 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
+  /* 11095 */ 'N', 'E', 'G', '1', '6', 'r', 0,
+  /* 11102 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
+  /* 11110 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
+  /* 11118 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
+  /* 11126 */ 'J', 'M', 'P', '1', '6', 'r', 0,
+  /* 11133 */ 'P', 'O', 'P', '1', '6', 'r', 0,
+  /* 11140 */ 'S', 'T', 'R', '1', '6', 'r', 0,
+  /* 11147 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 11155 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
+  /* 11163 */ 'N', 'O', 'T', '1', '6', 'r', 0,
+  /* 11170 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
+  /* 11178 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 11186 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
+  /* 11194 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 11204 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
+  /* 11214 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 11224 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
+  /* 11234 */ 'D', 'E', 'C', '8', 'r', 0,
+  /* 11240 */ 'I', 'N', 'C', '8', 'r', 0,
+  /* 11246 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
+  /* 11255 */ 'N', 'E', 'G', '8', 'r', 0,
+  /* 11261 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
+  /* 11268 */ 'N', 'O', 'T', '8', 'r', 0,
+  /* 11274 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
+  /* 11281 */ 'S', 'E', 'T', 'A', 'r', 0,
+  /* 11287 */ 'S', 'E', 'T', 'B', 'r', 0,
+  /* 11293 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
+  /* 11300 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
+  /* 11307 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
+  /* 11314 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
+  /* 11321 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
+  /* 11328 */ 'S', 'E', 'T', 'E', 'r', 0,
+  /* 11334 */ 'S', 'E', 'T', 'G', 'r', 0,
+  /* 11340 */ 'S', 'E', 'T', 'L', 'r', 0,
+  /* 11346 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
+  /* 11353 */ 'S', 'E', 'T', 'O', 'r', 0,
+  /* 11359 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
+  /* 11368 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
+  /* 11375 */ 'S', 'E', 'T', 'P', 'r', 0,
+  /* 11381 */ 'V', 'E', 'R', 'R', 'r', 0,
+  /* 11387 */ 'L', 'T', 'R', 'r', 0,
+  /* 11392 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
+  /* 11399 */ 'S', 'E', 'T', 'S', 'r', 0,
+  /* 11405 */ 'V', 'E', 'R', 'W', 'r', 0,
+  /* 11411 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
+  /* 11420 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
+  /* 11429 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
+  /* 11438 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
+  /* 11446 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
+  /* 11454 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
+  /* 11462 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
+  /* 11470 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
+  /* 11485 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
+  /* 11493 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
+  /* 11501 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
+  /* 11508 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
+  /* 11516 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
+  /* 11529 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
+  /* 11537 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
+  /* 11545 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
+  /* 11558 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
+  /* 11571 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
+  /* 11581 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
+  /* 11589 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 11602 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
+  /* 11614 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
+  /* 11622 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
+  /* 11630 */ 'B', 'T', '3', '2', 'm', 'r', 0,
+  /* 11637 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
+  /* 11653 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
+  /* 11661 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
+  /* 11674 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
+  /* 11682 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
+  /* 11690 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
+  /* 11703 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
+  /* 11716 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
+  /* 11726 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
+  /* 11734 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 11747 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
+  /* 11759 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
+  /* 11767 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
+  /* 11775 */ 'B', 'T', '6', '4', 'm', 'r', 0,
+  /* 11782 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
+  /* 11798 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
+  /* 11806 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
+  /* 11819 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
+  /* 11827 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
+  /* 11835 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
+  /* 11848 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
+  /* 11861 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
+  /* 11871 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
+  /* 11880 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
+  /* 11888 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 11901 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
+  /* 11913 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
+  /* 11921 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
+  /* 11929 */ 'B', 'T', '1', '6', 'm', 'r', 0,
+  /* 11936 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
+  /* 11952 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
+  /* 11959 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
+  /* 11971 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
+  /* 11978 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
+  /* 11990 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
+  /* 12002 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
+  /* 12009 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
+  /* 12021 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
+  /* 12032 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
+  /* 12047 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
+  /* 12057 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
+  /* 12066 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
+  /* 12076 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
+  /* 12085 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
+  /* 12095 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
+  /* 12104 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
+  /* 12114 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
+  /* 12122 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
+  /* 12130 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
+  /* 12140 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
+  /* 12148 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 12158 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
+  /* 12168 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
+  /* 12179 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
+  /* 12187 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
+  /* 12198 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
+  /* 12207 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
+  /* 12215 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
+  /* 12226 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
+  /* 12237 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
+  /* 12248 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
+  /* 12259 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
+  /* 12270 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
+  /* 12282 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
+  /* 12292 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
+  /* 12300 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
+  /* 12312 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
+  /* 12322 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
+  /* 12331 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
+  /* 12340 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
+  /* 12349 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 12360 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 12371 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
+  /* 12381 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 12393 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
+  /* 12405 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
+  /* 12413 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
+  /* 12422 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
+  /* 12432 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
+  /* 12441 */ 'I', 'N', '3', '2', 'r', 'r', 0,
+  /* 12448 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
+  /* 12459 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
+  /* 12469 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
+  /* 12478 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
+  /* 12486 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
+  /* 12497 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
+  /* 12507 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
+  /* 12515 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
+  /* 12523 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 12531 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
+  /* 12540 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 12548 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
+  /* 12558 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
+  /* 12567 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
+  /* 12578 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
+  /* 12586 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
+  /* 12596 */ 'B', 'T', '3', '2', 'r', 'r', 0,
+  /* 12603 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 12613 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
+  /* 12623 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
+  /* 12632 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
+  /* 12640 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
+  /* 12649 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
+  /* 12657 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
+  /* 12666 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 12675 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
+  /* 12684 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
+  /* 12693 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 12702 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
+  /* 12711 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
+  /* 12721 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
+  /* 12729 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
+  /* 12737 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
+  /* 12747 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
+  /* 12755 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 12765 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
+  /* 12775 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
+  /* 12786 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
+  /* 12794 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
+  /* 12805 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
+  /* 12814 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
+  /* 12822 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
+  /* 12833 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
+  /* 12844 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
+  /* 12855 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
+  /* 12866 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
+  /* 12877 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
+  /* 12889 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
+  /* 12899 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
+  /* 12907 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
+  /* 12919 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
+  /* 12929 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
+  /* 12938 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
+  /* 12947 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
+  /* 12956 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 12967 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 12978 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
+  /* 12988 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 13000 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
+  /* 13012 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
+  /* 13020 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
+  /* 13029 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
+  /* 13039 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
+  /* 13048 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
+  /* 13059 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
+  /* 13069 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
+  /* 13078 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
+  /* 13086 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
+  /* 13097 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
+  /* 13107 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
+  /* 13115 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
+  /* 13123 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 13131 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
+  /* 13140 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 13148 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
+  /* 13158 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
+  /* 13167 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
+  /* 13178 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
+  /* 13186 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
+  /* 13196 */ 'B', 'T', '6', '4', 'r', 'r', 0,
+  /* 13203 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 13213 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
+  /* 13223 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
+  /* 13232 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
+  /* 13241 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
+  /* 13249 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
+  /* 13258 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 13267 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
+  /* 13276 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
+  /* 13285 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 13294 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
+  /* 13303 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
+  /* 13313 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
+  /* 13321 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
+  /* 13329 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
+  /* 13339 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
+  /* 13347 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
+  /* 13355 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
+  /* 13364 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
+  /* 13372 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
+  /* 13383 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
+  /* 13394 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
+  /* 13405 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
+  /* 13416 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
+  /* 13427 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
+  /* 13437 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
+  /* 13445 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
+  /* 13457 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
+  /* 13467 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
+  /* 13476 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
+  /* 13484 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
+  /* 13493 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
+  /* 13503 */ 'I', 'N', '1', '6', 'r', 'r', 0,
+  /* 13510 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
+  /* 13521 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
+  /* 13531 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
+  /* 13539 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
+  /* 13550 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
+  /* 13560 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
+  /* 13568 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
+  /* 13576 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
+  /* 13584 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
+  /* 13592 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
+  /* 13603 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
+  /* 13611 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
+  /* 13621 */ 'B', 'T', '1', '6', 'r', 'r', 0,
+  /* 13628 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 13638 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
+  /* 13648 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
+  /* 13657 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
+  /* 13665 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
+  /* 13673 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
+  /* 13680 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
+  /* 13687 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
+  /* 13694 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
+  /* 13702 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
+  /* 13709 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
+  /* 13720 */ 'I', 'N', '8', 'r', 'r', 0,
+  /* 13726 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
+  /* 13733 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
+  /* 13740 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
+  /* 13748 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
+  /* 13755 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
+  /* 13762 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
+  /* 13770 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
+  /* 13778 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
+  /* 13786 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
+  /* 13794 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
+  /* 13802 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
+  /* 13810 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
+  /* 13818 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
+  /* 13826 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
+  /* 13834 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 13849 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
+  /* 13864 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 13877 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 13890 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
+  /* 13902 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13915 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13927 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13940 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13952 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+  /* 13964 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
 };
 
 static const unsigned X86InstrNameIndices[] = {
-    5416U, 6237U, 6129U, 6142U, 6120U, 6181U, 5347U, 5362U, 
-    5317U, 5376U, 6471U, 5295U, 5200U, 7186U, 5218U, 6558U, 
-    5172U, 6276U, 6538U, 4890U, 3744U, 3765U, 6434U, 10880U, 
-    9859U, 10452U, 10965U, 3321U, 7760U, 4079U, 12138U, 8362U, 
-    4434U, 10558U, 13658U, 6803U, 1343U, 7487U, 3817U, 11848U, 
-    8106U, 4238U, 9345U, 12459U, 6611U, 1416U, 1514U, 3948U, 
-    11993U, 1646U, 4336U, 9965U, 13066U, 6707U, 3737U, 7927U, 
-    12290U, 8504U, 10910U, 14006U, 6897U, 9875U, 12976U, 10468U, 
-    13568U, 3330U, 7773U, 4102U, 12159U, 8370U, 4452U, 4994U, 
-    5017U, 10567U, 13675U, 5050U, 6815U, 1352U, 7500U, 3840U, 
-    11869U, 8114U, 4256U, 4970U, 5006U, 9396U, 12518U, 5028U, 
-    6623U, 1425U, 1529U, 3971U, 12014U, 1656U, 4957U, 4354U, 
-    4982U, 10016U, 13125U, 5039U, 6719U, 3751U, 7939U, 12302U, 
-    8511U, 4522U, 10918U, 14014U, 6908U, 970U, 2248U, 1000U, 
-    2287U, 9902U, 13003U, 10495U, 13595U, 3339U, 7786U, 4116U, 
-    12172U, 8378U, 4461U, 10575U, 13683U, 6827U, 1361U, 7513U, 
-    3854U, 11882U, 8122U, 4265U, 9404U, 12526U, 6635U, 1434U, 
-    1544U, 3985U, 12027U, 1666U, 4363U, 10024U, 13133U, 6731U, 
-    3758U, 7951U, 12314U, 8518U, 4530U, 10925U, 14021U, 6919U, 
-    9629U, 12751U, 10249U, 13358U, 12190U, 13786U, 658U, 2986U, 
-    851U, 2015U, 670U, 3591U, 3198U, 1180U, 2436U, 756U, 
-    3677U, 3033U, 941U, 2207U, 695U, 3620U, 2996U, 861U, 
-    2025U, 682U, 3600U, 3064U, 1037U, 2314U, 733U, 3648U, 
-    646U, 720U, 3208U, 1190U, 2446U, 768U, 3686U, 3043U, 
-    951U, 2217U, 707U, 3629U, 3073U, 1046U, 2332U, 744U, 
-    3656U, 9730U, 12867U, 10350U, 13467U, 7521U, 8130U, 7655U, 
-    8254U, 9578U, 12700U, 10198U, 13307U, 9519U, 12641U, 10139U, 
-    13248U, 9353U, 12467U, 9973U, 13074U, 9546U, 12668U, 10166U, 
-    13275U, 9740U, 12877U, 10360U, 13477U, 9590U, 12712U, 10210U, 
-    13319U, 9537U, 12659U, 10157U, 13266U, 9363U, 12477U, 9983U, 
-    13084U, 9557U, 12679U, 10177U, 13286U, 9721U, 12850U, 10341U, 
-    13450U, 10787U, 9757U, 10648U, 13756U, 9489U, 12611U, 10109U, 
-    13218U, 10771U, 13895U, 9713U, 12842U, 10333U, 13442U, 11109U, 
-    11302U, 4179U, 12248U, 4506U, 13940U, 3917U, 11949U, 4310U, 
-    12915U, 4048U, 12094U, 4408U, 13515U, 4088U, 12146U, 4443U, 
-    13666U, 3826U, 11856U, 4247U, 12498U, 3957U, 12001U, 4345U, 
-    13105U, 4161U, 12232U, 4488U, 13903U, 3899U, 11933U, 4292U, 
-    12859U, 4030U, 12078U, 4390U, 13459U, 4170U, 12240U, 4497U, 
-    13922U, 3908U, 11941U, 4301U, 12897U, 4039U, 12086U, 4399U, 
-    13497U, 9528U, 12650U, 10148U, 13257U, 8917U, 11429U, 8681U, 
-    11093U, 8805U, 1729U, 11286U, 3384U, 1743U, 6963U, 6307U, 
-    5247U, 5105U, 5137U, 5168U, 5406U, 5420U, 6488U, 5141U, 
-    10522U, 13622U, 9309U, 12423U, 9929U, 13030U, 10583U, 13691U, 
-    9412U, 12534U, 10032U, 13141U, 10548U, 13648U, 9335U, 12449U, 
-    9955U, 13056U, 10594U, 13702U, 9423U, 12545U, 10043U, 13152U, 
-    10638U, 13746U, 9479U, 12601U, 10099U, 13208U, 10668U, 13776U, 
-    9509U, 12631U, 10129U, 13238U, 10605U, 13713U, 9434U, 12556U, 
-    10054U, 13163U, 10695U, 13812U, 9619U, 12741U, 10239U, 13348U, 
-    10616U, 13724U, 9445U, 12567U, 10065U, 13174U, 10627U, 13735U, 
-    9456U, 12578U, 10076U, 13185U, 10705U, 13829U, 9638U, 12767U, 
-    10258U, 13367U, 10734U, 13858U, 9676U, 12805U, 10296U, 13405U, 
-    10822U, 13911U, 9792U, 12886U, 10385U, 13486U, 10716U, 13840U, 
-    9649U, 12778U, 10269U, 13378U, 10745U, 13869U, 9687U, 12816U, 
-    10307U, 13416U, 10841U, 13930U, 9811U, 12905U, 10404U, 13505U, 
-    1017U, 2304U, 3054U, 1027U, 3639U, 989U, 2267U, 26U, 
-    892U, 2105U, 2164U, 881U, 2116U, 2175U, 904U, 2127U, 
-    2186U, 3348U, 7826U, 4125U, 12199U, 8425U, 4470U, 10726U, 
-    13850U, 6839U, 1370U, 7564U, 3863U, 11900U, 8180U, 4274U, 
-    9668U, 12797U, 6647U, 1453U, 1554U, 3994U, 12045U, 1676U, 
-    4372U, 10288U, 13397U, 6743U, 3772U, 7986U, 12321U, 8559U, 
-    10943U, 14045U, 6930U, 3167U, 1140U, 2383U, 3671U, 4925U, 
-    10656U, 13764U, 9497U, 12619U, 10117U, 13226U, 4937U, 10932U, 
-    14028U, 843U, 2007U, 6267U, 7126U, 5196U, 5213U, 4894U, 
-    6438U, 7076U, 8888U, 11370U, 11513U, 11170U, 8652U, 11025U, 
-    9022U, 11533U, 8754U, 11200U, 8779U, 11227U, 9047U, 11553U, 
-    8999U, 11490U, 8747U, 11155U, 8876U, 11348U, 9084U, 11594U, 
-    7136U, 6257U, 2228U, 1787U, 2697U, 1805U, 2715U, 11004U, 
-    6399U, 7146U, 7434U, 8914U, 7413U, 8678U, 2197U, 7445U, 
-    8933U, 7424U, 8697U, 2278U, 6230U, 7156U, 5125U, 7166U, 
-    6534U, 8998U, 11489U, 8746U, 11154U, 8875U, 11347U, 9083U, 
-    11593U, 8925U, 11437U, 10686U, 8080U, 4209U, 13803U, 8637U, 
-    4700U, 8689U, 11101U, 9610U, 8070U, 4187U, 12732U, 8627U, 
-    4634U, 8813U, 11294U, 10230U, 1614U, 4198U, 13339U, 1717U, 
-    4667U, 9070U, 11580U, 3038U, 8418U, 13822U, 946U, 8173U, 
-    12760U, 3625U, 8553U, 14039U, 8900U, 11377U, 11523U, 11180U, 
-    8664U, 11032U, 9032U, 11543U, 8764U, 11210U, 8791U, 11234U, 
-    9058U, 11559U, 6545U, 51U, 1955U, 6271U, 5191U, 1171U, 
-    2416U, 5390U, 781U, 1960U, 823U, 1987U, 833U, 1997U, 
-    3191U, 1164U, 2390U, 11789U, 66U, 1871U, 2791U, 56U, 
-    1861U, 2781U, 72U, 1877U, 2797U, 61U, 1866U, 2786U, 
-    7191U, 1305U, 2596U, 84U, 1889U, 2809U, 78U, 1883U, 
-    2803U, 101U, 1906U, 2826U, 89U, 1894U, 2814U, 106U, 
-    1911U, 2831U, 8936U, 11445U, 8700U, 11118U, 8821U, 11311U, 
-    127U, 1932U, 2852U, 95U, 1900U, 2820U, 116U, 1921U, 
-    2841U, 133U, 1938U, 2858U, 144U, 1949U, 2869U, 111U, 
-    1916U, 2836U, 122U, 1927U, 2847U, 7196U, 139U, 1944U, 
-    2864U, 5337U, 10755U, 13879U, 9697U, 12826U, 10317U, 13426U, 
-    3022U, 4924U, 930U, 2153U, 3610U, 4936U, 10779U, 9749U, 
-    11363U, 11018U, 11190U, 11220U, 5305U, 2080U, 10798U, 9768U, 
-    10806U, 9776U, 10369U, 8943U, 8707U, 8828U, 10814U, 9784U, 
-    10377U, 8959U, 8723U, 8844U, 8975U, 11466U, 9006U, 11497U, 
-    7768U, 4097U, 12154U, 7495U, 3835U, 11864U, 1524U, 3966U, 
-    12009U, 7934U, 12297U, 7781U, 4111U, 12167U, 7508U, 3849U, 
-    11877U, 1539U, 3980U, 12022U, 7946U, 12309U, 8883U, 8647U, 
-    8774U, 9042U, 8895U, 8659U, 8786U, 9053U, 7879U, 4148U, 
-    12220U, 7617U, 3886U, 11921U, 1579U, 4017U, 12066U, 8033U, 
-    12340U, 7103U, 7747U, 4065U, 12125U, 7474U, 3803U, 11835U, 
-    1499U, 3934U, 11980U, 7915U, 12278U, 7866U, 4134U, 12207U, 
-    7604U, 3872U, 11908U, 1564U, 4003U, 12053U, 8021U, 12328U, 
-    5081U, 6192U, 6318U, 6980U, 6297U, 5241U, 5234U, 6151U, 
-    6311U, 6967U, 6216U, 6336U, 7004U, 10678U, 13795U, 9602U, 
-    12724U, 10222U, 13331U, 10833U, 9803U, 10396U, 9214U, 11706U, 
-    2978U, 815U, 1979U, 3584U, 10851U, 13947U, 9821U, 12922U, 
-    10414U, 13522U, 6222U, 6505U, 0U, 3442U, 3232U, 7900U, 
-    12263U, 14121U, 7260U, 3269U, 8482U, 14259U, 10888U, 13984U, 
-    6863U, 14145U, 10996U, 14097U, 1767U, 3219U, 11757U, 11773U, 
-    7638U, 11964U, 14105U, 7220U, 3256U, 37U, 7323U, 7379U, 
-    8237U, 2653U, 14234U, 9867U, 12968U, 6671U, 14129U, 10980U, 
-    14081U, 3432U, 1777U, 2687U, 4783U, 11765U, 11781U, 1604U, 
-    12109U, 14113U, 7250U, 7230U, 7240U, 7270U, 7331U, 7387U, 
-    8329U, 1707U, 10460U, 13560U, 6767U, 14137U, 10988U, 14089U, 
-    4792U, 3245U, 8052U, 12359U, 7037U, 7279U, 3282U, 8609U, 
-    14283U, 10973U, 7024U, 14074U, 7050U, 6952U, 12180U, 10595U, 
-    11890U, 9424U, 12035U, 10044U, 11039U, 5099U, 6210U, 6330U, 
-    6998U, 4744U, 4833U, 3396U, 4711U, 3452U, 4800U, 3420U, 
-    1755U, 4733U, 3476U, 1849U, 4822U, 4755U, 4844U, 4766U, 
-    4855U, 3408U, 4722U, 3464U, 4811U, 6342U, 6370U, 6356U, 
-    6383U, 8926U, 11438U, 8690U, 11102U, 8814U, 11295U, 9071U, 
-    11581U, 9893U, 12994U, 10486U, 13586U, 8907U, 11414U, 8671U, 
-    11078U, 8798U, 11271U, 9064U, 11574U, 6302U, 2875U, 2919U, 
-    3496U, 3540U, 2897U, 2941U, 3518U, 3562U, 2887U, 2931U, 
-    3508U, 3552U, 2909U, 2953U, 3530U, 3574U, 6186U, 4872U, 
-    7202U, 7287U, 7305U, 7339U, 7395U, 6974U, 4881U, 7211U, 
-    7296U, 7314U, 7348U, 7404U, 8991U, 11482U, 8739U, 11147U, 
-    8868U, 11340U, 9077U, 11587U, 3358U, 7859U, 4140U, 12213U, 
-    8458U, 4480U, 10764U, 13888U, 6852U, 1380U, 7597U, 3878U, 
-    11914U, 7366U, 8213U, 4284U, 9706U, 12835U, 6660U, 1463U, 
-    1570U, 4009U, 12059U, 1687U, 4382U, 10326U, 13435U, 6756U, 
-    3780U, 8015U, 12334U, 8588U, 4539U, 10951U, 14053U, 6942U, 
-    11812U, 13976U, 11804U, 12951U, 11820U, 14067U, 5093U, 6204U, 
-    6992U, 9659U, 12788U, 10279U, 13388U, 9850U, 12959U, 10443U, 
-    13551U, 11452U, 9300U, 12414U, 11125U, 9262U, 12376U, 11318U, 
-    9281U, 12395U, 2971U, 799U, 3108U, 1081U, 3125U, 1098U, 
-    3015U, 923U, 2146U, 3142U, 1115U, 2358U, 3159U, 1132U, 
-    2375U, 3183U, 1156U, 3714U, 11421U, 9290U, 12404U, 3696U, 
-    11085U, 9252U, 12366U, 3293U, 1443U, 3705U, 11278U, 9271U, 
-    12385U, 2963U, 791U, 3090U, 1063U, 3099U, 1072U, 3116U, 
-    1089U, 3007U, 915U, 2138U, 3133U, 1106U, 2349U, 3150U, 
-    1123U, 2366U, 3174U, 1147U, 3376U, 1481U, 286U, 5581U, 
-    7802U, 534U, 5861U, 8394U, 158U, 5437U, 7540U, 406U, 
-    5717U, 8149U, 222U, 5509U, 7674U, 470U, 5789U, 8273U, 
-    349U, 5652U, 7965U, 597U, 5932U, 8532U, 318U, 5617U, 
-    7842U, 566U, 5897U, 8441U, 190U, 5473U, 7580U, 438U, 
-    5753U, 8196U, 254U, 5545U, 7706U, 502U, 5825U, 8305U, 
-    377U, 5684U, 8000U, 625U, 5964U, 8573U, 5259U, 2036U, 
-    5277U, 2058U, 6412U, 5145U, 11404U, 11068U, 11261U, 11394U, 
-    11058U, 11251U, 5158U, 6290U, 12255U, 11956U, 12101U, 12351U, 
-    7090U, 1228U, 2484U, 1254U, 2510U, 2557U, 1292U, 2583U, 
-    7115U, 1215U, 2471U, 1241U, 2497U, 2544U, 1279U, 2570U, 
-    6152U, 6312U, 6968U, 6217U, 6337U, 7005U, 7063U, 302U, 
-    5599U, 7818U, 550U, 5879U, 8410U, 174U, 5455U, 7556U, 
-    422U, 5735U, 8165U, 238U, 5527U, 7690U, 486U, 5807U, 
-    8289U, 363U, 5668U, 7979U, 611U, 5948U, 8546U, 334U, 
-    5635U, 7858U, 582U, 5915U, 8457U, 206U, 5491U, 7596U, 
-    454U, 5771U, 8212U, 270U, 5563U, 7722U, 518U, 5843U, 
-    8321U, 391U, 5700U, 8014U, 639U, 5980U, 8587U, 7646U, 
-    8245U, 7730U, 8337U, 6247U, 5342U, 278U, 5572U, 7794U, 
-    526U, 5852U, 8386U, 150U, 5428U, 7532U, 398U, 5708U, 
-    8141U, 214U, 5500U, 7666U, 462U, 5780U, 8265U, 342U, 
-    5644U, 7958U, 590U, 5924U, 8525U, 5132U, 310U, 5608U, 
-    7834U, 558U, 5888U, 8433U, 182U, 5464U, 7572U, 430U, 
-    5744U, 8188U, 246U, 5536U, 7698U, 494U, 5816U, 8297U, 
-    370U, 5676U, 7993U, 618U, 5956U, 8566U, 9911U, 13012U, 
-    10504U, 13604U, 3303U, 7739U, 4056U, 12117U, 8346U, 4416U, 
-    10532U, 13632U, 6779U, 1325U, 7466U, 3794U, 11827U, 8090U, 
-    4220U, 9319U, 12433U, 6587U, 1398U, 1489U, 3925U, 11972U, 
-    1626U, 4318U, 9939U, 13040U, 6683U, 3723U, 7908U, 12271U, 
-    8490U, 10896U, 13992U, 6875U, 3083U, 1056U, 2342U, 3665U, 
-    1201U, 2457U, 9111U, 11612U, 9090U, 11600U, 9118U, 11619U, 
-    11384U, 11048U, 11241U, 11565U, 9096U, 11606U, 9146U, 11647U, 
-    9125U, 11626U, 9152U, 11653U, 9132U, 11633U, 9158U, 11659U, 
-    9139U, 11640U, 9164U, 11665U, 9186U, 11687U, 9224U, 11711U, 
-    9171U, 11672U, 9193U, 11694U, 9231U, 11718U, 8951U, 8715U, 
-    8836U, 294U, 5590U, 7810U, 542U, 5870U, 8402U, 166U, 
-    5446U, 7548U, 414U, 5726U, 8157U, 230U, 5518U, 7682U, 
-    478U, 5798U, 8281U, 356U, 5660U, 7972U, 604U, 5940U, 
-    8539U, 6032U, 4590U, 6098U, 4678U, 5988U, 4546U, 6054U, 
-    4612U, 6010U, 4568U, 6076U, 4645U, 9884U, 12985U, 10477U, 
-    13577U, 326U, 5626U, 7850U, 574U, 5906U, 8449U, 198U, 
-    5482U, 7588U, 446U, 5762U, 8204U, 262U, 5554U, 7714U, 
-    510U, 5834U, 8313U, 384U, 5692U, 8007U, 632U, 5972U, 
-    8580U, 6043U, 4601U, 6109U, 4689U, 5999U, 4557U, 6065U, 
-    4623U, 6021U, 4579U, 6087U, 4656U, 9920U, 13021U, 10513U, 
-    13613U, 8967U, 8731U, 8852U, 6519U, 8983U, 11474U, 11139U, 
-    8860U, 11332U, 9014U, 11505U, 11162U, 11355U, 7176U, 5110U, 
-    5164U, 5185U, 5411U, 5424U, 5087U, 6198U, 6324U, 6986U, 
-    11459U, 11132U, 11325U, 9219U, 3312U, 7752U, 4070U, 12130U, 
-    8354U, 4425U, 10540U, 13640U, 6791U, 1334U, 7479U, 3808U, 
-    11840U, 8098U, 4229U, 9327U, 12441U, 6599U, 1407U, 1504U, 
-    3939U, 11985U, 1636U, 4327U, 9947U, 13048U, 6695U, 3730U, 
-    7920U, 12283U, 8497U, 4514U, 10903U, 13999U, 6886U, 6464U, 
-    6173U, 6396U, 6526U, 2406U, 6498U, 2397U, 9373U, 12487U, 
-    9993U, 13094U, 7357U, 2616U, 9177U, 2676U, 11678U, 2732U, 
-    7455U, 2627U, 8059U, 2640U, 8616U, 2663U, 3366U, 7891U, 
-    14196U, 8473U, 14246U, 10871U, 13967U, 1388U, 7629U, 14183U, 
-    8228U, 14221U, 9841U, 12942U, 1471U, 1593U, 14153U, 1696U, 
-    14168U, 10434U, 13542U, 3786U, 8044U, 14209U, 8601U, 7010U, 
-    14271U, 10957U, 14059U, 1314U, 2605U, 1822U, 2754U, 1833U, 
-    2765U, 6285U, 10861U, 13957U, 9831U, 12932U, 10424U, 13532U, 
-    9568U, 12690U, 10188U, 13297U, 4919U, 2523U, 6442U, 9208U, 
-    11700U, 9246U, 11724U, 6166U, 9199U, 5151U, 5397U, 806U, 
-    1970U, 6158U, 9102U, 9237U, 9384U, 12506U, 10004U, 13113U, 
-    5225U, 962U, 2240U, 872U, 2088U, 9467U, 12589U, 10087U, 
-    13196U, 5330U, 6251U, 4898U, 5189U, 4908U, 1267U, 2532U, 
-    5268U, 2047U, 5286U, 2069U, 6418U, 10566U, 13674U, 9395U, 
-    12517U, 10015U, 13124U, 10917U, 14013U, 11748U, 10659U, 13767U, 
-    11730U, 2743U, 9500U, 12622U, 11739U, 10120U, 13229U, 10935U, 
-    14031U, 5115U, 5061U, 6424U, 4947U, 5071U, 6573U, 6493U, 
-    3357U, 7871U, 4139U, 12212U, 8465U, 4479U, 10763U, 13887U, 
-    6851U, 1379U, 7609U, 3877U, 11913U, 8220U, 4283U, 9705U, 
-    12834U, 6659U, 1462U, 1569U, 4008U, 12058U, 1686U, 4381U, 
-    10325U, 13434U, 6755U, 3779U, 8026U, 12333U, 8594U, 4538U, 
-    10950U, 14052U, 6941U, 6405U, 2323U, 5311U, 2097U, 6549U, 
-    2425U, 6580U, 45U, 3488U, 5252U, 
+    4941U, 5778U, 5792U, 5654U, 5645U, 5693U, 4872U, 4887U, 
+    4842U, 4901U, 6040U, 4820U, 4725U, 6772U, 4743U, 6127U, 
+    4680U, 5833U, 6107U, 4693U, 4381U, 3235U, 3256U, 6003U, 
+    10561U, 9540U, 10133U, 10646U, 2898U, 7441U, 3570U, 11819U, 
+    8043U, 3925U, 10239U, 13339U, 6372U, 1112U, 7168U, 3308U, 
+    11529U, 7787U, 3729U, 9026U, 12140U, 6180U, 1185U, 1283U, 
+    3439U, 11674U, 1415U, 3827U, 9646U, 12747U, 6276U, 3228U, 
+    7608U, 11971U, 8185U, 10591U, 13687U, 6466U, 9556U, 12657U, 
+    10149U, 13249U, 2907U, 7454U, 3593U, 11840U, 8051U, 3943U, 
+    4485U, 4508U, 10248U, 13356U, 4541U, 6384U, 1121U, 7181U, 
+    3331U, 11550U, 7795U, 3747U, 4461U, 4497U, 9077U, 12199U, 
+    4519U, 6192U, 1194U, 1298U, 3462U, 11695U, 1425U, 4448U, 
+    3845U, 4473U, 9697U, 12806U, 4530U, 6288U, 3242U, 7620U, 
+    11983U, 8192U, 4013U, 10599U, 13695U, 6477U, 793U, 1975U, 
+    823U, 2014U, 9583U, 12684U, 10176U, 13276U, 2916U, 7467U, 
+    3607U, 11853U, 8059U, 3952U, 10256U, 13364U, 6396U, 1130U, 
+    7194U, 3345U, 11563U, 7803U, 3756U, 9085U, 12207U, 6204U, 
+    1203U, 1313U, 3476U, 11708U, 1435U, 3854U, 9705U, 12814U, 
+    6300U, 3249U, 7632U, 11995U, 8199U, 4021U, 10606U, 13702U, 
+    6488U, 9310U, 12432U, 9930U, 13039U, 11871U, 13467U, 9411U, 
+    12548U, 10031U, 13148U, 7202U, 7811U, 7336U, 7935U, 9259U, 
+    12381U, 9879U, 12988U, 9200U, 12322U, 9820U, 12929U, 9034U, 
+    12148U, 9654U, 12755U, 9227U, 12349U, 9847U, 12956U, 9421U, 
+    12558U, 10041U, 13158U, 9271U, 12393U, 9891U, 13000U, 9218U, 
+    12340U, 9838U, 12947U, 9044U, 12158U, 9664U, 12765U, 9238U, 
+    12360U, 9858U, 12967U, 9402U, 12531U, 10022U, 13131U, 10468U, 
+    9438U, 10329U, 13437U, 9170U, 12292U, 9790U, 12899U, 10452U, 
+    13576U, 9394U, 12523U, 10014U, 13123U, 10790U, 10983U, 3670U, 
+    11929U, 3997U, 13621U, 3408U, 11630U, 3801U, 12596U, 3539U, 
+    11775U, 3899U, 13196U, 3579U, 11827U, 3934U, 13347U, 3317U, 
+    11537U, 3738U, 12179U, 3448U, 11682U, 3836U, 12786U, 3652U, 
+    11913U, 3979U, 13584U, 3390U, 11614U, 3783U, 12540U, 3521U, 
+    11759U, 3881U, 13140U, 3661U, 11921U, 3988U, 13603U, 3399U, 
+    11622U, 3792U, 12578U, 3530U, 11767U, 3890U, 13178U, 9209U, 
+    12331U, 9829U, 12938U, 8598U, 11110U, 8362U, 10774U, 8486U, 
+    1498U, 10967U, 2961U, 1512U, 6532U, 5864U, 4772U, 4613U, 
+    4645U, 4676U, 4931U, 4945U, 6057U, 4649U, 10203U, 13303U, 
+    8990U, 12104U, 9610U, 12711U, 10264U, 13372U, 9093U, 12215U, 
+    9713U, 12822U, 10229U, 13329U, 9016U, 12130U, 9636U, 12737U, 
+    10275U, 13383U, 9104U, 12226U, 9724U, 12833U, 10319U, 13427U, 
+    9160U, 12282U, 9780U, 12889U, 10349U, 13457U, 9190U, 12312U, 
+    9810U, 12919U, 10286U, 13394U, 9115U, 12237U, 9735U, 12844U, 
+    10376U, 13493U, 9300U, 12422U, 9920U, 13029U, 10297U, 13405U, 
+    9126U, 12248U, 9746U, 12855U, 10308U, 13416U, 9137U, 12259U, 
+    9757U, 12866U, 10386U, 13510U, 9319U, 12448U, 9939U, 13048U, 
+    10415U, 13539U, 9357U, 12486U, 9977U, 13086U, 10503U, 13592U, 
+    9473U, 12567U, 10066U, 13167U, 10397U, 13521U, 9330U, 12459U, 
+    9950U, 13059U, 10426U, 13550U, 9368U, 12497U, 9988U, 13097U, 
+    10522U, 13611U, 9492U, 12586U, 10085U, 13186U, 840U, 2031U, 
+    2685U, 850U, 3178U, 812U, 1994U, 26U, 736U, 1853U, 
+    1912U, 725U, 1864U, 1923U, 748U, 1875U, 1934U, 2925U, 
+    7507U, 3616U, 11880U, 8106U, 3961U, 10407U, 13531U, 6408U, 
+    1139U, 7245U, 3354U, 11581U, 7861U, 3765U, 9349U, 12478U, 
+    6216U, 1222U, 1323U, 3485U, 11726U, 1445U, 3863U, 9969U, 
+    13078U, 6312U, 3263U, 7667U, 12002U, 8240U, 10624U, 13726U, 
+    6499U, 4595U, 5727U, 5893U, 6572U, 4416U, 10337U, 13445U, 
+    9178U, 12300U, 9798U, 12907U, 4428U, 10613U, 13709U, 708U, 
+    1776U, 5824U, 6712U, 4721U, 4738U, 4385U, 6007U, 6662U, 
+    8569U, 11051U, 11194U, 10851U, 8333U, 10706U, 8703U, 11214U, 
+    8435U, 10881U, 8460U, 10908U, 8728U, 11234U, 8680U, 11171U, 
+    8428U, 10836U, 8557U, 11029U, 8765U, 11275U, 6722U, 5814U, 
+    1955U, 1556U, 2370U, 1574U, 2388U, 10685U, 5968U, 6732U, 
+    7115U, 8595U, 7094U, 8359U, 1945U, 7126U, 8614U, 7105U, 
+    8378U, 2005U, 5771U, 6742U, 4633U, 6752U, 6103U, 8679U, 
+    11170U, 8427U, 10835U, 8556U, 11028U, 8764U, 11274U, 8606U, 
+    11118U, 10367U, 7761U, 3700U, 13484U, 8318U, 4191U, 8370U, 
+    10782U, 9291U, 7751U, 3678U, 12413U, 8308U, 4125U, 8494U, 
+    10975U, 9911U, 1383U, 3689U, 13020U, 1486U, 4158U, 8751U, 
+    11261U, 8099U, 13503U, 7854U, 12441U, 8234U, 13720U, 8581U, 
+    11058U, 11204U, 10861U, 8345U, 10713U, 8713U, 11224U, 8445U, 
+    10891U, 8472U, 10915U, 8739U, 11240U, 4584U, 5716U, 6561U, 
+    6114U, 51U, 1724U, 5828U, 4716U, 961U, 2110U, 4915U, 
+    646U, 1729U, 688U, 1756U, 698U, 1766U, 2789U, 954U, 
+    2084U, 11470U, 66U, 1640U, 2464U, 56U, 1630U, 2454U, 
+    72U, 1646U, 2470U, 61U, 1635U, 2459U, 6777U, 1074U, 
+    2269U, 84U, 1658U, 2482U, 78U, 1652U, 2476U, 101U, 
+    1675U, 2499U, 89U, 1663U, 2487U, 106U, 1680U, 2504U, 
+    8617U, 11126U, 8381U, 10799U, 8502U, 10992U, 127U, 1701U, 
+    2525U, 95U, 1669U, 2493U, 116U, 1690U, 2514U, 133U, 
+    1707U, 2531U, 144U, 1718U, 2542U, 111U, 1685U, 2509U, 
+    122U, 1696U, 2520U, 6782U, 139U, 1713U, 2537U, 4862U, 
+    10436U, 13560U, 9378U, 12507U, 9998U, 13107U, 2674U, 4415U, 
+    774U, 1901U, 3168U, 4427U, 10460U, 9430U, 11044U, 10699U, 
+    10871U, 10901U, 4830U, 1828U, 10479U, 9449U, 10487U, 9457U, 
+    10050U, 8624U, 8388U, 8509U, 10495U, 9465U, 10058U, 8640U, 
+    8404U, 8525U, 8656U, 11147U, 8687U, 11178U, 7449U, 3588U, 
+    11835U, 7176U, 3326U, 11545U, 1293U, 3457U, 11690U, 7615U, 
+    11978U, 7462U, 3602U, 11848U, 7189U, 3340U, 11558U, 1308U, 
+    3471U, 11703U, 7627U, 11990U, 8564U, 8328U, 8455U, 8723U, 
+    8576U, 8340U, 8467U, 8734U, 7560U, 3639U, 11901U, 7298U, 
+    3377U, 11602U, 1348U, 3508U, 11747U, 7714U, 12021U, 6689U, 
+    7428U, 3556U, 11806U, 7155U, 3294U, 11516U, 1268U, 3425U, 
+    11661U, 7596U, 11959U, 7547U, 3625U, 11888U, 7285U, 3363U, 
+    11589U, 1333U, 3494U, 11734U, 7702U, 12009U, 4578U, 5710U, 
+    5881U, 6555U, 5854U, 4766U, 4759U, 5663U, 5868U, 6536U, 
+    5745U, 5905U, 6590U, 10359U, 13476U, 9283U, 12405U, 9903U, 
+    13012U, 10514U, 9484U, 10077U, 8895U, 11387U, 2651U, 680U, 
+    1748U, 3161U, 10532U, 13628U, 9502U, 12603U, 10095U, 13203U, 
+    5751U, 6074U, 0U, 3019U, 2809U, 7581U, 11944U, 13802U, 
+    6846U, 2846U, 8163U, 13940U, 10569U, 13665U, 6432U, 13826U, 
+    10677U, 13778U, 1536U, 2796U, 11438U, 11454U, 7319U, 11645U, 
+    13786U, 6806U, 2833U, 37U, 6924U, 6980U, 7918U, 2326U, 
+    13915U, 9548U, 12649U, 6240U, 13810U, 10661U, 13762U, 3009U, 
+    1546U, 2360U, 4274U, 11446U, 11462U, 1373U, 11790U, 13794U, 
+    6836U, 6816U, 6826U, 6856U, 6932U, 6988U, 8010U, 1476U, 
+    10141U, 13241U, 6336U, 13818U, 10669U, 13770U, 4283U, 2822U, 
+    7733U, 12040U, 6623U, 6865U, 2859U, 8290U, 13964U, 10654U, 
+    6610U, 13755U, 6636U, 6521U, 11861U, 10276U, 11571U, 9105U, 
+    11716U, 9725U, 10720U, 4607U, 5739U, 5899U, 6584U, 4235U, 
+    4324U, 2973U, 4202U, 3029U, 4291U, 2997U, 1524U, 4224U, 
+    3053U, 1618U, 4313U, 4246U, 4335U, 4257U, 4346U, 2985U, 
+    4213U, 3041U, 4302U, 5911U, 5939U, 5925U, 5952U, 8607U, 
+    11119U, 8371U, 10783U, 8495U, 10976U, 8752U, 11262U, 9574U, 
+    12675U, 10167U, 13267U, 8588U, 11095U, 8352U, 10759U, 8479U, 
+    10952U, 8745U, 11255U, 5859U, 2548U, 2592U, 3073U, 3117U, 
+    2570U, 2614U, 3095U, 3139U, 2560U, 2604U, 3085U, 3129U, 
+    2582U, 2626U, 3107U, 3151U, 5698U, 4363U, 6788U, 6873U, 
+    6891U, 6940U, 6996U, 6543U, 4372U, 6797U, 6882U, 6900U, 
+    6949U, 7005U, 8672U, 11163U, 8420U, 10828U, 8549U, 11021U, 
+    8758U, 11268U, 2935U, 7540U, 3631U, 11894U, 8139U, 3971U, 
+    10445U, 13569U, 6421U, 1149U, 7278U, 3369U, 11595U, 6967U, 
+    7894U, 3775U, 9387U, 12516U, 6229U, 1232U, 1339U, 3500U, 
+    11740U, 1456U, 3873U, 10007U, 13116U, 6325U, 3271U, 7696U, 
+    12015U, 8269U, 4030U, 10632U, 13734U, 6511U, 11493U, 13657U, 
+    11485U, 12632U, 11501U, 13748U, 4601U, 5733U, 6578U, 9340U, 
+    12469U, 9960U, 13069U, 9531U, 12640U, 10124U, 13232U, 11133U, 
+    8981U, 12095U, 10806U, 8943U, 12057U, 10999U, 8962U, 12076U, 
+    2644U, 664U, 2713U, 878U, 2730U, 895U, 2667U, 767U, 
+    1894U, 2747U, 912U, 2059U, 2764U, 929U, 2076U, 2781U, 
+    946U, 3205U, 11102U, 8971U, 12085U, 3187U, 10766U, 8933U, 
+    12047U, 2870U, 1212U, 3196U, 10959U, 8952U, 12066U, 2636U, 
+    656U, 2695U, 860U, 2704U, 869U, 2721U, 886U, 2659U, 
+    759U, 1886U, 2738U, 903U, 2050U, 2755U, 920U, 2067U, 
+    2772U, 937U, 2953U, 1250U, 286U, 5106U, 7483U, 534U, 
+    5386U, 8075U, 158U, 4962U, 7221U, 406U, 5242U, 7830U, 
+    222U, 5034U, 7355U, 470U, 5314U, 7954U, 349U, 5177U, 
+    7646U, 597U, 5457U, 8213U, 318U, 5142U, 7523U, 566U, 
+    5422U, 8122U, 190U, 4998U, 7261U, 438U, 5278U, 7877U, 
+    254U, 5070U, 7387U, 502U, 5350U, 7986U, 377U, 5209U, 
+    7681U, 625U, 5489U, 8254U, 4784U, 1784U, 4802U, 1806U, 
+    5981U, 4653U, 11085U, 10749U, 10942U, 11075U, 10739U, 10932U, 
+    4666U, 5847U, 11936U, 11637U, 11782U, 12032U, 6676U, 997U, 
+    2157U, 1023U, 2183U, 2230U, 1061U, 2256U, 6701U, 984U, 
+    2144U, 1010U, 2170U, 2217U, 1048U, 2243U, 5664U, 5869U, 
+    6537U, 5746U, 5906U, 6591U, 6649U, 302U, 5124U, 7499U, 
+    550U, 5404U, 8091U, 174U, 4980U, 7237U, 422U, 5260U, 
+    7846U, 238U, 5052U, 7371U, 486U, 5332U, 7970U, 363U, 
+    5193U, 7660U, 611U, 5473U, 8227U, 334U, 5160U, 7539U, 
+    582U, 5440U, 8138U, 206U, 5016U, 7277U, 454U, 5296U, 
+    7893U, 270U, 5088U, 7403U, 518U, 5368U, 8002U, 391U, 
+    5225U, 7695U, 639U, 5505U, 8268U, 7327U, 7926U, 7411U, 
+    8018U, 5788U, 4867U, 278U, 5097U, 7475U, 526U, 5377U, 
+    8067U, 150U, 4953U, 7213U, 398U, 5233U, 7822U, 214U, 
+    5025U, 7347U, 462U, 5305U, 7946U, 342U, 5169U, 7639U, 
+    590U, 5449U, 8206U, 4640U, 310U, 5133U, 7515U, 558U, 
+    5413U, 8114U, 182U, 4989U, 7253U, 430U, 5269U, 7869U, 
+    246U, 5061U, 7379U, 494U, 5341U, 7978U, 370U, 5201U, 
+    7674U, 618U, 5481U, 8247U, 9592U, 12693U, 10185U, 13285U, 
+    2880U, 7420U, 3547U, 11798U, 8027U, 3907U, 10213U, 13313U, 
+    6348U, 1094U, 7147U, 3285U, 11508U, 7771U, 3711U, 9000U, 
+    12114U, 6156U, 1167U, 1258U, 3416U, 11653U, 1395U, 3809U, 
+    9620U, 12721U, 6252U, 3214U, 7589U, 11952U, 8171U, 10577U, 
+    13673U, 6444U, 4572U, 5704U, 5875U, 6549U, 970U, 2130U, 
+    7054U, 7041U, 7014U, 7082U, 7070U, 5759U, 7028U, 6909U, 
+    8792U, 11293U, 8771U, 11281U, 8799U, 11300U, 11065U, 10729U, 
+    10922U, 11246U, 8777U, 11287U, 8827U, 11328U, 8806U, 11307U, 
+    8833U, 11334U, 8813U, 11314U, 8839U, 11340U, 8820U, 11321U, 
+    8845U, 11346U, 8867U, 11368U, 8905U, 11392U, 8852U, 11353U, 
+    8874U, 11375U, 8912U, 11399U, 8632U, 8396U, 8517U, 294U, 
+    5115U, 7491U, 542U, 5395U, 8083U, 166U, 4971U, 7229U, 
+    414U, 5251U, 7838U, 230U, 5043U, 7363U, 478U, 5323U, 
+    7962U, 356U, 5185U, 7653U, 604U, 5465U, 8220U, 5557U, 
+    4081U, 5623U, 4169U, 5513U, 4037U, 5579U, 4103U, 5535U, 
+    4059U, 5601U, 4136U, 9565U, 12666U, 10158U, 13258U, 326U, 
+    5151U, 7531U, 574U, 5431U, 8130U, 198U, 5007U, 7269U, 
+    446U, 5287U, 7885U, 262U, 5079U, 7395U, 510U, 5359U, 
+    7994U, 384U, 5217U, 7688U, 632U, 5497U, 8261U, 5568U, 
+    4092U, 5634U, 4180U, 5524U, 4048U, 5590U, 4114U, 5546U, 
+    4070U, 5612U, 4147U, 9601U, 12702U, 10194U, 13294U, 8648U, 
+    8412U, 8533U, 6088U, 8664U, 11155U, 10820U, 8541U, 11013U, 
+    8695U, 11186U, 10843U, 11036U, 6762U, 4618U, 4672U, 4710U, 
+    4936U, 4949U, 4589U, 5721U, 5887U, 6566U, 11140U, 10813U, 
+    11006U, 8900U, 2889U, 7433U, 3561U, 11811U, 8035U, 3916U, 
+    10221U, 13321U, 6360U, 1103U, 7160U, 3299U, 11521U, 7779U, 
+    3720U, 9008U, 12122U, 6168U, 1176U, 1273U, 3430U, 11666U, 
+    1405U, 3818U, 9628U, 12729U, 6264U, 3221U, 7601U, 11964U, 
+    8178U, 4005U, 10584U, 13680U, 6455U, 6033U, 5685U, 5965U, 
+    6095U, 2100U, 6067U, 2091U, 9054U, 12168U, 9674U, 12775U, 
+    6958U, 2289U, 8858U, 2349U, 11359U, 2405U, 7136U, 2300U, 
+    7740U, 2313U, 8297U, 2336U, 2943U, 7572U, 13877U, 8154U, 
+    13927U, 10552U, 13648U, 1157U, 7310U, 13864U, 7909U, 13902U, 
+    9522U, 12623U, 1240U, 1362U, 13834U, 1465U, 13849U, 10115U, 
+    13223U, 3277U, 7725U, 13890U, 8282U, 6596U, 13952U, 10638U, 
+    13740U, 1083U, 2278U, 1591U, 2427U, 1602U, 2438U, 5842U, 
+    10542U, 13638U, 9512U, 12613U, 10105U, 13213U, 9249U, 12371U, 
+    9869U, 12978U, 4410U, 2196U, 6011U, 8889U, 11381U, 8927U, 
+    11405U, 5678U, 8880U, 4659U, 4922U, 671U, 1739U, 5670U, 
+    8783U, 8918U, 9065U, 12187U, 9685U, 12794U, 4750U, 785U, 
+    1967U, 716U, 1836U, 9148U, 12270U, 9768U, 12877U, 4855U, 
+    5808U, 4389U, 4714U, 4399U, 1036U, 2205U, 4793U, 1795U, 
+    4811U, 1817U, 5987U, 10247U, 13355U, 9076U, 12198U, 9696U, 
+    12805U, 10598U, 13694U, 11429U, 10340U, 13448U, 11411U, 2416U, 
+    9181U, 12303U, 11420U, 9801U, 12910U, 10616U, 13712U, 4623U, 
+    4552U, 5993U, 4438U, 4562U, 6142U, 6062U, 2934U, 7552U, 
+    3630U, 11893U, 8146U, 3970U, 10444U, 13568U, 6420U, 1148U, 
+    7290U, 3368U, 11594U, 7901U, 3774U, 9386U, 12515U, 6228U, 
+    1231U, 1338U, 3499U, 11739U, 1455U, 3872U, 10006U, 13115U, 
+    6324U, 3270U, 7707U, 12014U, 8275U, 4029U, 10631U, 13733U, 
+    6510U, 5974U, 2041U, 4836U, 1845U, 6118U, 2119U, 6149U, 
+    45U, 3065U, 4777U, 
 };
 
 #endif // GET_INSTRINFO_MC_DESC
diff --git a/arch/X86/X86GenRegisterInfo.inc b/arch/X86/X86GenRegisterInfo.inc
index 7b20f5e..307707a 100644
--- a/arch/X86/X86GenRegisterInfo.inc
+++ b/arch/X86/X86GenRegisterInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_ENUM
@@ -95,235 +95,1415 @@
   X86_FP4 = 78,
   X86_FP5 = 79,
   X86_FP6 = 80,
-  X86_K0 = 81,
-  X86_K1 = 82,
-  X86_K2 = 83,
-  X86_K3 = 84,
-  X86_K4 = 85,
-  X86_K5 = 86,
-  X86_K6 = 87,
-  X86_K7 = 88,
-  X86_MM0 = 89,
-  X86_MM1 = 90,
-  X86_MM2 = 91,
-  X86_MM3 = 92,
-  X86_MM4 = 93,
-  X86_MM5 = 94,
-  X86_MM6 = 95,
-  X86_MM7 = 96,
-  X86_R8 = 97,
-  X86_R9 = 98,
-  X86_R10 = 99,
-  X86_R11 = 100,
-  X86_R12 = 101,
-  X86_R13 = 102,
-  X86_R14 = 103,
-  X86_R15 = 104,
-  X86_ST0 = 105,
-  X86_ST1 = 106,
-  X86_ST2 = 107,
-  X86_ST3 = 108,
-  X86_ST4 = 109,
-  X86_ST5 = 110,
-  X86_ST6 = 111,
-  X86_ST7 = 112,
-  X86_XMM0 = 113,
-  X86_XMM1 = 114,
-  X86_XMM2 = 115,
-  X86_XMM3 = 116,
-  X86_XMM4 = 117,
-  X86_XMM5 = 118,
-  X86_XMM6 = 119,
-  X86_XMM7 = 120,
-  X86_XMM8 = 121,
-  X86_XMM9 = 122,
-  X86_XMM10 = 123,
-  X86_XMM11 = 124,
-  X86_XMM12 = 125,
-  X86_XMM13 = 126,
-  X86_XMM14 = 127,
-  X86_XMM15 = 128,
-  X86_XMM16 = 129,
-  X86_XMM17 = 130,
-  X86_XMM18 = 131,
-  X86_XMM19 = 132,
-  X86_XMM20 = 133,
-  X86_XMM21 = 134,
-  X86_XMM22 = 135,
-  X86_XMM23 = 136,
-  X86_XMM24 = 137,
-  X86_XMM25 = 138,
-  X86_XMM26 = 139,
-  X86_XMM27 = 140,
-  X86_XMM28 = 141,
-  X86_XMM29 = 142,
-  X86_XMM30 = 143,
-  X86_XMM31 = 144,
-  X86_YMM0 = 145,
-  X86_YMM1 = 146,
-  X86_YMM2 = 147,
-  X86_YMM3 = 148,
-  X86_YMM4 = 149,
-  X86_YMM5 = 150,
-  X86_YMM6 = 151,
-  X86_YMM7 = 152,
-  X86_YMM8 = 153,
-  X86_YMM9 = 154,
-  X86_YMM10 = 155,
-  X86_YMM11 = 156,
-  X86_YMM12 = 157,
-  X86_YMM13 = 158,
-  X86_YMM14 = 159,
-  X86_YMM15 = 160,
-  X86_YMM16 = 161,
-  X86_YMM17 = 162,
-  X86_YMM18 = 163,
-  X86_YMM19 = 164,
-  X86_YMM20 = 165,
-  X86_YMM21 = 166,
-  X86_YMM22 = 167,
-  X86_YMM23 = 168,
-  X86_YMM24 = 169,
-  X86_YMM25 = 170,
-  X86_YMM26 = 171,
-  X86_YMM27 = 172,
-  X86_YMM28 = 173,
-  X86_YMM29 = 174,
-  X86_YMM30 = 175,
-  X86_YMM31 = 176,
-  X86_ZMM0 = 177,
-  X86_ZMM1 = 178,
-  X86_ZMM2 = 179,
-  X86_ZMM3 = 180,
-  X86_ZMM4 = 181,
-  X86_ZMM5 = 182,
-  X86_ZMM6 = 183,
-  X86_ZMM7 = 184,
-  X86_ZMM8 = 185,
-  X86_ZMM9 = 186,
-  X86_ZMM10 = 187,
-  X86_ZMM11 = 188,
-  X86_ZMM12 = 189,
-  X86_ZMM13 = 190,
-  X86_ZMM14 = 191,
-  X86_ZMM15 = 192,
-  X86_ZMM16 = 193,
-  X86_ZMM17 = 194,
-  X86_ZMM18 = 195,
-  X86_ZMM19 = 196,
-  X86_ZMM20 = 197,
-  X86_ZMM21 = 198,
-  X86_ZMM22 = 199,
-  X86_ZMM23 = 200,
-  X86_ZMM24 = 201,
-  X86_ZMM25 = 202,
-  X86_ZMM26 = 203,
-  X86_ZMM27 = 204,
-  X86_ZMM28 = 205,
-  X86_ZMM29 = 206,
-  X86_ZMM30 = 207,
-  X86_ZMM31 = 208,
-  X86_R8B = 209,
-  X86_R9B = 210,
-  X86_R10B = 211,
-  X86_R11B = 212,
-  X86_R12B = 213,
-  X86_R13B = 214,
-  X86_R14B = 215,
-  X86_R15B = 216,
-  X86_R8D = 217,
-  X86_R9D = 218,
-  X86_R10D = 219,
-  X86_R11D = 220,
-  X86_R12D = 221,
-  X86_R13D = 222,
-  X86_R14D = 223,
-  X86_R15D = 224,
-  X86_R8W = 225,
-  X86_R9W = 226,
-  X86_R10W = 227,
-  X86_R11W = 228,
-  X86_R12W = 229,
-  X86_R13W = 230,
-  X86_R14W = 231,
-  X86_R15W = 232,
-  X86_NUM_TARGET_REGS 	// 233
+  X86_FP7 = 81,
+  X86_K0 = 82,
+  X86_K1 = 83,
+  X86_K2 = 84,
+  X86_K3 = 85,
+  X86_K4 = 86,
+  X86_K5 = 87,
+  X86_K6 = 88,
+  X86_K7 = 89,
+  X86_MM0 = 90,
+  X86_MM1 = 91,
+  X86_MM2 = 92,
+  X86_MM3 = 93,
+  X86_MM4 = 94,
+  X86_MM5 = 95,
+  X86_MM6 = 96,
+  X86_MM7 = 97,
+  X86_R8 = 98,
+  X86_R9 = 99,
+  X86_R10 = 100,
+  X86_R11 = 101,
+  X86_R12 = 102,
+  X86_R13 = 103,
+  X86_R14 = 104,
+  X86_R15 = 105,
+  X86_ST0 = 106,
+  X86_ST1 = 107,
+  X86_ST2 = 108,
+  X86_ST3 = 109,
+  X86_ST4 = 110,
+  X86_ST5 = 111,
+  X86_ST6 = 112,
+  X86_ST7 = 113,
+  X86_XMM0 = 114,
+  X86_XMM1 = 115,
+  X86_XMM2 = 116,
+  X86_XMM3 = 117,
+  X86_XMM4 = 118,
+  X86_XMM5 = 119,
+  X86_XMM6 = 120,
+  X86_XMM7 = 121,
+  X86_XMM8 = 122,
+  X86_XMM9 = 123,
+  X86_XMM10 = 124,
+  X86_XMM11 = 125,
+  X86_XMM12 = 126,
+  X86_XMM13 = 127,
+  X86_XMM14 = 128,
+  X86_XMM15 = 129,
+  X86_XMM16 = 130,
+  X86_XMM17 = 131,
+  X86_XMM18 = 132,
+  X86_XMM19 = 133,
+  X86_XMM20 = 134,
+  X86_XMM21 = 135,
+  X86_XMM22 = 136,
+  X86_XMM23 = 137,
+  X86_XMM24 = 138,
+  X86_XMM25 = 139,
+  X86_XMM26 = 140,
+  X86_XMM27 = 141,
+  X86_XMM28 = 142,
+  X86_XMM29 = 143,
+  X86_XMM30 = 144,
+  X86_XMM31 = 145,
+  X86_YMM0 = 146,
+  X86_YMM1 = 147,
+  X86_YMM2 = 148,
+  X86_YMM3 = 149,
+  X86_YMM4 = 150,
+  X86_YMM5 = 151,
+  X86_YMM6 = 152,
+  X86_YMM7 = 153,
+  X86_YMM8 = 154,
+  X86_YMM9 = 155,
+  X86_YMM10 = 156,
+  X86_YMM11 = 157,
+  X86_YMM12 = 158,
+  X86_YMM13 = 159,
+  X86_YMM14 = 160,
+  X86_YMM15 = 161,
+  X86_YMM16 = 162,
+  X86_YMM17 = 163,
+  X86_YMM18 = 164,
+  X86_YMM19 = 165,
+  X86_YMM20 = 166,
+  X86_YMM21 = 167,
+  X86_YMM22 = 168,
+  X86_YMM23 = 169,
+  X86_YMM24 = 170,
+  X86_YMM25 = 171,
+  X86_YMM26 = 172,
+  X86_YMM27 = 173,
+  X86_YMM28 = 174,
+  X86_YMM29 = 175,
+  X86_YMM30 = 176,
+  X86_YMM31 = 177,
+  X86_ZMM0 = 178,
+  X86_ZMM1 = 179,
+  X86_ZMM2 = 180,
+  X86_ZMM3 = 181,
+  X86_ZMM4 = 182,
+  X86_ZMM5 = 183,
+  X86_ZMM6 = 184,
+  X86_ZMM7 = 185,
+  X86_ZMM8 = 186,
+  X86_ZMM9 = 187,
+  X86_ZMM10 = 188,
+  X86_ZMM11 = 189,
+  X86_ZMM12 = 190,
+  X86_ZMM13 = 191,
+  X86_ZMM14 = 192,
+  X86_ZMM15 = 193,
+  X86_ZMM16 = 194,
+  X86_ZMM17 = 195,
+  X86_ZMM18 = 196,
+  X86_ZMM19 = 197,
+  X86_ZMM20 = 198,
+  X86_ZMM21 = 199,
+  X86_ZMM22 = 200,
+  X86_ZMM23 = 201,
+  X86_ZMM24 = 202,
+  X86_ZMM25 = 203,
+  X86_ZMM26 = 204,
+  X86_ZMM27 = 205,
+  X86_ZMM28 = 206,
+  X86_ZMM29 = 207,
+  X86_ZMM30 = 208,
+  X86_ZMM31 = 209,
+  X86_R8B = 210,
+  X86_R9B = 211,
+  X86_R10B = 212,
+  X86_R11B = 213,
+  X86_R12B = 214,
+  X86_R13B = 215,
+  X86_R14B = 216,
+  X86_R15B = 217,
+  X86_R8D = 218,
+  X86_R9D = 219,
+  X86_R10D = 220,
+  X86_R11D = 221,
+  X86_R12D = 222,
+  X86_R13D = 223,
+  X86_R14D = 224,
+  X86_R15D = 225,
+  X86_R8W = 226,
+  X86_R9W = 227,
+  X86_R10W = 228,
+  X86_R11W = 229,
+  X86_R12W = 230,
+  X86_R13W = 231,
+  X86_R14W = 232,
+  X86_R15W = 233,
+  X86_NUM_TARGET_REGS 	// 234
 };
 
 // Register classes
 enum {
-  X86_VK1RegClassID = 0,
-  X86_VK1WMRegClassID = 1,
-  X86_GR8RegClassID = 2,
-  X86_GR8_NOREXRegClassID = 3,
-  X86_GR8_ABCD_HRegClassID = 4,
-  X86_GR8_ABCD_LRegClassID = 5,
-  X86_VK8RegClassID = 6,
-  X86_VK8WMRegClassID = 7,
-  X86_GR16RegClassID = 8,
-  X86_GR16_NOREXRegClassID = 9,
-  X86_VK16RegClassID = 10,
+  X86_GR8RegClassID = 0,
+  X86_GR8_NOREXRegClassID = 1,
+  X86_GR8_ABCD_HRegClassID = 2,
+  X86_GR8_ABCD_LRegClassID = 3,
+  X86_GR16RegClassID = 4,
+  X86_GR16_NOREXRegClassID = 5,
+  X86_VK1RegClassID = 6,
+  X86_VK16RegClassID = 7,
+  X86_VK2RegClassID = 8,
+  X86_VK4RegClassID = 9,
+  X86_VK8RegClassID = 10,
   X86_VK16WMRegClassID = 11,
-  X86_SEGMENT_REGRegClassID = 12,
-  X86_GR16_ABCDRegClassID = 13,
-  X86_FPCCRRegClassID = 14,
-  X86_FR32XRegClassID = 15,
-  X86_FR32RegClassID = 16,
-  X86_GR32RegClassID = 17,
-  X86_GR32_NOAXRegClassID = 18,
-  X86_GR32_NOSPRegClassID = 19,
-  X86_GR32_NOAX_and_GR32_NOSPRegClassID = 20,
-  X86_DEBUG_REGRegClassID = 21,
-  X86_GR32_NOREXRegClassID = 22,
-  X86_GR32_NOAX_and_GR32_NOREXRegClassID = 23,
-  X86_GR32_NOREX_NOSPRegClassID = 24,
-  X86_RFP32RegClassID = 25,
-  X86_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 26,
-  X86_GR32_ABCDRegClassID = 27,
-  X86_GR32_ABCD_and_GR32_NOAXRegClassID = 28,
-  X86_GR32_TCRegClassID = 29,
-  X86_GR32_ADRegClassID = 30,
-  X86_GR32_NOAX_and_GR32_TCRegClassID = 31,
-  X86_CCRRegClassID = 32,
-  X86_GR32_AD_and_GR32_NOAXRegClassID = 33,
-  X86_RFP64RegClassID = 34,
-  X86_FR64XRegClassID = 35,
-  X86_GR64RegClassID = 36,
-  X86_CONTROL_REGRegClassID = 37,
-  X86_FR64RegClassID = 38,
-  X86_GR64_with_sub_8bitRegClassID = 39,
-  X86_GR64_NOSPRegClassID = 40,
-  X86_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 41,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPRegClassID = 42,
-  X86_GR64_NOREXRegClassID = 43,
-  X86_GR64_TCRegClassID = 44,
-  X86_GR64_NOSP_and_GR64_TCRegClassID = 45,
-  X86_GR64_with_sub_16bit_in_GR16_NOREXRegClassID = 46,
-  X86_VR64RegClassID = 47,
-  X86_GR64_NOREX_NOSPRegClassID = 48,
-  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 49,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 50,
-  X86_GR64_NOREX_and_GR64_TCRegClassID = 51,
-  X86_GR64_TCW64RegClassID = 52,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 53,
-  X86_GR64_NOREX_NOSP_and_GR64_TCRegClassID = 54,
-  X86_GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 55,
-  X86_GR64_ABCDRegClassID = 56,
-  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 57,
-  X86_GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXRegClassID = 58,
-  X86_GR64_with_sub_32bit_in_GR32_TCRegClassID = 59,
-  X86_GR64_with_sub_32bit_in_GR32_ADRegClassID = 60,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCRegClassID = 61,
-  X86_GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXRegClassID = 62,
-  X86_RSTRegClassID = 63,
-  X86_RFP80RegClassID = 64,
-  X86_VR128XRegClassID = 65,
-  X86_VR128RegClassID = 66,
-  X86_VR256XRegClassID = 67,
-  X86_VR256RegClassID = 68,
-  X86_VR512RegClassID = 69,
-  X86_VR512_with_sub_xmm_in_FR32RegClassID = 70
+  X86_VK1WMRegClassID = 12,
+  X86_VK2WMRegClassID = 13,
+  X86_VK4WMRegClassID = 14,
+  X86_VK8WMRegClassID = 15,
+  X86_SEGMENT_REGRegClassID = 16,
+  X86_GR16_ABCDRegClassID = 17,
+  X86_FPCCRRegClassID = 18,
+  X86_FR32XRegClassID = 19,
+  X86_FR32RegClassID = 20,
+  X86_GR32RegClassID = 21,
+  X86_GR32_NOAXRegClassID = 22,
+  X86_GR32_NOSPRegClassID = 23,
+  X86_GR32_NOAX_and_GR32_NOSPRegClassID = 24,
+  X86_DEBUG_REGRegClassID = 25,
+  X86_GR32_NOREXRegClassID = 26,
+  X86_VK32RegClassID = 27,
+  X86_GR32_NOAX_and_GR32_NOREXRegClassID = 28,
+  X86_GR32_NOREX_NOSPRegClassID = 29,
+  X86_RFP32RegClassID = 30,
+  X86_VK32WMRegClassID = 31,
+  X86_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 32,
+  X86_GR32_ABCDRegClassID = 33,
+  X86_GR32_ABCD_and_GR32_NOAXRegClassID = 34,
+  X86_GR32_TCRegClassID = 35,
+  X86_GR32_ADRegClassID = 36,
+  X86_GR32_NOAX_and_GR32_TCRegClassID = 37,
+  X86_CCRRegClassID = 38,
+  X86_GR32_AD_and_GR32_NOAXRegClassID = 39,
+  X86_RFP64RegClassID = 40,
+  X86_FR64XRegClassID = 41,
+  X86_GR64RegClassID = 42,
+  X86_CONTROL_REGRegClassID = 43,
+  X86_FR64RegClassID = 44,
+  X86_GR64_with_sub_8bitRegClassID = 45,
+  X86_GR64_NOSPRegClassID = 46,
+  X86_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 47,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPRegClassID = 48,
+  X86_GR64_NOREXRegClassID = 49,
+  X86_GR64_TCRegClassID = 50,
+  X86_GR64_NOSP_and_GR64_TCRegClassID = 51,
+  X86_GR64_with_sub_16bit_in_GR16_NOREXRegClassID = 52,
+  X86_VK64RegClassID = 53,
+  X86_VR64RegClassID = 54,
+  X86_GR64_NOREX_NOSPRegClassID = 55,
+  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 56,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 57,
+  X86_VK64WMRegClassID = 58,
+  X86_GR64_NOREX_and_GR64_TCRegClassID = 59,
+  X86_GR64_TCW64RegClassID = 60,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 61,
+  X86_GR64_NOREX_NOSP_and_GR64_TCRegClassID = 62,
+  X86_GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 63,
+  X86_GR64_ABCDRegClassID = 64,
+  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 65,
+  X86_GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXRegClassID = 66,
+  X86_GR64_with_sub_32bit_in_GR32_TCRegClassID = 67,
+  X86_GR64_with_sub_32bit_in_GR32_ADRegClassID = 68,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCRegClassID = 69,
+  X86_GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXRegClassID = 70,
+  X86_RSTRegClassID = 71,
+  X86_RFP80RegClassID = 72,
+  X86_VR128XRegClassID = 73,
+  X86_VR128RegClassID = 74,
+  X86_VR256XRegClassID = 75,
+  X86_VR256RegClassID = 76,
+  X86_VR512RegClassID = 77,
+  X86_VR512_with_sub_xmm_in_FR32RegClassID = 78
 };
 
 #endif // GET_REGINFO_ENUM
 
+#ifdef GET_REGINFO_MC_DESC
+#undef GET_REGINFO_MC_DESC
+
+static MCPhysReg X86RegDiffLists[] = {
+  /* 0 */ 0, 1, 0,
+  /* 3 */ 2, 1, 0,
+  /* 6 */ 5, 1, 0,
+  /* 9 */ 65522, 16, 1, 0,
+  /* 13 */ 65522, 17, 1, 0,
+  /* 17 */ 65427, 1, 0,
+  /* 20 */ 65475, 1, 0,
+  /* 23 */ 65520, 65522, 1, 0,
+  /* 27 */ 65520, 65527, 1, 0,
+  /* 31 */ 8, 2, 0,
+  /* 34 */ 4, 0,
+  /* 36 */ 65521, 8, 0,
+  /* 39 */ 9, 0,
+  /* 41 */ 13, 0,
+  /* 43 */ 65535, 65519, 14, 0,
+  /* 47 */ 65535, 65520, 14, 0,
+  /* 51 */ 65528, 15, 0,
+  /* 54 */ 2, 6, 16, 0,
+  /* 58 */ 5, 6, 16, 0,
+  /* 62 */ 65535, 9, 16, 0,
+  /* 66 */ 2, 10, 16, 0,
+  /* 70 */ 3, 10, 16, 0,
+  /* 74 */ 3, 13, 16, 0,
+  /* 78 */ 4, 13, 16, 0,
+  /* 82 */ 65535, 14, 16, 0,
+  /* 86 */ 1, 16, 16, 0,
+  /* 90 */ 2, 16, 16, 0,
+  /* 94 */ 17, 0,
+  /* 96 */ 32, 32, 0,
+  /* 99 */ 65221, 0,
+  /* 101 */ 65381, 0,
+  /* 103 */ 65389, 0,
+  /* 105 */ 65397, 0,
+  /* 107 */ 16, 65528, 65416, 0,
+  /* 111 */ 65445, 0,
+  /* 113 */ 65477, 0,
+  /* 115 */ 65504, 65504, 0,
+  /* 118 */ 65509, 0,
+  /* 120 */ 120, 8, 65520, 0,
+  /* 124 */ 65523, 0,
+  /* 126 */ 65530, 0,
+  /* 128 */ 65531, 0,
+  /* 130 */ 65532, 0,
+  /* 132 */ 65520, 65530, 65534, 65533, 0,
+  /* 137 */ 65534, 0,
+  /* 139 */ 65520, 65523, 65533, 65535, 0,
+  /* 144 */ 65520, 65526, 65534, 65535, 0,
+  /* 149 */ 65520, 65520, 65535, 65535, 0,
+};
+
+static uint16_t X86SubRegIdxLists[] = {
+  /* 0 */ 4, 3, 1, 0,
+  /* 4 */ 4, 3, 1, 2, 0,
+  /* 9 */ 4, 3, 0,
+  /* 12 */ 6, 5, 0,
+};
+
+static MCRegisterDesc X86RegDesc[] = { // Descriptors
+  { 5, 0, 0, 0, 0 },
+  { 812, 2, 90, 3, 2273 },
+  { 840, 2, 86, 3, 2273 },
+  { 958, 151, 87, 6, 0 },
+  { 815, 2, 78, 3, 2193 },
+  { 843, 2, 74, 3, 2193 },
+  { 869, 1, 83, 2, 544 },
+  { 860, 2, 82, 3, 544 },
+  { 966, 141, 75, 6, 48 },
+  { 818, 2, 70, 3, 2081 },
+  { 846, 2, 66, 3, 2081 },
+  { 892, 2, 2, 3, 2081 },
+  { 974, 146, 67, 6, 96 },
+  { 821, 2, 58, 3, 2049 },
+  { 825, 1, 63, 2, 624 },
+  { 852, 2, 62, 3, 624 },
+  { 849, 2, 54, 3, 2017 },
+  { 895, 2, 2, 3, 2017 },
+  { 982, 134, 55, 6, 496 },
+  { 957, 150, 56, 5, 0 },
+  { 868, 24, 56, 1, 544 },
+  { 965, 140, 56, 5, 323 },
+  { 973, 145, 56, 5, 323 },
+  { 824, 28, 56, 1, 624 },
+  { 981, 133, 56, 5, 496 },
+  { 904, 2, 2, 3, 1985 },
+  { 876, 37, 52, 10, 1985 },
+  { 989, 2, 2, 3, 1985 },
+  { 898, 2, 2, 3, 1985 },
+  { 832, 10, 45, 1, 1985 },
+  { 884, 14, 45, 1, 1985 },
+  { 952, 2, 2, 3, 1985 },
+  { 901, 2, 2, 3, 1985 },
+  { 908, 2, 2, 3, 1985 },
+  { 877, 2, 51, 3, 656 },
+  { 961, 149, 2, 4, 0 },
+  { 872, 23, 2, 0, 544 },
+  { 969, 139, 2, 4, 275 },
+  { 977, 144, 2, 4, 275 },
+  { 828, 27, 2, 0, 624 },
+  { 985, 132, 2, 4, 496 },
+  { 880, 36, 2, 9, 1592 },
+  { 993, 2, 2, 3, 1592 },
+  { 836, 9, 2, 0, 1889 },
+  { 888, 13, 2, 0, 1889 },
+  { 833, 1, 48, 2, 896 },
+  { 856, 2, 47, 3, 896 },
+  { 885, 1, 44, 2, 1504 },
+  { 864, 2, 43, 3, 1504 },
+  { 911, 2, 2, 3, 1889 },
+  { 81, 2, 2, 3, 1889 },
+  { 174, 2, 2, 3, 1889 },
+  { 249, 2, 2, 3, 1889 },
+  { 324, 2, 2, 3, 1889 },
+  { 399, 2, 2, 3, 1889 },
+  { 474, 2, 2, 3, 1889 },
+  { 544, 2, 2, 3, 1889 },
+  { 614, 2, 2, 3, 1889 },
+  { 677, 2, 2, 3, 1889 },
+  { 732, 2, 2, 3, 1889 },
+  { 18, 2, 2, 3, 1889 },
+  { 111, 2, 2, 3, 1889 },
+  { 204, 2, 2, 3, 1889 },
+  { 279, 2, 2, 3, 1889 },
+  { 354, 2, 2, 3, 1889 },
+  { 429, 2, 2, 3, 1889 },
+  { 85, 2, 2, 3, 1889 },
+  { 178, 2, 2, 3, 1889 },
+  { 253, 2, 2, 3, 1889 },
+  { 328, 2, 2, 3, 1889 },
+  { 403, 2, 2, 3, 1889 },
+  { 478, 2, 2, 3, 1889 },
+  { 548, 2, 2, 3, 1889 },
+  { 618, 2, 2, 3, 1889 },
+  { 77, 2, 2, 3, 1889 },
+  { 170, 2, 2, 3, 1889 },
+  { 245, 2, 2, 3, 1889 },
+  { 320, 2, 2, 3, 1889 },
+  { 395, 2, 2, 3, 1889 },
+  { 470, 2, 2, 3, 1889 },
+  { 540, 2, 2, 3, 1889 },
+  { 610, 2, 2, 3, 1889 },
+  { 59, 2, 2, 3, 1889 },
+  { 152, 2, 2, 3, 1889 },
+  { 227, 2, 2, 3, 1889 },
+  { 302, 2, 2, 3, 1889 },
+  { 377, 2, 2, 3, 1889 },
+  { 452, 2, 2, 3, 1889 },
+  { 522, 2, 2, 3, 1889 },
+  { 592, 2, 2, 3, 1889 },
+  { 63, 2, 2, 3, 1889 },
+  { 156, 2, 2, 3, 1889 },
+  { 231, 2, 2, 3, 1889 },
+  { 306, 2, 2, 3, 1889 },
+  { 381, 2, 2, 3, 1889 },
+  { 456, 2, 2, 3, 1889 },
+  { 526, 2, 2, 3, 1889 },
+  { 596, 2, 2, 3, 1889 },
+  { 678, 120, 2, 0, 1889 },
+  { 733, 120, 2, 0, 1889 },
+  { 19, 120, 2, 0, 1889 },
+  { 112, 120, 2, 0, 1889 },
+  { 205, 120, 2, 0, 1889 },
+  { 280, 120, 2, 0, 1889 },
+  { 355, 120, 2, 0, 1889 },
+  { 430, 120, 2, 0, 1889 },
+  { 89, 2, 2, 3, 1889 },
+  { 182, 2, 2, 3, 1889 },
+  { 257, 2, 2, 3, 1889 },
+  { 332, 2, 2, 3, 1889 },
+  { 407, 2, 2, 3, 1889 },
+  { 482, 2, 2, 3, 1889 },
+  { 552, 2, 2, 3, 1889 },
+  { 622, 2, 2, 3, 1889 },
+  { 62, 2, 96, 3, 1889 },
+  { 155, 2, 96, 3, 1889 },
+  { 230, 2, 96, 3, 1889 },
+  { 305, 2, 96, 3, 1889 },
+  { 380, 2, 96, 3, 1889 },
+  { 455, 2, 96, 3, 1889 },
+  { 525, 2, 96, 3, 1889 },
+  { 595, 2, 96, 3, 1889 },
+  { 662, 2, 96, 3, 1889 },
+  { 717, 2, 96, 3, 1889 },
+  { 0, 2, 96, 3, 1889 },
+  { 93, 2, 96, 3, 1889 },
+  { 186, 2, 96, 3, 1889 },
+  { 261, 2, 96, 3, 1889 },
+  { 336, 2, 96, 3, 1889 },
+  { 411, 2, 96, 3, 1889 },
+  { 486, 2, 96, 3, 1889 },
+  { 556, 2, 96, 3, 1889 },
+  { 626, 2, 96, 3, 1889 },
+  { 681, 2, 96, 3, 1889 },
+  { 23, 2, 96, 3, 1889 },
+  { 116, 2, 96, 3, 1889 },
+  { 209, 2, 96, 3, 1889 },
+  { 284, 2, 96, 3, 1889 },
+  { 359, 2, 96, 3, 1889 },
+  { 434, 2, 96, 3, 1889 },
+  { 504, 2, 96, 3, 1889 },
+  { 574, 2, 96, 3, 1889 },
+  { 644, 2, 96, 3, 1889 },
+  { 699, 2, 96, 3, 1889 },
+  { 41, 2, 96, 3, 1889 },
+  { 134, 2, 96, 3, 1889 },
+  { 67, 116, 97, 13, 1809 },
+  { 160, 116, 97, 13, 1809 },
+  { 235, 116, 97, 13, 1809 },
+  { 310, 116, 97, 13, 1809 },
+  { 385, 116, 97, 13, 1809 },
+  { 460, 116, 97, 13, 1809 },
+  { 530, 116, 97, 13, 1809 },
+  { 600, 116, 97, 13, 1809 },
+  { 667, 116, 97, 13, 1809 },
+  { 722, 116, 97, 13, 1809 },
+  { 6, 116, 97, 13, 1809 },
+  { 99, 116, 97, 13, 1809 },
+  { 192, 116, 97, 13, 1809 },
+  { 267, 116, 97, 13, 1809 },
+  { 342, 116, 97, 13, 1809 },
+  { 417, 116, 97, 13, 1809 },
+  { 492, 116, 97, 13, 1809 },
+  { 562, 116, 97, 13, 1809 },
+  { 632, 116, 97, 13, 1809 },
+  { 687, 116, 97, 13, 1809 },
+  { 29, 116, 97, 13, 1809 },
+  { 122, 116, 97, 13, 1809 },
+  { 215, 116, 97, 13, 1809 },
+  { 290, 116, 97, 13, 1809 },
+  { 365, 116, 97, 13, 1809 },
+  { 440, 116, 97, 13, 1809 },
+  { 510, 116, 97, 13, 1809 },
+  { 580, 116, 97, 13, 1809 },
+  { 650, 116, 97, 13, 1809 },
+  { 705, 116, 97, 13, 1809 },
+  { 47, 116, 97, 13, 1809 },
+  { 140, 116, 97, 13, 1809 },
+  { 72, 115, 2, 12, 1777 },
+  { 165, 115, 2, 12, 1777 },
+  { 240, 115, 2, 12, 1777 },
+  { 315, 115, 2, 12, 1777 },
+  { 390, 115, 2, 12, 1777 },
+  { 465, 115, 2, 12, 1777 },
+  { 535, 115, 2, 12, 1777 },
+  { 605, 115, 2, 12, 1777 },
+  { 672, 115, 2, 12, 1777 },
+  { 727, 115, 2, 12, 1777 },
+  { 12, 115, 2, 12, 1777 },
+  { 105, 115, 2, 12, 1777 },
+  { 198, 115, 2, 12, 1777 },
+  { 273, 115, 2, 12, 1777 },
+  { 348, 115, 2, 12, 1777 },
+  { 423, 115, 2, 12, 1777 },
+  { 498, 115, 2, 12, 1777 },
+  { 568, 115, 2, 12, 1777 },
+  { 638, 115, 2, 12, 1777 },
+  { 693, 115, 2, 12, 1777 },
+  { 35, 115, 2, 12, 1777 },
+  { 128, 115, 2, 12, 1777 },
+  { 221, 115, 2, 12, 1777 },
+  { 296, 115, 2, 12, 1777 },
+  { 371, 115, 2, 12, 1777 },
+  { 446, 115, 2, 12, 1777 },
+  { 516, 115, 2, 12, 1777 },
+  { 586, 115, 2, 12, 1777 },
+  { 656, 115, 2, 12, 1777 },
+  { 711, 115, 2, 12, 1777 },
+  { 53, 115, 2, 12, 1777 },
+  { 146, 115, 2, 12, 1777 },
+  { 766, 2, 107, 3, 1681 },
+  { 770, 2, 107, 3, 1681 },
+  { 736, 2, 107, 3, 1681 },
+  { 741, 2, 107, 3, 1681 },
+  { 746, 2, 107, 3, 1681 },
+  { 751, 2, 107, 3, 1681 },
+  { 756, 2, 107, 3, 1681 },
+  { 761, 2, 107, 3, 1681 },
+  { 804, 121, 109, 1, 1649 },
+  { 808, 121, 109, 1, 1649 },
+  { 774, 121, 109, 1, 1649 },
+  { 779, 121, 109, 1, 1649 },
+  { 784, 121, 109, 1, 1649 },
+  { 789, 121, 109, 1, 1649 },
+  { 794, 121, 109, 1, 1649 },
+  { 799, 121, 109, 1, 1649 },
+  { 944, 122, 108, 2, 1617 },
+  { 948, 122, 108, 2, 1617 },
+  { 914, 122, 108, 2, 1617 },
+  { 919, 122, 108, 2, 1617 },
+  { 924, 122, 108, 2, 1617 },
+  { 929, 122, 108, 2, 1617 },
+  { 934, 122, 108, 2, 1617 },
+  { 939, 122, 108, 2, 1617 },
+};
+
+  // GR8 Register Class...
+  static MCPhysReg GR8[] = {
+    X86_AL, X86_CL, X86_DL, X86_AH, X86_CH, X86_DH, X86_BL, X86_BH, X86_SIL, X86_DIL, X86_BPL, X86_SPL, X86_R8B, X86_R9B, X86_R10B, X86_R11B, X86_R14B, X86_R15B, X86_R12B, X86_R13B, 
+  };
+
+  // GR8 Bit set.
+  static uint8_t GR8Bits[] = {
+    0xb6, 0xa6, 0x01, 0x00, 0x00, 0x40, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR8_NOREX Register Class...
+  static MCPhysReg GR8_NOREX[] = {
+    X86_AL, X86_CL, X86_DL, X86_AH, X86_CH, X86_DH, X86_BL, X86_BH, 
+  };
+
+  // GR8_NOREX Bit set.
+  static uint8_t GR8_NOREXBits[] = {
+    0x36, 0x26, 0x01, 
+  };
+
+  // GR8_ABCD_H Register Class...
+  static MCPhysReg GR8_ABCD_H[] = {
+    X86_AH, X86_CH, X86_DH, X86_BH, 
+  };
+
+  // GR8_ABCD_H Bit set.
+  static uint8_t GR8_ABCD_HBits[] = {
+    0x12, 0x22, 
+  };
+
+  // GR8_ABCD_L Register Class...
+  static MCPhysReg GR8_ABCD_L[] = {
+    X86_AL, X86_CL, X86_DL, X86_BL, 
+  };
+
+  // GR8_ABCD_L Bit set.
+  static uint8_t GR8_ABCD_LBits[] = {
+    0x24, 0x04, 0x01, 
+  };
+
+  // GR16 Register Class...
+  static MCPhysReg GR16[] = {
+    X86_AX, X86_CX, X86_DX, X86_SI, X86_DI, X86_BX, X86_BP, X86_SP, X86_R8W, X86_R9W, X86_R10W, X86_R11W, X86_R14W, X86_R15W, X86_R12W, X86_R13W, 
+  };
+
+  // GR16 Bit set.
+  static uint8_t GR16Bits[] = {
+    0x48, 0x51, 0x04, 0x00, 0x00, 0xa0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR16_NOREX Register Class...
+  static MCPhysReg GR16_NOREX[] = {
+    X86_AX, X86_CX, X86_DX, X86_SI, X86_DI, X86_BX, X86_BP, X86_SP, 
+  };
+
+  // GR16_NOREX Bit set.
+  static uint8_t GR16_NOREXBits[] = {
+    0x48, 0x51, 0x04, 0x00, 0x00, 0xa0, 
+  };
+
+  // VK1 Register Class...
+  static MCPhysReg VK1[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK1 Bit set.
+  static uint8_t VK1Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK16 Register Class...
+  static MCPhysReg VK16[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK16 Bit set.
+  static uint8_t VK16Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK2 Register Class...
+  static MCPhysReg VK2[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK2 Bit set.
+  static uint8_t VK2Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK4 Register Class...
+  static MCPhysReg VK4[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK4 Bit set.
+  static uint8_t VK4Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK8 Register Class...
+  static MCPhysReg VK8[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK8 Bit set.
+  static uint8_t VK8Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK16WM Register Class...
+  static MCPhysReg VK16WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK16WM Bit set.
+  static uint8_t VK16WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK1WM Register Class...
+  static MCPhysReg VK1WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK1WM Bit set.
+  static uint8_t VK1WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK2WM Register Class...
+  static MCPhysReg VK2WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK2WM Bit set.
+  static uint8_t VK2WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK4WM Register Class...
+  static MCPhysReg VK4WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK4WM Bit set.
+  static uint8_t VK4WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK8WM Register Class...
+  static MCPhysReg VK8WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK8WM Bit set.
+  static uint8_t VK8WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // SEGMENT_REG Register Class...
+  static MCPhysReg SEGMENT_REG[] = {
+    X86_CS, X86_DS, X86_SS, X86_ES, X86_FS, X86_GS, 
+  };
+
+  // SEGMENT_REG Bit set.
+  static uint8_t SEGMENT_REGBits[] = {
+    0x00, 0x08, 0x02, 0x10, 0x03, 0x00, 0x02, 
+  };
+
+  // GR16_ABCD Register Class...
+  static MCPhysReg GR16_ABCD[] = {
+    X86_AX, X86_CX, X86_DX, X86_BX, 
+  };
+
+  // GR16_ABCD Bit set.
+  static uint8_t GR16_ABCDBits[] = {
+    0x08, 0x11, 0x04, 
+  };
+
+  // FPCCR Register Class...
+  static MCPhysReg FPCCR[] = {
+    X86_FPSW, 
+  };
+
+  // FPCCR Bit set.
+  static uint8_t FPCCRBits[] = {
+    0x00, 0x00, 0x00, 0x80, 
+  };
+
+  // FR32X Register Class...
+  static MCPhysReg FR32X[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, X86_XMM16, X86_XMM17, X86_XMM18, X86_XMM19, X86_XMM20, X86_XMM21, X86_XMM22, X86_XMM23, X86_XMM24, X86_XMM25, X86_XMM26, X86_XMM27, X86_XMM28, X86_XMM29, X86_XMM30, X86_XMM31, 
+  };
+
+  // FR32X Bit set.
+  static uint8_t FR32XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // FR32 Register Class...
+  static MCPhysReg FR32[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, 
+  };
+
+  // FR32 Bit set.
+  static uint8_t FR32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // GR32 Register Class...
+  static MCPhysReg GR32[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32 Bit set.
+  static uint8_t GR32Bits[] = {
+    0x00, 0x00, 0xf8, 0x61, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOAX Register Class...
+  static MCPhysReg GR32_NOAX[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32_NOAX Bit set.
+  static uint8_t GR32_NOAXBits[] = {
+    0x00, 0x00, 0xf0, 0x61, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOSP Register Class...
+  static MCPhysReg GR32_NOSP[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32_NOSP Bit set.
+  static uint8_t GR32_NOSPBits[] = {
+    0x00, 0x00, 0xf8, 0x21, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOAX_and_GR32_NOSP Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_NOSP[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32_NOAX_and_GR32_NOSP Bit set.
+  static uint8_t GR32_NOAX_and_GR32_NOSPBits[] = {
+    0x00, 0x00, 0xf0, 0x21, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // DEBUG_REG Register Class...
+  static MCPhysReg DEBUG_REG[] = {
+    X86_DR0, X86_DR1, X86_DR2, X86_DR3, X86_DR4, X86_DR5, X86_DR6, X86_DR7, 
+  };
+
+  // DEBUG_REG Bit set.
+  static uint8_t DEBUG_REGBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOREX Register Class...
+  static MCPhysReg GR32_NOREX[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, 
+  };
+
+  // GR32_NOREX Bit set.
+  static uint8_t GR32_NOREXBits[] = {
+    0x00, 0x00, 0xf8, 0x61, 
+  };
+
+  // VK32 Register Class...
+  static MCPhysReg VK32[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK32 Bit set.
+  static uint8_t VK32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_NOREX[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX Bit set.
+  static uint8_t GR32_NOAX_and_GR32_NOREXBits[] = {
+    0x00, 0x00, 0xf0, 0x61, 
+  };
+
+  // GR32_NOREX_NOSP Register Class...
+  static MCPhysReg GR32_NOREX_NOSP[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, 
+  };
+
+  // GR32_NOREX_NOSP Bit set.
+  static uint8_t GR32_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0xf8, 0x21, 
+  };
+
+  // RFP32 Register Class...
+  static MCPhysReg RFP32[] = {
+    X86_FP0, X86_FP1, X86_FP2, X86_FP3, X86_FP4, X86_FP5, X86_FP6, 
+  };
+
+  // RFP32 Bit set.
+  static uint8_t RFP32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x01, 
+  };
+
+  // VK32WM Register Class...
+  static MCPhysReg VK32WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK32WM Bit set.
+  static uint8_t VK32WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX_NOSP Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_NOREX_NOSP[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX_NOSP Bit set.
+  static uint8_t GR32_NOAX_and_GR32_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0xf0, 0x21, 
+  };
+
+  // GR32_ABCD Register Class...
+  static MCPhysReg GR32_ABCD[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_EBX, 
+  };
+
+  // GR32_ABCD Bit set.
+  static uint8_t GR32_ABCDBits[] = {
+    0x00, 0x00, 0x68, 0x01, 
+  };
+
+  // GR32_ABCD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR32_ABCD_and_GR32_NOAX[] = {
+    X86_ECX, X86_EDX, X86_EBX, 
+  };
+
+  // GR32_ABCD_and_GR32_NOAX Bit set.
+  static uint8_t GR32_ABCD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x60, 0x01, 
+  };
+
+  // GR32_TC Register Class...
+  static MCPhysReg GR32_TC[] = {
+    X86_EAX, X86_ECX, X86_EDX, 
+  };
+
+  // GR32_TC Bit set.
+  static uint8_t GR32_TCBits[] = {
+    0x00, 0x00, 0x48, 0x01, 
+  };
+
+  // GR32_AD Register Class...
+  static MCPhysReg GR32_AD[] = {
+    X86_EAX, X86_EDX, 
+  };
+
+  // GR32_AD Bit set.
+  static uint8_t GR32_ADBits[] = {
+    0x00, 0x00, 0x08, 0x01, 
+  };
+
+  // GR32_NOAX_and_GR32_TC Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_TC[] = {
+    X86_ECX, X86_EDX, 
+  };
+
+  // GR32_NOAX_and_GR32_TC Bit set.
+  static uint8_t GR32_NOAX_and_GR32_TCBits[] = {
+    0x00, 0x00, 0x40, 0x01, 
+  };
+
+  // CCR Register Class...
+  static MCPhysReg CCR[] = {
+    X86_EFLAGS, 
+  };
+
+  // CCR Bit set.
+  static uint8_t CCRBits[] = {
+    0x00, 0x00, 0x00, 0x02, 
+  };
+
+  // GR32_AD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR32_AD_and_GR32_NOAX[] = {
+    X86_EDX, 
+  };
+
+  // GR32_AD_and_GR32_NOAX Bit set.
+  static uint8_t GR32_AD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x01, 
+  };
+
+  // RFP64 Register Class...
+  static MCPhysReg RFP64[] = {
+    X86_FP0, X86_FP1, X86_FP2, X86_FP3, X86_FP4, X86_FP5, X86_FP6, 
+  };
+
+  // RFP64 Bit set.
+  static uint8_t RFP64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x01, 
+  };
+
+  // FR64X Register Class...
+  static MCPhysReg FR64X[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, X86_XMM16, X86_XMM17, X86_XMM18, X86_XMM19, X86_XMM20, X86_XMM21, X86_XMM22, X86_XMM23, X86_XMM24, X86_XMM25, X86_XMM26, X86_XMM27, X86_XMM28, X86_XMM29, X86_XMM30, X86_XMM31, 
+  };
+
+  // FR64X Bit set.
+  static uint8_t FR64XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // GR64 Register Class...
+  static MCPhysReg GR64[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, X86_RSP, X86_RIP, 
+  };
+
+  // GR64 Bit set.
+  static uint8_t GR64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x1b, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // CONTROL_REG Register Class...
+  static MCPhysReg CONTROL_REG[] = {
+    X86_CR0, X86_CR1, X86_CR2, X86_CR3, X86_CR4, X86_CR5, X86_CR6, X86_CR7, X86_CR8, X86_CR9, X86_CR10, X86_CR11, X86_CR12, X86_CR13, X86_CR14, X86_CR15, 
+  };
+
+  // CONTROL_REG Bit set.
+  static uint8_t CONTROL_REGBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // FR64 Register Class...
+  static MCPhysReg FR64[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, 
+  };
+
+  // FR64 Bit set.
+  static uint8_t FR64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // GR64_with_sub_8bit Register Class...
+  static MCPhysReg GR64_with_sub_8bit[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_8bit Bit set.
+  static uint8_t GR64_with_sub_8bitBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x19, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_NOSP Register Class...
+  static MCPhysReg GR64_NOSP[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, 
+  };
+
+  // GR64_NOSP Bit set.
+  static uint8_t GR64_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x19, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_NOREX Register Class...
+  static MCPhysReg GR64_NOREX[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, X86_RSP, X86_RIP, 
+  };
+
+  // GR64_NOREX Bit set.
+  static uint8_t GR64_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x1b, 
+  };
+
+  // GR64_TC Register Class...
+  static MCPhysReg GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R11, X86_RIP, 
+  };
+
+  // GR64_TC Bit set.
+  static uint8_t GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x0b, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_NOSP_and_GR64_TC Register Class...
+  static MCPhysReg GR64_NOSP_and_GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_NOSP_and_GR64_TC Bit set.
+  static uint8_t GR64_NOSP_and_GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_with_sub_16bit_in_GR16_NOREX Register Class...
+  static MCPhysReg GR64_with_sub_16bit_in_GR16_NOREX[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_16bit_in_GR16_NOREX Bit set.
+  static uint8_t GR64_with_sub_16bit_in_GR16_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x19, 
+  };
+
+  // VK64 Register Class...
+  static MCPhysReg VK64[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK64 Bit set.
+  static uint8_t VK64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VR64 Register Class...
+  static MCPhysReg VR64[] = {
+    X86_MM0, X86_MM1, X86_MM2, X86_MM3, X86_MM4, X86_MM5, X86_MM6, X86_MM7, 
+  };
+
+  // VR64 Bit set.
+  static uint8_t VR64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_NOREX_NOSP Register Class...
+  static MCPhysReg GR64_NOREX_NOSP[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, 
+  };
+
+  // GR64_NOREX_NOSP Bit set.
+  static uint8_t GR64_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x09, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX Register Class...
+  static MCPhysReg GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX Bit set.
+  static uint8_t GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc0, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x19, 
+  };
+
+  // VK64WM Register Class...
+  static MCPhysReg VK64WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK64WM Bit set.
+  static uint8_t VK64WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // GR64_NOREX_and_GR64_TC Register Class...
+  static MCPhysReg GR64_NOREX_and_GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RIP, 
+  };
+
+  // GR64_NOREX_and_GR64_TC Bit set.
+  static uint8_t GR64_NOREX_and_GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x0b, 
+  };
+
+  // GR64_TCW64 Register Class...
+  static MCPhysReg GR64_TCW64[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_TCW64 Bit set.
+  static uint8_t GR64_TCW64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x48, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x09, 
+  };
+
+  // GR64_NOREX_NOSP_and_GR64_TC Register Class...
+  static MCPhysReg GR64_NOREX_NOSP_and_GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, 
+  };
+
+  // GR64_NOREX_NOSP_and_GR64_TC Bit set.
+  static uint8_t GR64_NOREX_NOSP_and_GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x09, 
+  };
+
+  // GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX Register Class...
+  static MCPhysReg GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX Bit set.
+  static uint8_t GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x40, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_ABCD Register Class...
+  static MCPhysReg GR64_ABCD[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RBX, 
+  };
+
+  // GR64_ABCD Bit set.
+  static uint8_t GR64_ABCDBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x68, 0x01, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Register Class...
+  static MCPhysReg GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Bit set.
+  static uint8_t GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc0, 0x09, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_RBX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x60, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_TC Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_TC Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x48, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_AD[] = {
+    X86_RAX, X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_ADBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x08, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC[] = {
+    X86_RCX, X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x40, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX[] = {
+    X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
+  };
+
+  // RST Register Class...
+  static MCPhysReg RST[] = {
+    X86_ST0, X86_ST1, X86_ST2, X86_ST3, X86_ST4, X86_ST5, X86_ST6, X86_ST7, 
+  };
+
+  // RST Bit set.
+  static uint8_t RSTBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // RFP80 Register Class...
+  static MCPhysReg RFP80[] = {
+    X86_FP0, X86_FP1, X86_FP2, X86_FP3, X86_FP4, X86_FP5, X86_FP6, 
+  };
+
+  // RFP80 Bit set.
+  static uint8_t RFP80Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x01, 
+  };
+
+  // VR128X Register Class...
+  static MCPhysReg VR128X[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, X86_XMM16, X86_XMM17, X86_XMM18, X86_XMM19, X86_XMM20, X86_XMM21, X86_XMM22, X86_XMM23, X86_XMM24, X86_XMM25, X86_XMM26, X86_XMM27, X86_XMM28, X86_XMM29, X86_XMM30, X86_XMM31, 
+  };
+
+  // VR128X Bit set.
+  static uint8_t VR128XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // VR128 Register Class...
+  static MCPhysReg VR128[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, 
+  };
+
+  // VR128 Bit set.
+  static uint8_t VR128Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // VR256X Register Class...
+  static MCPhysReg VR256X[] = {
+    X86_YMM0, X86_YMM1, X86_YMM2, X86_YMM3, X86_YMM4, X86_YMM5, X86_YMM6, X86_YMM7, X86_YMM8, X86_YMM9, X86_YMM10, X86_YMM11, X86_YMM12, X86_YMM13, X86_YMM14, X86_YMM15, X86_YMM16, X86_YMM17, X86_YMM18, X86_YMM19, X86_YMM20, X86_YMM21, X86_YMM22, X86_YMM23, X86_YMM24, X86_YMM25, X86_YMM26, X86_YMM27, X86_YMM28, X86_YMM29, X86_YMM30, X86_YMM31, 
+  };
+
+  // VR256X Bit set.
+  static uint8_t VR256XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // VR256 Register Class...
+  static MCPhysReg VR256[] = {
+    X86_YMM0, X86_YMM1, X86_YMM2, X86_YMM3, X86_YMM4, X86_YMM5, X86_YMM6, X86_YMM7, X86_YMM8, X86_YMM9, X86_YMM10, X86_YMM11, X86_YMM12, X86_YMM13, X86_YMM14, X86_YMM15, 
+  };
+
+  // VR256 Bit set.
+  static uint8_t VR256Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // VR512 Register Class...
+  static MCPhysReg VR512[] = {
+    X86_ZMM0, X86_ZMM1, X86_ZMM2, X86_ZMM3, X86_ZMM4, X86_ZMM5, X86_ZMM6, X86_ZMM7, X86_ZMM8, X86_ZMM9, X86_ZMM10, X86_ZMM11, X86_ZMM12, X86_ZMM13, X86_ZMM14, X86_ZMM15, X86_ZMM16, X86_ZMM17, X86_ZMM18, X86_ZMM19, X86_ZMM20, X86_ZMM21, X86_ZMM22, X86_ZMM23, X86_ZMM24, X86_ZMM25, X86_ZMM26, X86_ZMM27, X86_ZMM28, X86_ZMM29, X86_ZMM30, X86_ZMM31, 
+  };
+
+  // VR512 Bit set.
+  static uint8_t VR512Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // VR512_with_sub_xmm_in_FR32 Register Class...
+  static MCPhysReg VR512_with_sub_xmm_in_FR32[] = {
+    X86_ZMM0, X86_ZMM1, X86_ZMM2, X86_ZMM3, X86_ZMM4, X86_ZMM5, X86_ZMM6, X86_ZMM7, X86_ZMM8, X86_ZMM9, X86_ZMM10, X86_ZMM11, X86_ZMM12, X86_ZMM13, X86_ZMM14, X86_ZMM15, 
+  };
+
+  // VR512_with_sub_xmm_in_FR32 Bit set.
+  static uint8_t VR512_with_sub_xmm_in_FR32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+static MCRegisterClass X86MCRegisterClasses[] = {
+  { "GR8", GR8, GR8Bits, 20, sizeof(GR8Bits), X86_GR8RegClassID, 1, 1, 1, 1 },
+  { "GR8_NOREX", GR8_NOREX, GR8_NOREXBits, 8, sizeof(GR8_NOREXBits), X86_GR8_NOREXRegClassID, 1, 1, 1, 1 },
+  { "GR8_ABCD_H", GR8_ABCD_H, GR8_ABCD_HBits, 4, sizeof(GR8_ABCD_HBits), X86_GR8_ABCD_HRegClassID, 1, 1, 1, 1 },
+  { "GR8_ABCD_L", GR8_ABCD_L, GR8_ABCD_LBits, 4, sizeof(GR8_ABCD_LBits), X86_GR8_ABCD_LRegClassID, 1, 1, 1, 1 },
+  { "GR16", GR16, GR16Bits, 16, sizeof(GR16Bits), X86_GR16RegClassID, 2, 2, 1, 1 },
+  { "GR16_NOREX", GR16_NOREX, GR16_NOREXBits, 8, sizeof(GR16_NOREXBits), X86_GR16_NOREXRegClassID, 2, 2, 1, 1 },
+  { "VK1", VK1, VK1Bits, 8, sizeof(VK1Bits), X86_VK1RegClassID, 2, 2, 1, 1 },
+  { "VK16", VK16, VK16Bits, 8, sizeof(VK16Bits), X86_VK16RegClassID, 2, 2, 1, 1 },
+  { "VK2", VK2, VK2Bits, 8, sizeof(VK2Bits), X86_VK2RegClassID, 2, 2, 1, 1 },
+  { "VK4", VK4, VK4Bits, 8, sizeof(VK4Bits), X86_VK4RegClassID, 2, 2, 1, 1 },
+  { "VK8", VK8, VK8Bits, 8, sizeof(VK8Bits), X86_VK8RegClassID, 2, 2, 1, 1 },
+  { "VK16WM", VK16WM, VK16WMBits, 7, sizeof(VK16WMBits), X86_VK16WMRegClassID, 2, 2, 1, 1 },
+  { "VK1WM", VK1WM, VK1WMBits, 7, sizeof(VK1WMBits), X86_VK1WMRegClassID, 2, 2, 1, 1 },
+  { "VK2WM", VK2WM, VK2WMBits, 7, sizeof(VK2WMBits), X86_VK2WMRegClassID, 2, 2, 1, 1 },
+  { "VK4WM", VK4WM, VK4WMBits, 7, sizeof(VK4WMBits), X86_VK4WMRegClassID, 2, 2, 1, 1 },
+  { "VK8WM", VK8WM, VK8WMBits, 7, sizeof(VK8WMBits), X86_VK8WMRegClassID, 2, 2, 1, 1 },
+  { "SEGMENT_REG", SEGMENT_REG, SEGMENT_REGBits, 6, sizeof(SEGMENT_REGBits), X86_SEGMENT_REGRegClassID, 2, 2, 1, 1 },
+  { "GR16_ABCD", GR16_ABCD, GR16_ABCDBits, 4, sizeof(GR16_ABCDBits), X86_GR16_ABCDRegClassID, 2, 2, 1, 1 },
+  { "FPCCR", FPCCR, FPCCRBits, 1, sizeof(FPCCRBits), X86_FPCCRRegClassID, 2, 2, -1, 0 },
+  { "FR32X", FR32X, FR32XBits, 32, sizeof(FR32XBits), X86_FR32XRegClassID, 4, 4, 1, 1 },
+  { "FR32", FR32, FR32Bits, 16, sizeof(FR32Bits), X86_FR32RegClassID, 4, 4, 1, 1 },
+  { "GR32", GR32, GR32Bits, 16, sizeof(GR32Bits), X86_GR32RegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX", GR32_NOAX, GR32_NOAXBits, 15, sizeof(GR32_NOAXBits), X86_GR32_NOAXRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOSP", GR32_NOSP, GR32_NOSPBits, 15, sizeof(GR32_NOSPBits), X86_GR32_NOSPRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_NOSP", GR32_NOAX_and_GR32_NOSP, GR32_NOAX_and_GR32_NOSPBits, 14, sizeof(GR32_NOAX_and_GR32_NOSPBits), X86_GR32_NOAX_and_GR32_NOSPRegClassID, 4, 4, 1, 1 },
+  { "DEBUG_REG", DEBUG_REG, DEBUG_REGBits, 8, sizeof(DEBUG_REGBits), X86_DEBUG_REGRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOREX", GR32_NOREX, GR32_NOREXBits, 8, sizeof(GR32_NOREXBits), X86_GR32_NOREXRegClassID, 4, 4, 1, 1 },
+  { "VK32", VK32, VK32Bits, 8, sizeof(VK32Bits), X86_VK32RegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_NOREX", GR32_NOAX_and_GR32_NOREX, GR32_NOAX_and_GR32_NOREXBits, 7, sizeof(GR32_NOAX_and_GR32_NOREXBits), X86_GR32_NOAX_and_GR32_NOREXRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOREX_NOSP", GR32_NOREX_NOSP, GR32_NOREX_NOSPBits, 7, sizeof(GR32_NOREX_NOSPBits), X86_GR32_NOREX_NOSPRegClassID, 4, 4, 1, 1 },
+  { "RFP32", RFP32, RFP32Bits, 7, sizeof(RFP32Bits), X86_RFP32RegClassID, 4, 4, 1, 1 },
+  { "VK32WM", VK32WM, VK32WMBits, 7, sizeof(VK32WMBits), X86_VK32WMRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_NOREX_NOSP", GR32_NOAX_and_GR32_NOREX_NOSP, GR32_NOAX_and_GR32_NOREX_NOSPBits, 6, sizeof(GR32_NOAX_and_GR32_NOREX_NOSPBits), X86_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID, 4, 4, 1, 1 },
+  { "GR32_ABCD", GR32_ABCD, GR32_ABCDBits, 4, sizeof(GR32_ABCDBits), X86_GR32_ABCDRegClassID, 4, 4, 1, 1 },
+  { "GR32_ABCD_and_GR32_NOAX", GR32_ABCD_and_GR32_NOAX, GR32_ABCD_and_GR32_NOAXBits, 3, sizeof(GR32_ABCD_and_GR32_NOAXBits), X86_GR32_ABCD_and_GR32_NOAXRegClassID, 4, 4, 1, 1 },
+  { "GR32_TC", GR32_TC, GR32_TCBits, 3, sizeof(GR32_TCBits), X86_GR32_TCRegClassID, 4, 4, 1, 1 },
+  { "GR32_AD", GR32_AD, GR32_ADBits, 2, sizeof(GR32_ADBits), X86_GR32_ADRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_TC", GR32_NOAX_and_GR32_TC, GR32_NOAX_and_GR32_TCBits, 2, sizeof(GR32_NOAX_and_GR32_TCBits), X86_GR32_NOAX_and_GR32_TCRegClassID, 4, 4, 1, 1 },
+  { "CCR", CCR, CCRBits, 1, sizeof(CCRBits), X86_CCRRegClassID, 4, 4, -1, 0 },
+  { "GR32_AD_and_GR32_NOAX", GR32_AD_and_GR32_NOAX, GR32_AD_and_GR32_NOAXBits, 1, sizeof(GR32_AD_and_GR32_NOAXBits), X86_GR32_AD_and_GR32_NOAXRegClassID, 4, 4, 1, 1 },
+  { "RFP64", RFP64, RFP64Bits, 7, sizeof(RFP64Bits), X86_RFP64RegClassID, 8, 4, 1, 1 },
+  { "FR64X", FR64X, FR64XBits, 32, sizeof(FR64XBits), X86_FR64XRegClassID, 8, 8, 1, 1 },
+  { "GR64", GR64, GR64Bits, 17, sizeof(GR64Bits), X86_GR64RegClassID, 8, 8, 1, 1 },
+  { "CONTROL_REG", CONTROL_REG, CONTROL_REGBits, 16, sizeof(CONTROL_REGBits), X86_CONTROL_REGRegClassID, 8, 8, 1, 1 },
+  { "FR64", FR64, FR64Bits, 16, sizeof(FR64Bits), X86_FR64RegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_8bit", GR64_with_sub_8bit, GR64_with_sub_8bitBits, 16, sizeof(GR64_with_sub_8bitBits), X86_GR64_with_sub_8bitRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOSP", GR64_NOSP, GR64_NOSPBits, 15, sizeof(GR64_NOSPBits), X86_GR64_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX", GR64_with_sub_32bit_in_GR32_NOAX, GR64_with_sub_32bit_in_GR32_NOAXBits, 15, sizeof(GR64_with_sub_32bit_in_GR32_NOAXBits), X86_GR64_with_sub_32bit_in_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPBits, 14, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX", GR64_NOREX, GR64_NOREXBits, 9, sizeof(GR64_NOREXBits), X86_GR64_NOREXRegClassID, 8, 8, 1, 1 },
+  { "GR64_TC", GR64_TC, GR64_TCBits, 9, sizeof(GR64_TCBits), X86_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOSP_and_GR64_TC", GR64_NOSP_and_GR64_TC, GR64_NOSP_and_GR64_TCBits, 8, sizeof(GR64_NOSP_and_GR64_TCBits), X86_GR64_NOSP_and_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_16bit_in_GR16_NOREX", GR64_with_sub_16bit_in_GR16_NOREX, GR64_with_sub_16bit_in_GR16_NOREXBits, 8, sizeof(GR64_with_sub_16bit_in_GR16_NOREXBits), X86_GR64_with_sub_16bit_in_GR16_NOREXRegClassID, 8, 8, 1, 1 },
+  { "VK64", VK64, VK64Bits, 8, sizeof(VK64Bits), X86_VK64RegClassID, 8, 8, 1, 1 },
+  { "VR64", VR64, VR64Bits, 8, sizeof(VR64Bits), X86_VR64RegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX_NOSP", GR64_NOREX_NOSP, GR64_NOREX_NOSPBits, 7, sizeof(GR64_NOREX_NOSPBits), X86_GR64_NOREX_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX", GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX, GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXBits, 7, sizeof(GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXBits), X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits, 7, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID, 8, 8, 1, 1 },
+  { "VK64WM", VK64WM, VK64WMBits, 7, sizeof(VK64WMBits), X86_VK64WMRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX_and_GR64_TC", GR64_NOREX_and_GR64_TC, GR64_NOREX_and_GR64_TCBits, 6, sizeof(GR64_NOREX_and_GR64_TCBits), X86_GR64_NOREX_and_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_TCW64", GR64_TCW64, GR64_TCW64Bits, 6, sizeof(GR64_TCW64Bits), X86_GR64_TCW64RegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPBits, 6, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX_NOSP_and_GR64_TC", GR64_NOREX_NOSP_and_GR64_TC, GR64_NOREX_NOSP_and_GR64_TCBits, 5, sizeof(GR64_NOREX_NOSP_and_GR64_TCBits), X86_GR64_NOREX_NOSP_and_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX", GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX, GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXBits, 5, sizeof(GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXBits), X86_GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_ABCD", GR64_ABCD, GR64_ABCDBits, 4, sizeof(GR64_ABCDBits), X86_GR64_ABCDRegClassID, 8, 8, 1, 1 },
+  { "GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX", GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX, GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits, 4, sizeof(GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits), X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX", GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX, GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXBits, 3, sizeof(GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXBits), X86_GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_TC", GR64_with_sub_32bit_in_GR32_TC, GR64_with_sub_32bit_in_GR32_TCBits, 3, sizeof(GR64_with_sub_32bit_in_GR32_TCBits), X86_GR64_with_sub_32bit_in_GR32_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_AD", GR64_with_sub_32bit_in_GR32_AD, GR64_with_sub_32bit_in_GR32_ADBits, 2, sizeof(GR64_with_sub_32bit_in_GR32_ADBits), X86_GR64_with_sub_32bit_in_GR32_ADRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCBits, 2, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX", GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX, GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXBits, 1, sizeof(GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXBits), X86_GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "RST", RST, RSTBits, 8, sizeof(RSTBits), X86_RSTRegClassID, 10, 4, 1, 0 },
+  { "RFP80", RFP80, RFP80Bits, 7, sizeof(RFP80Bits), X86_RFP80RegClassID, 10, 4, 1, 1 },
+  { "VR128X", VR128X, VR128XBits, 32, sizeof(VR128XBits), X86_VR128XRegClassID, 16, 16, 1, 1 },
+  { "VR128", VR128, VR128Bits, 16, sizeof(VR128Bits), X86_VR128RegClassID, 16, 16, 1, 1 },
+  { "VR256X", VR256X, VR256XBits, 32, sizeof(VR256XBits), X86_VR256XRegClassID, 32, 32, 1, 1 },
+  { "VR256", VR256, VR256Bits, 16, sizeof(VR256Bits), X86_VR256RegClassID, 32, 32, 1, 1 },
+  { "VR512", VR512, VR512Bits, 32, sizeof(VR512Bits), X86_VR512RegClassID, 64, 64, 1, 1 },
+  { "VR512_with_sub_xmm_in_FR32", VR512_with_sub_xmm_in_FR32, VR512_with_sub_xmm_in_FR32Bits, 16, sizeof(VR512_with_sub_xmm_in_FR32Bits), X86_VR512_with_sub_xmm_in_FR32RegClassID, 64, 64, 1, 1 },
+};
+
+#endif // GET_REGINFO_MC_DESC
diff --git a/arch/X86/X86IntelInstPrinter.c b/arch/X86/X86IntelInstPrinter.c
index 6a0b738..7dfd666 100644
--- a/arch/X86/X86IntelInstPrinter.c
+++ b/arch/X86/X86IntelInstPrinter.c
@@ -37,6 +37,8 @@
 #include "X86GenInstrInfo.inc"
 #endif
 
+#include "X86BaseInfo.h"
+
 static void printMemReference(MCInst *MI, unsigned Op, SStream *O);
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O);
 
@@ -469,11 +471,11 @@
 	x86_reg reg;
 
 	// Try to print any aliases first.
-	mnem = printAliasInstr(MI, O, NULL);
+	mnem = printAliasInstr(MI, O, Info);
 	if (mnem)
 		cs_mem_free(mnem);
 	else
-		printInstruction(MI, O, NULL);
+		printInstruction(MI, O, Info);
 
 	if (MI->csh->detail) {
 		// first op can be embedded in the asm by llvm.
@@ -575,11 +577,11 @@
 static void printMemReference(MCInst *MI, unsigned Op, SStream *O)
 {
 	bool NeedPlus = false;
-	MCOperand *BaseReg  = MCInst_getOperand(MI, Op);
-	uint64_t ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op+1));
-	MCOperand *IndexReg  = MCInst_getOperand(MI, Op+2);
-	MCOperand *DispSpec = MCInst_getOperand(MI, Op+3);
-	MCOperand *SegReg = MCInst_getOperand(MI, Op+4);
+	MCOperand *BaseReg  = MCInst_getOperand(MI, Op + X86_AddrBaseReg);
+	uint64_t ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op + X86_AddrScaleAmt));
+	MCOperand *IndexReg  = MCInst_getOperand(MI, Op + X86_AddrIndexReg);
+	MCOperand *DispSpec = MCInst_getOperand(MI, Op + X86_AddrDisp);
+	MCOperand *SegReg = MCInst_getOperand(MI, Op + X86_AddrSegmentReg);
 	int reg;
 
 	if (MI->csh->detail) {
@@ -595,7 +597,7 @@
 	// If this has a segment register, print it.
 	reg = MCOperand_getReg(SegReg);
 	if (reg) {
-		_printOperand(MI, Op + 4, O);
+		_printOperand(MI, Op + X86_AddrSegmentReg, O);
 		if (MI->csh->detail) {
 			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
 		}
@@ -605,13 +607,13 @@
 	SStream_concat0(O, "[");
 
 	if (MCOperand_getReg(BaseReg)) {
-		_printOperand(MI, Op, O);
+		_printOperand(MI, Op + X86_AddrBaseReg, O);
 		NeedPlus = true;
 	}
 
 	if (MCOperand_getReg(IndexReg)) {
 		if (NeedPlus) SStream_concat0(O, " + ");
-		_printOperand(MI, Op+2, O);
+		_printOperand(MI, Op + X86_AddrIndexReg, O);
 		if (ScaleVal != 1)
 			SStream_concat(O, "*%u", ScaleVal);
 		NeedPlus = true;
@@ -646,6 +648,9 @@
 		MI->flat_insn->detail->x86.op_count++;
 }
 
+#define GET_REGINFO_ENUM
+#include "X86GenRegisterInfo.inc"
+
 #define PRINT_ALIAS_INSTR
 #ifdef CAPSTONE_X86_REDUCE
 #include "X86GenAsmWriter1_reduce.inc"
diff --git a/arch/X86/X86Mapping.c b/arch/X86/X86Mapping.c
index 03b90bb..8eecb7d 100644
--- a/arch/X86/X86Mapping.c
+++ b/arch/X86/X86Mapping.c
@@ -158,6 +158,7 @@
 	{ X86_REG_FP4, "fp4" },
 	{ X86_REG_FP5, "fp5" },
 	{ X86_REG_FP6, "fp6" },
+	{ X86_REG_FP7, "fp7" },
 	{ X86_REG_K0, "k0" },
 	{ X86_REG_K1, "k1" },
 	{ X86_REG_K2, "k2" },
@@ -396,6 +397,7 @@
 	10,	// { X86_REG_FP4, "fp4" },
 	10,	// { X86_REG_FP5, "fp5" },
 	10,	// { X86_REG_FP6, "fp6" },
+	10,	// { X86_REG_FP7, "fp7" },
 	2,	// { X86_REG_K0, "k0" },
 	2,	// { X86_REG_K1, "k1" },
 	2,	// { X86_REG_K2, "k2" },
@@ -633,6 +635,7 @@
 	10,	// { X86_REG_FP4, "fp4" },
 	10,	// { X86_REG_FP5, "fp5" },
 	10,	// { X86_REG_FP6, "fp6" },
+	10,	// { X86_REG_FP7, "fp7" },
 	2,	// { X86_REG_K0, "k0" },
 	2,	// { X86_REG_K1, "k1" },
 	2,	// { X86_REG_K2, "k2" },
@@ -907,11 +910,11 @@
 	{ X86_INS_CMP, "cmp" },
 	{ X86_INS_CMPPD, "cmppd" },
 	{ X86_INS_CMPPS, "cmpps" },
-	{ X86_INS_CMPSW, "cmpsw" },
+	{ X86_INS_CMPSB, "cmpsb" },
 	{ X86_INS_CMPSD, "cmpsd" },
 	{ X86_INS_CMPSQ, "cmpsq" },
-	{ X86_INS_CMPSB, "cmpsb" },
 	{ X86_INS_CMPSS, "cmpss" },
+	{ X86_INS_CMPSW, "cmpsw" },
 	{ X86_INS_CMPXCHG16B, "cmpxchg16b" },
 	{ X86_INS_CMPXCHG, "cmpxchg" },
 	{ X86_INS_CMPXCHG8B, "cmpxchg8b" },
@@ -962,6 +965,9 @@
 	{ X86_INS_DPPD, "dppd" },
 	{ X86_INS_DPPS, "dpps" },
 	{ X86_INS_DS, "ds" },
+	{ X86_INS_RET, "ret" },
+	{ X86_INS_ENCLS, "encls" },
+	{ X86_INS_ENCLU, "enclu" },
 	{ X86_INS_ENTER, "enter" },
 	{ X86_INS_ES, "es" },
 	{ X86_INS_EXTRACTPS, "extractps" },
@@ -1028,13 +1034,13 @@
 	{ X86_INS_IDIV, "idiv" },
 	{ X86_INS_FILD, "fild" },
 	{ X86_INS_IMUL, "imul" },
-	{ X86_INS_INSW, "insw" },
 	{ X86_INS_IN, "in" },
-	{ X86_INS_INSD, "insd" },
-	{ X86_INS_INSB, "insb" },
 	{ X86_INS_INC, "inc" },
+	{ X86_INS_INSB, "insb" },
 	{ X86_INS_INSERTPS, "insertps" },
 	{ X86_INS_INSERTQ, "insertq" },
+	{ X86_INS_INSD, "insd" },
+	{ X86_INS_INSW, "insw" },
 	{ X86_INS_INT, "int" },
 	{ X86_INS_INT1, "int1" },
 	{ X86_INS_INT3, "int3" },
@@ -1088,16 +1094,37 @@
 	{ X86_INS_JP, "jp" },
 	{ X86_INS_JRCXZ, "jrcxz" },
 	{ X86_INS_JS, "js" },
+	{ X86_INS_KANDB, "kandb" },
+	{ X86_INS_KANDD, "kandd" },
+	{ X86_INS_KANDNB, "kandnb" },
+	{ X86_INS_KANDND, "kandnd" },
+	{ X86_INS_KANDNQ, "kandnq" },
 	{ X86_INS_KANDNW, "kandnw" },
+	{ X86_INS_KANDQ, "kandq" },
 	{ X86_INS_KANDW, "kandw" },
+	{ X86_INS_KMOVB, "kmovb" },
+	{ X86_INS_KMOVD, "kmovd" },
+	{ X86_INS_KMOVQ, "kmovq" },
 	{ X86_INS_KMOVW, "kmovw" },
+	{ X86_INS_KNOTB, "knotb" },
+	{ X86_INS_KNOTD, "knotd" },
+	{ X86_INS_KNOTQ, "knotq" },
 	{ X86_INS_KNOTW, "knotw" },
+	{ X86_INS_KORB, "korb" },
+	{ X86_INS_KORD, "kord" },
+	{ X86_INS_KORQ, "korq" },
 	{ X86_INS_KORTESTW, "kortestw" },
 	{ X86_INS_KORW, "korw" },
 	{ X86_INS_KSHIFTLW, "kshiftlw" },
 	{ X86_INS_KSHIFTRW, "kshiftrw" },
 	{ X86_INS_KUNPCKBW, "kunpckbw" },
+	{ X86_INS_KXNORB, "kxnorb" },
+	{ X86_INS_KXNORD, "kxnord" },
+	{ X86_INS_KXNORQ, "kxnorq" },
 	{ X86_INS_KXNORW, "kxnorw" },
+	{ X86_INS_KXORB, "kxorb" },
+	{ X86_INS_KXORD, "kxord" },
+	{ X86_INS_KXORQ, "kxorq" },
 	{ X86_INS_KXORW, "kxorw" },
 	{ X86_INS_LAHF, "lahf" },
 	{ X86_INS_LAR, "lar" },
@@ -1393,8 +1420,6 @@
 	{ X86_INS_RDTSCP, "rdtscp" },
 	{ X86_INS_REPNE, "repne" },
 	{ X86_INS_REP, "rep" },
-	{ X86_INS_RET, "ret" },
-	{ X86_INS_REX64, "rex64" },
 	{ X86_INS_ROL, "rol" },
 	{ X86_INS_ROR, "ror" },
 	{ X86_INS_RORX, "rorx" },
@@ -1411,10 +1436,10 @@
 	{ X86_INS_SAR, "sar" },
 	{ X86_INS_SARX, "sarx" },
 	{ X86_INS_SBB, "sbb" },
-	{ X86_INS_SCASW, "scasw" },
+	{ X86_INS_SCASB, "scasb" },
 	{ X86_INS_SCASD, "scasd" },
 	{ X86_INS_SCASQ, "scasq" },
-	{ X86_INS_SCASB, "scasb" },
+	{ X86_INS_SCASW, "scasw" },
 	{ X86_INS_SETAE, "setae" },
 	{ X86_INS_SETA, "seta" },
 	{ X86_INS_SETBE, "setbe" },
@@ -1530,6 +1555,8 @@
 	{ X86_INS_VBLENDVPS, "vblendvps" },
 	{ X86_INS_VBROADCASTF128, "vbroadcastf128" },
 	{ X86_INS_VBROADCASTI128, "vbroadcasti128" },
+	{ X86_INS_VBROADCASTI32X4, "vbroadcasti32x4" },
+	{ X86_INS_VBROADCASTI64X4, "vbroadcasti64x4" },
 	{ X86_INS_VBROADCASTSD, "vbroadcastsd" },
 	{ X86_INS_VBROADCASTSS, "vbroadcastss" },
 	{ X86_INS_VCMPPD, "vcmppd" },
@@ -1664,6 +1691,14 @@
 	{ X86_INS_VXORPS, "vxorps" },
 	{ X86_INS_VGATHERDPD, "vgatherdpd" },
 	{ X86_INS_VGATHERDPS, "vgatherdps" },
+	{ X86_INS_VGATHERPF0DPD, "vgatherpf0dpd" },
+	{ X86_INS_VGATHERPF0DPS, "vgatherpf0dps" },
+	{ X86_INS_VGATHERPF0QPD, "vgatherpf0qpd" },
+	{ X86_INS_VGATHERPF0QPS, "vgatherpf0qps" },
+	{ X86_INS_VGATHERPF1DPD, "vgatherpf1dpd" },
+	{ X86_INS_VGATHERPF1DPS, "vgatherpf1dps" },
+	{ X86_INS_VGATHERPF1QPD, "vgatherpf1qpd" },
+	{ X86_INS_VGATHERPF1QPS, "vgatherpf1qps" },
 	{ X86_INS_VGATHERQPD, "vgatherqpd" },
 	{ X86_INS_VGATHERQPS, "vgatherqps" },
 	{ X86_INS_VHADDPD, "vhaddpd" },
@@ -1702,8 +1737,10 @@
 	{ X86_INS_VMOVDQA32, "vmovdqa32" },
 	{ X86_INS_VMOVDQA64, "vmovdqa64" },
 	{ X86_INS_VMOVDQA, "vmovdqa" },
+	{ X86_INS_VMOVDQU16, "vmovdqu16" },
 	{ X86_INS_VMOVDQU32, "vmovdqu32" },
 	{ X86_INS_VMOVDQU64, "vmovdqu64" },
+	{ X86_INS_VMOVDQU8, "vmovdqu8" },
 	{ X86_INS_VMOVDQU, "vmovdqu" },
 	{ X86_INS_VMOVHLPS, "vmovhlps" },
 	{ X86_INS_VMOVHPD, "vmovhpd" },
@@ -1854,6 +1891,8 @@
 	{ X86_INS_VPINSRD, "vpinsrd" },
 	{ X86_INS_VPINSRQ, "vpinsrq" },
 	{ X86_INS_VPINSRW, "vpinsrw" },
+	{ X86_INS_VPLZCNTD, "vplzcntd" },
+	{ X86_INS_VPLZCNTQ, "vplzcntq" },
 	{ X86_INS_VPMACSDD, "vpmacsdd" },
 	{ X86_INS_VPMACSDQH, "vpmacsdqh" },
 	{ X86_INS_VPMACSDQL, "vpmacsdql" },
@@ -2020,6 +2059,14 @@
 	{ X86_INS_VRSQRTSS, "vrsqrtss" },
 	{ X86_INS_VSCATTERDPD, "vscatterdpd" },
 	{ X86_INS_VSCATTERDPS, "vscatterdps" },
+	{ X86_INS_VSCATTERPF0DPD, "vscatterpf0dpd" },
+	{ X86_INS_VSCATTERPF0DPS, "vscatterpf0dps" },
+	{ X86_INS_VSCATTERPF0QPD, "vscatterpf0qpd" },
+	{ X86_INS_VSCATTERPF0QPS, "vscatterpf0qps" },
+	{ X86_INS_VSCATTERPF1DPD, "vscatterpf1dpd" },
+	{ X86_INS_VSCATTERPF1DPS, "vscatterpf1dps" },
+	{ X86_INS_VSCATTERPF1QPD, "vscatterpf1qpd" },
+	{ X86_INS_VSCATTERPF1QPS, "vscatterpf1qps" },
 	{ X86_INS_VSCATTERQPD, "vscatterqpd" },
 	{ X86_INS_VSCATTERQPS, "vscatterqps" },
 	{ X86_INS_VSHUFPD, "vshufpd" },
@@ -2122,6 +2169,11 @@
 	{ X86_GRP_TBM, "tbm" },
 	{ X86_GRP_16BITMODE, "16bitmode" },
 	{ X86_GRP_NOT64BITMODE, "not64bitmode" },
+	{ X86_GRP_SGX,	"sgx" },
+	{ X86_GRP_DQI,	"dqi" },
+	{ X86_GRP_BWI,	"bwi" },
+	{ X86_GRP_PFI,	"pfi" },
+	{ X86_GRP_VLX,	"vlx" },
 
 	{ X86_GRP_JUMP,	"jump" },
 	{ X86_GRP_VM, "vm" },
@@ -4904,27 +4956,9 @@
 #endif
 	},
 	{
-		X86_CMPS16, X86_INS_CMPSW,
+		X86_CMPSB, X86_INS_CMPSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		X86_CMPS32, X86_INS_CMPSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		X86_CMPS64, X86_INS_CMPSQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		X86_CMPS8, X86_INS_CMPSB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -4952,6 +4986,18 @@
 #endif
 	},
 	{
+		X86_CMPSL, X86_INS_CMPSD,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_CMPSQ, X86_INS_CMPSQ,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_CMPSSrm, X86_INS_CMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE1, 0 }, 0, 0
@@ -4976,6 +5022,12 @@
 #endif
 	},
 	{
+		X86_CMPSW, X86_INS_CMPSW,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_CMPXCHG16B, X86_INS_CMPXCHG16B,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_RAX, X86_REG_RBX, X86_REG_RCX, X86_REG_RDX, 0 }, { X86_REG_RAX, X86_REG_RDX, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
@@ -5792,12 +5844,6 @@
 #endif
 	},
 	{
-		X86_ES_PREFIX, X86_INS_ES,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_EXTRACTPSmr, X86_INS_EXTRACTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE41, 0 }, 0, 0
@@ -6584,12 +6630,6 @@
 #endif
 	},
 	{
-		X86_IN16, X86_INS_INSW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN16ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
@@ -6602,12 +6642,6 @@
 #endif
 	},
 	{
-		X86_IN32, X86_INS_INSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN32ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EAX, 0 }, { 0 }, 0, 0
@@ -6620,12 +6654,6 @@
 #endif
 	},
 	{
-		X86_IN8, X86_INS_INSB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN8ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AL, 0 }, { 0 }, 0, 0
@@ -6722,6 +6750,12 @@
 #endif
 	},
 	{
+		X86_INSB, X86_INS_INSB,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_INSERTPSrm, X86_INS_INSERTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE41, 0 }, 0, 0
@@ -6746,6 +6780,18 @@
 #endif
 	},
 	{
+		X86_INSL, X86_INS_INSD,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_INSW, X86_INS_INSW,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_INT, X86_INS_INT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
@@ -6766,7 +6812,7 @@
 	{
 		X86_INTO, X86_INS_INTO,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_NOT64BITMODE, X86_GRP_INT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6790,7 +6836,7 @@
 	{
 		X86_INVLPG, X86_INS_INVLPG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_VM, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7886,18 +7932,144 @@
 #endif
 	},
 	{
+		X86_KANDBrr, X86_INS_KANDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDDrr, X86_INS_KANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDNBrr, X86_INS_KANDNB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDNDrr, X86_INS_KANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDNQrr, X86_INS_KANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KANDNWrr, X86_INS_KANDNW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KANDQrr, X86_INS_KANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KANDWrr, X86_INS_KANDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KMOVBkk, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBkm, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBkr, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBmk, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBrk, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDkk, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDkm, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDkr, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDmk, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDrk, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQkk, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQkm, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQkr, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQmk, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQrk, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KMOVWkk, X86_INS_KMOVW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -7928,12 +8100,48 @@
 #endif
 	},
 	{
+		X86_KNOTBrr, X86_INS_KNOTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KNOTDrr, X86_INS_KNOTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KNOTQrr, X86_INS_KNOTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KNOTWrr, X86_INS_KNOTW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KORBrr, X86_INS_KORB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KORDrr, X86_INS_KORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KORQrr, X86_INS_KORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KORTESTWrr, X86_INS_KORTESTW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -7964,12 +8172,48 @@
 #endif
 	},
 	{
+		X86_KXNORBrr, X86_INS_KXNORB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXNORDrr, X86_INS_KXNORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXNORQrr, X86_INS_KXNORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KXNORWrr, X86_INS_KXNORW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KXORBrr, X86_INS_KXORB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXORDrr, X86_INS_KXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXORQrr, X86_INS_KXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KXORWrr, X86_INS_KXORW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -8650,25 +8894,25 @@
 	{
 		X86_LODSB, X86_INS_LODSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AL, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSL, X86_INS_LODSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSQ, X86_INS_LODSQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_RAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSW, X86_INS_LODSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -9284,6 +9528,12 @@
 #endif
 	},
 	{
+		X86_MMX_MOVQ64rr_REV, X86_INS_MOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_MMX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MMX_PABSBrm64, X86_INS_PABSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSSE3, 0 }, 0, 0
@@ -10234,13 +10484,13 @@
 	{
 		X86_MOV16ao16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16ao16_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10264,13 +10514,13 @@
 	{
 		X86_MOV16o16a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16o16a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10324,13 +10574,13 @@
 	{
 		X86_MOV32ao32, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32ao32_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10366,13 +10616,13 @@
 	{
 		X86_MOV32o32a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32o32a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10438,25 +10688,25 @@
 	{
 		X86_MOV64ao16, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao32, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao64, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_RAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao8, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10492,25 +10742,25 @@
 	{
 		X86_MOV64o16a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o32a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o64a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_RAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o8a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10546,7 +10796,7 @@
 	{
 		X86_MOV64rr, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -10594,13 +10844,13 @@
 	{
 		X86_MOV8ao8, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8ao8_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10616,15 +10866,21 @@
 #endif
 	},
 	{
+		X86_MOV8mr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8o8a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8o8a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10646,12 +10902,24 @@
 #endif
 	},
 	{
+		X86_MOV8rm_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
+		X86_MOV8rr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr_REV, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -12082,19 +12350,19 @@
 	{
 		X86_OUTSB, X86_INS_OUTSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSL, X86_INS_OUTSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSW, X86_INS_OUTSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -14734,7 +15002,7 @@
 	{
 		X86_RDPMC, X86_INS_RDPMC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ECX, 0 }, { X86_REG_RAX, X86_REG_RDX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -14918,12 +15186,6 @@
 #endif
 	},
 	{
-		X86_REX64_PREFIX, X86_INS_REX64,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ROL16m1, X86_INS_ROL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
@@ -15878,27 +16140,27 @@
 #endif
 	},
 	{
-		X86_SCAS16, X86_INS_SCASW,
+		X86_SCASB, X86_INS_SCASB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AL, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS32, X86_INS_SCASD,
+		X86_SCASL, X86_INS_SCASD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS64, X86_INS_SCASQ,
+		X86_SCASQ, X86_INS_SCASQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_RAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS8, X86_INS_SCASB,
+		X86_SCASW, X86_INS_SCASW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -17816,12 +18078,48 @@
 #endif
 	},
 	{
+		X86_VADDPDZrmbk, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrmbkz, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrmk, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrmkz, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPDZrr, X86_INS_VADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VADDPDZrrk, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrrkz, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPDrm, X86_INS_VADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -17858,12 +18156,48 @@
 #endif
 	},
 	{
+		X86_VADDPSZrmbk, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrmbkz, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrmk, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrmkz, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPSZrr, X86_INS_VADDPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VADDPSZrrk, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrrkz, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPSrm, X86_INS_VADDPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -18080,6 +18414,18 @@
 #endif
 	},
 	{
+		X86_VALIGNDrrik, X86_INS_VALIGND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VALIGNDrrikz, X86_INS_VALIGND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VALIGNQrmi, X86_INS_VALIGNQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -18092,6 +18438,18 @@
 #endif
 	},
 	{
+		X86_VALIGNQrrik, X86_INS_VALIGNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VALIGNQrrikz, X86_INS_VALIGNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VANDNPDYrm, X86_INS_VANDNPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -18320,6 +18678,30 @@
 #endif
 	},
 	{
+		X86_VBROADCASTI32X4krm, X86_INS_VBROADCASTI32X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VBROADCASTI32X4rm, X86_INS_VBROADCASTI32X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VBROADCASTI64X4krm, X86_INS_VBROADCASTI64X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VBROADCASTI64X4rm, X86_INS_VBROADCASTI64X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VBROADCASTSDYrm, X86_INS_VBROADCASTSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -19658,12 +20040,48 @@
 #endif
 	},
 	{
+		X86_VDIVPDZrmbk, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrmbkz, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrmk, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrmkz, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPDZrr, X86_INS_VDIVPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VDIVPDZrrk, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrrkz, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPDrm, X86_INS_VDIVPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -19700,12 +20118,48 @@
 #endif
 	},
 	{
+		X86_VDIVPSZrmbk, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrmbkz, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrmk, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrmkz, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPSZrr, X86_INS_VDIVPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VDIVPSZrrk, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrrkz, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPSrm, X86_INS_VDIVPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -22670,6 +23124,54 @@
 #endif
 	},
 	{
+		X86_VGATHERPF0DPDm, X86_INS_VGATHERPF0DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF0DPSm, X86_INS_VGATHERPF0DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF0QPDm, X86_INS_VGATHERPF0QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF0QPSm, X86_INS_VGATHERPF0QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1DPDm, X86_INS_VGATHERPF1DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1DPSm, X86_INS_VGATHERPF1DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1QPDm, X86_INS_VGATHERPF1QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1QPSm, X86_INS_VGATHERPF1QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VGATHERQPDYrm, X86_INS_VGATHERQPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -23072,12 +23574,48 @@
 #endif
 	},
 	{
+		X86_VMAXPDZrmbk, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrmbkz, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrmk, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrmkz, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPDZrr, X86_INS_VMAXPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMAXPDZrrk, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrrkz, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPDrm, X86_INS_VMAXPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23114,12 +23652,48 @@
 #endif
 	},
 	{
+		X86_VMAXPSZrmbk, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrmbkz, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrmk, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrmkz, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPSZrr, X86_INS_VMAXPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMAXPSZrrk, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrrkz, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPSrm, X86_INS_VMAXPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23318,12 +23892,48 @@
 #endif
 	},
 	{
+		X86_VMINPDZrmbk, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrmbkz, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrmk, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrmkz, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPDZrr, X86_INS_VMINPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMINPDZrrk, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrrkz, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPDrm, X86_INS_VMINPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23360,12 +23970,48 @@
 #endif
 	},
 	{
+		X86_VMINPSZrmbk, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrmbkz, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrmk, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrmkz, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPSZrr, X86_INS_VMINPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMINPSZrrk, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrrkz, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPSrm, X86_INS_VMINPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23528,12 +24174,150 @@
 #endif
 	},
 	{
+		X86_VMOVAPDZ128mr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128mrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rm, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rmk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rmkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rr_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrk_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrkz_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256mr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256mrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rm, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rmk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rmkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rr_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrk_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrkz_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZmr, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPDZmrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZrm, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -23546,18 +24330,48 @@
 #endif
 	},
 	{
+		X86_VMOVAPDZrmkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZrr, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPDZrr_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZrrk, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPDZrrk_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZrrkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZrrkz_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDmr, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23606,12 +24420,150 @@
 #endif
 	},
 	{
+		X86_VMOVAPSZ128mr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128mrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rm, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rmk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rmkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rr_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrk_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrkz_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256mr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256mrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rm, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rmk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rmkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rr_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrk_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrkz_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZmr, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPSZmrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZrm, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -23624,18 +24576,48 @@
 #endif
 	},
 	{
+		X86_VMOVAPSZrmkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZrr, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPSZrr_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZrrk, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPSZrrk_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZrrkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZrrkz_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSmr, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23744,37 +24726,397 @@
 #endif
 	},
 	{
-		X86_VMOVDQA32mr, X86_INS_VMOVDQA32,
+		X86_VMOVDQA32Z128mr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128mrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rm, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rmk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rmkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rr_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrk_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrkz_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256mr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256mrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rm, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rmk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rmkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rr_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrk_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrkz_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zmr, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA32rm, X86_INS_VMOVDQA32,
+		X86_VMOVDQA32Zmrk, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA32rr, X86_INS_VMOVDQA32,
+		X86_VMOVDQA32Zrm, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA64mr, X86_INS_VMOVDQA64,
+		X86_VMOVDQA32Zrmk, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA64rm, X86_INS_VMOVDQA64,
+		X86_VMOVDQA32Zrmkz, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA64rr, X86_INS_VMOVDQA64,
+		X86_VMOVDQA32Zrr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrr_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrk_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrkz_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128mr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128mrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rm, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rmk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rmkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rr_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrk_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrkz_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256mr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256mrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rm, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rmk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rmkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rr_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrk_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrkz_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zmr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zmrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrm, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrmk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrmkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrr_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrk_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrkz_alt, X86_INS_VMOVDQA64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -23828,78 +25170,798 @@
 #endif
 	},
 	{
-		X86_VMOVDQU32mr, X86_INS_VMOVDQU32,
+		X86_VMOVDQU16Z128mr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128mrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rm, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rmk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rmkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rr_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrk_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrkz_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256mr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256mrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rm, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rmk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rmkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rr_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrk_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrkz_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zmr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zmrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrm, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrmk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrmkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrr_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrk_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrkz_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128mr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128mrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rm, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rmk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rmkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rr_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrk_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrkz_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256mr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256mrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rm, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rmk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rmkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rr_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrk_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrkz_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Zmr, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rm, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zmrk, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rmk, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrm, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rr, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrmk, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rrk, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrmkz, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rrkz, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrr, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64mr, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrr_alt, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rm, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrk, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rmk, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrk_alt, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rr, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrkz, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rrk, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrkz_alt, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rrkz, X86_INS_VMOVDQU64,
+		X86_VMOVDQU64Z128mr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128mrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rm, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rmk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rmkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rr_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrk_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrkz_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256mr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256mrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rm, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rmk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rmkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rr_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrk_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrkz_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zmr, X86_INS_VMOVDQU64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVDQU64Zmrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrm, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrmk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrmkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrr_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrk_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrkz_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128mr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128mrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rm, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rmk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rmkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rr_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrk_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrkz_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256mr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256mrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rm, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rmk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rmkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rr_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrk_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrkz_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zmr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zmrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrm, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrmk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrmkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrr_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrk_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrkz_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVDQUYmr, X86_INS_VMOVDQU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24050,6 +26112,12 @@
 #endif
 	},
 	{
+		X86_VMOVNTDQAZrm, X86_INS_VMOVNTDQA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVNTDQArm, X86_INS_VMOVNTDQA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24062,6 +26130,12 @@
 #endif
 	},
 	{
+		X86_VMOVNTDQZmr, X86_INS_VMOVNTDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVNTDQmr, X86_INS_VMOVNTDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24074,6 +26148,12 @@
 #endif
 	},
 	{
+		X86_VMOVNTPDZmr, X86_INS_VMOVNTPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVNTPDmr, X86_INS_VMOVNTPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24086,6 +26166,12 @@
 #endif
 	},
 	{
+		X86_VMOVNTPSZmr, X86_INS_VMOVNTPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVNTPSmr, X86_INS_VMOVNTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24410,12 +26496,150 @@
 #endif
 	},
 	{
+		X86_VMOVUPDZ128mr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128mrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rm, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rmk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rmkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rr_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrk_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrkz_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256mr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256mrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rm, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rmk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rmkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rr_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrk_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrkz_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZmr, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPDZmrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZrm, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -24428,18 +26652,48 @@
 #endif
 	},
 	{
+		X86_VMOVUPDZrmkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZrr, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPDZrr_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZrrk, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPDZrrk_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZrrkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZrrkz_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDmr, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24488,12 +26742,150 @@
 #endif
 	},
 	{
+		X86_VMOVUPSZ128mr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128mrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rm, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rmk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rmkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rr_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrk_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrkz_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256mr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256mrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rm, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rmk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rmkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rr_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrk_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrkz_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZmr, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPSZmrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZrm, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -24506,18 +26898,48 @@
 #endif
 	},
 	{
+		X86_VMOVUPSZrmkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZrr, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPSZrr_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZrrk, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPSZrrk_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZrrkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZrrkz_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSmr, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24692,12 +27114,48 @@
 #endif
 	},
 	{
+		X86_VMULPDZrmbk, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrmbkz, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrmk, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrmkz, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPDZrr, X86_INS_VMULPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMULPDZrrk, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrrkz, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPDrm, X86_INS_VMULPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24734,12 +27192,48 @@
 #endif
 	},
 	{
+		X86_VMULPSZrmbk, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrmbkz, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrmk, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrmkz, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPSZrr, X86_INS_VMULPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMULPSZrrk, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrrkz, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPSrm, X86_INS_VMULPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24932,7 +27426,55 @@
 #endif
 	},
 	{
-		X86_VPABSDrm, X86_INS_VPABSD,
+		X86_VPABSDZrm, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmb, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmbk, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmbkz, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmk, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmkz, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrr, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrrk, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrrkz, X86_INS_VPABSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -24950,12 +27492,6 @@
 #endif
 	},
 	{
-		X86_VPABSDrr, X86_INS_VPABSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_VPABSDrr128, X86_INS_VPABSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24968,13 +27504,55 @@
 #endif
 	},
 	{
-		X86_VPABSQrm, X86_INS_VPABSQ,
+		X86_VPABSQZrm, X86_INS_VPABSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPABSQrr, X86_INS_VPABSQ,
+		X86_VPABSQZrmb, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmbk, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmbkz, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmk, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmkz, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrr, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrrk, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrrkz, X86_INS_VPABSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -25148,12 +27726,48 @@
 #endif
 	},
 	{
+		X86_VPADDDZrmbk, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrmbkz, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrmk, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrmkz, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDDZrr, X86_INS_VPADDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPADDDZrrk, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrrkz, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDDrm, X86_INS_VPADDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25190,12 +27804,48 @@
 #endif
 	},
 	{
+		X86_VPADDQZrmbk, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrmbkz, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrmk, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrmkz, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDQZrr, X86_INS_VPADDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPADDQZrrk, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrrkz, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDQrm, X86_INS_VPADDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25364,12 +28014,48 @@
 #endif
 	},
 	{
+		X86_VPANDDZrmbk, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrmbkz, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrmk, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrmkz, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDDZrr, X86_INS_VPANDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDDZrrk, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrrkz, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNDZrm, X86_INS_VPANDND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25382,12 +28068,48 @@
 #endif
 	},
 	{
+		X86_VPANDNDZrmbk, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrmbkz, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrmk, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrmkz, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNDZrr, X86_INS_VPANDND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDNDZrrk, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrrkz, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNQZrm, X86_INS_VPANDNQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25400,12 +28122,48 @@
 #endif
 	},
 	{
+		X86_VPANDNQZrmbk, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrmbkz, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrmk, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrmkz, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNQZrr, X86_INS_VPANDNQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDNQZrrk, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrrkz, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNYrm, X86_INS_VPANDN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -25442,12 +28200,48 @@
 #endif
 	},
 	{
+		X86_VPANDQZrmbk, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrmbkz, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrmk, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrmkz, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDQZrr, X86_INS_VPANDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDQZrrk, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrrkz, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDYrm, X86_INS_VPAND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -25702,13 +28496,13 @@
 	{
 		X86_VPBROADCASTMB2Qrr, X86_INS_VPBROADCASTMB2Q,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VPBROADCASTMW2Drr, X86_INS_VPBROADCASTMW2D,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
 #endif
 	},
 	{
@@ -25844,7 +28638,7 @@
 #endif
 	},
 	{
-		X86_VPCMPDZrmi, X86_INS_VPCMPD,
+		X86_VPCMPDZrmi, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -25856,7 +28650,13 @@
 #endif
 	},
 	{
-		X86_VPCMPDZrri, X86_INS_VPCMPD,
+		X86_VPCMPDZrmik_alt, X86_INS_VPCMPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPDZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -25868,6 +28668,12 @@
 #endif
 	},
 	{
+		X86_VPCMPDZrrik_alt, X86_INS_VPCMPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQBYrm, X86_INS_VPCMPEQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -26156,7 +28962,7 @@
 #endif
 	},
 	{
-		X86_VPCMPQZrmi, X86_INS_VPCMPQ,
+		X86_VPCMPQZrmi, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -26168,7 +28974,13 @@
 #endif
 	},
 	{
-		X86_VPCMPQZrri, X86_INS_VPCMPQ,
+		X86_VPCMPQZrmik_alt, X86_INS_VPCMPQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPQZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -26180,7 +28992,13 @@
 #endif
 	},
 	{
-		X86_VPCMPUDZrmi, X86_INS_VPCMPUD,
+		X86_VPCMPQZrrik_alt, X86_INS_VPCMPQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPUDZrmi, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -26192,7 +29010,13 @@
 #endif
 	},
 	{
-		X86_VPCMPUDZrri, X86_INS_VPCMPUD,
+		X86_VPCMPUDZrmik_alt, X86_INS_VPCMPUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPUDZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -26204,7 +29028,13 @@
 #endif
 	},
 	{
-		X86_VPCMPUQZrmi, X86_INS_VPCMPUQ,
+		X86_VPCMPUDZrrik_alt, X86_INS_VPCMPUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPUQZrmi, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -26216,7 +29046,13 @@
 #endif
 	},
 	{
-		X86_VPCMPUQZrri, X86_INS_VPCMPUQ,
+		X86_VPCMPUQZrmik_alt, X86_INS_VPCMPUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPUQZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -26228,6 +29064,12 @@
 #endif
 	},
 	{
+		X86_VPCMPUQZrrik_alt, X86_INS_VPCMPUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCOMBmi, X86_INS_VPCOMB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_XOP, 0 }, 0, 0
@@ -26486,48 +29328,144 @@
 #endif
 	},
 	{
+		X86_VPERMI2Drmk, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Drmkz, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2Drr, X86_INS_VPERMI2D,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2Drrk, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Drrkz, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PDrm, X86_INS_VPERMI2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PDrmk, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PDrmkz, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PDrr, X86_INS_VPERMI2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PDrrk, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PDrrkz, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PSrm, X86_INS_VPERMI2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PSrmk, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PSrmkz, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PSrr, X86_INS_VPERMI2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PSrrk, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PSrrkz, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2Qrm, X86_INS_VPERMI2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2Qrmk, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Qrmkz, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2Qrr, X86_INS_VPERMI2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2Qrrk, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Qrrkz, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMIL2PDmr, X86_INS_VPERMIL2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_XOP, 0 }, 0, 0
@@ -26822,48 +29760,144 @@
 #endif
 	},
 	{
+		X86_VPERMT2Drmk, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Drmkz, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2Drr, X86_INS_VPERMT2D,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2Drrk, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Drrkz, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PDrm, X86_INS_VPERMT2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PDrmk, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PDrmkz, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PDrr, X86_INS_VPERMT2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PDrrk, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PDrrkz, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PSrm, X86_INS_VPERMT2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PSrmk, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PSrmkz, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PSrr, X86_INS_VPERMT2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PSrrk, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PSrrkz, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2Qrm, X86_INS_VPERMT2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2Qrmk, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Qrmkz, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2Qrr, X86_INS_VPERMT2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2Qrrk, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Qrrkz, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPEXTRBmr, X86_INS_VPEXTRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27374,6 +30408,114 @@
 #endif
 	},
 	{
+		X86_VPLZCNTDrm, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmb, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmbk, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmbkz, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmk, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmkz, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrr, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrrk, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrrkz, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrm, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmb, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmbk, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmbkz, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmk, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmkz, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrr, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrrk, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrrkz, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMACSDDrm, X86_INS_VPMACSDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_XOP, 0 }, 0, 0
@@ -27662,12 +30804,48 @@
 #endif
 	},
 	{
+		X86_VPMAXSDZrmbk, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrmbkz, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrmk, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrmkz, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSDZrr, X86_INS_VPMAXSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXSDZrrk, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrrkz, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSDrm, X86_INS_VPMAXSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27692,12 +30870,48 @@
 #endif
 	},
 	{
+		X86_VPMAXSQZrmbk, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrmbkz, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrmk, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrmkz, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSQZrr, X86_INS_VPMAXSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXSQZrrk, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrrkz, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSWYrm, X86_INS_VPMAXSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27770,12 +30984,48 @@
 #endif
 	},
 	{
+		X86_VPMAXUDZrmbk, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrmbkz, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrmk, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrmkz, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUDZrr, X86_INS_VPMAXUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXUDZrrk, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrrkz, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUDrm, X86_INS_VPMAXUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27800,12 +31050,48 @@
 #endif
 	},
 	{
+		X86_VPMAXUQZrmbk, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrmbkz, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrmk, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrmkz, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUQZrr, X86_INS_VPMAXUQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXUQZrrk, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrrkz, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUWYrm, X86_INS_VPMAXUW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27878,12 +31164,48 @@
 #endif
 	},
 	{
+		X86_VPMINSDZrmbk, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrmbkz, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrmk, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrmkz, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSDZrr, X86_INS_VPMINSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINSDZrrk, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrrkz, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSDrm, X86_INS_VPMINSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27908,12 +31230,48 @@
 #endif
 	},
 	{
+		X86_VPMINSQZrmbk, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrmbkz, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrmk, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrmkz, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSQZrr, X86_INS_VPMINSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINSQZrrk, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrrkz, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSWYrm, X86_INS_VPMINSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27986,12 +31344,48 @@
 #endif
 	},
 	{
+		X86_VPMINUDZrmbk, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrmbkz, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrmk, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrmkz, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUDZrr, X86_INS_VPMINUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINUDZrrk, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrrkz, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUDrm, X86_INS_VPMINUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28016,12 +31410,48 @@
 #endif
 	},
 	{
+		X86_VPMINUQZrmbk, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrmbkz, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrmk, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrmkz, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUQZrr, X86_INS_VPMINUQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINUQZrrk, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrrkz, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUWYrm, X86_INS_VPMINUW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -28046,13 +31476,13 @@
 #endif
 	},
 	{
-		X86_VPMOVDBkrr, X86_INS_VPMOVDB,
+		X86_VPMOVDBmr, X86_INS_VPMOVDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVDBmr, X86_INS_VPMOVDB,
+		X86_VPMOVDBmrk, X86_INS_VPMOVDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28064,7 +31494,13 @@
 #endif
 	},
 	{
-		X86_VPMOVDWkrr, X86_INS_VPMOVDW,
+		X86_VPMOVDBrrk, X86_INS_VPMOVDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVDBrrkz, X86_INS_VPMOVDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28076,12 +31512,30 @@
 #endif
 	},
 	{
+		X86_VPMOVDWmrk, X86_INS_VPMOVDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVDWrr, X86_INS_VPMOVDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVDWrrk, X86_INS_VPMOVDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVDWrrkz, X86_INS_VPMOVDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVMSKBYrr, X86_INS_VPMOVMSKB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -28094,13 +31548,13 @@
 #endif
 	},
 	{
-		X86_VPMOVQBkrr, X86_INS_VPMOVQB,
+		X86_VPMOVQBmr, X86_INS_VPMOVQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVQBmr, X86_INS_VPMOVQB,
+		X86_VPMOVQBmrk, X86_INS_VPMOVQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28112,7 +31566,13 @@
 #endif
 	},
 	{
-		X86_VPMOVQDkrr, X86_INS_VPMOVQD,
+		X86_VPMOVQBrrk, X86_INS_VPMOVQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVQBrrkz, X86_INS_VPMOVQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28124,13 +31584,25 @@
 #endif
 	},
 	{
+		X86_VPMOVQDmrk, X86_INS_VPMOVQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVQDrr, X86_INS_VPMOVQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVQWkrr, X86_INS_VPMOVQW,
+		X86_VPMOVQDrrk, X86_INS_VPMOVQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVQDrrkz, X86_INS_VPMOVQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28142,13 +31614,25 @@
 #endif
 	},
 	{
+		X86_VPMOVQWmrk, X86_INS_VPMOVQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVQWrr, X86_INS_VPMOVQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSDBkrr, X86_INS_VPMOVSDB,
+		X86_VPMOVQWrrk, X86_INS_VPMOVQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVQWrrkz, X86_INS_VPMOVQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28160,13 +31644,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSDBmrk, X86_INS_VPMOVSDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSDBrr, X86_INS_VPMOVSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSDWkrr, X86_INS_VPMOVSDW,
+		X86_VPMOVSDBrrk, X86_INS_VPMOVSDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSDBrrkz, X86_INS_VPMOVSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28178,13 +31674,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSDWmrk, X86_INS_VPMOVSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSDWrr, X86_INS_VPMOVSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSQBkrr, X86_INS_VPMOVSQB,
+		X86_VPMOVSDWrrk, X86_INS_VPMOVSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSDWrrkz, X86_INS_VPMOVSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28196,13 +31704,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSQBmrk, X86_INS_VPMOVSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSQBrr, X86_INS_VPMOVSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSQDkrr, X86_INS_VPMOVSQD,
+		X86_VPMOVSQBrrk, X86_INS_VPMOVSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSQBrrkz, X86_INS_VPMOVSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28214,13 +31734,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSQDmrk, X86_INS_VPMOVSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSQDrr, X86_INS_VPMOVSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSQWkrr, X86_INS_VPMOVSQW,
+		X86_VPMOVSQDrrk, X86_INS_VPMOVSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSQDrrkz, X86_INS_VPMOVSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28232,12 +31764,30 @@
 #endif
 	},
 	{
+		X86_VPMOVSQWmrk, X86_INS_VPMOVSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSQWrr, X86_INS_VPMOVSQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSQWrrk, X86_INS_VPMOVSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSQWrrkz, X86_INS_VPMOVSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBDYrm, X86_INS_VPMOVSXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -28256,12 +31806,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXBDZrmk, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBDZrmkz, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBDZrr, X86_INS_VPMOVSXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXBDZrrk, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBDZrrkz, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBDrm, X86_INS_VPMOVSXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28292,12 +31866,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXBQZrmk, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBQZrmkz, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBQZrr, X86_INS_VPMOVSXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXBQZrrk, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBQZrrkz, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBQrm, X86_INS_VPMOVSXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28352,12 +31950,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXDQZrmk, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXDQZrmkz, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXDQZrr, X86_INS_VPMOVSXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXDQZrrk, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXDQZrrkz, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXDQrm, X86_INS_VPMOVSXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28388,12 +32010,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXWDZrmk, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWDZrmkz, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWDZrr, X86_INS_VPMOVSXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXWDZrrk, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWDZrrkz, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWDrm, X86_INS_VPMOVSXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28424,12 +32070,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXWQZrmk, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWQZrmkz, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWQZrr, X86_INS_VPMOVSXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXWQZrrk, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWQZrrkz, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWQrm, X86_INS_VPMOVSXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28442,13 +32112,13 @@
 #endif
 	},
 	{
-		X86_VPMOVUSDBkrr, X86_INS_VPMOVUSDB,
+		X86_VPMOVUSDBmr, X86_INS_VPMOVUSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSDBmr, X86_INS_VPMOVUSDB,
+		X86_VPMOVUSDBmrk, X86_INS_VPMOVUSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28460,7 +32130,13 @@
 #endif
 	},
 	{
-		X86_VPMOVUSDWkrr, X86_INS_VPMOVUSDW,
+		X86_VPMOVUSDBrrk, X86_INS_VPMOVUSDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSDBrrkz, X86_INS_VPMOVUSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28472,13 +32148,25 @@
 #endif
 	},
 	{
+		X86_VPMOVUSDWmrk, X86_INS_VPMOVUSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSDWrr, X86_INS_VPMOVUSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSQBkrr, X86_INS_VPMOVUSQB,
+		X86_VPMOVUSDWrrk, X86_INS_VPMOVUSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSDWrrkz, X86_INS_VPMOVUSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28490,13 +32178,25 @@
 #endif
 	},
 	{
+		X86_VPMOVUSQBmrk, X86_INS_VPMOVUSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSQBrr, X86_INS_VPMOVUSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSQDkrr, X86_INS_VPMOVUSQD,
+		X86_VPMOVUSQBrrk, X86_INS_VPMOVUSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSQBrrkz, X86_INS_VPMOVUSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28508,13 +32208,25 @@
 #endif
 	},
 	{
+		X86_VPMOVUSQDmrk, X86_INS_VPMOVUSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSQDrr, X86_INS_VPMOVUSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSQWkrr, X86_INS_VPMOVUSQW,
+		X86_VPMOVUSQDrrk, X86_INS_VPMOVUSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSQDrrkz, X86_INS_VPMOVUSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -28526,12 +32238,30 @@
 #endif
 	},
 	{
+		X86_VPMOVUSQWmrk, X86_INS_VPMOVUSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSQWrr, X86_INS_VPMOVUSQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVUSQWrrk, X86_INS_VPMOVUSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSQWrrkz, X86_INS_VPMOVUSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBDYrm, X86_INS_VPMOVZXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -28550,12 +32280,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXBDZrmk, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBDZrmkz, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBDZrr, X86_INS_VPMOVZXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXBDZrrk, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBDZrrkz, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBDrm, X86_INS_VPMOVZXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28586,12 +32340,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXBQZrmk, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBQZrmkz, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBQZrr, X86_INS_VPMOVZXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXBQZrrk, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBQZrrkz, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBQrm, X86_INS_VPMOVZXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28646,12 +32424,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXDQZrmk, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXDQZrmkz, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXDQZrr, X86_INS_VPMOVZXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXDQZrrk, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXDQZrrkz, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXDQrm, X86_INS_VPMOVZXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28682,12 +32484,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXWDZrmk, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWDZrmkz, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWDZrr, X86_INS_VPMOVZXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXWDZrrk, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWDZrrkz, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWDrm, X86_INS_VPMOVZXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28718,12 +32544,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXWQZrmk, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWQZrmkz, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWQZrr, X86_INS_VPMOVZXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXWQZrrk, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWQZrrkz, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWQrm, X86_INS_VPMOVZXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28754,12 +32604,54 @@
 #endif
 	},
 	{
+		X86_VPMULDQZrmb, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmbk, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmbkz, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmk, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmkz, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULDQZrr, X86_INS_VPMULDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMULDQZrrk, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrrkz, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULDQrm, X86_INS_VPMULDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28868,12 +32760,48 @@
 #endif
 	},
 	{
+		X86_VPMULLDZrmbk, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrmbkz, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrmk, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrmkz, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULLDZrr, X86_INS_VPMULLD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMULLDZrrk, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrrkz, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULLDrm, X86_INS_VPMULLD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28928,12 +32856,54 @@
 #endif
 	},
 	{
+		X86_VPMULUDQZrmb, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmbk, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmbkz, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmk, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmkz, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULUDQZrr, X86_INS_VPMULUDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMULUDQZrrk, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrrkz, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULUDQrm, X86_INS_VPMULUDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28958,12 +32928,48 @@
 #endif
 	},
 	{
+		X86_VPORDZrmbk, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrmbkz, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrmk, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrmkz, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORDZrr, X86_INS_VPORD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPORDZrrk, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrrkz, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORQZrm, X86_INS_VPORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -28976,12 +32982,48 @@
 #endif
 	},
 	{
+		X86_VPORQZrmbk, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrmbkz, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrmk, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrmkz, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORQZrr, X86_INS_VPORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPORQZrrk, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrrkz, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORYrm, X86_INS_VPOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -30356,12 +34398,48 @@
 #endif
 	},
 	{
+		X86_VPSUBDZrmbk, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrmbkz, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrmk, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrmkz, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBDZrr, X86_INS_VPSUBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPSUBDZrrk, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrrkz, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBDrm, X86_INS_VPSUBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -30398,12 +34476,48 @@
 #endif
 	},
 	{
+		X86_VPSUBQZrmbk, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrmbkz, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrmk, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrmkz, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBQZrr, X86_INS_VPSUBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPSUBQZrrk, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrrkz, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBQrm, X86_INS_VPSUBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -30860,12 +34974,48 @@
 #endif
 	},
 	{
+		X86_VPXORDZrmbk, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrmbkz, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrmk, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrmkz, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORDZrr, X86_INS_VPXORD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPXORDZrrk, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrrkz, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORQZrm, X86_INS_VPXORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -30878,12 +35028,48 @@
 #endif
 	},
 	{
+		X86_VPXORQZrmbk, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrmbkz, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrmk, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrmkz, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORQZrr, X86_INS_VPXORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPXORQZrrk, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrrkz, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORYrm, X86_INS_VPXOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -31424,6 +35610,54 @@
 #endif
 	},
 	{
+		X86_VSCATTERPF0DPDm, X86_INS_VSCATTERPF0DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF0DPSm, X86_INS_VSCATTERPF0DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF0QPDm, X86_INS_VSCATTERPF0QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF0QPSm, X86_INS_VSCATTERPF0QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1DPDm, X86_INS_VSCATTERPF1DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1DPSm, X86_INS_VSCATTERPF1DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1QPDm, X86_INS_VSCATTERPF1QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1QPSm, X86_INS_VSCATTERPF1QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSCATTERQPDZmr, X86_INS_VSCATTERQPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -31520,18 +35754,6 @@
 #endif
 	},
 	{
-		X86_VSQRTPDZm_Int, X86_INS_VSQRTPD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
-		X86_VSQRTPDZr_Int, X86_INS_VSQRTPD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_VSQRTPDZrm, X86_INS_VSQRTPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -31568,18 +35790,6 @@
 #endif
 	},
 	{
-		X86_VSQRTPSZm_Int, X86_INS_VSQRTPS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
-		X86_VSQRTPSZr_Int, X86_INS_VSQRTPS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_VSQRTPSZrm, X86_INS_VSQRTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -31718,12 +35928,48 @@
 #endif
 	},
 	{
+		X86_VSUBPDZrmbk, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrmbkz, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrmk, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrmkz, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPDZrr, X86_INS_VSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VSUBPDZrrk, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrrkz, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPDrm, X86_INS_VSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -31760,12 +36006,48 @@
 #endif
 	},
 	{
+		X86_VSUBPSZrmbk, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrmbkz, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrmk, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrmkz, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPSZrr, X86_INS_VSUBPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VSUBPSZrrk, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrrkz, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPSrm, X86_INS_VSUBPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -32150,12 +36432,6 @@
 #endif
 	},
 	{
-		X86_W64ALLOCA, X86_INS_CALL,
-#ifndef CAPSTONE_DIET
-		{ X86_REG_RSP, 0 }, { X86_REG_RAX, X86_REG_R10, X86_REG_R11, X86_REG_RSP, X86_REG_EFLAGS, 0 }, { X86_GRP_CALL, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_WAIT, X86_INS_WAIT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -32266,7 +36542,7 @@
 	{
 		X86_XCHG16ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -32284,13 +36560,13 @@
 	{
 		X86_XCHG32ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_XCHG32ar64, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -32308,7 +36584,7 @@
 	{
 		X86_XCHG64ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_RAX, 0 }, { X86_REG_RAX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -32696,7 +36972,7 @@
 #endif
 	},
 };
-#else	// X86 reduce
+#else	// X86 reduce (defined CAPSTONE_X86_REDUCE)
 static insn_map insns[] = {	// reduce x86 instructions
 	// dummy item
 	{
@@ -34227,7 +38503,7 @@
 	{
 		X86_CLGI, X86_INS_CLGI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_VM, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -35029,27 +39305,27 @@
 #endif
 	},
 	{
-		X86_CMPS16, X86_INS_CMPSW,
+		X86_CMPSB, X86_INS_CMPSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_CMPS32, X86_INS_CMPSD,
+		X86_CMPSL, X86_INS_CMPSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_CMPS64, X86_INS_CMPSQ,
+		X86_CMPSQ, X86_INS_CMPSQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_CMPS8, X86_INS_CMPSB,
+		X86_CMPSW, X86_INS_CMPSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -35311,12 +39587,6 @@
 #endif
 	},
 	{
-		X86_ES_PREFIX, X86_INS_ES,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_FARCALL16i, X86_INS_LCALL,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_ESP, 0 }, { 0 }, { X86_GRP_CALL, 0 }, 0, 0
@@ -35611,12 +39881,6 @@
 #endif
 	},
 	{
-		X86_IN16, X86_INS_INSW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN16ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
@@ -35629,12 +39893,6 @@
 #endif
 	},
 	{
-		X86_IN32, X86_INS_INSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN32ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EAX, 0 }, { 0 }, 0, 0
@@ -35647,12 +39905,6 @@
 #endif
 	},
 	{
-		X86_IN8, X86_INS_INSB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN8ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AL, 0 }, { 0 }, 0, 0
@@ -35749,9 +40001,27 @@
 #endif
 	},
 	{
+		X86_INSB, X86_INS_INSB,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_INSL, X86_INS_INSD,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_INSW, X86_INS_INSW,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_INT, X86_INS_INT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -35769,7 +40039,7 @@
 	{
 		X86_INTO, X86_INS_INTO,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_NOT64BITMODE, X86_GRP_INT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36837,25 +41107,25 @@
 	{
 		X86_LODSB, X86_INS_LODSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AL, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSL, X86_INS_LODSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSQ, X86_INS_LODSQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_RAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSW, X86_INS_LODSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -37047,13 +41317,13 @@
 	{
 		X86_MOV16ao16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16ao16_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37077,13 +41347,13 @@
 	{
 		X86_MOV16o16a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16o16a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37137,13 +41407,13 @@
 	{
 		X86_MOV32ao32, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32ao32_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37179,13 +41449,13 @@
 	{
 		X86_MOV32o32a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32o32a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37251,25 +41521,25 @@
 	{
 		X86_MOV64ao16, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao32, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao64, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_RAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao8, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37305,25 +41575,25 @@
 	{
 		X86_MOV64o16a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o32a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o64a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_RAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o8a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37389,13 +41659,13 @@
 	{
 		X86_MOV8ao8, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8ao8_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37411,15 +41681,21 @@
 #endif
 	},
 	{
+		X86_MOV8mr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8o8a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8o8a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -37441,12 +41717,24 @@
 #endif
 	},
 	{
+		X86_MOV8rm_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
+		X86_MOV8rr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr_REV, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -38265,19 +42553,19 @@
 	{
 		X86_OUTSB, X86_INS_OUTSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSL, X86_INS_OUTSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSW, X86_INS_OUTSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -39015,7 +43303,7 @@
 	{
 		X86_RDPMC, X86_INS_RDPMC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ECX, 0 }, { X86_REG_RAX, X86_REG_RDX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -39199,12 +43487,6 @@
 #endif
 	},
 	{
-		X86_REX64_PREFIX, X86_INS_REX64,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ROL16m1, X86_INS_ROL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
@@ -40051,27 +44333,27 @@
 #endif
 	},
 	{
-		X86_SCAS16, X86_INS_SCASW,
+		X86_SCASB, X86_INS_SCASB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AL, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS32, X86_INS_SCASD,
+		X86_SCASL, X86_INS_SCASD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS64, X86_INS_SCASQ,
+		X86_SCASQ, X86_INS_SCASQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_RAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS8, X86_INS_SCASB,
+		X86_SCASW, X86_INS_SCASW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -41611,12 +45893,6 @@
 #endif
 	},
 	{
-		X86_W64ALLOCA, X86_INS_CALL,
-#ifndef CAPSTONE_DIET
-		{ X86_REG_RSP, 0 }, { X86_REG_RAX, X86_REG_R10, X86_REG_R11, X86_REG_RSP, X86_REG_EFLAGS, X86_GRP_CALL, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_WBINVD, X86_INS_WBINVD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -41703,7 +45979,7 @@
 	{
 		X86_XCHG16ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -41721,13 +45997,13 @@
 	{
 		X86_XCHG32ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_NOT64BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_XCHG32ar64, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -41745,7 +46021,7 @@
 	{
 		X86_XCHG64ar, X86_INS_XCHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_RAX, 0 }, { X86_REG_RAX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -42193,7 +46469,7 @@
 	{ X86_MOV64o16a, X86_REG_AX },
 	{ X86_CMP32i32, X86_REG_EAX },
 	{ X86_AND8i8, X86_REG_AL },
-	{ X86_SCAS16, X86_REG_AX },
+	{ X86_SCASW, X86_REG_AX },
 	{ X86_XOR8i8, X86_REG_AL },
 	{ X86_SUB16i16, X86_REG_AX },
 	{ X86_MOV8o8a, X86_REG_AL },
@@ -42202,7 +46478,7 @@
 	{ X86_XCHG32ar, X86_REG_EAX },
 	{ X86_SBB8i8, X86_REG_AL },
 	{ X86_IN8rr, X86_REG_AL },
-	{ X86_SCAS64, X86_REG_RAX },
+	{ X86_SCASQ, X86_REG_RAX },
 	{ X86_SBB32i32, X86_REG_EAX },
 	{ X86_XOR64i32, X86_REG_RAX },
 	{ X86_SUB64i32, X86_REG_RAX },
@@ -42213,7 +46489,7 @@
 	{ X86_TEST8i8, X86_REG_AL },
 	{ X86_IN8ri, X86_REG_AL },
 	{ X86_TEST16i16, X86_REG_AX },
-	{ X86_SCAS32, X86_REG_EAX },
+	{ X86_SCASL, X86_REG_EAX },
 	{ X86_MOV16o16a_16, X86_REG_AX },
 	{ X86_MOV32o32a, X86_REG_EAX },
 	{ X86_MOV8o8a_16, X86_REG_AL },
@@ -42221,7 +46497,7 @@
 	{ X86_IN32rr, X86_REG_EAX },
 	{ X86_ADD8i8, X86_REG_AL },
 	{ X86_OR64i32, X86_REG_RAX },
-	{ X86_SCAS8, X86_REG_AL },
+	{ X86_SCASB, X86_REG_AL },
 	{ X86_SBB64i32, X86_REG_RAX },
 	{ X86_ADD16i16, X86_REG_AX },
 	{ X86_XOR16i16, X86_REG_AX },
@@ -42273,7 +46549,7 @@
 	{ X86_MOV64o16a, X86_REG_AX },
 	{ X86_CMP32i32, X86_REG_EAX },
 	{ X86_AND8i8, X86_REG_AL },
-	{ X86_SCAS16, X86_REG_AX },
+	{ X86_SCASW, X86_REG_AX },
 	{ X86_XOR8i8, X86_REG_AL },
 	{ X86_SUB16i16, X86_REG_AX },
 	{ X86_MOV8o8a, X86_REG_AL },
@@ -42281,7 +46557,7 @@
 	{ X86_XCHG32ar, X86_REG_EAX },
 	{ X86_SBB8i8, X86_REG_AL },
 	{ X86_IN8rr, X86_REG_AL },
-	{ X86_SCAS64, X86_REG_RAX },
+	{ X86_SCASQ, X86_REG_RAX },
 	{ X86_SBB32i32, X86_REG_EAX },
 	{ X86_XOR64i32, X86_REG_RAX },
 	{ X86_SUB64i32, X86_REG_RAX },
@@ -42292,7 +46568,7 @@
 	{ X86_TEST8i8, X86_REG_AL },
 	{ X86_IN8ri, X86_REG_AL },
 	{ X86_TEST16i16, X86_REG_AX },
-	{ X86_SCAS32, X86_REG_EAX },
+	{ X86_SCASL, X86_REG_EAX },
 	{ X86_MOV16o16a_16, X86_REG_AX },
 	{ X86_MOV32o32a, X86_REG_EAX },
 	{ X86_MOV8o8a_16, X86_REG_AL },
@@ -42300,7 +46576,7 @@
 	{ X86_IN32rr, X86_REG_EAX },
 	{ X86_ADD8i8, X86_REG_AL },
 	{ X86_OR64i32, X86_REG_RAX },
-	{ X86_SCAS8, X86_REG_AL },
+	{ X86_SCASB, X86_REG_AL },
 	{ X86_SBB64i32, X86_REG_RAX },
 	{ X86_ADD16i16, X86_REG_AX },
 	{ X86_XOR16i16, X86_REG_AX },
@@ -42408,12 +46684,12 @@
 				return false;
 
 			case X86_INS_CMPSD:
-				if (opcode == X86_CMPS32) // REP CMPSD
+				if (opcode == X86_CMPSL) // REP CMPSD
 					return true;
 				return false;
 
 			case X86_INS_SCASD:
-				if (opcode == X86_SCAS32) // REP SCASD
+				if (opcode == X86_SCASL) // REP SCASD
 					return true;
 				return false;
 
@@ -42479,8 +46755,8 @@
 			break;
 
 		case 0xf3:
-#ifndef CAPSTONE_DIET	// only care about memonic in standard (non-diet) mode
 			opcode = MCInst_getOpcode(MI);
+#ifndef CAPSTONE_DIET	// only care about memonic in standard (non-diet) mode
 			if (valid_rep(MI->csh, opcode)) {
 				SStream_concat(O, "rep|");
 			} else {
@@ -42544,14 +46820,6 @@
 	}
 }
 
-void op_addAvxBroadcast(MCInst *MI, x86_avx_bcast v)
-{
-	if (MI->csh->detail) {
-		// link with the previous operand
-		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count - 1].avx_bcast = v;
-	}
-}
-
 void op_addSseCC(MCInst *MI, int v)
 {
 	if (MI->csh->detail) {
@@ -42566,6 +46834,14 @@
 	}
 }
 
+void op_addAvxRoundingMode(MCInst *MI, int v)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.avx_rm = v;
+	}
+}
+
+// below functions supply details to X86GenAsmWriter*.inc
 void op_addAvxZeroOpmask(MCInst *MI)
 {
 	if (MI->csh->detail) {
@@ -42581,10 +46857,11 @@
 	}
 }
 
-void op_addAvxRoundingMode(MCInst *MI, int v)
+void op_addAvxBroadcast(MCInst *MI, x86_avx_bcast v)
 {
 	if (MI->csh->detail) {
-		MI->flat_insn->detail->x86.avx_rm = v;
+		// link with the previous operand
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count - 1].avx_bcast = v;
 	}
 }
 
diff --git a/arch/X86/X86Module.c b/arch/X86/X86Module.c
index 8e91bb7..2829180 100644
--- a/arch/X86/X86Module.c
+++ b/arch/X86/X86Module.c
@@ -11,14 +11,20 @@
 
 static cs_err init(cs_struct *ud)
 {
+	MCRegisterInfo *mri;
+
 	// verify if requested mode is valid
 	if (ud->mode & ~(CS_MODE_LITTLE_ENDIAN | CS_MODE_32 | CS_MODE_64 | CS_MODE_16))
 		return CS_ERR_MODE;
 
+	mri = cs_mem_malloc(sizeof(*mri));
+
+	X86_init(mri);
+
 	// by default, we use Intel syntax
 	ud->printer = X86_Intel_printInst;
 	ud->syntax = CS_OPT_SYNTAX_INTEL;
-	ud->printer_info = NULL;
+	ud->printer_info = mri;
 	ud->disasm = X86_getInstruction;
 	ud->reg_name = X86_reg_name;
 	ud->insn_id = X86_get_insn_id;
diff --git a/bindings/java/capstone/X86_const.java b/bindings/java/capstone/X86_const.java
index c2835be..f09c9bc 100644
--- a/bindings/java/capstone/X86_const.java
+++ b/bindings/java/capstone/X86_const.java
@@ -86,159 +86,160 @@
 	public static final int X86_REG_FP4 = 78;
 	public static final int X86_REG_FP5 = 79;
 	public static final int X86_REG_FP6 = 80;
-	public static final int X86_REG_K0 = 81;
-	public static final int X86_REG_K1 = 82;
-	public static final int X86_REG_K2 = 83;
-	public static final int X86_REG_K3 = 84;
-	public static final int X86_REG_K4 = 85;
-	public static final int X86_REG_K5 = 86;
-	public static final int X86_REG_K6 = 87;
-	public static final int X86_REG_K7 = 88;
-	public static final int X86_REG_MM0 = 89;
-	public static final int X86_REG_MM1 = 90;
-	public static final int X86_REG_MM2 = 91;
-	public static final int X86_REG_MM3 = 92;
-	public static final int X86_REG_MM4 = 93;
-	public static final int X86_REG_MM5 = 94;
-	public static final int X86_REG_MM6 = 95;
-	public static final int X86_REG_MM7 = 96;
-	public static final int X86_REG_R8 = 97;
-	public static final int X86_REG_R9 = 98;
-	public static final int X86_REG_R10 = 99;
-	public static final int X86_REG_R11 = 100;
-	public static final int X86_REG_R12 = 101;
-	public static final int X86_REG_R13 = 102;
-	public static final int X86_REG_R14 = 103;
-	public static final int X86_REG_R15 = 104;
-	public static final int X86_REG_ST0 = 105;
-	public static final int X86_REG_ST1 = 106;
-	public static final int X86_REG_ST2 = 107;
-	public static final int X86_REG_ST3 = 108;
-	public static final int X86_REG_ST4 = 109;
-	public static final int X86_REG_ST5 = 110;
-	public static final int X86_REG_ST6 = 111;
-	public static final int X86_REG_ST7 = 112;
-	public static final int X86_REG_XMM0 = 113;
-	public static final int X86_REG_XMM1 = 114;
-	public static final int X86_REG_XMM2 = 115;
-	public static final int X86_REG_XMM3 = 116;
-	public static final int X86_REG_XMM4 = 117;
-	public static final int X86_REG_XMM5 = 118;
-	public static final int X86_REG_XMM6 = 119;
-	public static final int X86_REG_XMM7 = 120;
-	public static final int X86_REG_XMM8 = 121;
-	public static final int X86_REG_XMM9 = 122;
-	public static final int X86_REG_XMM10 = 123;
-	public static final int X86_REG_XMM11 = 124;
-	public static final int X86_REG_XMM12 = 125;
-	public static final int X86_REG_XMM13 = 126;
-	public static final int X86_REG_XMM14 = 127;
-	public static final int X86_REG_XMM15 = 128;
-	public static final int X86_REG_XMM16 = 129;
-	public static final int X86_REG_XMM17 = 130;
-	public static final int X86_REG_XMM18 = 131;
-	public static final int X86_REG_XMM19 = 132;
-	public static final int X86_REG_XMM20 = 133;
-	public static final int X86_REG_XMM21 = 134;
-	public static final int X86_REG_XMM22 = 135;
-	public static final int X86_REG_XMM23 = 136;
-	public static final int X86_REG_XMM24 = 137;
-	public static final int X86_REG_XMM25 = 138;
-	public static final int X86_REG_XMM26 = 139;
-	public static final int X86_REG_XMM27 = 140;
-	public static final int X86_REG_XMM28 = 141;
-	public static final int X86_REG_XMM29 = 142;
-	public static final int X86_REG_XMM30 = 143;
-	public static final int X86_REG_XMM31 = 144;
-	public static final int X86_REG_YMM0 = 145;
-	public static final int X86_REG_YMM1 = 146;
-	public static final int X86_REG_YMM2 = 147;
-	public static final int X86_REG_YMM3 = 148;
-	public static final int X86_REG_YMM4 = 149;
-	public static final int X86_REG_YMM5 = 150;
-	public static final int X86_REG_YMM6 = 151;
-	public static final int X86_REG_YMM7 = 152;
-	public static final int X86_REG_YMM8 = 153;
-	public static final int X86_REG_YMM9 = 154;
-	public static final int X86_REG_YMM10 = 155;
-	public static final int X86_REG_YMM11 = 156;
-	public static final int X86_REG_YMM12 = 157;
-	public static final int X86_REG_YMM13 = 158;
-	public static final int X86_REG_YMM14 = 159;
-	public static final int X86_REG_YMM15 = 160;
-	public static final int X86_REG_YMM16 = 161;
-	public static final int X86_REG_YMM17 = 162;
-	public static final int X86_REG_YMM18 = 163;
-	public static final int X86_REG_YMM19 = 164;
-	public static final int X86_REG_YMM20 = 165;
-	public static final int X86_REG_YMM21 = 166;
-	public static final int X86_REG_YMM22 = 167;
-	public static final int X86_REG_YMM23 = 168;
-	public static final int X86_REG_YMM24 = 169;
-	public static final int X86_REG_YMM25 = 170;
-	public static final int X86_REG_YMM26 = 171;
-	public static final int X86_REG_YMM27 = 172;
-	public static final int X86_REG_YMM28 = 173;
-	public static final int X86_REG_YMM29 = 174;
-	public static final int X86_REG_YMM30 = 175;
-	public static final int X86_REG_YMM31 = 176;
-	public static final int X86_REG_ZMM0 = 177;
-	public static final int X86_REG_ZMM1 = 178;
-	public static final int X86_REG_ZMM2 = 179;
-	public static final int X86_REG_ZMM3 = 180;
-	public static final int X86_REG_ZMM4 = 181;
-	public static final int X86_REG_ZMM5 = 182;
-	public static final int X86_REG_ZMM6 = 183;
-	public static final int X86_REG_ZMM7 = 184;
-	public static final int X86_REG_ZMM8 = 185;
-	public static final int X86_REG_ZMM9 = 186;
-	public static final int X86_REG_ZMM10 = 187;
-	public static final int X86_REG_ZMM11 = 188;
-	public static final int X86_REG_ZMM12 = 189;
-	public static final int X86_REG_ZMM13 = 190;
-	public static final int X86_REG_ZMM14 = 191;
-	public static final int X86_REG_ZMM15 = 192;
-	public static final int X86_REG_ZMM16 = 193;
-	public static final int X86_REG_ZMM17 = 194;
-	public static final int X86_REG_ZMM18 = 195;
-	public static final int X86_REG_ZMM19 = 196;
-	public static final int X86_REG_ZMM20 = 197;
-	public static final int X86_REG_ZMM21 = 198;
-	public static final int X86_REG_ZMM22 = 199;
-	public static final int X86_REG_ZMM23 = 200;
-	public static final int X86_REG_ZMM24 = 201;
-	public static final int X86_REG_ZMM25 = 202;
-	public static final int X86_REG_ZMM26 = 203;
-	public static final int X86_REG_ZMM27 = 204;
-	public static final int X86_REG_ZMM28 = 205;
-	public static final int X86_REG_ZMM29 = 206;
-	public static final int X86_REG_ZMM30 = 207;
-	public static final int X86_REG_ZMM31 = 208;
-	public static final int X86_REG_R8B = 209;
-	public static final int X86_REG_R9B = 210;
-	public static final int X86_REG_R10B = 211;
-	public static final int X86_REG_R11B = 212;
-	public static final int X86_REG_R12B = 213;
-	public static final int X86_REG_R13B = 214;
-	public static final int X86_REG_R14B = 215;
-	public static final int X86_REG_R15B = 216;
-	public static final int X86_REG_R8D = 217;
-	public static final int X86_REG_R9D = 218;
-	public static final int X86_REG_R10D = 219;
-	public static final int X86_REG_R11D = 220;
-	public static final int X86_REG_R12D = 221;
-	public static final int X86_REG_R13D = 222;
-	public static final int X86_REG_R14D = 223;
-	public static final int X86_REG_R15D = 224;
-	public static final int X86_REG_R8W = 225;
-	public static final int X86_REG_R9W = 226;
-	public static final int X86_REG_R10W = 227;
-	public static final int X86_REG_R11W = 228;
-	public static final int X86_REG_R12W = 229;
-	public static final int X86_REG_R13W = 230;
-	public static final int X86_REG_R14W = 231;
-	public static final int X86_REG_R15W = 232;
-	public static final int X86_REG_MAX = 233;
+	public static final int X86_REG_FP7 = 81;
+	public static final int X86_REG_K0 = 82;
+	public static final int X86_REG_K1 = 83;
+	public static final int X86_REG_K2 = 84;
+	public static final int X86_REG_K3 = 85;
+	public static final int X86_REG_K4 = 86;
+	public static final int X86_REG_K5 = 87;
+	public static final int X86_REG_K6 = 88;
+	public static final int X86_REG_K7 = 89;
+	public static final int X86_REG_MM0 = 90;
+	public static final int X86_REG_MM1 = 91;
+	public static final int X86_REG_MM2 = 92;
+	public static final int X86_REG_MM3 = 93;
+	public static final int X86_REG_MM4 = 94;
+	public static final int X86_REG_MM5 = 95;
+	public static final int X86_REG_MM6 = 96;
+	public static final int X86_REG_MM7 = 97;
+	public static final int X86_REG_R8 = 98;
+	public static final int X86_REG_R9 = 99;
+	public static final int X86_REG_R10 = 100;
+	public static final int X86_REG_R11 = 101;
+	public static final int X86_REG_R12 = 102;
+	public static final int X86_REG_R13 = 103;
+	public static final int X86_REG_R14 = 104;
+	public static final int X86_REG_R15 = 105;
+	public static final int X86_REG_ST0 = 106;
+	public static final int X86_REG_ST1 = 107;
+	public static final int X86_REG_ST2 = 108;
+	public static final int X86_REG_ST3 = 109;
+	public static final int X86_REG_ST4 = 110;
+	public static final int X86_REG_ST5 = 111;
+	public static final int X86_REG_ST6 = 112;
+	public static final int X86_REG_ST7 = 113;
+	public static final int X86_REG_XMM0 = 114;
+	public static final int X86_REG_XMM1 = 115;
+	public static final int X86_REG_XMM2 = 116;
+	public static final int X86_REG_XMM3 = 117;
+	public static final int X86_REG_XMM4 = 118;
+	public static final int X86_REG_XMM5 = 119;
+	public static final int X86_REG_XMM6 = 120;
+	public static final int X86_REG_XMM7 = 121;
+	public static final int X86_REG_XMM8 = 122;
+	public static final int X86_REG_XMM9 = 123;
+	public static final int X86_REG_XMM10 = 124;
+	public static final int X86_REG_XMM11 = 125;
+	public static final int X86_REG_XMM12 = 126;
+	public static final int X86_REG_XMM13 = 127;
+	public static final int X86_REG_XMM14 = 128;
+	public static final int X86_REG_XMM15 = 129;
+	public static final int X86_REG_XMM16 = 130;
+	public static final int X86_REG_XMM17 = 131;
+	public static final int X86_REG_XMM18 = 132;
+	public static final int X86_REG_XMM19 = 133;
+	public static final int X86_REG_XMM20 = 134;
+	public static final int X86_REG_XMM21 = 135;
+	public static final int X86_REG_XMM22 = 136;
+	public static final int X86_REG_XMM23 = 137;
+	public static final int X86_REG_XMM24 = 138;
+	public static final int X86_REG_XMM25 = 139;
+	public static final int X86_REG_XMM26 = 140;
+	public static final int X86_REG_XMM27 = 141;
+	public static final int X86_REG_XMM28 = 142;
+	public static final int X86_REG_XMM29 = 143;
+	public static final int X86_REG_XMM30 = 144;
+	public static final int X86_REG_XMM31 = 145;
+	public static final int X86_REG_YMM0 = 146;
+	public static final int X86_REG_YMM1 = 147;
+	public static final int X86_REG_YMM2 = 148;
+	public static final int X86_REG_YMM3 = 149;
+	public static final int X86_REG_YMM4 = 150;
+	public static final int X86_REG_YMM5 = 151;
+	public static final int X86_REG_YMM6 = 152;
+	public static final int X86_REG_YMM7 = 153;
+	public static final int X86_REG_YMM8 = 154;
+	public static final int X86_REG_YMM9 = 155;
+	public static final int X86_REG_YMM10 = 156;
+	public static final int X86_REG_YMM11 = 157;
+	public static final int X86_REG_YMM12 = 158;
+	public static final int X86_REG_YMM13 = 159;
+	public static final int X86_REG_YMM14 = 160;
+	public static final int X86_REG_YMM15 = 161;
+	public static final int X86_REG_YMM16 = 162;
+	public static final int X86_REG_YMM17 = 163;
+	public static final int X86_REG_YMM18 = 164;
+	public static final int X86_REG_YMM19 = 165;
+	public static final int X86_REG_YMM20 = 166;
+	public static final int X86_REG_YMM21 = 167;
+	public static final int X86_REG_YMM22 = 168;
+	public static final int X86_REG_YMM23 = 169;
+	public static final int X86_REG_YMM24 = 170;
+	public static final int X86_REG_YMM25 = 171;
+	public static final int X86_REG_YMM26 = 172;
+	public static final int X86_REG_YMM27 = 173;
+	public static final int X86_REG_YMM28 = 174;
+	public static final int X86_REG_YMM29 = 175;
+	public static final int X86_REG_YMM30 = 176;
+	public static final int X86_REG_YMM31 = 177;
+	public static final int X86_REG_ZMM0 = 178;
+	public static final int X86_REG_ZMM1 = 179;
+	public static final int X86_REG_ZMM2 = 180;
+	public static final int X86_REG_ZMM3 = 181;
+	public static final int X86_REG_ZMM4 = 182;
+	public static final int X86_REG_ZMM5 = 183;
+	public static final int X86_REG_ZMM6 = 184;
+	public static final int X86_REG_ZMM7 = 185;
+	public static final int X86_REG_ZMM8 = 186;
+	public static final int X86_REG_ZMM9 = 187;
+	public static final int X86_REG_ZMM10 = 188;
+	public static final int X86_REG_ZMM11 = 189;
+	public static final int X86_REG_ZMM12 = 190;
+	public static final int X86_REG_ZMM13 = 191;
+	public static final int X86_REG_ZMM14 = 192;
+	public static final int X86_REG_ZMM15 = 193;
+	public static final int X86_REG_ZMM16 = 194;
+	public static final int X86_REG_ZMM17 = 195;
+	public static final int X86_REG_ZMM18 = 196;
+	public static final int X86_REG_ZMM19 = 197;
+	public static final int X86_REG_ZMM20 = 198;
+	public static final int X86_REG_ZMM21 = 199;
+	public static final int X86_REG_ZMM22 = 200;
+	public static final int X86_REG_ZMM23 = 201;
+	public static final int X86_REG_ZMM24 = 202;
+	public static final int X86_REG_ZMM25 = 203;
+	public static final int X86_REG_ZMM26 = 204;
+	public static final int X86_REG_ZMM27 = 205;
+	public static final int X86_REG_ZMM28 = 206;
+	public static final int X86_REG_ZMM29 = 207;
+	public static final int X86_REG_ZMM30 = 208;
+	public static final int X86_REG_ZMM31 = 209;
+	public static final int X86_REG_R8B = 210;
+	public static final int X86_REG_R9B = 211;
+	public static final int X86_REG_R10B = 212;
+	public static final int X86_REG_R11B = 213;
+	public static final int X86_REG_R12B = 214;
+	public static final int X86_REG_R13B = 215;
+	public static final int X86_REG_R14B = 216;
+	public static final int X86_REG_R15B = 217;
+	public static final int X86_REG_R8D = 218;
+	public static final int X86_REG_R9D = 219;
+	public static final int X86_REG_R10D = 220;
+	public static final int X86_REG_R11D = 221;
+	public static final int X86_REG_R12D = 222;
+	public static final int X86_REG_R13D = 223;
+	public static final int X86_REG_R14D = 224;
+	public static final int X86_REG_R15D = 225;
+	public static final int X86_REG_R8W = 226;
+	public static final int X86_REG_R9W = 227;
+	public static final int X86_REG_R10W = 228;
+	public static final int X86_REG_R11W = 229;
+	public static final int X86_REG_R12W = 230;
+	public static final int X86_REG_R13W = 231;
+	public static final int X86_REG_R14W = 232;
+	public static final int X86_REG_R15W = 233;
+	public static final int X86_REG_MAX = 234;
 
 	// Operand type for instruction's operands
 
@@ -416,11 +417,11 @@
 	public static final int X86_INS_CMP = 93;
 	public static final int X86_INS_CMPPD = 94;
 	public static final int X86_INS_CMPPS = 95;
-	public static final int X86_INS_CMPSW = 96;
+	public static final int X86_INS_CMPSB = 96;
 	public static final int X86_INS_CMPSD = 97;
 	public static final int X86_INS_CMPSQ = 98;
-	public static final int X86_INS_CMPSB = 99;
-	public static final int X86_INS_CMPSS = 100;
+	public static final int X86_INS_CMPSS = 99;
+	public static final int X86_INS_CMPSW = 100;
 	public static final int X86_INS_CMPXCHG16B = 101;
 	public static final int X86_INS_CMPXCHG = 102;
 	public static final int X86_INS_CMPXCHG8B = 103;
@@ -471,1116 +472,1160 @@
 	public static final int X86_INS_DPPD = 148;
 	public static final int X86_INS_DPPS = 149;
 	public static final int X86_INS_DS = 150;
-	public static final int X86_INS_ENTER = 151;
-	public static final int X86_INS_ES = 152;
-	public static final int X86_INS_EXTRACTPS = 153;
-	public static final int X86_INS_EXTRQ = 154;
-	public static final int X86_INS_F2XM1 = 155;
-	public static final int X86_INS_LCALL = 156;
-	public static final int X86_INS_LJMP = 157;
-	public static final int X86_INS_FBLD = 158;
-	public static final int X86_INS_FBSTP = 159;
-	public static final int X86_INS_FCOMPP = 160;
-	public static final int X86_INS_FDECSTP = 161;
-	public static final int X86_INS_FEMMS = 162;
-	public static final int X86_INS_FFREE = 163;
-	public static final int X86_INS_FICOM = 164;
-	public static final int X86_INS_FICOMP = 165;
-	public static final int X86_INS_FINCSTP = 166;
-	public static final int X86_INS_FLDCW = 167;
-	public static final int X86_INS_FLDENV = 168;
-	public static final int X86_INS_FLDL2E = 169;
-	public static final int X86_INS_FLDL2T = 170;
-	public static final int X86_INS_FLDLG2 = 171;
-	public static final int X86_INS_FLDLN2 = 172;
-	public static final int X86_INS_FLDPI = 173;
-	public static final int X86_INS_FNCLEX = 174;
-	public static final int X86_INS_FNINIT = 175;
-	public static final int X86_INS_FNOP = 176;
-	public static final int X86_INS_FNSTCW = 177;
-	public static final int X86_INS_FNSTSW = 178;
-	public static final int X86_INS_FPATAN = 179;
-	public static final int X86_INS_FPREM = 180;
-	public static final int X86_INS_FPREM1 = 181;
-	public static final int X86_INS_FPTAN = 182;
-	public static final int X86_INS_FRNDINT = 183;
-	public static final int X86_INS_FRSTOR = 184;
-	public static final int X86_INS_FNSAVE = 185;
-	public static final int X86_INS_FSCALE = 186;
-	public static final int X86_INS_FSETPM = 187;
-	public static final int X86_INS_FSINCOS = 188;
-	public static final int X86_INS_FNSTENV = 189;
-	public static final int X86_INS_FS = 190;
-	public static final int X86_INS_FXAM = 191;
-	public static final int X86_INS_FXRSTOR = 192;
-	public static final int X86_INS_FXRSTOR64 = 193;
-	public static final int X86_INS_FXSAVE = 194;
-	public static final int X86_INS_FXSAVE64 = 195;
-	public static final int X86_INS_FXTRACT = 196;
-	public static final int X86_INS_FYL2X = 197;
-	public static final int X86_INS_FYL2XP1 = 198;
-	public static final int X86_INS_MOVAPD = 199;
-	public static final int X86_INS_MOVAPS = 200;
-	public static final int X86_INS_ORPD = 201;
-	public static final int X86_INS_ORPS = 202;
-	public static final int X86_INS_VMOVAPD = 203;
-	public static final int X86_INS_VMOVAPS = 204;
-	public static final int X86_INS_XORPD = 205;
-	public static final int X86_INS_XORPS = 206;
-	public static final int X86_INS_GETSEC = 207;
-	public static final int X86_INS_GS = 208;
-	public static final int X86_INS_HADDPD = 209;
-	public static final int X86_INS_HADDPS = 210;
-	public static final int X86_INS_HLT = 211;
-	public static final int X86_INS_HSUBPD = 212;
-	public static final int X86_INS_HSUBPS = 213;
-	public static final int X86_INS_IDIV = 214;
-	public static final int X86_INS_FILD = 215;
-	public static final int X86_INS_IMUL = 216;
-	public static final int X86_INS_INSW = 217;
-	public static final int X86_INS_IN = 218;
-	public static final int X86_INS_INSD = 219;
-	public static final int X86_INS_INSB = 220;
+	public static final int X86_INS_RET = 151;
+	public static final int X86_INS_ENCLS = 152;
+	public static final int X86_INS_ENCLU = 153;
+	public static final int X86_INS_ENTER = 154;
+	public static final int X86_INS_ES = 155;
+	public static final int X86_INS_EXTRACTPS = 156;
+	public static final int X86_INS_EXTRQ = 157;
+	public static final int X86_INS_F2XM1 = 158;
+	public static final int X86_INS_LCALL = 159;
+	public static final int X86_INS_LJMP = 160;
+	public static final int X86_INS_FBLD = 161;
+	public static final int X86_INS_FBSTP = 162;
+	public static final int X86_INS_FCOMPP = 163;
+	public static final int X86_INS_FDECSTP = 164;
+	public static final int X86_INS_FEMMS = 165;
+	public static final int X86_INS_FFREE = 166;
+	public static final int X86_INS_FICOM = 167;
+	public static final int X86_INS_FICOMP = 168;
+	public static final int X86_INS_FINCSTP = 169;
+	public static final int X86_INS_FLDCW = 170;
+	public static final int X86_INS_FLDENV = 171;
+	public static final int X86_INS_FLDL2E = 172;
+	public static final int X86_INS_FLDL2T = 173;
+	public static final int X86_INS_FLDLG2 = 174;
+	public static final int X86_INS_FLDLN2 = 175;
+	public static final int X86_INS_FLDPI = 176;
+	public static final int X86_INS_FNCLEX = 177;
+	public static final int X86_INS_FNINIT = 178;
+	public static final int X86_INS_FNOP = 179;
+	public static final int X86_INS_FNSTCW = 180;
+	public static final int X86_INS_FNSTSW = 181;
+	public static final int X86_INS_FPATAN = 182;
+	public static final int X86_INS_FPREM = 183;
+	public static final int X86_INS_FPREM1 = 184;
+	public static final int X86_INS_FPTAN = 185;
+	public static final int X86_INS_FRNDINT = 186;
+	public static final int X86_INS_FRSTOR = 187;
+	public static final int X86_INS_FNSAVE = 188;
+	public static final int X86_INS_FSCALE = 189;
+	public static final int X86_INS_FSETPM = 190;
+	public static final int X86_INS_FSINCOS = 191;
+	public static final int X86_INS_FNSTENV = 192;
+	public static final int X86_INS_FS = 193;
+	public static final int X86_INS_FXAM = 194;
+	public static final int X86_INS_FXRSTOR = 195;
+	public static final int X86_INS_FXRSTOR64 = 196;
+	public static final int X86_INS_FXSAVE = 197;
+	public static final int X86_INS_FXSAVE64 = 198;
+	public static final int X86_INS_FXTRACT = 199;
+	public static final int X86_INS_FYL2X = 200;
+	public static final int X86_INS_FYL2XP1 = 201;
+	public static final int X86_INS_MOVAPD = 202;
+	public static final int X86_INS_MOVAPS = 203;
+	public static final int X86_INS_ORPD = 204;
+	public static final int X86_INS_ORPS = 205;
+	public static final int X86_INS_VMOVAPD = 206;
+	public static final int X86_INS_VMOVAPS = 207;
+	public static final int X86_INS_XORPD = 208;
+	public static final int X86_INS_XORPS = 209;
+	public static final int X86_INS_GETSEC = 210;
+	public static final int X86_INS_GS = 211;
+	public static final int X86_INS_HADDPD = 212;
+	public static final int X86_INS_HADDPS = 213;
+	public static final int X86_INS_HLT = 214;
+	public static final int X86_INS_HSUBPD = 215;
+	public static final int X86_INS_HSUBPS = 216;
+	public static final int X86_INS_IDIV = 217;
+	public static final int X86_INS_FILD = 218;
+	public static final int X86_INS_IMUL = 219;
+	public static final int X86_INS_IN = 220;
 	public static final int X86_INS_INC = 221;
-	public static final int X86_INS_INSERTPS = 222;
-	public static final int X86_INS_INSERTQ = 223;
-	public static final int X86_INS_INT = 224;
-	public static final int X86_INS_INT1 = 225;
-	public static final int X86_INS_INT3 = 226;
-	public static final int X86_INS_INTO = 227;
-	public static final int X86_INS_INVD = 228;
-	public static final int X86_INS_INVEPT = 229;
-	public static final int X86_INS_INVLPG = 230;
-	public static final int X86_INS_INVLPGA = 231;
-	public static final int X86_INS_INVPCID = 232;
-	public static final int X86_INS_INVVPID = 233;
-	public static final int X86_INS_IRET = 234;
-	public static final int X86_INS_IRETD = 235;
-	public static final int X86_INS_IRETQ = 236;
-	public static final int X86_INS_FISTTP = 237;
-	public static final int X86_INS_FIST = 238;
-	public static final int X86_INS_FISTP = 239;
-	public static final int X86_INS_UCOMISD = 240;
-	public static final int X86_INS_UCOMISS = 241;
-	public static final int X86_INS_VCMP = 242;
-	public static final int X86_INS_VCOMISD = 243;
-	public static final int X86_INS_VCOMISS = 244;
-	public static final int X86_INS_VCVTSD2SS = 245;
-	public static final int X86_INS_VCVTSI2SD = 246;
-	public static final int X86_INS_VCVTSI2SS = 247;
-	public static final int X86_INS_VCVTSS2SD = 248;
-	public static final int X86_INS_VCVTTSD2SI = 249;
-	public static final int X86_INS_VCVTTSD2USI = 250;
-	public static final int X86_INS_VCVTTSS2SI = 251;
-	public static final int X86_INS_VCVTTSS2USI = 252;
-	public static final int X86_INS_VCVTUSI2SD = 253;
-	public static final int X86_INS_VCVTUSI2SS = 254;
-	public static final int X86_INS_VUCOMISD = 255;
-	public static final int X86_INS_VUCOMISS = 256;
-	public static final int X86_INS_JAE = 257;
-	public static final int X86_INS_JA = 258;
-	public static final int X86_INS_JBE = 259;
-	public static final int X86_INS_JB = 260;
-	public static final int X86_INS_JCXZ = 261;
-	public static final int X86_INS_JECXZ = 262;
-	public static final int X86_INS_JE = 263;
-	public static final int X86_INS_JGE = 264;
-	public static final int X86_INS_JG = 265;
-	public static final int X86_INS_JLE = 266;
-	public static final int X86_INS_JL = 267;
-	public static final int X86_INS_JMP = 268;
-	public static final int X86_INS_JNE = 269;
-	public static final int X86_INS_JNO = 270;
-	public static final int X86_INS_JNP = 271;
-	public static final int X86_INS_JNS = 272;
-	public static final int X86_INS_JO = 273;
-	public static final int X86_INS_JP = 274;
-	public static final int X86_INS_JRCXZ = 275;
-	public static final int X86_INS_JS = 276;
-	public static final int X86_INS_KANDNW = 277;
-	public static final int X86_INS_KANDW = 278;
-	public static final int X86_INS_KMOVW = 279;
-	public static final int X86_INS_KNOTW = 280;
-	public static final int X86_INS_KORTESTW = 281;
-	public static final int X86_INS_KORW = 282;
-	public static final int X86_INS_KSHIFTLW = 283;
-	public static final int X86_INS_KSHIFTRW = 284;
-	public static final int X86_INS_KUNPCKBW = 285;
-	public static final int X86_INS_KXNORW = 286;
-	public static final int X86_INS_KXORW = 287;
-	public static final int X86_INS_LAHF = 288;
-	public static final int X86_INS_LAR = 289;
-	public static final int X86_INS_LDDQU = 290;
-	public static final int X86_INS_LDMXCSR = 291;
-	public static final int X86_INS_LDS = 292;
-	public static final int X86_INS_FLDZ = 293;
-	public static final int X86_INS_FLD1 = 294;
-	public static final int X86_INS_FLD = 295;
-	public static final int X86_INS_LEA = 296;
-	public static final int X86_INS_LEAVE = 297;
-	public static final int X86_INS_LES = 298;
-	public static final int X86_INS_LFENCE = 299;
-	public static final int X86_INS_LFS = 300;
-	public static final int X86_INS_LGDT = 301;
-	public static final int X86_INS_LGS = 302;
-	public static final int X86_INS_LIDT = 303;
-	public static final int X86_INS_LLDT = 304;
-	public static final int X86_INS_LMSW = 305;
-	public static final int X86_INS_OR = 306;
-	public static final int X86_INS_LOCK = 307;
-	public static final int X86_INS_SUB = 308;
-	public static final int X86_INS_XOR = 309;
-	public static final int X86_INS_LODSB = 310;
-	public static final int X86_INS_LODSD = 311;
-	public static final int X86_INS_LODSQ = 312;
-	public static final int X86_INS_LODSW = 313;
-	public static final int X86_INS_LOOP = 314;
-	public static final int X86_INS_LOOPE = 315;
-	public static final int X86_INS_LOOPNE = 316;
-	public static final int X86_INS_RETF = 317;
-	public static final int X86_INS_RETFQ = 318;
-	public static final int X86_INS_LSL = 319;
-	public static final int X86_INS_LSS = 320;
-	public static final int X86_INS_LTR = 321;
-	public static final int X86_INS_XADD = 322;
-	public static final int X86_INS_LZCNT = 323;
-	public static final int X86_INS_MASKMOVDQU = 324;
-	public static final int X86_INS_MAXPD = 325;
-	public static final int X86_INS_MAXPS = 326;
-	public static final int X86_INS_MAXSD = 327;
-	public static final int X86_INS_MAXSS = 328;
-	public static final int X86_INS_MFENCE = 329;
-	public static final int X86_INS_MINPD = 330;
-	public static final int X86_INS_MINPS = 331;
-	public static final int X86_INS_MINSD = 332;
-	public static final int X86_INS_MINSS = 333;
-	public static final int X86_INS_CVTPD2PI = 334;
-	public static final int X86_INS_CVTPI2PD = 335;
-	public static final int X86_INS_CVTPI2PS = 336;
-	public static final int X86_INS_CVTPS2PI = 337;
-	public static final int X86_INS_CVTTPD2PI = 338;
-	public static final int X86_INS_CVTTPS2PI = 339;
-	public static final int X86_INS_EMMS = 340;
-	public static final int X86_INS_MASKMOVQ = 341;
-	public static final int X86_INS_MOVD = 342;
-	public static final int X86_INS_MOVDQ2Q = 343;
-	public static final int X86_INS_MOVNTQ = 344;
-	public static final int X86_INS_MOVQ2DQ = 345;
-	public static final int X86_INS_MOVQ = 346;
-	public static final int X86_INS_PABSB = 347;
-	public static final int X86_INS_PABSD = 348;
-	public static final int X86_INS_PABSW = 349;
-	public static final int X86_INS_PACKSSDW = 350;
-	public static final int X86_INS_PACKSSWB = 351;
-	public static final int X86_INS_PACKUSWB = 352;
-	public static final int X86_INS_PADDB = 353;
-	public static final int X86_INS_PADDD = 354;
-	public static final int X86_INS_PADDQ = 355;
-	public static final int X86_INS_PADDSB = 356;
-	public static final int X86_INS_PADDSW = 357;
-	public static final int X86_INS_PADDUSB = 358;
-	public static final int X86_INS_PADDUSW = 359;
-	public static final int X86_INS_PADDW = 360;
-	public static final int X86_INS_PALIGNR = 361;
-	public static final int X86_INS_PANDN = 362;
-	public static final int X86_INS_PAND = 363;
-	public static final int X86_INS_PAVGB = 364;
-	public static final int X86_INS_PAVGW = 365;
-	public static final int X86_INS_PCMPEQB = 366;
-	public static final int X86_INS_PCMPEQD = 367;
-	public static final int X86_INS_PCMPEQW = 368;
-	public static final int X86_INS_PCMPGTB = 369;
-	public static final int X86_INS_PCMPGTD = 370;
-	public static final int X86_INS_PCMPGTW = 371;
-	public static final int X86_INS_PEXTRW = 372;
-	public static final int X86_INS_PHADDSW = 373;
-	public static final int X86_INS_PHADDW = 374;
-	public static final int X86_INS_PHADDD = 375;
-	public static final int X86_INS_PHSUBD = 376;
-	public static final int X86_INS_PHSUBSW = 377;
-	public static final int X86_INS_PHSUBW = 378;
-	public static final int X86_INS_PINSRW = 379;
-	public static final int X86_INS_PMADDUBSW = 380;
-	public static final int X86_INS_PMADDWD = 381;
-	public static final int X86_INS_PMAXSW = 382;
-	public static final int X86_INS_PMAXUB = 383;
-	public static final int X86_INS_PMINSW = 384;
-	public static final int X86_INS_PMINUB = 385;
-	public static final int X86_INS_PMOVMSKB = 386;
-	public static final int X86_INS_PMULHRSW = 387;
-	public static final int X86_INS_PMULHUW = 388;
-	public static final int X86_INS_PMULHW = 389;
-	public static final int X86_INS_PMULLW = 390;
-	public static final int X86_INS_PMULUDQ = 391;
-	public static final int X86_INS_POR = 392;
-	public static final int X86_INS_PSADBW = 393;
-	public static final int X86_INS_PSHUFB = 394;
-	public static final int X86_INS_PSHUFW = 395;
-	public static final int X86_INS_PSIGNB = 396;
-	public static final int X86_INS_PSIGND = 397;
-	public static final int X86_INS_PSIGNW = 398;
-	public static final int X86_INS_PSLLD = 399;
-	public static final int X86_INS_PSLLQ = 400;
-	public static final int X86_INS_PSLLW = 401;
-	public static final int X86_INS_PSRAD = 402;
-	public static final int X86_INS_PSRAW = 403;
-	public static final int X86_INS_PSRLD = 404;
-	public static final int X86_INS_PSRLQ = 405;
-	public static final int X86_INS_PSRLW = 406;
-	public static final int X86_INS_PSUBB = 407;
-	public static final int X86_INS_PSUBD = 408;
-	public static final int X86_INS_PSUBQ = 409;
-	public static final int X86_INS_PSUBSB = 410;
-	public static final int X86_INS_PSUBSW = 411;
-	public static final int X86_INS_PSUBUSB = 412;
-	public static final int X86_INS_PSUBUSW = 413;
-	public static final int X86_INS_PSUBW = 414;
-	public static final int X86_INS_PUNPCKHBW = 415;
-	public static final int X86_INS_PUNPCKHDQ = 416;
-	public static final int X86_INS_PUNPCKHWD = 417;
-	public static final int X86_INS_PUNPCKLBW = 418;
-	public static final int X86_INS_PUNPCKLDQ = 419;
-	public static final int X86_INS_PUNPCKLWD = 420;
-	public static final int X86_INS_PXOR = 421;
-	public static final int X86_INS_MONITOR = 422;
-	public static final int X86_INS_MONTMUL = 423;
-	public static final int X86_INS_MOV = 424;
-	public static final int X86_INS_MOVABS = 425;
-	public static final int X86_INS_MOVBE = 426;
-	public static final int X86_INS_MOVDDUP = 427;
-	public static final int X86_INS_MOVDQA = 428;
-	public static final int X86_INS_MOVDQU = 429;
-	public static final int X86_INS_MOVHLPS = 430;
-	public static final int X86_INS_MOVHPD = 431;
-	public static final int X86_INS_MOVHPS = 432;
-	public static final int X86_INS_MOVLHPS = 433;
-	public static final int X86_INS_MOVLPD = 434;
-	public static final int X86_INS_MOVLPS = 435;
-	public static final int X86_INS_MOVMSKPD = 436;
-	public static final int X86_INS_MOVMSKPS = 437;
-	public static final int X86_INS_MOVNTDQA = 438;
-	public static final int X86_INS_MOVNTDQ = 439;
-	public static final int X86_INS_MOVNTI = 440;
-	public static final int X86_INS_MOVNTPD = 441;
-	public static final int X86_INS_MOVNTPS = 442;
-	public static final int X86_INS_MOVNTSD = 443;
-	public static final int X86_INS_MOVNTSS = 444;
-	public static final int X86_INS_MOVSB = 445;
-	public static final int X86_INS_MOVSD = 446;
-	public static final int X86_INS_MOVSHDUP = 447;
-	public static final int X86_INS_MOVSLDUP = 448;
-	public static final int X86_INS_MOVSQ = 449;
-	public static final int X86_INS_MOVSS = 450;
-	public static final int X86_INS_MOVSW = 451;
-	public static final int X86_INS_MOVSX = 452;
-	public static final int X86_INS_MOVSXD = 453;
-	public static final int X86_INS_MOVUPD = 454;
-	public static final int X86_INS_MOVUPS = 455;
-	public static final int X86_INS_MOVZX = 456;
-	public static final int X86_INS_MPSADBW = 457;
-	public static final int X86_INS_MUL = 458;
-	public static final int X86_INS_MULPD = 459;
-	public static final int X86_INS_MULPS = 460;
-	public static final int X86_INS_MULSD = 461;
-	public static final int X86_INS_MULSS = 462;
-	public static final int X86_INS_MULX = 463;
-	public static final int X86_INS_FMUL = 464;
-	public static final int X86_INS_FIMUL = 465;
-	public static final int X86_INS_FMULP = 466;
-	public static final int X86_INS_MWAIT = 467;
-	public static final int X86_INS_NEG = 468;
-	public static final int X86_INS_NOP = 469;
-	public static final int X86_INS_NOT = 470;
-	public static final int X86_INS_OUT = 471;
-	public static final int X86_INS_OUTSB = 472;
-	public static final int X86_INS_OUTSD = 473;
-	public static final int X86_INS_OUTSW = 474;
-	public static final int X86_INS_PACKUSDW = 475;
-	public static final int X86_INS_PAUSE = 476;
-	public static final int X86_INS_PAVGUSB = 477;
-	public static final int X86_INS_PBLENDVB = 478;
-	public static final int X86_INS_PBLENDW = 479;
-	public static final int X86_INS_PCLMULQDQ = 480;
-	public static final int X86_INS_PCMPEQQ = 481;
-	public static final int X86_INS_PCMPESTRI = 482;
-	public static final int X86_INS_PCMPESTRM = 483;
-	public static final int X86_INS_PCMPGTQ = 484;
-	public static final int X86_INS_PCMPISTRI = 485;
-	public static final int X86_INS_PCMPISTRM = 486;
-	public static final int X86_INS_PDEP = 487;
-	public static final int X86_INS_PEXT = 488;
-	public static final int X86_INS_PEXTRB = 489;
-	public static final int X86_INS_PEXTRD = 490;
-	public static final int X86_INS_PEXTRQ = 491;
-	public static final int X86_INS_PF2ID = 492;
-	public static final int X86_INS_PF2IW = 493;
-	public static final int X86_INS_PFACC = 494;
-	public static final int X86_INS_PFADD = 495;
-	public static final int X86_INS_PFCMPEQ = 496;
-	public static final int X86_INS_PFCMPGE = 497;
-	public static final int X86_INS_PFCMPGT = 498;
-	public static final int X86_INS_PFMAX = 499;
-	public static final int X86_INS_PFMIN = 500;
-	public static final int X86_INS_PFMUL = 501;
-	public static final int X86_INS_PFNACC = 502;
-	public static final int X86_INS_PFPNACC = 503;
-	public static final int X86_INS_PFRCPIT1 = 504;
-	public static final int X86_INS_PFRCPIT2 = 505;
-	public static final int X86_INS_PFRCP = 506;
-	public static final int X86_INS_PFRSQIT1 = 507;
-	public static final int X86_INS_PFRSQRT = 508;
-	public static final int X86_INS_PFSUBR = 509;
-	public static final int X86_INS_PFSUB = 510;
-	public static final int X86_INS_PHMINPOSUW = 511;
-	public static final int X86_INS_PI2FD = 512;
-	public static final int X86_INS_PI2FW = 513;
-	public static final int X86_INS_PINSRB = 514;
-	public static final int X86_INS_PINSRD = 515;
-	public static final int X86_INS_PINSRQ = 516;
-	public static final int X86_INS_PMAXSB = 517;
-	public static final int X86_INS_PMAXSD = 518;
-	public static final int X86_INS_PMAXUD = 519;
-	public static final int X86_INS_PMAXUW = 520;
-	public static final int X86_INS_PMINSB = 521;
-	public static final int X86_INS_PMINSD = 522;
-	public static final int X86_INS_PMINUD = 523;
-	public static final int X86_INS_PMINUW = 524;
-	public static final int X86_INS_PMOVSXBD = 525;
-	public static final int X86_INS_PMOVSXBQ = 526;
-	public static final int X86_INS_PMOVSXBW = 527;
-	public static final int X86_INS_PMOVSXDQ = 528;
-	public static final int X86_INS_PMOVSXWD = 529;
-	public static final int X86_INS_PMOVSXWQ = 530;
-	public static final int X86_INS_PMOVZXBD = 531;
-	public static final int X86_INS_PMOVZXBQ = 532;
-	public static final int X86_INS_PMOVZXBW = 533;
-	public static final int X86_INS_PMOVZXDQ = 534;
-	public static final int X86_INS_PMOVZXWD = 535;
-	public static final int X86_INS_PMOVZXWQ = 536;
-	public static final int X86_INS_PMULDQ = 537;
-	public static final int X86_INS_PMULHRW = 538;
-	public static final int X86_INS_PMULLD = 539;
-	public static final int X86_INS_POP = 540;
-	public static final int X86_INS_POPAW = 541;
-	public static final int X86_INS_POPAL = 542;
-	public static final int X86_INS_POPCNT = 543;
-	public static final int X86_INS_POPF = 544;
-	public static final int X86_INS_POPFD = 545;
-	public static final int X86_INS_POPFQ = 546;
-	public static final int X86_INS_PREFETCH = 547;
-	public static final int X86_INS_PREFETCHNTA = 548;
-	public static final int X86_INS_PREFETCHT0 = 549;
-	public static final int X86_INS_PREFETCHT1 = 550;
-	public static final int X86_INS_PREFETCHT2 = 551;
-	public static final int X86_INS_PREFETCHW = 552;
-	public static final int X86_INS_PSHUFD = 553;
-	public static final int X86_INS_PSHUFHW = 554;
-	public static final int X86_INS_PSHUFLW = 555;
-	public static final int X86_INS_PSLLDQ = 556;
-	public static final int X86_INS_PSRLDQ = 557;
-	public static final int X86_INS_PSWAPD = 558;
-	public static final int X86_INS_PTEST = 559;
-	public static final int X86_INS_PUNPCKHQDQ = 560;
-	public static final int X86_INS_PUNPCKLQDQ = 561;
-	public static final int X86_INS_PUSH = 562;
-	public static final int X86_INS_PUSHAW = 563;
-	public static final int X86_INS_PUSHAL = 564;
-	public static final int X86_INS_PUSHF = 565;
-	public static final int X86_INS_PUSHFD = 566;
-	public static final int X86_INS_PUSHFQ = 567;
-	public static final int X86_INS_RCL = 568;
-	public static final int X86_INS_RCPPS = 569;
-	public static final int X86_INS_RCPSS = 570;
-	public static final int X86_INS_RCR = 571;
-	public static final int X86_INS_RDFSBASE = 572;
-	public static final int X86_INS_RDGSBASE = 573;
-	public static final int X86_INS_RDMSR = 574;
-	public static final int X86_INS_RDPMC = 575;
-	public static final int X86_INS_RDRAND = 576;
-	public static final int X86_INS_RDSEED = 577;
-	public static final int X86_INS_RDTSC = 578;
-	public static final int X86_INS_RDTSCP = 579;
-	public static final int X86_INS_REPNE = 580;
-	public static final int X86_INS_REP = 581;
-	public static final int X86_INS_RET = 582;
-	public static final int X86_INS_REX64 = 583;
-	public static final int X86_INS_ROL = 584;
-	public static final int X86_INS_ROR = 585;
-	public static final int X86_INS_RORX = 586;
-	public static final int X86_INS_ROUNDPD = 587;
-	public static final int X86_INS_ROUNDPS = 588;
-	public static final int X86_INS_ROUNDSD = 589;
-	public static final int X86_INS_ROUNDSS = 590;
-	public static final int X86_INS_RSM = 591;
-	public static final int X86_INS_RSQRTPS = 592;
-	public static final int X86_INS_RSQRTSS = 593;
-	public static final int X86_INS_SAHF = 594;
-	public static final int X86_INS_SAL = 595;
-	public static final int X86_INS_SALC = 596;
-	public static final int X86_INS_SAR = 597;
-	public static final int X86_INS_SARX = 598;
-	public static final int X86_INS_SBB = 599;
-	public static final int X86_INS_SCASW = 600;
-	public static final int X86_INS_SCASD = 601;
-	public static final int X86_INS_SCASQ = 602;
-	public static final int X86_INS_SCASB = 603;
-	public static final int X86_INS_SETAE = 604;
-	public static final int X86_INS_SETA = 605;
-	public static final int X86_INS_SETBE = 606;
-	public static final int X86_INS_SETB = 607;
-	public static final int X86_INS_SETE = 608;
-	public static final int X86_INS_SETGE = 609;
-	public static final int X86_INS_SETG = 610;
-	public static final int X86_INS_SETLE = 611;
-	public static final int X86_INS_SETL = 612;
-	public static final int X86_INS_SETNE = 613;
-	public static final int X86_INS_SETNO = 614;
-	public static final int X86_INS_SETNP = 615;
-	public static final int X86_INS_SETNS = 616;
-	public static final int X86_INS_SETO = 617;
-	public static final int X86_INS_SETP = 618;
-	public static final int X86_INS_SETS = 619;
-	public static final int X86_INS_SFENCE = 620;
-	public static final int X86_INS_SGDT = 621;
-	public static final int X86_INS_SHA1MSG1 = 622;
-	public static final int X86_INS_SHA1MSG2 = 623;
-	public static final int X86_INS_SHA1NEXTE = 624;
-	public static final int X86_INS_SHA1RNDS4 = 625;
-	public static final int X86_INS_SHA256MSG1 = 626;
-	public static final int X86_INS_SHA256MSG2 = 627;
-	public static final int X86_INS_SHA256RNDS2 = 628;
-	public static final int X86_INS_SHL = 629;
-	public static final int X86_INS_SHLD = 630;
-	public static final int X86_INS_SHLX = 631;
-	public static final int X86_INS_SHR = 632;
-	public static final int X86_INS_SHRD = 633;
-	public static final int X86_INS_SHRX = 634;
-	public static final int X86_INS_SHUFPD = 635;
-	public static final int X86_INS_SHUFPS = 636;
-	public static final int X86_INS_SIDT = 637;
-	public static final int X86_INS_FSIN = 638;
-	public static final int X86_INS_SKINIT = 639;
-	public static final int X86_INS_SLDT = 640;
-	public static final int X86_INS_SMSW = 641;
-	public static final int X86_INS_SQRTPD = 642;
-	public static final int X86_INS_SQRTPS = 643;
-	public static final int X86_INS_SQRTSD = 644;
-	public static final int X86_INS_SQRTSS = 645;
-	public static final int X86_INS_FSQRT = 646;
-	public static final int X86_INS_SS = 647;
-	public static final int X86_INS_STAC = 648;
-	public static final int X86_INS_STC = 649;
-	public static final int X86_INS_STD = 650;
-	public static final int X86_INS_STGI = 651;
-	public static final int X86_INS_STI = 652;
-	public static final int X86_INS_STMXCSR = 653;
-	public static final int X86_INS_STOSB = 654;
-	public static final int X86_INS_STOSD = 655;
-	public static final int X86_INS_STOSQ = 656;
-	public static final int X86_INS_STOSW = 657;
-	public static final int X86_INS_STR = 658;
-	public static final int X86_INS_FST = 659;
-	public static final int X86_INS_FSTP = 660;
-	public static final int X86_INS_FSTPNCE = 661;
-	public static final int X86_INS_SUBPD = 662;
-	public static final int X86_INS_SUBPS = 663;
-	public static final int X86_INS_FSUBR = 664;
-	public static final int X86_INS_FISUBR = 665;
-	public static final int X86_INS_FSUBRP = 666;
-	public static final int X86_INS_SUBSD = 667;
-	public static final int X86_INS_SUBSS = 668;
-	public static final int X86_INS_FSUB = 669;
-	public static final int X86_INS_FISUB = 670;
-	public static final int X86_INS_FSUBP = 671;
-	public static final int X86_INS_SWAPGS = 672;
-	public static final int X86_INS_SYSCALL = 673;
-	public static final int X86_INS_SYSENTER = 674;
-	public static final int X86_INS_SYSEXIT = 675;
-	public static final int X86_INS_SYSRET = 676;
-	public static final int X86_INS_T1MSKC = 677;
-	public static final int X86_INS_TEST = 678;
-	public static final int X86_INS_UD2 = 679;
-	public static final int X86_INS_FTST = 680;
-	public static final int X86_INS_TZCNT = 681;
-	public static final int X86_INS_TZMSK = 682;
-	public static final int X86_INS_FUCOMPI = 683;
-	public static final int X86_INS_FUCOMI = 684;
-	public static final int X86_INS_FUCOMPP = 685;
-	public static final int X86_INS_FUCOMP = 686;
-	public static final int X86_INS_FUCOM = 687;
-	public static final int X86_INS_UD2B = 688;
-	public static final int X86_INS_UNPCKHPD = 689;
-	public static final int X86_INS_UNPCKHPS = 690;
-	public static final int X86_INS_UNPCKLPD = 691;
-	public static final int X86_INS_UNPCKLPS = 692;
-	public static final int X86_INS_VADDPD = 693;
-	public static final int X86_INS_VADDPS = 694;
-	public static final int X86_INS_VADDSD = 695;
-	public static final int X86_INS_VADDSS = 696;
-	public static final int X86_INS_VADDSUBPD = 697;
-	public static final int X86_INS_VADDSUBPS = 698;
-	public static final int X86_INS_VAESDECLAST = 699;
-	public static final int X86_INS_VAESDEC = 700;
-	public static final int X86_INS_VAESENCLAST = 701;
-	public static final int X86_INS_VAESENC = 702;
-	public static final int X86_INS_VAESIMC = 703;
-	public static final int X86_INS_VAESKEYGENASSIST = 704;
-	public static final int X86_INS_VALIGND = 705;
-	public static final int X86_INS_VALIGNQ = 706;
-	public static final int X86_INS_VANDNPD = 707;
-	public static final int X86_INS_VANDNPS = 708;
-	public static final int X86_INS_VANDPD = 709;
-	public static final int X86_INS_VANDPS = 710;
-	public static final int X86_INS_VBLENDMPD = 711;
-	public static final int X86_INS_VBLENDMPS = 712;
-	public static final int X86_INS_VBLENDPD = 713;
-	public static final int X86_INS_VBLENDPS = 714;
-	public static final int X86_INS_VBLENDVPD = 715;
-	public static final int X86_INS_VBLENDVPS = 716;
-	public static final int X86_INS_VBROADCASTF128 = 717;
-	public static final int X86_INS_VBROADCASTI128 = 718;
-	public static final int X86_INS_VBROADCASTSD = 719;
-	public static final int X86_INS_VBROADCASTSS = 720;
-	public static final int X86_INS_VCMPPD = 721;
-	public static final int X86_INS_VCMPPS = 722;
-	public static final int X86_INS_VCMPSD = 723;
-	public static final int X86_INS_VCMPSS = 724;
-	public static final int X86_INS_VCVTDQ2PD = 725;
-	public static final int X86_INS_VCVTDQ2PS = 726;
-	public static final int X86_INS_VCVTPD2DQX = 727;
-	public static final int X86_INS_VCVTPD2DQ = 728;
-	public static final int X86_INS_VCVTPD2PSX = 729;
-	public static final int X86_INS_VCVTPD2PS = 730;
-	public static final int X86_INS_VCVTPD2UDQ = 731;
-	public static final int X86_INS_VCVTPH2PS = 732;
-	public static final int X86_INS_VCVTPS2DQ = 733;
-	public static final int X86_INS_VCVTPS2PD = 734;
-	public static final int X86_INS_VCVTPS2PH = 735;
-	public static final int X86_INS_VCVTPS2UDQ = 736;
-	public static final int X86_INS_VCVTSD2SI = 737;
-	public static final int X86_INS_VCVTSD2USI = 738;
-	public static final int X86_INS_VCVTSS2SI = 739;
-	public static final int X86_INS_VCVTSS2USI = 740;
-	public static final int X86_INS_VCVTTPD2DQX = 741;
-	public static final int X86_INS_VCVTTPD2DQ = 742;
-	public static final int X86_INS_VCVTTPD2UDQ = 743;
-	public static final int X86_INS_VCVTTPS2DQ = 744;
-	public static final int X86_INS_VCVTTPS2UDQ = 745;
-	public static final int X86_INS_VCVTUDQ2PD = 746;
-	public static final int X86_INS_VCVTUDQ2PS = 747;
-	public static final int X86_INS_VDIVPD = 748;
-	public static final int X86_INS_VDIVPS = 749;
-	public static final int X86_INS_VDIVSD = 750;
-	public static final int X86_INS_VDIVSS = 751;
-	public static final int X86_INS_VDPPD = 752;
-	public static final int X86_INS_VDPPS = 753;
-	public static final int X86_INS_VERR = 754;
-	public static final int X86_INS_VERW = 755;
-	public static final int X86_INS_VEXTRACTF128 = 756;
-	public static final int X86_INS_VEXTRACTF32X4 = 757;
-	public static final int X86_INS_VEXTRACTF64X4 = 758;
-	public static final int X86_INS_VEXTRACTI128 = 759;
-	public static final int X86_INS_VEXTRACTI32X4 = 760;
-	public static final int X86_INS_VEXTRACTI64X4 = 761;
-	public static final int X86_INS_VEXTRACTPS = 762;
-	public static final int X86_INS_VFMADD132PD = 763;
-	public static final int X86_INS_VFMADD132PS = 764;
-	public static final int X86_INS_VFMADD213PD = 765;
-	public static final int X86_INS_VFMADD213PS = 766;
-	public static final int X86_INS_VFMADDPD = 767;
-	public static final int X86_INS_VFMADD231PD = 768;
-	public static final int X86_INS_VFMADDPS = 769;
-	public static final int X86_INS_VFMADD231PS = 770;
-	public static final int X86_INS_VFMADDSD = 771;
-	public static final int X86_INS_VFMADD213SD = 772;
-	public static final int X86_INS_VFMADD132SD = 773;
-	public static final int X86_INS_VFMADD231SD = 774;
-	public static final int X86_INS_VFMADDSS = 775;
-	public static final int X86_INS_VFMADD213SS = 776;
-	public static final int X86_INS_VFMADD132SS = 777;
-	public static final int X86_INS_VFMADD231SS = 778;
-	public static final int X86_INS_VFMADDSUB132PD = 779;
-	public static final int X86_INS_VFMADDSUB132PS = 780;
-	public static final int X86_INS_VFMADDSUB213PD = 781;
-	public static final int X86_INS_VFMADDSUB213PS = 782;
-	public static final int X86_INS_VFMADDSUBPD = 783;
-	public static final int X86_INS_VFMADDSUB231PD = 784;
-	public static final int X86_INS_VFMADDSUBPS = 785;
-	public static final int X86_INS_VFMADDSUB231PS = 786;
-	public static final int X86_INS_VFMSUB132PD = 787;
-	public static final int X86_INS_VFMSUB132PS = 788;
-	public static final int X86_INS_VFMSUB213PD = 789;
-	public static final int X86_INS_VFMSUB213PS = 790;
-	public static final int X86_INS_VFMSUBADD132PD = 791;
-	public static final int X86_INS_VFMSUBADD132PS = 792;
-	public static final int X86_INS_VFMSUBADD213PD = 793;
-	public static final int X86_INS_VFMSUBADD213PS = 794;
-	public static final int X86_INS_VFMSUBADDPD = 795;
-	public static final int X86_INS_VFMSUBADD231PD = 796;
-	public static final int X86_INS_VFMSUBADDPS = 797;
-	public static final int X86_INS_VFMSUBADD231PS = 798;
-	public static final int X86_INS_VFMSUBPD = 799;
-	public static final int X86_INS_VFMSUB231PD = 800;
-	public static final int X86_INS_VFMSUBPS = 801;
-	public static final int X86_INS_VFMSUB231PS = 802;
-	public static final int X86_INS_VFMSUBSD = 803;
-	public static final int X86_INS_VFMSUB213SD = 804;
-	public static final int X86_INS_VFMSUB132SD = 805;
-	public static final int X86_INS_VFMSUB231SD = 806;
-	public static final int X86_INS_VFMSUBSS = 807;
-	public static final int X86_INS_VFMSUB213SS = 808;
-	public static final int X86_INS_VFMSUB132SS = 809;
-	public static final int X86_INS_VFMSUB231SS = 810;
-	public static final int X86_INS_VFNMADD132PD = 811;
-	public static final int X86_INS_VFNMADD132PS = 812;
-	public static final int X86_INS_VFNMADD213PD = 813;
-	public static final int X86_INS_VFNMADD213PS = 814;
-	public static final int X86_INS_VFNMADDPD = 815;
-	public static final int X86_INS_VFNMADD231PD = 816;
-	public static final int X86_INS_VFNMADDPS = 817;
-	public static final int X86_INS_VFNMADD231PS = 818;
-	public static final int X86_INS_VFNMADDSD = 819;
-	public static final int X86_INS_VFNMADD213SD = 820;
-	public static final int X86_INS_VFNMADD132SD = 821;
-	public static final int X86_INS_VFNMADD231SD = 822;
-	public static final int X86_INS_VFNMADDSS = 823;
-	public static final int X86_INS_VFNMADD213SS = 824;
-	public static final int X86_INS_VFNMADD132SS = 825;
-	public static final int X86_INS_VFNMADD231SS = 826;
-	public static final int X86_INS_VFNMSUB132PD = 827;
-	public static final int X86_INS_VFNMSUB132PS = 828;
-	public static final int X86_INS_VFNMSUB213PD = 829;
-	public static final int X86_INS_VFNMSUB213PS = 830;
-	public static final int X86_INS_VFNMSUBPD = 831;
-	public static final int X86_INS_VFNMSUB231PD = 832;
-	public static final int X86_INS_VFNMSUBPS = 833;
-	public static final int X86_INS_VFNMSUB231PS = 834;
-	public static final int X86_INS_VFNMSUBSD = 835;
-	public static final int X86_INS_VFNMSUB213SD = 836;
-	public static final int X86_INS_VFNMSUB132SD = 837;
-	public static final int X86_INS_VFNMSUB231SD = 838;
-	public static final int X86_INS_VFNMSUBSS = 839;
-	public static final int X86_INS_VFNMSUB213SS = 840;
-	public static final int X86_INS_VFNMSUB132SS = 841;
-	public static final int X86_INS_VFNMSUB231SS = 842;
-	public static final int X86_INS_VFRCZPD = 843;
-	public static final int X86_INS_VFRCZPS = 844;
-	public static final int X86_INS_VFRCZSD = 845;
-	public static final int X86_INS_VFRCZSS = 846;
-	public static final int X86_INS_VORPD = 847;
-	public static final int X86_INS_VORPS = 848;
-	public static final int X86_INS_VXORPD = 849;
-	public static final int X86_INS_VXORPS = 850;
-	public static final int X86_INS_VGATHERDPD = 851;
-	public static final int X86_INS_VGATHERDPS = 852;
-	public static final int X86_INS_VGATHERQPD = 853;
-	public static final int X86_INS_VGATHERQPS = 854;
-	public static final int X86_INS_VHADDPD = 855;
-	public static final int X86_INS_VHADDPS = 856;
-	public static final int X86_INS_VHSUBPD = 857;
-	public static final int X86_INS_VHSUBPS = 858;
-	public static final int X86_INS_VINSERTF128 = 859;
-	public static final int X86_INS_VINSERTF32X4 = 860;
-	public static final int X86_INS_VINSERTF64X4 = 861;
-	public static final int X86_INS_VINSERTI128 = 862;
-	public static final int X86_INS_VINSERTI32X4 = 863;
-	public static final int X86_INS_VINSERTI64X4 = 864;
-	public static final int X86_INS_VINSERTPS = 865;
-	public static final int X86_INS_VLDDQU = 866;
-	public static final int X86_INS_VLDMXCSR = 867;
-	public static final int X86_INS_VMASKMOVDQU = 868;
-	public static final int X86_INS_VMASKMOVPD = 869;
-	public static final int X86_INS_VMASKMOVPS = 870;
-	public static final int X86_INS_VMAXPD = 871;
-	public static final int X86_INS_VMAXPS = 872;
-	public static final int X86_INS_VMAXSD = 873;
-	public static final int X86_INS_VMAXSS = 874;
-	public static final int X86_INS_VMCALL = 875;
-	public static final int X86_INS_VMCLEAR = 876;
-	public static final int X86_INS_VMFUNC = 877;
-	public static final int X86_INS_VMINPD = 878;
-	public static final int X86_INS_VMINPS = 879;
-	public static final int X86_INS_VMINSD = 880;
-	public static final int X86_INS_VMINSS = 881;
-	public static final int X86_INS_VMLAUNCH = 882;
-	public static final int X86_INS_VMLOAD = 883;
-	public static final int X86_INS_VMMCALL = 884;
-	public static final int X86_INS_VMOVQ = 885;
-	public static final int X86_INS_VMOVDDUP = 886;
-	public static final int X86_INS_VMOVD = 887;
-	public static final int X86_INS_VMOVDQA32 = 888;
-	public static final int X86_INS_VMOVDQA64 = 889;
-	public static final int X86_INS_VMOVDQA = 890;
-	public static final int X86_INS_VMOVDQU32 = 891;
-	public static final int X86_INS_VMOVDQU64 = 892;
-	public static final int X86_INS_VMOVDQU = 893;
-	public static final int X86_INS_VMOVHLPS = 894;
-	public static final int X86_INS_VMOVHPD = 895;
-	public static final int X86_INS_VMOVHPS = 896;
-	public static final int X86_INS_VMOVLHPS = 897;
-	public static final int X86_INS_VMOVLPD = 898;
-	public static final int X86_INS_VMOVLPS = 899;
-	public static final int X86_INS_VMOVMSKPD = 900;
-	public static final int X86_INS_VMOVMSKPS = 901;
-	public static final int X86_INS_VMOVNTDQA = 902;
-	public static final int X86_INS_VMOVNTDQ = 903;
-	public static final int X86_INS_VMOVNTPD = 904;
-	public static final int X86_INS_VMOVNTPS = 905;
-	public static final int X86_INS_VMOVSD = 906;
-	public static final int X86_INS_VMOVSHDUP = 907;
-	public static final int X86_INS_VMOVSLDUP = 908;
-	public static final int X86_INS_VMOVSS = 909;
-	public static final int X86_INS_VMOVUPD = 910;
-	public static final int X86_INS_VMOVUPS = 911;
-	public static final int X86_INS_VMPSADBW = 912;
-	public static final int X86_INS_VMPTRLD = 913;
-	public static final int X86_INS_VMPTRST = 914;
-	public static final int X86_INS_VMREAD = 915;
-	public static final int X86_INS_VMRESUME = 916;
-	public static final int X86_INS_VMRUN = 917;
-	public static final int X86_INS_VMSAVE = 918;
-	public static final int X86_INS_VMULPD = 919;
-	public static final int X86_INS_VMULPS = 920;
-	public static final int X86_INS_VMULSD = 921;
-	public static final int X86_INS_VMULSS = 922;
-	public static final int X86_INS_VMWRITE = 923;
-	public static final int X86_INS_VMXOFF = 924;
-	public static final int X86_INS_VMXON = 925;
-	public static final int X86_INS_VPABSB = 926;
-	public static final int X86_INS_VPABSD = 927;
-	public static final int X86_INS_VPABSQ = 928;
-	public static final int X86_INS_VPABSW = 929;
-	public static final int X86_INS_VPACKSSDW = 930;
-	public static final int X86_INS_VPACKSSWB = 931;
-	public static final int X86_INS_VPACKUSDW = 932;
-	public static final int X86_INS_VPACKUSWB = 933;
-	public static final int X86_INS_VPADDB = 934;
-	public static final int X86_INS_VPADDD = 935;
-	public static final int X86_INS_VPADDQ = 936;
-	public static final int X86_INS_VPADDSB = 937;
-	public static final int X86_INS_VPADDSW = 938;
-	public static final int X86_INS_VPADDUSB = 939;
-	public static final int X86_INS_VPADDUSW = 940;
-	public static final int X86_INS_VPADDW = 941;
-	public static final int X86_INS_VPALIGNR = 942;
-	public static final int X86_INS_VPANDD = 943;
-	public static final int X86_INS_VPANDND = 944;
-	public static final int X86_INS_VPANDNQ = 945;
-	public static final int X86_INS_VPANDN = 946;
-	public static final int X86_INS_VPANDQ = 947;
-	public static final int X86_INS_VPAND = 948;
-	public static final int X86_INS_VPAVGB = 949;
-	public static final int X86_INS_VPAVGW = 950;
-	public static final int X86_INS_VPBLENDD = 951;
-	public static final int X86_INS_VPBLENDMD = 952;
-	public static final int X86_INS_VPBLENDMQ = 953;
-	public static final int X86_INS_VPBLENDVB = 954;
-	public static final int X86_INS_VPBLENDW = 955;
-	public static final int X86_INS_VPBROADCASTB = 956;
-	public static final int X86_INS_VPBROADCASTD = 957;
-	public static final int X86_INS_VPBROADCASTMB2Q = 958;
-	public static final int X86_INS_VPBROADCASTMW2D = 959;
-	public static final int X86_INS_VPBROADCASTQ = 960;
-	public static final int X86_INS_VPBROADCASTW = 961;
-	public static final int X86_INS_VPCLMULQDQ = 962;
-	public static final int X86_INS_VPCMOV = 963;
-	public static final int X86_INS_VPCMP = 964;
-	public static final int X86_INS_VPCMPD = 965;
-	public static final int X86_INS_VPCMPEQB = 966;
-	public static final int X86_INS_VPCMPEQD = 967;
-	public static final int X86_INS_VPCMPEQQ = 968;
-	public static final int X86_INS_VPCMPEQW = 969;
-	public static final int X86_INS_VPCMPESTRI = 970;
-	public static final int X86_INS_VPCMPESTRM = 971;
-	public static final int X86_INS_VPCMPGTB = 972;
-	public static final int X86_INS_VPCMPGTD = 973;
-	public static final int X86_INS_VPCMPGTQ = 974;
-	public static final int X86_INS_VPCMPGTW = 975;
-	public static final int X86_INS_VPCMPISTRI = 976;
-	public static final int X86_INS_VPCMPISTRM = 977;
-	public static final int X86_INS_VPCMPQ = 978;
-	public static final int X86_INS_VPCMPUD = 979;
-	public static final int X86_INS_VPCMPUQ = 980;
-	public static final int X86_INS_VPCOMB = 981;
-	public static final int X86_INS_VPCOMD = 982;
-	public static final int X86_INS_VPCOMQ = 983;
-	public static final int X86_INS_VPCOMUB = 984;
-	public static final int X86_INS_VPCOMUD = 985;
-	public static final int X86_INS_VPCOMUQ = 986;
-	public static final int X86_INS_VPCOMUW = 987;
-	public static final int X86_INS_VPCOMW = 988;
-	public static final int X86_INS_VPCONFLICTD = 989;
-	public static final int X86_INS_VPCONFLICTQ = 990;
-	public static final int X86_INS_VPERM2F128 = 991;
-	public static final int X86_INS_VPERM2I128 = 992;
-	public static final int X86_INS_VPERMD = 993;
-	public static final int X86_INS_VPERMI2D = 994;
-	public static final int X86_INS_VPERMI2PD = 995;
-	public static final int X86_INS_VPERMI2PS = 996;
-	public static final int X86_INS_VPERMI2Q = 997;
-	public static final int X86_INS_VPERMIL2PD = 998;
-	public static final int X86_INS_VPERMIL2PS = 999;
-	public static final int X86_INS_VPERMILPD = 1000;
-	public static final int X86_INS_VPERMILPS = 1001;
-	public static final int X86_INS_VPERMPD = 1002;
-	public static final int X86_INS_VPERMPS = 1003;
-	public static final int X86_INS_VPERMQ = 1004;
-	public static final int X86_INS_VPERMT2D = 1005;
-	public static final int X86_INS_VPERMT2PD = 1006;
-	public static final int X86_INS_VPERMT2PS = 1007;
-	public static final int X86_INS_VPERMT2Q = 1008;
-	public static final int X86_INS_VPEXTRB = 1009;
-	public static final int X86_INS_VPEXTRD = 1010;
-	public static final int X86_INS_VPEXTRQ = 1011;
-	public static final int X86_INS_VPEXTRW = 1012;
-	public static final int X86_INS_VPGATHERDD = 1013;
-	public static final int X86_INS_VPGATHERDQ = 1014;
-	public static final int X86_INS_VPGATHERQD = 1015;
-	public static final int X86_INS_VPGATHERQQ = 1016;
-	public static final int X86_INS_VPHADDBD = 1017;
-	public static final int X86_INS_VPHADDBQ = 1018;
-	public static final int X86_INS_VPHADDBW = 1019;
-	public static final int X86_INS_VPHADDDQ = 1020;
-	public static final int X86_INS_VPHADDD = 1021;
-	public static final int X86_INS_VPHADDSW = 1022;
-	public static final int X86_INS_VPHADDUBD = 1023;
-	public static final int X86_INS_VPHADDUBQ = 1024;
-	public static final int X86_INS_VPHADDUBW = 1025;
-	public static final int X86_INS_VPHADDUDQ = 1026;
-	public static final int X86_INS_VPHADDUWD = 1027;
-	public static final int X86_INS_VPHADDUWQ = 1028;
-	public static final int X86_INS_VPHADDWD = 1029;
-	public static final int X86_INS_VPHADDWQ = 1030;
-	public static final int X86_INS_VPHADDW = 1031;
-	public static final int X86_INS_VPHMINPOSUW = 1032;
-	public static final int X86_INS_VPHSUBBW = 1033;
-	public static final int X86_INS_VPHSUBDQ = 1034;
-	public static final int X86_INS_VPHSUBD = 1035;
-	public static final int X86_INS_VPHSUBSW = 1036;
-	public static final int X86_INS_VPHSUBWD = 1037;
-	public static final int X86_INS_VPHSUBW = 1038;
-	public static final int X86_INS_VPINSRB = 1039;
-	public static final int X86_INS_VPINSRD = 1040;
-	public static final int X86_INS_VPINSRQ = 1041;
-	public static final int X86_INS_VPINSRW = 1042;
-	public static final int X86_INS_VPMACSDD = 1043;
-	public static final int X86_INS_VPMACSDQH = 1044;
-	public static final int X86_INS_VPMACSDQL = 1045;
-	public static final int X86_INS_VPMACSSDD = 1046;
-	public static final int X86_INS_VPMACSSDQH = 1047;
-	public static final int X86_INS_VPMACSSDQL = 1048;
-	public static final int X86_INS_VPMACSSWD = 1049;
-	public static final int X86_INS_VPMACSSWW = 1050;
-	public static final int X86_INS_VPMACSWD = 1051;
-	public static final int X86_INS_VPMACSWW = 1052;
-	public static final int X86_INS_VPMADCSSWD = 1053;
-	public static final int X86_INS_VPMADCSWD = 1054;
-	public static final int X86_INS_VPMADDUBSW = 1055;
-	public static final int X86_INS_VPMADDWD = 1056;
-	public static final int X86_INS_VPMASKMOVD = 1057;
-	public static final int X86_INS_VPMASKMOVQ = 1058;
-	public static final int X86_INS_VPMAXSB = 1059;
-	public static final int X86_INS_VPMAXSD = 1060;
-	public static final int X86_INS_VPMAXSQ = 1061;
-	public static final int X86_INS_VPMAXSW = 1062;
-	public static final int X86_INS_VPMAXUB = 1063;
-	public static final int X86_INS_VPMAXUD = 1064;
-	public static final int X86_INS_VPMAXUQ = 1065;
-	public static final int X86_INS_VPMAXUW = 1066;
-	public static final int X86_INS_VPMINSB = 1067;
-	public static final int X86_INS_VPMINSD = 1068;
-	public static final int X86_INS_VPMINSQ = 1069;
-	public static final int X86_INS_VPMINSW = 1070;
-	public static final int X86_INS_VPMINUB = 1071;
-	public static final int X86_INS_VPMINUD = 1072;
-	public static final int X86_INS_VPMINUQ = 1073;
-	public static final int X86_INS_VPMINUW = 1074;
-	public static final int X86_INS_VPMOVDB = 1075;
-	public static final int X86_INS_VPMOVDW = 1076;
-	public static final int X86_INS_VPMOVMSKB = 1077;
-	public static final int X86_INS_VPMOVQB = 1078;
-	public static final int X86_INS_VPMOVQD = 1079;
-	public static final int X86_INS_VPMOVQW = 1080;
-	public static final int X86_INS_VPMOVSDB = 1081;
-	public static final int X86_INS_VPMOVSDW = 1082;
-	public static final int X86_INS_VPMOVSQB = 1083;
-	public static final int X86_INS_VPMOVSQD = 1084;
-	public static final int X86_INS_VPMOVSQW = 1085;
-	public static final int X86_INS_VPMOVSXBD = 1086;
-	public static final int X86_INS_VPMOVSXBQ = 1087;
-	public static final int X86_INS_VPMOVSXBW = 1088;
-	public static final int X86_INS_VPMOVSXDQ = 1089;
-	public static final int X86_INS_VPMOVSXWD = 1090;
-	public static final int X86_INS_VPMOVSXWQ = 1091;
-	public static final int X86_INS_VPMOVUSDB = 1092;
-	public static final int X86_INS_VPMOVUSDW = 1093;
-	public static final int X86_INS_VPMOVUSQB = 1094;
-	public static final int X86_INS_VPMOVUSQD = 1095;
-	public static final int X86_INS_VPMOVUSQW = 1096;
-	public static final int X86_INS_VPMOVZXBD = 1097;
-	public static final int X86_INS_VPMOVZXBQ = 1098;
-	public static final int X86_INS_VPMOVZXBW = 1099;
-	public static final int X86_INS_VPMOVZXDQ = 1100;
-	public static final int X86_INS_VPMOVZXWD = 1101;
-	public static final int X86_INS_VPMOVZXWQ = 1102;
-	public static final int X86_INS_VPMULDQ = 1103;
-	public static final int X86_INS_VPMULHRSW = 1104;
-	public static final int X86_INS_VPMULHUW = 1105;
-	public static final int X86_INS_VPMULHW = 1106;
-	public static final int X86_INS_VPMULLD = 1107;
-	public static final int X86_INS_VPMULLW = 1108;
-	public static final int X86_INS_VPMULUDQ = 1109;
-	public static final int X86_INS_VPORD = 1110;
-	public static final int X86_INS_VPORQ = 1111;
-	public static final int X86_INS_VPOR = 1112;
-	public static final int X86_INS_VPPERM = 1113;
-	public static final int X86_INS_VPROTB = 1114;
-	public static final int X86_INS_VPROTD = 1115;
-	public static final int X86_INS_VPROTQ = 1116;
-	public static final int X86_INS_VPROTW = 1117;
-	public static final int X86_INS_VPSADBW = 1118;
-	public static final int X86_INS_VPSCATTERDD = 1119;
-	public static final int X86_INS_VPSCATTERDQ = 1120;
-	public static final int X86_INS_VPSCATTERQD = 1121;
-	public static final int X86_INS_VPSCATTERQQ = 1122;
-	public static final int X86_INS_VPSHAB = 1123;
-	public static final int X86_INS_VPSHAD = 1124;
-	public static final int X86_INS_VPSHAQ = 1125;
-	public static final int X86_INS_VPSHAW = 1126;
-	public static final int X86_INS_VPSHLB = 1127;
-	public static final int X86_INS_VPSHLD = 1128;
-	public static final int X86_INS_VPSHLQ = 1129;
-	public static final int X86_INS_VPSHLW = 1130;
-	public static final int X86_INS_VPSHUFB = 1131;
-	public static final int X86_INS_VPSHUFD = 1132;
-	public static final int X86_INS_VPSHUFHW = 1133;
-	public static final int X86_INS_VPSHUFLW = 1134;
-	public static final int X86_INS_VPSIGNB = 1135;
-	public static final int X86_INS_VPSIGND = 1136;
-	public static final int X86_INS_VPSIGNW = 1137;
-	public static final int X86_INS_VPSLLDQ = 1138;
-	public static final int X86_INS_VPSLLD = 1139;
-	public static final int X86_INS_VPSLLQ = 1140;
-	public static final int X86_INS_VPSLLVD = 1141;
-	public static final int X86_INS_VPSLLVQ = 1142;
-	public static final int X86_INS_VPSLLW = 1143;
-	public static final int X86_INS_VPSRAD = 1144;
-	public static final int X86_INS_VPSRAQ = 1145;
-	public static final int X86_INS_VPSRAVD = 1146;
-	public static final int X86_INS_VPSRAVQ = 1147;
-	public static final int X86_INS_VPSRAW = 1148;
-	public static final int X86_INS_VPSRLDQ = 1149;
-	public static final int X86_INS_VPSRLD = 1150;
-	public static final int X86_INS_VPSRLQ = 1151;
-	public static final int X86_INS_VPSRLVD = 1152;
-	public static final int X86_INS_VPSRLVQ = 1153;
-	public static final int X86_INS_VPSRLW = 1154;
-	public static final int X86_INS_VPSUBB = 1155;
-	public static final int X86_INS_VPSUBD = 1156;
-	public static final int X86_INS_VPSUBQ = 1157;
-	public static final int X86_INS_VPSUBSB = 1158;
-	public static final int X86_INS_VPSUBSW = 1159;
-	public static final int X86_INS_VPSUBUSB = 1160;
-	public static final int X86_INS_VPSUBUSW = 1161;
-	public static final int X86_INS_VPSUBW = 1162;
-	public static final int X86_INS_VPTESTMD = 1163;
-	public static final int X86_INS_VPTESTMQ = 1164;
-	public static final int X86_INS_VPTESTNMD = 1165;
-	public static final int X86_INS_VPTESTNMQ = 1166;
-	public static final int X86_INS_VPTEST = 1167;
-	public static final int X86_INS_VPUNPCKHBW = 1168;
-	public static final int X86_INS_VPUNPCKHDQ = 1169;
-	public static final int X86_INS_VPUNPCKHQDQ = 1170;
-	public static final int X86_INS_VPUNPCKHWD = 1171;
-	public static final int X86_INS_VPUNPCKLBW = 1172;
-	public static final int X86_INS_VPUNPCKLDQ = 1173;
-	public static final int X86_INS_VPUNPCKLQDQ = 1174;
-	public static final int X86_INS_VPUNPCKLWD = 1175;
-	public static final int X86_INS_VPXORD = 1176;
-	public static final int X86_INS_VPXORQ = 1177;
-	public static final int X86_INS_VPXOR = 1178;
-	public static final int X86_INS_VRCP14PD = 1179;
-	public static final int X86_INS_VRCP14PS = 1180;
-	public static final int X86_INS_VRCP14SD = 1181;
-	public static final int X86_INS_VRCP14SS = 1182;
-	public static final int X86_INS_VRCP28PD = 1183;
-	public static final int X86_INS_VRCP28PS = 1184;
-	public static final int X86_INS_VRCP28SD = 1185;
-	public static final int X86_INS_VRCP28SS = 1186;
-	public static final int X86_INS_VRCPPS = 1187;
-	public static final int X86_INS_VRCPSS = 1188;
-	public static final int X86_INS_VRNDSCALEPD = 1189;
-	public static final int X86_INS_VRNDSCALEPS = 1190;
-	public static final int X86_INS_VRNDSCALESD = 1191;
-	public static final int X86_INS_VRNDSCALESS = 1192;
-	public static final int X86_INS_VROUNDPD = 1193;
-	public static final int X86_INS_VROUNDPS = 1194;
-	public static final int X86_INS_VROUNDSD = 1195;
-	public static final int X86_INS_VROUNDSS = 1196;
-	public static final int X86_INS_VRSQRT14PD = 1197;
-	public static final int X86_INS_VRSQRT14PS = 1198;
-	public static final int X86_INS_VRSQRT14SD = 1199;
-	public static final int X86_INS_VRSQRT14SS = 1200;
-	public static final int X86_INS_VRSQRT28PD = 1201;
-	public static final int X86_INS_VRSQRT28PS = 1202;
-	public static final int X86_INS_VRSQRT28SD = 1203;
-	public static final int X86_INS_VRSQRT28SS = 1204;
-	public static final int X86_INS_VRSQRTPS = 1205;
-	public static final int X86_INS_VRSQRTSS = 1206;
-	public static final int X86_INS_VSCATTERDPD = 1207;
-	public static final int X86_INS_VSCATTERDPS = 1208;
-	public static final int X86_INS_VSCATTERQPD = 1209;
-	public static final int X86_INS_VSCATTERQPS = 1210;
-	public static final int X86_INS_VSHUFPD = 1211;
-	public static final int X86_INS_VSHUFPS = 1212;
-	public static final int X86_INS_VSQRTPD = 1213;
-	public static final int X86_INS_VSQRTPS = 1214;
-	public static final int X86_INS_VSQRTSD = 1215;
-	public static final int X86_INS_VSQRTSS = 1216;
-	public static final int X86_INS_VSTMXCSR = 1217;
-	public static final int X86_INS_VSUBPD = 1218;
-	public static final int X86_INS_VSUBPS = 1219;
-	public static final int X86_INS_VSUBSD = 1220;
-	public static final int X86_INS_VSUBSS = 1221;
-	public static final int X86_INS_VTESTPD = 1222;
-	public static final int X86_INS_VTESTPS = 1223;
-	public static final int X86_INS_VUNPCKHPD = 1224;
-	public static final int X86_INS_VUNPCKHPS = 1225;
-	public static final int X86_INS_VUNPCKLPD = 1226;
-	public static final int X86_INS_VUNPCKLPS = 1227;
-	public static final int X86_INS_VZEROALL = 1228;
-	public static final int X86_INS_VZEROUPPER = 1229;
-	public static final int X86_INS_WAIT = 1230;
-	public static final int X86_INS_WBINVD = 1231;
-	public static final int X86_INS_WRFSBASE = 1232;
-	public static final int X86_INS_WRGSBASE = 1233;
-	public static final int X86_INS_WRMSR = 1234;
-	public static final int X86_INS_XABORT = 1235;
-	public static final int X86_INS_XACQUIRE = 1236;
-	public static final int X86_INS_XBEGIN = 1237;
-	public static final int X86_INS_XCHG = 1238;
-	public static final int X86_INS_FXCH = 1239;
-	public static final int X86_INS_XCRYPTCBC = 1240;
-	public static final int X86_INS_XCRYPTCFB = 1241;
-	public static final int X86_INS_XCRYPTCTR = 1242;
-	public static final int X86_INS_XCRYPTECB = 1243;
-	public static final int X86_INS_XCRYPTOFB = 1244;
-	public static final int X86_INS_XEND = 1245;
-	public static final int X86_INS_XGETBV = 1246;
-	public static final int X86_INS_XLATB = 1247;
-	public static final int X86_INS_XRELEASE = 1248;
-	public static final int X86_INS_XRSTOR = 1249;
-	public static final int X86_INS_XRSTOR64 = 1250;
-	public static final int X86_INS_XSAVE = 1251;
-	public static final int X86_INS_XSAVE64 = 1252;
-	public static final int X86_INS_XSAVEOPT = 1253;
-	public static final int X86_INS_XSAVEOPT64 = 1254;
-	public static final int X86_INS_XSETBV = 1255;
-	public static final int X86_INS_XSHA1 = 1256;
-	public static final int X86_INS_XSHA256 = 1257;
-	public static final int X86_INS_XSTORE = 1258;
-	public static final int X86_INS_XTEST = 1259;
-	public static final int X86_INS_MAX = 1260;
+	public static final int X86_INS_INSB = 222;
+	public static final int X86_INS_INSERTPS = 223;
+	public static final int X86_INS_INSERTQ = 224;
+	public static final int X86_INS_INSD = 225;
+	public static final int X86_INS_INSW = 226;
+	public static final int X86_INS_INT = 227;
+	public static final int X86_INS_INT1 = 228;
+	public static final int X86_INS_INT3 = 229;
+	public static final int X86_INS_INTO = 230;
+	public static final int X86_INS_INVD = 231;
+	public static final int X86_INS_INVEPT = 232;
+	public static final int X86_INS_INVLPG = 233;
+	public static final int X86_INS_INVLPGA = 234;
+	public static final int X86_INS_INVPCID = 235;
+	public static final int X86_INS_INVVPID = 236;
+	public static final int X86_INS_IRET = 237;
+	public static final int X86_INS_IRETD = 238;
+	public static final int X86_INS_IRETQ = 239;
+	public static final int X86_INS_FISTTP = 240;
+	public static final int X86_INS_FIST = 241;
+	public static final int X86_INS_FISTP = 242;
+	public static final int X86_INS_UCOMISD = 243;
+	public static final int X86_INS_UCOMISS = 244;
+	public static final int X86_INS_VCMP = 245;
+	public static final int X86_INS_VCOMISD = 246;
+	public static final int X86_INS_VCOMISS = 247;
+	public static final int X86_INS_VCVTSD2SS = 248;
+	public static final int X86_INS_VCVTSI2SD = 249;
+	public static final int X86_INS_VCVTSI2SS = 250;
+	public static final int X86_INS_VCVTSS2SD = 251;
+	public static final int X86_INS_VCVTTSD2SI = 252;
+	public static final int X86_INS_VCVTTSD2USI = 253;
+	public static final int X86_INS_VCVTTSS2SI = 254;
+	public static final int X86_INS_VCVTTSS2USI = 255;
+	public static final int X86_INS_VCVTUSI2SD = 256;
+	public static final int X86_INS_VCVTUSI2SS = 257;
+	public static final int X86_INS_VUCOMISD = 258;
+	public static final int X86_INS_VUCOMISS = 259;
+	public static final int X86_INS_JAE = 260;
+	public static final int X86_INS_JA = 261;
+	public static final int X86_INS_JBE = 262;
+	public static final int X86_INS_JB = 263;
+	public static final int X86_INS_JCXZ = 264;
+	public static final int X86_INS_JECXZ = 265;
+	public static final int X86_INS_JE = 266;
+	public static final int X86_INS_JGE = 267;
+	public static final int X86_INS_JG = 268;
+	public static final int X86_INS_JLE = 269;
+	public static final int X86_INS_JL = 270;
+	public static final int X86_INS_JMP = 271;
+	public static final int X86_INS_JNE = 272;
+	public static final int X86_INS_JNO = 273;
+	public static final int X86_INS_JNP = 274;
+	public static final int X86_INS_JNS = 275;
+	public static final int X86_INS_JO = 276;
+	public static final int X86_INS_JP = 277;
+	public static final int X86_INS_JRCXZ = 278;
+	public static final int X86_INS_JS = 279;
+	public static final int X86_INS_KANDB = 280;
+	public static final int X86_INS_KANDD = 281;
+	public static final int X86_INS_KANDNB = 282;
+	public static final int X86_INS_KANDND = 283;
+	public static final int X86_INS_KANDNQ = 284;
+	public static final int X86_INS_KANDNW = 285;
+	public static final int X86_INS_KANDQ = 286;
+	public static final int X86_INS_KANDW = 287;
+	public static final int X86_INS_KMOVB = 288;
+	public static final int X86_INS_KMOVD = 289;
+	public static final int X86_INS_KMOVQ = 290;
+	public static final int X86_INS_KMOVW = 291;
+	public static final int X86_INS_KNOTB = 292;
+	public static final int X86_INS_KNOTD = 293;
+	public static final int X86_INS_KNOTQ = 294;
+	public static final int X86_INS_KNOTW = 295;
+	public static final int X86_INS_KORB = 296;
+	public static final int X86_INS_KORD = 297;
+	public static final int X86_INS_KORQ = 298;
+	public static final int X86_INS_KORTESTW = 299;
+	public static final int X86_INS_KORW = 300;
+	public static final int X86_INS_KSHIFTLW = 301;
+	public static final int X86_INS_KSHIFTRW = 302;
+	public static final int X86_INS_KUNPCKBW = 303;
+	public static final int X86_INS_KXNORB = 304;
+	public static final int X86_INS_KXNORD = 305;
+	public static final int X86_INS_KXNORQ = 306;
+	public static final int X86_INS_KXNORW = 307;
+	public static final int X86_INS_KXORB = 308;
+	public static final int X86_INS_KXORD = 309;
+	public static final int X86_INS_KXORQ = 310;
+	public static final int X86_INS_KXORW = 311;
+	public static final int X86_INS_LAHF = 312;
+	public static final int X86_INS_LAR = 313;
+	public static final int X86_INS_LDDQU = 314;
+	public static final int X86_INS_LDMXCSR = 315;
+	public static final int X86_INS_LDS = 316;
+	public static final int X86_INS_FLDZ = 317;
+	public static final int X86_INS_FLD1 = 318;
+	public static final int X86_INS_FLD = 319;
+	public static final int X86_INS_LEA = 320;
+	public static final int X86_INS_LEAVE = 321;
+	public static final int X86_INS_LES = 322;
+	public static final int X86_INS_LFENCE = 323;
+	public static final int X86_INS_LFS = 324;
+	public static final int X86_INS_LGDT = 325;
+	public static final int X86_INS_LGS = 326;
+	public static final int X86_INS_LIDT = 327;
+	public static final int X86_INS_LLDT = 328;
+	public static final int X86_INS_LMSW = 329;
+	public static final int X86_INS_OR = 330;
+	public static final int X86_INS_LOCK = 331;
+	public static final int X86_INS_SUB = 332;
+	public static final int X86_INS_XOR = 333;
+	public static final int X86_INS_LODSB = 334;
+	public static final int X86_INS_LODSD = 335;
+	public static final int X86_INS_LODSQ = 336;
+	public static final int X86_INS_LODSW = 337;
+	public static final int X86_INS_LOOP = 338;
+	public static final int X86_INS_LOOPE = 339;
+	public static final int X86_INS_LOOPNE = 340;
+	public static final int X86_INS_RETF = 341;
+	public static final int X86_INS_RETFQ = 342;
+	public static final int X86_INS_LSL = 343;
+	public static final int X86_INS_LSS = 344;
+	public static final int X86_INS_LTR = 345;
+	public static final int X86_INS_XADD = 346;
+	public static final int X86_INS_LZCNT = 347;
+	public static final int X86_INS_MASKMOVDQU = 348;
+	public static final int X86_INS_MAXPD = 349;
+	public static final int X86_INS_MAXPS = 350;
+	public static final int X86_INS_MAXSD = 351;
+	public static final int X86_INS_MAXSS = 352;
+	public static final int X86_INS_MFENCE = 353;
+	public static final int X86_INS_MINPD = 354;
+	public static final int X86_INS_MINPS = 355;
+	public static final int X86_INS_MINSD = 356;
+	public static final int X86_INS_MINSS = 357;
+	public static final int X86_INS_CVTPD2PI = 358;
+	public static final int X86_INS_CVTPI2PD = 359;
+	public static final int X86_INS_CVTPI2PS = 360;
+	public static final int X86_INS_CVTPS2PI = 361;
+	public static final int X86_INS_CVTTPD2PI = 362;
+	public static final int X86_INS_CVTTPS2PI = 363;
+	public static final int X86_INS_EMMS = 364;
+	public static final int X86_INS_MASKMOVQ = 365;
+	public static final int X86_INS_MOVD = 366;
+	public static final int X86_INS_MOVDQ2Q = 367;
+	public static final int X86_INS_MOVNTQ = 368;
+	public static final int X86_INS_MOVQ2DQ = 369;
+	public static final int X86_INS_MOVQ = 370;
+	public static final int X86_INS_PABSB = 371;
+	public static final int X86_INS_PABSD = 372;
+	public static final int X86_INS_PABSW = 373;
+	public static final int X86_INS_PACKSSDW = 374;
+	public static final int X86_INS_PACKSSWB = 375;
+	public static final int X86_INS_PACKUSWB = 376;
+	public static final int X86_INS_PADDB = 377;
+	public static final int X86_INS_PADDD = 378;
+	public static final int X86_INS_PADDQ = 379;
+	public static final int X86_INS_PADDSB = 380;
+	public static final int X86_INS_PADDSW = 381;
+	public static final int X86_INS_PADDUSB = 382;
+	public static final int X86_INS_PADDUSW = 383;
+	public static final int X86_INS_PADDW = 384;
+	public static final int X86_INS_PALIGNR = 385;
+	public static final int X86_INS_PANDN = 386;
+	public static final int X86_INS_PAND = 387;
+	public static final int X86_INS_PAVGB = 388;
+	public static final int X86_INS_PAVGW = 389;
+	public static final int X86_INS_PCMPEQB = 390;
+	public static final int X86_INS_PCMPEQD = 391;
+	public static final int X86_INS_PCMPEQW = 392;
+	public static final int X86_INS_PCMPGTB = 393;
+	public static final int X86_INS_PCMPGTD = 394;
+	public static final int X86_INS_PCMPGTW = 395;
+	public static final int X86_INS_PEXTRW = 396;
+	public static final int X86_INS_PHADDSW = 397;
+	public static final int X86_INS_PHADDW = 398;
+	public static final int X86_INS_PHADDD = 399;
+	public static final int X86_INS_PHSUBD = 400;
+	public static final int X86_INS_PHSUBSW = 401;
+	public static final int X86_INS_PHSUBW = 402;
+	public static final int X86_INS_PINSRW = 403;
+	public static final int X86_INS_PMADDUBSW = 404;
+	public static final int X86_INS_PMADDWD = 405;
+	public static final int X86_INS_PMAXSW = 406;
+	public static final int X86_INS_PMAXUB = 407;
+	public static final int X86_INS_PMINSW = 408;
+	public static final int X86_INS_PMINUB = 409;
+	public static final int X86_INS_PMOVMSKB = 410;
+	public static final int X86_INS_PMULHRSW = 411;
+	public static final int X86_INS_PMULHUW = 412;
+	public static final int X86_INS_PMULHW = 413;
+	public static final int X86_INS_PMULLW = 414;
+	public static final int X86_INS_PMULUDQ = 415;
+	public static final int X86_INS_POR = 416;
+	public static final int X86_INS_PSADBW = 417;
+	public static final int X86_INS_PSHUFB = 418;
+	public static final int X86_INS_PSHUFW = 419;
+	public static final int X86_INS_PSIGNB = 420;
+	public static final int X86_INS_PSIGND = 421;
+	public static final int X86_INS_PSIGNW = 422;
+	public static final int X86_INS_PSLLD = 423;
+	public static final int X86_INS_PSLLQ = 424;
+	public static final int X86_INS_PSLLW = 425;
+	public static final int X86_INS_PSRAD = 426;
+	public static final int X86_INS_PSRAW = 427;
+	public static final int X86_INS_PSRLD = 428;
+	public static final int X86_INS_PSRLQ = 429;
+	public static final int X86_INS_PSRLW = 430;
+	public static final int X86_INS_PSUBB = 431;
+	public static final int X86_INS_PSUBD = 432;
+	public static final int X86_INS_PSUBQ = 433;
+	public static final int X86_INS_PSUBSB = 434;
+	public static final int X86_INS_PSUBSW = 435;
+	public static final int X86_INS_PSUBUSB = 436;
+	public static final int X86_INS_PSUBUSW = 437;
+	public static final int X86_INS_PSUBW = 438;
+	public static final int X86_INS_PUNPCKHBW = 439;
+	public static final int X86_INS_PUNPCKHDQ = 440;
+	public static final int X86_INS_PUNPCKHWD = 441;
+	public static final int X86_INS_PUNPCKLBW = 442;
+	public static final int X86_INS_PUNPCKLDQ = 443;
+	public static final int X86_INS_PUNPCKLWD = 444;
+	public static final int X86_INS_PXOR = 445;
+	public static final int X86_INS_MONITOR = 446;
+	public static final int X86_INS_MONTMUL = 447;
+	public static final int X86_INS_MOV = 448;
+	public static final int X86_INS_MOVABS = 449;
+	public static final int X86_INS_MOVBE = 450;
+	public static final int X86_INS_MOVDDUP = 451;
+	public static final int X86_INS_MOVDQA = 452;
+	public static final int X86_INS_MOVDQU = 453;
+	public static final int X86_INS_MOVHLPS = 454;
+	public static final int X86_INS_MOVHPD = 455;
+	public static final int X86_INS_MOVHPS = 456;
+	public static final int X86_INS_MOVLHPS = 457;
+	public static final int X86_INS_MOVLPD = 458;
+	public static final int X86_INS_MOVLPS = 459;
+	public static final int X86_INS_MOVMSKPD = 460;
+	public static final int X86_INS_MOVMSKPS = 461;
+	public static final int X86_INS_MOVNTDQA = 462;
+	public static final int X86_INS_MOVNTDQ = 463;
+	public static final int X86_INS_MOVNTI = 464;
+	public static final int X86_INS_MOVNTPD = 465;
+	public static final int X86_INS_MOVNTPS = 466;
+	public static final int X86_INS_MOVNTSD = 467;
+	public static final int X86_INS_MOVNTSS = 468;
+	public static final int X86_INS_MOVSB = 469;
+	public static final int X86_INS_MOVSD = 470;
+	public static final int X86_INS_MOVSHDUP = 471;
+	public static final int X86_INS_MOVSLDUP = 472;
+	public static final int X86_INS_MOVSQ = 473;
+	public static final int X86_INS_MOVSS = 474;
+	public static final int X86_INS_MOVSW = 475;
+	public static final int X86_INS_MOVSX = 476;
+	public static final int X86_INS_MOVSXD = 477;
+	public static final int X86_INS_MOVUPD = 478;
+	public static final int X86_INS_MOVUPS = 479;
+	public static final int X86_INS_MOVZX = 480;
+	public static final int X86_INS_MPSADBW = 481;
+	public static final int X86_INS_MUL = 482;
+	public static final int X86_INS_MULPD = 483;
+	public static final int X86_INS_MULPS = 484;
+	public static final int X86_INS_MULSD = 485;
+	public static final int X86_INS_MULSS = 486;
+	public static final int X86_INS_MULX = 487;
+	public static final int X86_INS_FMUL = 488;
+	public static final int X86_INS_FIMUL = 489;
+	public static final int X86_INS_FMULP = 490;
+	public static final int X86_INS_MWAIT = 491;
+	public static final int X86_INS_NEG = 492;
+	public static final int X86_INS_NOP = 493;
+	public static final int X86_INS_NOT = 494;
+	public static final int X86_INS_OUT = 495;
+	public static final int X86_INS_OUTSB = 496;
+	public static final int X86_INS_OUTSD = 497;
+	public static final int X86_INS_OUTSW = 498;
+	public static final int X86_INS_PACKUSDW = 499;
+	public static final int X86_INS_PAUSE = 500;
+	public static final int X86_INS_PAVGUSB = 501;
+	public static final int X86_INS_PBLENDVB = 502;
+	public static final int X86_INS_PBLENDW = 503;
+	public static final int X86_INS_PCLMULQDQ = 504;
+	public static final int X86_INS_PCMPEQQ = 505;
+	public static final int X86_INS_PCMPESTRI = 506;
+	public static final int X86_INS_PCMPESTRM = 507;
+	public static final int X86_INS_PCMPGTQ = 508;
+	public static final int X86_INS_PCMPISTRI = 509;
+	public static final int X86_INS_PCMPISTRM = 510;
+	public static final int X86_INS_PDEP = 511;
+	public static final int X86_INS_PEXT = 512;
+	public static final int X86_INS_PEXTRB = 513;
+	public static final int X86_INS_PEXTRD = 514;
+	public static final int X86_INS_PEXTRQ = 515;
+	public static final int X86_INS_PF2ID = 516;
+	public static final int X86_INS_PF2IW = 517;
+	public static final int X86_INS_PFACC = 518;
+	public static final int X86_INS_PFADD = 519;
+	public static final int X86_INS_PFCMPEQ = 520;
+	public static final int X86_INS_PFCMPGE = 521;
+	public static final int X86_INS_PFCMPGT = 522;
+	public static final int X86_INS_PFMAX = 523;
+	public static final int X86_INS_PFMIN = 524;
+	public static final int X86_INS_PFMUL = 525;
+	public static final int X86_INS_PFNACC = 526;
+	public static final int X86_INS_PFPNACC = 527;
+	public static final int X86_INS_PFRCPIT1 = 528;
+	public static final int X86_INS_PFRCPIT2 = 529;
+	public static final int X86_INS_PFRCP = 530;
+	public static final int X86_INS_PFRSQIT1 = 531;
+	public static final int X86_INS_PFRSQRT = 532;
+	public static final int X86_INS_PFSUBR = 533;
+	public static final int X86_INS_PFSUB = 534;
+	public static final int X86_INS_PHMINPOSUW = 535;
+	public static final int X86_INS_PI2FD = 536;
+	public static final int X86_INS_PI2FW = 537;
+	public static final int X86_INS_PINSRB = 538;
+	public static final int X86_INS_PINSRD = 539;
+	public static final int X86_INS_PINSRQ = 540;
+	public static final int X86_INS_PMAXSB = 541;
+	public static final int X86_INS_PMAXSD = 542;
+	public static final int X86_INS_PMAXUD = 543;
+	public static final int X86_INS_PMAXUW = 544;
+	public static final int X86_INS_PMINSB = 545;
+	public static final int X86_INS_PMINSD = 546;
+	public static final int X86_INS_PMINUD = 547;
+	public static final int X86_INS_PMINUW = 548;
+	public static final int X86_INS_PMOVSXBD = 549;
+	public static final int X86_INS_PMOVSXBQ = 550;
+	public static final int X86_INS_PMOVSXBW = 551;
+	public static final int X86_INS_PMOVSXDQ = 552;
+	public static final int X86_INS_PMOVSXWD = 553;
+	public static final int X86_INS_PMOVSXWQ = 554;
+	public static final int X86_INS_PMOVZXBD = 555;
+	public static final int X86_INS_PMOVZXBQ = 556;
+	public static final int X86_INS_PMOVZXBW = 557;
+	public static final int X86_INS_PMOVZXDQ = 558;
+	public static final int X86_INS_PMOVZXWD = 559;
+	public static final int X86_INS_PMOVZXWQ = 560;
+	public static final int X86_INS_PMULDQ = 561;
+	public static final int X86_INS_PMULHRW = 562;
+	public static final int X86_INS_PMULLD = 563;
+	public static final int X86_INS_POP = 564;
+	public static final int X86_INS_POPAW = 565;
+	public static final int X86_INS_POPAL = 566;
+	public static final int X86_INS_POPCNT = 567;
+	public static final int X86_INS_POPF = 568;
+	public static final int X86_INS_POPFD = 569;
+	public static final int X86_INS_POPFQ = 570;
+	public static final int X86_INS_PREFETCH = 571;
+	public static final int X86_INS_PREFETCHNTA = 572;
+	public static final int X86_INS_PREFETCHT0 = 573;
+	public static final int X86_INS_PREFETCHT1 = 574;
+	public static final int X86_INS_PREFETCHT2 = 575;
+	public static final int X86_INS_PREFETCHW = 576;
+	public static final int X86_INS_PSHUFD = 577;
+	public static final int X86_INS_PSHUFHW = 578;
+	public static final int X86_INS_PSHUFLW = 579;
+	public static final int X86_INS_PSLLDQ = 580;
+	public static final int X86_INS_PSRLDQ = 581;
+	public static final int X86_INS_PSWAPD = 582;
+	public static final int X86_INS_PTEST = 583;
+	public static final int X86_INS_PUNPCKHQDQ = 584;
+	public static final int X86_INS_PUNPCKLQDQ = 585;
+	public static final int X86_INS_PUSH = 586;
+	public static final int X86_INS_PUSHAW = 587;
+	public static final int X86_INS_PUSHAL = 588;
+	public static final int X86_INS_PUSHF = 589;
+	public static final int X86_INS_PUSHFD = 590;
+	public static final int X86_INS_PUSHFQ = 591;
+	public static final int X86_INS_RCL = 592;
+	public static final int X86_INS_RCPPS = 593;
+	public static final int X86_INS_RCPSS = 594;
+	public static final int X86_INS_RCR = 595;
+	public static final int X86_INS_RDFSBASE = 596;
+	public static final int X86_INS_RDGSBASE = 597;
+	public static final int X86_INS_RDMSR = 598;
+	public static final int X86_INS_RDPMC = 599;
+	public static final int X86_INS_RDRAND = 600;
+	public static final int X86_INS_RDSEED = 601;
+	public static final int X86_INS_RDTSC = 602;
+	public static final int X86_INS_RDTSCP = 603;
+	public static final int X86_INS_REPNE = 604;
+	public static final int X86_INS_REP = 605;
+	public static final int X86_INS_ROL = 606;
+	public static final int X86_INS_ROR = 607;
+	public static final int X86_INS_RORX = 608;
+	public static final int X86_INS_ROUNDPD = 609;
+	public static final int X86_INS_ROUNDPS = 610;
+	public static final int X86_INS_ROUNDSD = 611;
+	public static final int X86_INS_ROUNDSS = 612;
+	public static final int X86_INS_RSM = 613;
+	public static final int X86_INS_RSQRTPS = 614;
+	public static final int X86_INS_RSQRTSS = 615;
+	public static final int X86_INS_SAHF = 616;
+	public static final int X86_INS_SAL = 617;
+	public static final int X86_INS_SALC = 618;
+	public static final int X86_INS_SAR = 619;
+	public static final int X86_INS_SARX = 620;
+	public static final int X86_INS_SBB = 621;
+	public static final int X86_INS_SCASB = 622;
+	public static final int X86_INS_SCASD = 623;
+	public static final int X86_INS_SCASQ = 624;
+	public static final int X86_INS_SCASW = 625;
+	public static final int X86_INS_SETAE = 626;
+	public static final int X86_INS_SETA = 627;
+	public static final int X86_INS_SETBE = 628;
+	public static final int X86_INS_SETB = 629;
+	public static final int X86_INS_SETE = 630;
+	public static final int X86_INS_SETGE = 631;
+	public static final int X86_INS_SETG = 632;
+	public static final int X86_INS_SETLE = 633;
+	public static final int X86_INS_SETL = 634;
+	public static final int X86_INS_SETNE = 635;
+	public static final int X86_INS_SETNO = 636;
+	public static final int X86_INS_SETNP = 637;
+	public static final int X86_INS_SETNS = 638;
+	public static final int X86_INS_SETO = 639;
+	public static final int X86_INS_SETP = 640;
+	public static final int X86_INS_SETS = 641;
+	public static final int X86_INS_SFENCE = 642;
+	public static final int X86_INS_SGDT = 643;
+	public static final int X86_INS_SHA1MSG1 = 644;
+	public static final int X86_INS_SHA1MSG2 = 645;
+	public static final int X86_INS_SHA1NEXTE = 646;
+	public static final int X86_INS_SHA1RNDS4 = 647;
+	public static final int X86_INS_SHA256MSG1 = 648;
+	public static final int X86_INS_SHA256MSG2 = 649;
+	public static final int X86_INS_SHA256RNDS2 = 650;
+	public static final int X86_INS_SHL = 651;
+	public static final int X86_INS_SHLD = 652;
+	public static final int X86_INS_SHLX = 653;
+	public static final int X86_INS_SHR = 654;
+	public static final int X86_INS_SHRD = 655;
+	public static final int X86_INS_SHRX = 656;
+	public static final int X86_INS_SHUFPD = 657;
+	public static final int X86_INS_SHUFPS = 658;
+	public static final int X86_INS_SIDT = 659;
+	public static final int X86_INS_FSIN = 660;
+	public static final int X86_INS_SKINIT = 661;
+	public static final int X86_INS_SLDT = 662;
+	public static final int X86_INS_SMSW = 663;
+	public static final int X86_INS_SQRTPD = 664;
+	public static final int X86_INS_SQRTPS = 665;
+	public static final int X86_INS_SQRTSD = 666;
+	public static final int X86_INS_SQRTSS = 667;
+	public static final int X86_INS_FSQRT = 668;
+	public static final int X86_INS_SS = 669;
+	public static final int X86_INS_STAC = 670;
+	public static final int X86_INS_STC = 671;
+	public static final int X86_INS_STD = 672;
+	public static final int X86_INS_STGI = 673;
+	public static final int X86_INS_STI = 674;
+	public static final int X86_INS_STMXCSR = 675;
+	public static final int X86_INS_STOSB = 676;
+	public static final int X86_INS_STOSD = 677;
+	public static final int X86_INS_STOSQ = 678;
+	public static final int X86_INS_STOSW = 679;
+	public static final int X86_INS_STR = 680;
+	public static final int X86_INS_FST = 681;
+	public static final int X86_INS_FSTP = 682;
+	public static final int X86_INS_FSTPNCE = 683;
+	public static final int X86_INS_SUBPD = 684;
+	public static final int X86_INS_SUBPS = 685;
+	public static final int X86_INS_FSUBR = 686;
+	public static final int X86_INS_FISUBR = 687;
+	public static final int X86_INS_FSUBRP = 688;
+	public static final int X86_INS_SUBSD = 689;
+	public static final int X86_INS_SUBSS = 690;
+	public static final int X86_INS_FSUB = 691;
+	public static final int X86_INS_FISUB = 692;
+	public static final int X86_INS_FSUBP = 693;
+	public static final int X86_INS_SWAPGS = 694;
+	public static final int X86_INS_SYSCALL = 695;
+	public static final int X86_INS_SYSENTER = 696;
+	public static final int X86_INS_SYSEXIT = 697;
+	public static final int X86_INS_SYSRET = 698;
+	public static final int X86_INS_T1MSKC = 699;
+	public static final int X86_INS_TEST = 700;
+	public static final int X86_INS_UD2 = 701;
+	public static final int X86_INS_FTST = 702;
+	public static final int X86_INS_TZCNT = 703;
+	public static final int X86_INS_TZMSK = 704;
+	public static final int X86_INS_FUCOMPI = 705;
+	public static final int X86_INS_FUCOMI = 706;
+	public static final int X86_INS_FUCOMPP = 707;
+	public static final int X86_INS_FUCOMP = 708;
+	public static final int X86_INS_FUCOM = 709;
+	public static final int X86_INS_UD2B = 710;
+	public static final int X86_INS_UNPCKHPD = 711;
+	public static final int X86_INS_UNPCKHPS = 712;
+	public static final int X86_INS_UNPCKLPD = 713;
+	public static final int X86_INS_UNPCKLPS = 714;
+	public static final int X86_INS_VADDPD = 715;
+	public static final int X86_INS_VADDPS = 716;
+	public static final int X86_INS_VADDSD = 717;
+	public static final int X86_INS_VADDSS = 718;
+	public static final int X86_INS_VADDSUBPD = 719;
+	public static final int X86_INS_VADDSUBPS = 720;
+	public static final int X86_INS_VAESDECLAST = 721;
+	public static final int X86_INS_VAESDEC = 722;
+	public static final int X86_INS_VAESENCLAST = 723;
+	public static final int X86_INS_VAESENC = 724;
+	public static final int X86_INS_VAESIMC = 725;
+	public static final int X86_INS_VAESKEYGENASSIST = 726;
+	public static final int X86_INS_VALIGND = 727;
+	public static final int X86_INS_VALIGNQ = 728;
+	public static final int X86_INS_VANDNPD = 729;
+	public static final int X86_INS_VANDNPS = 730;
+	public static final int X86_INS_VANDPD = 731;
+	public static final int X86_INS_VANDPS = 732;
+	public static final int X86_INS_VBLENDMPD = 733;
+	public static final int X86_INS_VBLENDMPS = 734;
+	public static final int X86_INS_VBLENDPD = 735;
+	public static final int X86_INS_VBLENDPS = 736;
+	public static final int X86_INS_VBLENDVPD = 737;
+	public static final int X86_INS_VBLENDVPS = 738;
+	public static final int X86_INS_VBROADCASTF128 = 739;
+	public static final int X86_INS_VBROADCASTI128 = 740;
+	public static final int X86_INS_VBROADCASTI32X4 = 741;
+	public static final int X86_INS_VBROADCASTI64X4 = 742;
+	public static final int X86_INS_VBROADCASTSD = 743;
+	public static final int X86_INS_VBROADCASTSS = 744;
+	public static final int X86_INS_VCMPPD = 745;
+	public static final int X86_INS_VCMPPS = 746;
+	public static final int X86_INS_VCMPSD = 747;
+	public static final int X86_INS_VCMPSS = 748;
+	public static final int X86_INS_VCVTDQ2PD = 749;
+	public static final int X86_INS_VCVTDQ2PS = 750;
+	public static final int X86_INS_VCVTPD2DQX = 751;
+	public static final int X86_INS_VCVTPD2DQ = 752;
+	public static final int X86_INS_VCVTPD2PSX = 753;
+	public static final int X86_INS_VCVTPD2PS = 754;
+	public static final int X86_INS_VCVTPD2UDQ = 755;
+	public static final int X86_INS_VCVTPH2PS = 756;
+	public static final int X86_INS_VCVTPS2DQ = 757;
+	public static final int X86_INS_VCVTPS2PD = 758;
+	public static final int X86_INS_VCVTPS2PH = 759;
+	public static final int X86_INS_VCVTPS2UDQ = 760;
+	public static final int X86_INS_VCVTSD2SI = 761;
+	public static final int X86_INS_VCVTSD2USI = 762;
+	public static final int X86_INS_VCVTSS2SI = 763;
+	public static final int X86_INS_VCVTSS2USI = 764;
+	public static final int X86_INS_VCVTTPD2DQX = 765;
+	public static final int X86_INS_VCVTTPD2DQ = 766;
+	public static final int X86_INS_VCVTTPD2UDQ = 767;
+	public static final int X86_INS_VCVTTPS2DQ = 768;
+	public static final int X86_INS_VCVTTPS2UDQ = 769;
+	public static final int X86_INS_VCVTUDQ2PD = 770;
+	public static final int X86_INS_VCVTUDQ2PS = 771;
+	public static final int X86_INS_VDIVPD = 772;
+	public static final int X86_INS_VDIVPS = 773;
+	public static final int X86_INS_VDIVSD = 774;
+	public static final int X86_INS_VDIVSS = 775;
+	public static final int X86_INS_VDPPD = 776;
+	public static final int X86_INS_VDPPS = 777;
+	public static final int X86_INS_VERR = 778;
+	public static final int X86_INS_VERW = 779;
+	public static final int X86_INS_VEXTRACTF128 = 780;
+	public static final int X86_INS_VEXTRACTF32X4 = 781;
+	public static final int X86_INS_VEXTRACTF64X4 = 782;
+	public static final int X86_INS_VEXTRACTI128 = 783;
+	public static final int X86_INS_VEXTRACTI32X4 = 784;
+	public static final int X86_INS_VEXTRACTI64X4 = 785;
+	public static final int X86_INS_VEXTRACTPS = 786;
+	public static final int X86_INS_VFMADD132PD = 787;
+	public static final int X86_INS_VFMADD132PS = 788;
+	public static final int X86_INS_VFMADD213PD = 789;
+	public static final int X86_INS_VFMADD213PS = 790;
+	public static final int X86_INS_VFMADDPD = 791;
+	public static final int X86_INS_VFMADD231PD = 792;
+	public static final int X86_INS_VFMADDPS = 793;
+	public static final int X86_INS_VFMADD231PS = 794;
+	public static final int X86_INS_VFMADDSD = 795;
+	public static final int X86_INS_VFMADD213SD = 796;
+	public static final int X86_INS_VFMADD132SD = 797;
+	public static final int X86_INS_VFMADD231SD = 798;
+	public static final int X86_INS_VFMADDSS = 799;
+	public static final int X86_INS_VFMADD213SS = 800;
+	public static final int X86_INS_VFMADD132SS = 801;
+	public static final int X86_INS_VFMADD231SS = 802;
+	public static final int X86_INS_VFMADDSUB132PD = 803;
+	public static final int X86_INS_VFMADDSUB132PS = 804;
+	public static final int X86_INS_VFMADDSUB213PD = 805;
+	public static final int X86_INS_VFMADDSUB213PS = 806;
+	public static final int X86_INS_VFMADDSUBPD = 807;
+	public static final int X86_INS_VFMADDSUB231PD = 808;
+	public static final int X86_INS_VFMADDSUBPS = 809;
+	public static final int X86_INS_VFMADDSUB231PS = 810;
+	public static final int X86_INS_VFMSUB132PD = 811;
+	public static final int X86_INS_VFMSUB132PS = 812;
+	public static final int X86_INS_VFMSUB213PD = 813;
+	public static final int X86_INS_VFMSUB213PS = 814;
+	public static final int X86_INS_VFMSUBADD132PD = 815;
+	public static final int X86_INS_VFMSUBADD132PS = 816;
+	public static final int X86_INS_VFMSUBADD213PD = 817;
+	public static final int X86_INS_VFMSUBADD213PS = 818;
+	public static final int X86_INS_VFMSUBADDPD = 819;
+	public static final int X86_INS_VFMSUBADD231PD = 820;
+	public static final int X86_INS_VFMSUBADDPS = 821;
+	public static final int X86_INS_VFMSUBADD231PS = 822;
+	public static final int X86_INS_VFMSUBPD = 823;
+	public static final int X86_INS_VFMSUB231PD = 824;
+	public static final int X86_INS_VFMSUBPS = 825;
+	public static final int X86_INS_VFMSUB231PS = 826;
+	public static final int X86_INS_VFMSUBSD = 827;
+	public static final int X86_INS_VFMSUB213SD = 828;
+	public static final int X86_INS_VFMSUB132SD = 829;
+	public static final int X86_INS_VFMSUB231SD = 830;
+	public static final int X86_INS_VFMSUBSS = 831;
+	public static final int X86_INS_VFMSUB213SS = 832;
+	public static final int X86_INS_VFMSUB132SS = 833;
+	public static final int X86_INS_VFMSUB231SS = 834;
+	public static final int X86_INS_VFNMADD132PD = 835;
+	public static final int X86_INS_VFNMADD132PS = 836;
+	public static final int X86_INS_VFNMADD213PD = 837;
+	public static final int X86_INS_VFNMADD213PS = 838;
+	public static final int X86_INS_VFNMADDPD = 839;
+	public static final int X86_INS_VFNMADD231PD = 840;
+	public static final int X86_INS_VFNMADDPS = 841;
+	public static final int X86_INS_VFNMADD231PS = 842;
+	public static final int X86_INS_VFNMADDSD = 843;
+	public static final int X86_INS_VFNMADD213SD = 844;
+	public static final int X86_INS_VFNMADD132SD = 845;
+	public static final int X86_INS_VFNMADD231SD = 846;
+	public static final int X86_INS_VFNMADDSS = 847;
+	public static final int X86_INS_VFNMADD213SS = 848;
+	public static final int X86_INS_VFNMADD132SS = 849;
+	public static final int X86_INS_VFNMADD231SS = 850;
+	public static final int X86_INS_VFNMSUB132PD = 851;
+	public static final int X86_INS_VFNMSUB132PS = 852;
+	public static final int X86_INS_VFNMSUB213PD = 853;
+	public static final int X86_INS_VFNMSUB213PS = 854;
+	public static final int X86_INS_VFNMSUBPD = 855;
+	public static final int X86_INS_VFNMSUB231PD = 856;
+	public static final int X86_INS_VFNMSUBPS = 857;
+	public static final int X86_INS_VFNMSUB231PS = 858;
+	public static final int X86_INS_VFNMSUBSD = 859;
+	public static final int X86_INS_VFNMSUB213SD = 860;
+	public static final int X86_INS_VFNMSUB132SD = 861;
+	public static final int X86_INS_VFNMSUB231SD = 862;
+	public static final int X86_INS_VFNMSUBSS = 863;
+	public static final int X86_INS_VFNMSUB213SS = 864;
+	public static final int X86_INS_VFNMSUB132SS = 865;
+	public static final int X86_INS_VFNMSUB231SS = 866;
+	public static final int X86_INS_VFRCZPD = 867;
+	public static final int X86_INS_VFRCZPS = 868;
+	public static final int X86_INS_VFRCZSD = 869;
+	public static final int X86_INS_VFRCZSS = 870;
+	public static final int X86_INS_VORPD = 871;
+	public static final int X86_INS_VORPS = 872;
+	public static final int X86_INS_VXORPD = 873;
+	public static final int X86_INS_VXORPS = 874;
+	public static final int X86_INS_VGATHERDPD = 875;
+	public static final int X86_INS_VGATHERDPS = 876;
+	public static final int X86_INS_VGATHERPF0DPD = 877;
+	public static final int X86_INS_VGATHERPF0DPS = 878;
+	public static final int X86_INS_VGATHERPF0QPD = 879;
+	public static final int X86_INS_VGATHERPF0QPS = 880;
+	public static final int X86_INS_VGATHERPF1DPD = 881;
+	public static final int X86_INS_VGATHERPF1DPS = 882;
+	public static final int X86_INS_VGATHERPF1QPD = 883;
+	public static final int X86_INS_VGATHERPF1QPS = 884;
+	public static final int X86_INS_VGATHERQPD = 885;
+	public static final int X86_INS_VGATHERQPS = 886;
+	public static final int X86_INS_VHADDPD = 887;
+	public static final int X86_INS_VHADDPS = 888;
+	public static final int X86_INS_VHSUBPD = 889;
+	public static final int X86_INS_VHSUBPS = 890;
+	public static final int X86_INS_VINSERTF128 = 891;
+	public static final int X86_INS_VINSERTF32X4 = 892;
+	public static final int X86_INS_VINSERTF64X4 = 893;
+	public static final int X86_INS_VINSERTI128 = 894;
+	public static final int X86_INS_VINSERTI32X4 = 895;
+	public static final int X86_INS_VINSERTI64X4 = 896;
+	public static final int X86_INS_VINSERTPS = 897;
+	public static final int X86_INS_VLDDQU = 898;
+	public static final int X86_INS_VLDMXCSR = 899;
+	public static final int X86_INS_VMASKMOVDQU = 900;
+	public static final int X86_INS_VMASKMOVPD = 901;
+	public static final int X86_INS_VMASKMOVPS = 902;
+	public static final int X86_INS_VMAXPD = 903;
+	public static final int X86_INS_VMAXPS = 904;
+	public static final int X86_INS_VMAXSD = 905;
+	public static final int X86_INS_VMAXSS = 906;
+	public static final int X86_INS_VMCALL = 907;
+	public static final int X86_INS_VMCLEAR = 908;
+	public static final int X86_INS_VMFUNC = 909;
+	public static final int X86_INS_VMINPD = 910;
+	public static final int X86_INS_VMINPS = 911;
+	public static final int X86_INS_VMINSD = 912;
+	public static final int X86_INS_VMINSS = 913;
+	public static final int X86_INS_VMLAUNCH = 914;
+	public static final int X86_INS_VMLOAD = 915;
+	public static final int X86_INS_VMMCALL = 916;
+	public static final int X86_INS_VMOVQ = 917;
+	public static final int X86_INS_VMOVDDUP = 918;
+	public static final int X86_INS_VMOVD = 919;
+	public static final int X86_INS_VMOVDQA32 = 920;
+	public static final int X86_INS_VMOVDQA64 = 921;
+	public static final int X86_INS_VMOVDQA = 922;
+	public static final int X86_INS_VMOVDQU16 = 923;
+	public static final int X86_INS_VMOVDQU32 = 924;
+	public static final int X86_INS_VMOVDQU64 = 925;
+	public static final int X86_INS_VMOVDQU8 = 926;
+	public static final int X86_INS_VMOVDQU = 927;
+	public static final int X86_INS_VMOVHLPS = 928;
+	public static final int X86_INS_VMOVHPD = 929;
+	public static final int X86_INS_VMOVHPS = 930;
+	public static final int X86_INS_VMOVLHPS = 931;
+	public static final int X86_INS_VMOVLPD = 932;
+	public static final int X86_INS_VMOVLPS = 933;
+	public static final int X86_INS_VMOVMSKPD = 934;
+	public static final int X86_INS_VMOVMSKPS = 935;
+	public static final int X86_INS_VMOVNTDQA = 936;
+	public static final int X86_INS_VMOVNTDQ = 937;
+	public static final int X86_INS_VMOVNTPD = 938;
+	public static final int X86_INS_VMOVNTPS = 939;
+	public static final int X86_INS_VMOVSD = 940;
+	public static final int X86_INS_VMOVSHDUP = 941;
+	public static final int X86_INS_VMOVSLDUP = 942;
+	public static final int X86_INS_VMOVSS = 943;
+	public static final int X86_INS_VMOVUPD = 944;
+	public static final int X86_INS_VMOVUPS = 945;
+	public static final int X86_INS_VMPSADBW = 946;
+	public static final int X86_INS_VMPTRLD = 947;
+	public static final int X86_INS_VMPTRST = 948;
+	public static final int X86_INS_VMREAD = 949;
+	public static final int X86_INS_VMRESUME = 950;
+	public static final int X86_INS_VMRUN = 951;
+	public static final int X86_INS_VMSAVE = 952;
+	public static final int X86_INS_VMULPD = 953;
+	public static final int X86_INS_VMULPS = 954;
+	public static final int X86_INS_VMULSD = 955;
+	public static final int X86_INS_VMULSS = 956;
+	public static final int X86_INS_VMWRITE = 957;
+	public static final int X86_INS_VMXOFF = 958;
+	public static final int X86_INS_VMXON = 959;
+	public static final int X86_INS_VPABSB = 960;
+	public static final int X86_INS_VPABSD = 961;
+	public static final int X86_INS_VPABSQ = 962;
+	public static final int X86_INS_VPABSW = 963;
+	public static final int X86_INS_VPACKSSDW = 964;
+	public static final int X86_INS_VPACKSSWB = 965;
+	public static final int X86_INS_VPACKUSDW = 966;
+	public static final int X86_INS_VPACKUSWB = 967;
+	public static final int X86_INS_VPADDB = 968;
+	public static final int X86_INS_VPADDD = 969;
+	public static final int X86_INS_VPADDQ = 970;
+	public static final int X86_INS_VPADDSB = 971;
+	public static final int X86_INS_VPADDSW = 972;
+	public static final int X86_INS_VPADDUSB = 973;
+	public static final int X86_INS_VPADDUSW = 974;
+	public static final int X86_INS_VPADDW = 975;
+	public static final int X86_INS_VPALIGNR = 976;
+	public static final int X86_INS_VPANDD = 977;
+	public static final int X86_INS_VPANDND = 978;
+	public static final int X86_INS_VPANDNQ = 979;
+	public static final int X86_INS_VPANDN = 980;
+	public static final int X86_INS_VPANDQ = 981;
+	public static final int X86_INS_VPAND = 982;
+	public static final int X86_INS_VPAVGB = 983;
+	public static final int X86_INS_VPAVGW = 984;
+	public static final int X86_INS_VPBLENDD = 985;
+	public static final int X86_INS_VPBLENDMD = 986;
+	public static final int X86_INS_VPBLENDMQ = 987;
+	public static final int X86_INS_VPBLENDVB = 988;
+	public static final int X86_INS_VPBLENDW = 989;
+	public static final int X86_INS_VPBROADCASTB = 990;
+	public static final int X86_INS_VPBROADCASTD = 991;
+	public static final int X86_INS_VPBROADCASTMB2Q = 992;
+	public static final int X86_INS_VPBROADCASTMW2D = 993;
+	public static final int X86_INS_VPBROADCASTQ = 994;
+	public static final int X86_INS_VPBROADCASTW = 995;
+	public static final int X86_INS_VPCLMULQDQ = 996;
+	public static final int X86_INS_VPCMOV = 997;
+	public static final int X86_INS_VPCMP = 998;
+	public static final int X86_INS_VPCMPD = 999;
+	public static final int X86_INS_VPCMPEQB = 1000;
+	public static final int X86_INS_VPCMPEQD = 1001;
+	public static final int X86_INS_VPCMPEQQ = 1002;
+	public static final int X86_INS_VPCMPEQW = 1003;
+	public static final int X86_INS_VPCMPESTRI = 1004;
+	public static final int X86_INS_VPCMPESTRM = 1005;
+	public static final int X86_INS_VPCMPGTB = 1006;
+	public static final int X86_INS_VPCMPGTD = 1007;
+	public static final int X86_INS_VPCMPGTQ = 1008;
+	public static final int X86_INS_VPCMPGTW = 1009;
+	public static final int X86_INS_VPCMPISTRI = 1010;
+	public static final int X86_INS_VPCMPISTRM = 1011;
+	public static final int X86_INS_VPCMPQ = 1012;
+	public static final int X86_INS_VPCMPUD = 1013;
+	public static final int X86_INS_VPCMPUQ = 1014;
+	public static final int X86_INS_VPCOMB = 1015;
+	public static final int X86_INS_VPCOMD = 1016;
+	public static final int X86_INS_VPCOMQ = 1017;
+	public static final int X86_INS_VPCOMUB = 1018;
+	public static final int X86_INS_VPCOMUD = 1019;
+	public static final int X86_INS_VPCOMUQ = 1020;
+	public static final int X86_INS_VPCOMUW = 1021;
+	public static final int X86_INS_VPCOMW = 1022;
+	public static final int X86_INS_VPCONFLICTD = 1023;
+	public static final int X86_INS_VPCONFLICTQ = 1024;
+	public static final int X86_INS_VPERM2F128 = 1025;
+	public static final int X86_INS_VPERM2I128 = 1026;
+	public static final int X86_INS_VPERMD = 1027;
+	public static final int X86_INS_VPERMI2D = 1028;
+	public static final int X86_INS_VPERMI2PD = 1029;
+	public static final int X86_INS_VPERMI2PS = 1030;
+	public static final int X86_INS_VPERMI2Q = 1031;
+	public static final int X86_INS_VPERMIL2PD = 1032;
+	public static final int X86_INS_VPERMIL2PS = 1033;
+	public static final int X86_INS_VPERMILPD = 1034;
+	public static final int X86_INS_VPERMILPS = 1035;
+	public static final int X86_INS_VPERMPD = 1036;
+	public static final int X86_INS_VPERMPS = 1037;
+	public static final int X86_INS_VPERMQ = 1038;
+	public static final int X86_INS_VPERMT2D = 1039;
+	public static final int X86_INS_VPERMT2PD = 1040;
+	public static final int X86_INS_VPERMT2PS = 1041;
+	public static final int X86_INS_VPERMT2Q = 1042;
+	public static final int X86_INS_VPEXTRB = 1043;
+	public static final int X86_INS_VPEXTRD = 1044;
+	public static final int X86_INS_VPEXTRQ = 1045;
+	public static final int X86_INS_VPEXTRW = 1046;
+	public static final int X86_INS_VPGATHERDD = 1047;
+	public static final int X86_INS_VPGATHERDQ = 1048;
+	public static final int X86_INS_VPGATHERQD = 1049;
+	public static final int X86_INS_VPGATHERQQ = 1050;
+	public static final int X86_INS_VPHADDBD = 1051;
+	public static final int X86_INS_VPHADDBQ = 1052;
+	public static final int X86_INS_VPHADDBW = 1053;
+	public static final int X86_INS_VPHADDDQ = 1054;
+	public static final int X86_INS_VPHADDD = 1055;
+	public static final int X86_INS_VPHADDSW = 1056;
+	public static final int X86_INS_VPHADDUBD = 1057;
+	public static final int X86_INS_VPHADDUBQ = 1058;
+	public static final int X86_INS_VPHADDUBW = 1059;
+	public static final int X86_INS_VPHADDUDQ = 1060;
+	public static final int X86_INS_VPHADDUWD = 1061;
+	public static final int X86_INS_VPHADDUWQ = 1062;
+	public static final int X86_INS_VPHADDWD = 1063;
+	public static final int X86_INS_VPHADDWQ = 1064;
+	public static final int X86_INS_VPHADDW = 1065;
+	public static final int X86_INS_VPHMINPOSUW = 1066;
+	public static final int X86_INS_VPHSUBBW = 1067;
+	public static final int X86_INS_VPHSUBDQ = 1068;
+	public static final int X86_INS_VPHSUBD = 1069;
+	public static final int X86_INS_VPHSUBSW = 1070;
+	public static final int X86_INS_VPHSUBWD = 1071;
+	public static final int X86_INS_VPHSUBW = 1072;
+	public static final int X86_INS_VPINSRB = 1073;
+	public static final int X86_INS_VPINSRD = 1074;
+	public static final int X86_INS_VPINSRQ = 1075;
+	public static final int X86_INS_VPINSRW = 1076;
+	public static final int X86_INS_VPLZCNTD = 1077;
+	public static final int X86_INS_VPLZCNTQ = 1078;
+	public static final int X86_INS_VPMACSDD = 1079;
+	public static final int X86_INS_VPMACSDQH = 1080;
+	public static final int X86_INS_VPMACSDQL = 1081;
+	public static final int X86_INS_VPMACSSDD = 1082;
+	public static final int X86_INS_VPMACSSDQH = 1083;
+	public static final int X86_INS_VPMACSSDQL = 1084;
+	public static final int X86_INS_VPMACSSWD = 1085;
+	public static final int X86_INS_VPMACSSWW = 1086;
+	public static final int X86_INS_VPMACSWD = 1087;
+	public static final int X86_INS_VPMACSWW = 1088;
+	public static final int X86_INS_VPMADCSSWD = 1089;
+	public static final int X86_INS_VPMADCSWD = 1090;
+	public static final int X86_INS_VPMADDUBSW = 1091;
+	public static final int X86_INS_VPMADDWD = 1092;
+	public static final int X86_INS_VPMASKMOVD = 1093;
+	public static final int X86_INS_VPMASKMOVQ = 1094;
+	public static final int X86_INS_VPMAXSB = 1095;
+	public static final int X86_INS_VPMAXSD = 1096;
+	public static final int X86_INS_VPMAXSQ = 1097;
+	public static final int X86_INS_VPMAXSW = 1098;
+	public static final int X86_INS_VPMAXUB = 1099;
+	public static final int X86_INS_VPMAXUD = 1100;
+	public static final int X86_INS_VPMAXUQ = 1101;
+	public static final int X86_INS_VPMAXUW = 1102;
+	public static final int X86_INS_VPMINSB = 1103;
+	public static final int X86_INS_VPMINSD = 1104;
+	public static final int X86_INS_VPMINSQ = 1105;
+	public static final int X86_INS_VPMINSW = 1106;
+	public static final int X86_INS_VPMINUB = 1107;
+	public static final int X86_INS_VPMINUD = 1108;
+	public static final int X86_INS_VPMINUQ = 1109;
+	public static final int X86_INS_VPMINUW = 1110;
+	public static final int X86_INS_VPMOVDB = 1111;
+	public static final int X86_INS_VPMOVDW = 1112;
+	public static final int X86_INS_VPMOVMSKB = 1113;
+	public static final int X86_INS_VPMOVQB = 1114;
+	public static final int X86_INS_VPMOVQD = 1115;
+	public static final int X86_INS_VPMOVQW = 1116;
+	public static final int X86_INS_VPMOVSDB = 1117;
+	public static final int X86_INS_VPMOVSDW = 1118;
+	public static final int X86_INS_VPMOVSQB = 1119;
+	public static final int X86_INS_VPMOVSQD = 1120;
+	public static final int X86_INS_VPMOVSQW = 1121;
+	public static final int X86_INS_VPMOVSXBD = 1122;
+	public static final int X86_INS_VPMOVSXBQ = 1123;
+	public static final int X86_INS_VPMOVSXBW = 1124;
+	public static final int X86_INS_VPMOVSXDQ = 1125;
+	public static final int X86_INS_VPMOVSXWD = 1126;
+	public static final int X86_INS_VPMOVSXWQ = 1127;
+	public static final int X86_INS_VPMOVUSDB = 1128;
+	public static final int X86_INS_VPMOVUSDW = 1129;
+	public static final int X86_INS_VPMOVUSQB = 1130;
+	public static final int X86_INS_VPMOVUSQD = 1131;
+	public static final int X86_INS_VPMOVUSQW = 1132;
+	public static final int X86_INS_VPMOVZXBD = 1133;
+	public static final int X86_INS_VPMOVZXBQ = 1134;
+	public static final int X86_INS_VPMOVZXBW = 1135;
+	public static final int X86_INS_VPMOVZXDQ = 1136;
+	public static final int X86_INS_VPMOVZXWD = 1137;
+	public static final int X86_INS_VPMOVZXWQ = 1138;
+	public static final int X86_INS_VPMULDQ = 1139;
+	public static final int X86_INS_VPMULHRSW = 1140;
+	public static final int X86_INS_VPMULHUW = 1141;
+	public static final int X86_INS_VPMULHW = 1142;
+	public static final int X86_INS_VPMULLD = 1143;
+	public static final int X86_INS_VPMULLW = 1144;
+	public static final int X86_INS_VPMULUDQ = 1145;
+	public static final int X86_INS_VPORD = 1146;
+	public static final int X86_INS_VPORQ = 1147;
+	public static final int X86_INS_VPOR = 1148;
+	public static final int X86_INS_VPPERM = 1149;
+	public static final int X86_INS_VPROTB = 1150;
+	public static final int X86_INS_VPROTD = 1151;
+	public static final int X86_INS_VPROTQ = 1152;
+	public static final int X86_INS_VPROTW = 1153;
+	public static final int X86_INS_VPSADBW = 1154;
+	public static final int X86_INS_VPSCATTERDD = 1155;
+	public static final int X86_INS_VPSCATTERDQ = 1156;
+	public static final int X86_INS_VPSCATTERQD = 1157;
+	public static final int X86_INS_VPSCATTERQQ = 1158;
+	public static final int X86_INS_VPSHAB = 1159;
+	public static final int X86_INS_VPSHAD = 1160;
+	public static final int X86_INS_VPSHAQ = 1161;
+	public static final int X86_INS_VPSHAW = 1162;
+	public static final int X86_INS_VPSHLB = 1163;
+	public static final int X86_INS_VPSHLD = 1164;
+	public static final int X86_INS_VPSHLQ = 1165;
+	public static final int X86_INS_VPSHLW = 1166;
+	public static final int X86_INS_VPSHUFB = 1167;
+	public static final int X86_INS_VPSHUFD = 1168;
+	public static final int X86_INS_VPSHUFHW = 1169;
+	public static final int X86_INS_VPSHUFLW = 1170;
+	public static final int X86_INS_VPSIGNB = 1171;
+	public static final int X86_INS_VPSIGND = 1172;
+	public static final int X86_INS_VPSIGNW = 1173;
+	public static final int X86_INS_VPSLLDQ = 1174;
+	public static final int X86_INS_VPSLLD = 1175;
+	public static final int X86_INS_VPSLLQ = 1176;
+	public static final int X86_INS_VPSLLVD = 1177;
+	public static final int X86_INS_VPSLLVQ = 1178;
+	public static final int X86_INS_VPSLLW = 1179;
+	public static final int X86_INS_VPSRAD = 1180;
+	public static final int X86_INS_VPSRAQ = 1181;
+	public static final int X86_INS_VPSRAVD = 1182;
+	public static final int X86_INS_VPSRAVQ = 1183;
+	public static final int X86_INS_VPSRAW = 1184;
+	public static final int X86_INS_VPSRLDQ = 1185;
+	public static final int X86_INS_VPSRLD = 1186;
+	public static final int X86_INS_VPSRLQ = 1187;
+	public static final int X86_INS_VPSRLVD = 1188;
+	public static final int X86_INS_VPSRLVQ = 1189;
+	public static final int X86_INS_VPSRLW = 1190;
+	public static final int X86_INS_VPSUBB = 1191;
+	public static final int X86_INS_VPSUBD = 1192;
+	public static final int X86_INS_VPSUBQ = 1193;
+	public static final int X86_INS_VPSUBSB = 1194;
+	public static final int X86_INS_VPSUBSW = 1195;
+	public static final int X86_INS_VPSUBUSB = 1196;
+	public static final int X86_INS_VPSUBUSW = 1197;
+	public static final int X86_INS_VPSUBW = 1198;
+	public static final int X86_INS_VPTESTMD = 1199;
+	public static final int X86_INS_VPTESTMQ = 1200;
+	public static final int X86_INS_VPTESTNMD = 1201;
+	public static final int X86_INS_VPTESTNMQ = 1202;
+	public static final int X86_INS_VPTEST = 1203;
+	public static final int X86_INS_VPUNPCKHBW = 1204;
+	public static final int X86_INS_VPUNPCKHDQ = 1205;
+	public static final int X86_INS_VPUNPCKHQDQ = 1206;
+	public static final int X86_INS_VPUNPCKHWD = 1207;
+	public static final int X86_INS_VPUNPCKLBW = 1208;
+	public static final int X86_INS_VPUNPCKLDQ = 1209;
+	public static final int X86_INS_VPUNPCKLQDQ = 1210;
+	public static final int X86_INS_VPUNPCKLWD = 1211;
+	public static final int X86_INS_VPXORD = 1212;
+	public static final int X86_INS_VPXORQ = 1213;
+	public static final int X86_INS_VPXOR = 1214;
+	public static final int X86_INS_VRCP14PD = 1215;
+	public static final int X86_INS_VRCP14PS = 1216;
+	public static final int X86_INS_VRCP14SD = 1217;
+	public static final int X86_INS_VRCP14SS = 1218;
+	public static final int X86_INS_VRCP28PD = 1219;
+	public static final int X86_INS_VRCP28PS = 1220;
+	public static final int X86_INS_VRCP28SD = 1221;
+	public static final int X86_INS_VRCP28SS = 1222;
+	public static final int X86_INS_VRCPPS = 1223;
+	public static final int X86_INS_VRCPSS = 1224;
+	public static final int X86_INS_VRNDSCALEPD = 1225;
+	public static final int X86_INS_VRNDSCALEPS = 1226;
+	public static final int X86_INS_VRNDSCALESD = 1227;
+	public static final int X86_INS_VRNDSCALESS = 1228;
+	public static final int X86_INS_VROUNDPD = 1229;
+	public static final int X86_INS_VROUNDPS = 1230;
+	public static final int X86_INS_VROUNDSD = 1231;
+	public static final int X86_INS_VROUNDSS = 1232;
+	public static final int X86_INS_VRSQRT14PD = 1233;
+	public static final int X86_INS_VRSQRT14PS = 1234;
+	public static final int X86_INS_VRSQRT14SD = 1235;
+	public static final int X86_INS_VRSQRT14SS = 1236;
+	public static final int X86_INS_VRSQRT28PD = 1237;
+	public static final int X86_INS_VRSQRT28PS = 1238;
+	public static final int X86_INS_VRSQRT28SD = 1239;
+	public static final int X86_INS_VRSQRT28SS = 1240;
+	public static final int X86_INS_VRSQRTPS = 1241;
+	public static final int X86_INS_VRSQRTSS = 1242;
+	public static final int X86_INS_VSCATTERDPD = 1243;
+	public static final int X86_INS_VSCATTERDPS = 1244;
+	public static final int X86_INS_VSCATTERPF0DPD = 1245;
+	public static final int X86_INS_VSCATTERPF0DPS = 1246;
+	public static final int X86_INS_VSCATTERPF0QPD = 1247;
+	public static final int X86_INS_VSCATTERPF0QPS = 1248;
+	public static final int X86_INS_VSCATTERPF1DPD = 1249;
+	public static final int X86_INS_VSCATTERPF1DPS = 1250;
+	public static final int X86_INS_VSCATTERPF1QPD = 1251;
+	public static final int X86_INS_VSCATTERPF1QPS = 1252;
+	public static final int X86_INS_VSCATTERQPD = 1253;
+	public static final int X86_INS_VSCATTERQPS = 1254;
+	public static final int X86_INS_VSHUFPD = 1255;
+	public static final int X86_INS_VSHUFPS = 1256;
+	public static final int X86_INS_VSQRTPD = 1257;
+	public static final int X86_INS_VSQRTPS = 1258;
+	public static final int X86_INS_VSQRTSD = 1259;
+	public static final int X86_INS_VSQRTSS = 1260;
+	public static final int X86_INS_VSTMXCSR = 1261;
+	public static final int X86_INS_VSUBPD = 1262;
+	public static final int X86_INS_VSUBPS = 1263;
+	public static final int X86_INS_VSUBSD = 1264;
+	public static final int X86_INS_VSUBSS = 1265;
+	public static final int X86_INS_VTESTPD = 1266;
+	public static final int X86_INS_VTESTPS = 1267;
+	public static final int X86_INS_VUNPCKHPD = 1268;
+	public static final int X86_INS_VUNPCKHPS = 1269;
+	public static final int X86_INS_VUNPCKLPD = 1270;
+	public static final int X86_INS_VUNPCKLPS = 1271;
+	public static final int X86_INS_VZEROALL = 1272;
+	public static final int X86_INS_VZEROUPPER = 1273;
+	public static final int X86_INS_WAIT = 1274;
+	public static final int X86_INS_WBINVD = 1275;
+	public static final int X86_INS_WRFSBASE = 1276;
+	public static final int X86_INS_WRGSBASE = 1277;
+	public static final int X86_INS_WRMSR = 1278;
+	public static final int X86_INS_XABORT = 1279;
+	public static final int X86_INS_XACQUIRE = 1280;
+	public static final int X86_INS_XBEGIN = 1281;
+	public static final int X86_INS_XCHG = 1282;
+	public static final int X86_INS_FXCH = 1283;
+	public static final int X86_INS_XCRYPTCBC = 1284;
+	public static final int X86_INS_XCRYPTCFB = 1285;
+	public static final int X86_INS_XCRYPTCTR = 1286;
+	public static final int X86_INS_XCRYPTECB = 1287;
+	public static final int X86_INS_XCRYPTOFB = 1288;
+	public static final int X86_INS_XEND = 1289;
+	public static final int X86_INS_XGETBV = 1290;
+	public static final int X86_INS_XLATB = 1291;
+	public static final int X86_INS_XRELEASE = 1292;
+	public static final int X86_INS_XRSTOR = 1293;
+	public static final int X86_INS_XRSTOR64 = 1294;
+	public static final int X86_INS_XSAVE = 1295;
+	public static final int X86_INS_XSAVE64 = 1296;
+	public static final int X86_INS_XSAVEOPT = 1297;
+	public static final int X86_INS_XSAVEOPT64 = 1298;
+	public static final int X86_INS_XSETBV = 1299;
+	public static final int X86_INS_XSHA1 = 1300;
+	public static final int X86_INS_XSHA256 = 1301;
+	public static final int X86_INS_XSTORE = 1302;
+	public static final int X86_INS_XTEST = 1303;
+	public static final int X86_INS_MAX = 1304;
 
 	// Group of X86 instructions
 
@@ -1618,11 +1663,16 @@
 	public static final int X86_GRP_TBM = 31;
 	public static final int X86_GRP_16BITMODE = 32;
 	public static final int X86_GRP_NOT64BITMODE = 33;
-	public static final int X86_GRP_JUMP = 34;
-	public static final int X86_GRP_VM = 35;
-	public static final int X86_GRP_INT = 36;
-	public static final int X86_GRP_IRET = 37;
-	public static final int X86_GRP_CALL = 38;
-	public static final int X86_GRP_RET = 39;
-	public static final int X86_GRP_MAX = 40;
+	public static final int X86_GRP_SGX = 34;
+	public static final int X86_GRP_DQI = 35;
+	public static final int X86_GRP_BWI = 36;
+	public static final int X86_GRP_PFI = 37;
+	public static final int X86_GRP_VLX = 38;
+	public static final int X86_GRP_JUMP = 39;
+	public static final int X86_GRP_VM = 40;
+	public static final int X86_GRP_INT = 41;
+	public static final int X86_GRP_IRET = 42;
+	public static final int X86_GRP_CALL = 43;
+	public static final int X86_GRP_RET = 44;
+	public static final int X86_GRP_MAX = 45;
 }
\ No newline at end of file
diff --git a/bindings/python/capstone/x86_const.py b/bindings/python/capstone/x86_const.py
index bdc8660..dc222a5 100644
--- a/bindings/python/capstone/x86_const.py
+++ b/bindings/python/capstone/x86_const.py
@@ -83,159 +83,160 @@
 X86_REG_FP4 = 78
 X86_REG_FP5 = 79
 X86_REG_FP6 = 80
-X86_REG_K0 = 81
-X86_REG_K1 = 82
-X86_REG_K2 = 83
-X86_REG_K3 = 84
-X86_REG_K4 = 85
-X86_REG_K5 = 86
-X86_REG_K6 = 87
-X86_REG_K7 = 88
-X86_REG_MM0 = 89
-X86_REG_MM1 = 90
-X86_REG_MM2 = 91
-X86_REG_MM3 = 92
-X86_REG_MM4 = 93
-X86_REG_MM5 = 94
-X86_REG_MM6 = 95
-X86_REG_MM7 = 96
-X86_REG_R8 = 97
-X86_REG_R9 = 98
-X86_REG_R10 = 99
-X86_REG_R11 = 100
-X86_REG_R12 = 101
-X86_REG_R13 = 102
-X86_REG_R14 = 103
-X86_REG_R15 = 104
-X86_REG_ST0 = 105
-X86_REG_ST1 = 106
-X86_REG_ST2 = 107
-X86_REG_ST3 = 108
-X86_REG_ST4 = 109
-X86_REG_ST5 = 110
-X86_REG_ST6 = 111
-X86_REG_ST7 = 112
-X86_REG_XMM0 = 113
-X86_REG_XMM1 = 114
-X86_REG_XMM2 = 115
-X86_REG_XMM3 = 116
-X86_REG_XMM4 = 117
-X86_REG_XMM5 = 118
-X86_REG_XMM6 = 119
-X86_REG_XMM7 = 120
-X86_REG_XMM8 = 121
-X86_REG_XMM9 = 122
-X86_REG_XMM10 = 123
-X86_REG_XMM11 = 124
-X86_REG_XMM12 = 125
-X86_REG_XMM13 = 126
-X86_REG_XMM14 = 127
-X86_REG_XMM15 = 128
-X86_REG_XMM16 = 129
-X86_REG_XMM17 = 130
-X86_REG_XMM18 = 131
-X86_REG_XMM19 = 132
-X86_REG_XMM20 = 133
-X86_REG_XMM21 = 134
-X86_REG_XMM22 = 135
-X86_REG_XMM23 = 136
-X86_REG_XMM24 = 137
-X86_REG_XMM25 = 138
-X86_REG_XMM26 = 139
-X86_REG_XMM27 = 140
-X86_REG_XMM28 = 141
-X86_REG_XMM29 = 142
-X86_REG_XMM30 = 143
-X86_REG_XMM31 = 144
-X86_REG_YMM0 = 145
-X86_REG_YMM1 = 146
-X86_REG_YMM2 = 147
-X86_REG_YMM3 = 148
-X86_REG_YMM4 = 149
-X86_REG_YMM5 = 150
-X86_REG_YMM6 = 151
-X86_REG_YMM7 = 152
-X86_REG_YMM8 = 153
-X86_REG_YMM9 = 154
-X86_REG_YMM10 = 155
-X86_REG_YMM11 = 156
-X86_REG_YMM12 = 157
-X86_REG_YMM13 = 158
-X86_REG_YMM14 = 159
-X86_REG_YMM15 = 160
-X86_REG_YMM16 = 161
-X86_REG_YMM17 = 162
-X86_REG_YMM18 = 163
-X86_REG_YMM19 = 164
-X86_REG_YMM20 = 165
-X86_REG_YMM21 = 166
-X86_REG_YMM22 = 167
-X86_REG_YMM23 = 168
-X86_REG_YMM24 = 169
-X86_REG_YMM25 = 170
-X86_REG_YMM26 = 171
-X86_REG_YMM27 = 172
-X86_REG_YMM28 = 173
-X86_REG_YMM29 = 174
-X86_REG_YMM30 = 175
-X86_REG_YMM31 = 176
-X86_REG_ZMM0 = 177
-X86_REG_ZMM1 = 178
-X86_REG_ZMM2 = 179
-X86_REG_ZMM3 = 180
-X86_REG_ZMM4 = 181
-X86_REG_ZMM5 = 182
-X86_REG_ZMM6 = 183
-X86_REG_ZMM7 = 184
-X86_REG_ZMM8 = 185
-X86_REG_ZMM9 = 186
-X86_REG_ZMM10 = 187
-X86_REG_ZMM11 = 188
-X86_REG_ZMM12 = 189
-X86_REG_ZMM13 = 190
-X86_REG_ZMM14 = 191
-X86_REG_ZMM15 = 192
-X86_REG_ZMM16 = 193
-X86_REG_ZMM17 = 194
-X86_REG_ZMM18 = 195
-X86_REG_ZMM19 = 196
-X86_REG_ZMM20 = 197
-X86_REG_ZMM21 = 198
-X86_REG_ZMM22 = 199
-X86_REG_ZMM23 = 200
-X86_REG_ZMM24 = 201
-X86_REG_ZMM25 = 202
-X86_REG_ZMM26 = 203
-X86_REG_ZMM27 = 204
-X86_REG_ZMM28 = 205
-X86_REG_ZMM29 = 206
-X86_REG_ZMM30 = 207
-X86_REG_ZMM31 = 208
-X86_REG_R8B = 209
-X86_REG_R9B = 210
-X86_REG_R10B = 211
-X86_REG_R11B = 212
-X86_REG_R12B = 213
-X86_REG_R13B = 214
-X86_REG_R14B = 215
-X86_REG_R15B = 216
-X86_REG_R8D = 217
-X86_REG_R9D = 218
-X86_REG_R10D = 219
-X86_REG_R11D = 220
-X86_REG_R12D = 221
-X86_REG_R13D = 222
-X86_REG_R14D = 223
-X86_REG_R15D = 224
-X86_REG_R8W = 225
-X86_REG_R9W = 226
-X86_REG_R10W = 227
-X86_REG_R11W = 228
-X86_REG_R12W = 229
-X86_REG_R13W = 230
-X86_REG_R14W = 231
-X86_REG_R15W = 232
-X86_REG_MAX = 233
+X86_REG_FP7 = 81
+X86_REG_K0 = 82
+X86_REG_K1 = 83
+X86_REG_K2 = 84
+X86_REG_K3 = 85
+X86_REG_K4 = 86
+X86_REG_K5 = 87
+X86_REG_K6 = 88
+X86_REG_K7 = 89
+X86_REG_MM0 = 90
+X86_REG_MM1 = 91
+X86_REG_MM2 = 92
+X86_REG_MM3 = 93
+X86_REG_MM4 = 94
+X86_REG_MM5 = 95
+X86_REG_MM6 = 96
+X86_REG_MM7 = 97
+X86_REG_R8 = 98
+X86_REG_R9 = 99
+X86_REG_R10 = 100
+X86_REG_R11 = 101
+X86_REG_R12 = 102
+X86_REG_R13 = 103
+X86_REG_R14 = 104
+X86_REG_R15 = 105
+X86_REG_ST0 = 106
+X86_REG_ST1 = 107
+X86_REG_ST2 = 108
+X86_REG_ST3 = 109
+X86_REG_ST4 = 110
+X86_REG_ST5 = 111
+X86_REG_ST6 = 112
+X86_REG_ST7 = 113
+X86_REG_XMM0 = 114
+X86_REG_XMM1 = 115
+X86_REG_XMM2 = 116
+X86_REG_XMM3 = 117
+X86_REG_XMM4 = 118
+X86_REG_XMM5 = 119
+X86_REG_XMM6 = 120
+X86_REG_XMM7 = 121
+X86_REG_XMM8 = 122
+X86_REG_XMM9 = 123
+X86_REG_XMM10 = 124
+X86_REG_XMM11 = 125
+X86_REG_XMM12 = 126
+X86_REG_XMM13 = 127
+X86_REG_XMM14 = 128
+X86_REG_XMM15 = 129
+X86_REG_XMM16 = 130
+X86_REG_XMM17 = 131
+X86_REG_XMM18 = 132
+X86_REG_XMM19 = 133
+X86_REG_XMM20 = 134
+X86_REG_XMM21 = 135
+X86_REG_XMM22 = 136
+X86_REG_XMM23 = 137
+X86_REG_XMM24 = 138
+X86_REG_XMM25 = 139
+X86_REG_XMM26 = 140
+X86_REG_XMM27 = 141
+X86_REG_XMM28 = 142
+X86_REG_XMM29 = 143
+X86_REG_XMM30 = 144
+X86_REG_XMM31 = 145
+X86_REG_YMM0 = 146
+X86_REG_YMM1 = 147
+X86_REG_YMM2 = 148
+X86_REG_YMM3 = 149
+X86_REG_YMM4 = 150
+X86_REG_YMM5 = 151
+X86_REG_YMM6 = 152
+X86_REG_YMM7 = 153
+X86_REG_YMM8 = 154
+X86_REG_YMM9 = 155
+X86_REG_YMM10 = 156
+X86_REG_YMM11 = 157
+X86_REG_YMM12 = 158
+X86_REG_YMM13 = 159
+X86_REG_YMM14 = 160
+X86_REG_YMM15 = 161
+X86_REG_YMM16 = 162
+X86_REG_YMM17 = 163
+X86_REG_YMM18 = 164
+X86_REG_YMM19 = 165
+X86_REG_YMM20 = 166
+X86_REG_YMM21 = 167
+X86_REG_YMM22 = 168
+X86_REG_YMM23 = 169
+X86_REG_YMM24 = 170
+X86_REG_YMM25 = 171
+X86_REG_YMM26 = 172
+X86_REG_YMM27 = 173
+X86_REG_YMM28 = 174
+X86_REG_YMM29 = 175
+X86_REG_YMM30 = 176
+X86_REG_YMM31 = 177
+X86_REG_ZMM0 = 178
+X86_REG_ZMM1 = 179
+X86_REG_ZMM2 = 180
+X86_REG_ZMM3 = 181
+X86_REG_ZMM4 = 182
+X86_REG_ZMM5 = 183
+X86_REG_ZMM6 = 184
+X86_REG_ZMM7 = 185
+X86_REG_ZMM8 = 186
+X86_REG_ZMM9 = 187
+X86_REG_ZMM10 = 188
+X86_REG_ZMM11 = 189
+X86_REG_ZMM12 = 190
+X86_REG_ZMM13 = 191
+X86_REG_ZMM14 = 192
+X86_REG_ZMM15 = 193
+X86_REG_ZMM16 = 194
+X86_REG_ZMM17 = 195
+X86_REG_ZMM18 = 196
+X86_REG_ZMM19 = 197
+X86_REG_ZMM20 = 198
+X86_REG_ZMM21 = 199
+X86_REG_ZMM22 = 200
+X86_REG_ZMM23 = 201
+X86_REG_ZMM24 = 202
+X86_REG_ZMM25 = 203
+X86_REG_ZMM26 = 204
+X86_REG_ZMM27 = 205
+X86_REG_ZMM28 = 206
+X86_REG_ZMM29 = 207
+X86_REG_ZMM30 = 208
+X86_REG_ZMM31 = 209
+X86_REG_R8B = 210
+X86_REG_R9B = 211
+X86_REG_R10B = 212
+X86_REG_R11B = 213
+X86_REG_R12B = 214
+X86_REG_R13B = 215
+X86_REG_R14B = 216
+X86_REG_R15B = 217
+X86_REG_R8D = 218
+X86_REG_R9D = 219
+X86_REG_R10D = 220
+X86_REG_R11D = 221
+X86_REG_R12D = 222
+X86_REG_R13D = 223
+X86_REG_R14D = 224
+X86_REG_R15D = 225
+X86_REG_R8W = 226
+X86_REG_R9W = 227
+X86_REG_R10W = 228
+X86_REG_R11W = 229
+X86_REG_R12W = 230
+X86_REG_R13W = 231
+X86_REG_R14W = 232
+X86_REG_R15W = 233
+X86_REG_MAX = 234
 
 # Operand type for instruction's operands
 
@@ -413,11 +414,11 @@
 X86_INS_CMP = 93
 X86_INS_CMPPD = 94
 X86_INS_CMPPS = 95
-X86_INS_CMPSW = 96
+X86_INS_CMPSB = 96
 X86_INS_CMPSD = 97
 X86_INS_CMPSQ = 98
-X86_INS_CMPSB = 99
-X86_INS_CMPSS = 100
+X86_INS_CMPSS = 99
+X86_INS_CMPSW = 100
 X86_INS_CMPXCHG16B = 101
 X86_INS_CMPXCHG = 102
 X86_INS_CMPXCHG8B = 103
@@ -468,1116 +469,1160 @@
 X86_INS_DPPD = 148
 X86_INS_DPPS = 149
 X86_INS_DS = 150
-X86_INS_ENTER = 151
-X86_INS_ES = 152
-X86_INS_EXTRACTPS = 153
-X86_INS_EXTRQ = 154
-X86_INS_F2XM1 = 155
-X86_INS_LCALL = 156
-X86_INS_LJMP = 157
-X86_INS_FBLD = 158
-X86_INS_FBSTP = 159
-X86_INS_FCOMPP = 160
-X86_INS_FDECSTP = 161
-X86_INS_FEMMS = 162
-X86_INS_FFREE = 163
-X86_INS_FICOM = 164
-X86_INS_FICOMP = 165
-X86_INS_FINCSTP = 166
-X86_INS_FLDCW = 167
-X86_INS_FLDENV = 168
-X86_INS_FLDL2E = 169
-X86_INS_FLDL2T = 170
-X86_INS_FLDLG2 = 171
-X86_INS_FLDLN2 = 172
-X86_INS_FLDPI = 173
-X86_INS_FNCLEX = 174
-X86_INS_FNINIT = 175
-X86_INS_FNOP = 176
-X86_INS_FNSTCW = 177
-X86_INS_FNSTSW = 178
-X86_INS_FPATAN = 179
-X86_INS_FPREM = 180
-X86_INS_FPREM1 = 181
-X86_INS_FPTAN = 182
-X86_INS_FRNDINT = 183
-X86_INS_FRSTOR = 184
-X86_INS_FNSAVE = 185
-X86_INS_FSCALE = 186
-X86_INS_FSETPM = 187
-X86_INS_FSINCOS = 188
-X86_INS_FNSTENV = 189
-X86_INS_FS = 190
-X86_INS_FXAM = 191
-X86_INS_FXRSTOR = 192
-X86_INS_FXRSTOR64 = 193
-X86_INS_FXSAVE = 194
-X86_INS_FXSAVE64 = 195
-X86_INS_FXTRACT = 196
-X86_INS_FYL2X = 197
-X86_INS_FYL2XP1 = 198
-X86_INS_MOVAPD = 199
-X86_INS_MOVAPS = 200
-X86_INS_ORPD = 201
-X86_INS_ORPS = 202
-X86_INS_VMOVAPD = 203
-X86_INS_VMOVAPS = 204
-X86_INS_XORPD = 205
-X86_INS_XORPS = 206
-X86_INS_GETSEC = 207
-X86_INS_GS = 208
-X86_INS_HADDPD = 209
-X86_INS_HADDPS = 210
-X86_INS_HLT = 211
-X86_INS_HSUBPD = 212
-X86_INS_HSUBPS = 213
-X86_INS_IDIV = 214
-X86_INS_FILD = 215
-X86_INS_IMUL = 216
-X86_INS_INSW = 217
-X86_INS_IN = 218
-X86_INS_INSD = 219
-X86_INS_INSB = 220
+X86_INS_RET = 151
+X86_INS_ENCLS = 152
+X86_INS_ENCLU = 153
+X86_INS_ENTER = 154
+X86_INS_ES = 155
+X86_INS_EXTRACTPS = 156
+X86_INS_EXTRQ = 157
+X86_INS_F2XM1 = 158
+X86_INS_LCALL = 159
+X86_INS_LJMP = 160
+X86_INS_FBLD = 161
+X86_INS_FBSTP = 162
+X86_INS_FCOMPP = 163
+X86_INS_FDECSTP = 164
+X86_INS_FEMMS = 165
+X86_INS_FFREE = 166
+X86_INS_FICOM = 167
+X86_INS_FICOMP = 168
+X86_INS_FINCSTP = 169
+X86_INS_FLDCW = 170
+X86_INS_FLDENV = 171
+X86_INS_FLDL2E = 172
+X86_INS_FLDL2T = 173
+X86_INS_FLDLG2 = 174
+X86_INS_FLDLN2 = 175
+X86_INS_FLDPI = 176
+X86_INS_FNCLEX = 177
+X86_INS_FNINIT = 178
+X86_INS_FNOP = 179
+X86_INS_FNSTCW = 180
+X86_INS_FNSTSW = 181
+X86_INS_FPATAN = 182
+X86_INS_FPREM = 183
+X86_INS_FPREM1 = 184
+X86_INS_FPTAN = 185
+X86_INS_FRNDINT = 186
+X86_INS_FRSTOR = 187
+X86_INS_FNSAVE = 188
+X86_INS_FSCALE = 189
+X86_INS_FSETPM = 190
+X86_INS_FSINCOS = 191
+X86_INS_FNSTENV = 192
+X86_INS_FS = 193
+X86_INS_FXAM = 194
+X86_INS_FXRSTOR = 195
+X86_INS_FXRSTOR64 = 196
+X86_INS_FXSAVE = 197
+X86_INS_FXSAVE64 = 198
+X86_INS_FXTRACT = 199
+X86_INS_FYL2X = 200
+X86_INS_FYL2XP1 = 201
+X86_INS_MOVAPD = 202
+X86_INS_MOVAPS = 203
+X86_INS_ORPD = 204
+X86_INS_ORPS = 205
+X86_INS_VMOVAPD = 206
+X86_INS_VMOVAPS = 207
+X86_INS_XORPD = 208
+X86_INS_XORPS = 209
+X86_INS_GETSEC = 210
+X86_INS_GS = 211
+X86_INS_HADDPD = 212
+X86_INS_HADDPS = 213
+X86_INS_HLT = 214
+X86_INS_HSUBPD = 215
+X86_INS_HSUBPS = 216
+X86_INS_IDIV = 217
+X86_INS_FILD = 218
+X86_INS_IMUL = 219
+X86_INS_IN = 220
 X86_INS_INC = 221
-X86_INS_INSERTPS = 222
-X86_INS_INSERTQ = 223
-X86_INS_INT = 224
-X86_INS_INT1 = 225
-X86_INS_INT3 = 226
-X86_INS_INTO = 227
-X86_INS_INVD = 228
-X86_INS_INVEPT = 229
-X86_INS_INVLPG = 230
-X86_INS_INVLPGA = 231
-X86_INS_INVPCID = 232
-X86_INS_INVVPID = 233
-X86_INS_IRET = 234
-X86_INS_IRETD = 235
-X86_INS_IRETQ = 236
-X86_INS_FISTTP = 237
-X86_INS_FIST = 238
-X86_INS_FISTP = 239
-X86_INS_UCOMISD = 240
-X86_INS_UCOMISS = 241
-X86_INS_VCMP = 242
-X86_INS_VCOMISD = 243
-X86_INS_VCOMISS = 244
-X86_INS_VCVTSD2SS = 245
-X86_INS_VCVTSI2SD = 246
-X86_INS_VCVTSI2SS = 247
-X86_INS_VCVTSS2SD = 248
-X86_INS_VCVTTSD2SI = 249
-X86_INS_VCVTTSD2USI = 250
-X86_INS_VCVTTSS2SI = 251
-X86_INS_VCVTTSS2USI = 252
-X86_INS_VCVTUSI2SD = 253
-X86_INS_VCVTUSI2SS = 254
-X86_INS_VUCOMISD = 255
-X86_INS_VUCOMISS = 256
-X86_INS_JAE = 257
-X86_INS_JA = 258
-X86_INS_JBE = 259
-X86_INS_JB = 260
-X86_INS_JCXZ = 261
-X86_INS_JECXZ = 262
-X86_INS_JE = 263
-X86_INS_JGE = 264
-X86_INS_JG = 265
-X86_INS_JLE = 266
-X86_INS_JL = 267
-X86_INS_JMP = 268
-X86_INS_JNE = 269
-X86_INS_JNO = 270
-X86_INS_JNP = 271
-X86_INS_JNS = 272
-X86_INS_JO = 273
-X86_INS_JP = 274
-X86_INS_JRCXZ = 275
-X86_INS_JS = 276
-X86_INS_KANDNW = 277
-X86_INS_KANDW = 278
-X86_INS_KMOVW = 279
-X86_INS_KNOTW = 280
-X86_INS_KORTESTW = 281
-X86_INS_KORW = 282
-X86_INS_KSHIFTLW = 283
-X86_INS_KSHIFTRW = 284
-X86_INS_KUNPCKBW = 285
-X86_INS_KXNORW = 286
-X86_INS_KXORW = 287
-X86_INS_LAHF = 288
-X86_INS_LAR = 289
-X86_INS_LDDQU = 290
-X86_INS_LDMXCSR = 291
-X86_INS_LDS = 292
-X86_INS_FLDZ = 293
-X86_INS_FLD1 = 294
-X86_INS_FLD = 295
-X86_INS_LEA = 296
-X86_INS_LEAVE = 297
-X86_INS_LES = 298
-X86_INS_LFENCE = 299
-X86_INS_LFS = 300
-X86_INS_LGDT = 301
-X86_INS_LGS = 302
-X86_INS_LIDT = 303
-X86_INS_LLDT = 304
-X86_INS_LMSW = 305
-X86_INS_OR = 306
-X86_INS_LOCK = 307
-X86_INS_SUB = 308
-X86_INS_XOR = 309
-X86_INS_LODSB = 310
-X86_INS_LODSD = 311
-X86_INS_LODSQ = 312
-X86_INS_LODSW = 313
-X86_INS_LOOP = 314
-X86_INS_LOOPE = 315
-X86_INS_LOOPNE = 316
-X86_INS_RETF = 317
-X86_INS_RETFQ = 318
-X86_INS_LSL = 319
-X86_INS_LSS = 320
-X86_INS_LTR = 321
-X86_INS_XADD = 322
-X86_INS_LZCNT = 323
-X86_INS_MASKMOVDQU = 324
-X86_INS_MAXPD = 325
-X86_INS_MAXPS = 326
-X86_INS_MAXSD = 327
-X86_INS_MAXSS = 328
-X86_INS_MFENCE = 329
-X86_INS_MINPD = 330
-X86_INS_MINPS = 331
-X86_INS_MINSD = 332
-X86_INS_MINSS = 333
-X86_INS_CVTPD2PI = 334
-X86_INS_CVTPI2PD = 335
-X86_INS_CVTPI2PS = 336
-X86_INS_CVTPS2PI = 337
-X86_INS_CVTTPD2PI = 338
-X86_INS_CVTTPS2PI = 339
-X86_INS_EMMS = 340
-X86_INS_MASKMOVQ = 341
-X86_INS_MOVD = 342
-X86_INS_MOVDQ2Q = 343
-X86_INS_MOVNTQ = 344
-X86_INS_MOVQ2DQ = 345
-X86_INS_MOVQ = 346
-X86_INS_PABSB = 347
-X86_INS_PABSD = 348
-X86_INS_PABSW = 349
-X86_INS_PACKSSDW = 350
-X86_INS_PACKSSWB = 351
-X86_INS_PACKUSWB = 352
-X86_INS_PADDB = 353
-X86_INS_PADDD = 354
-X86_INS_PADDQ = 355
-X86_INS_PADDSB = 356
-X86_INS_PADDSW = 357
-X86_INS_PADDUSB = 358
-X86_INS_PADDUSW = 359
-X86_INS_PADDW = 360
-X86_INS_PALIGNR = 361
-X86_INS_PANDN = 362
-X86_INS_PAND = 363
-X86_INS_PAVGB = 364
-X86_INS_PAVGW = 365
-X86_INS_PCMPEQB = 366
-X86_INS_PCMPEQD = 367
-X86_INS_PCMPEQW = 368
-X86_INS_PCMPGTB = 369
-X86_INS_PCMPGTD = 370
-X86_INS_PCMPGTW = 371
-X86_INS_PEXTRW = 372
-X86_INS_PHADDSW = 373
-X86_INS_PHADDW = 374
-X86_INS_PHADDD = 375
-X86_INS_PHSUBD = 376
-X86_INS_PHSUBSW = 377
-X86_INS_PHSUBW = 378
-X86_INS_PINSRW = 379
-X86_INS_PMADDUBSW = 380
-X86_INS_PMADDWD = 381
-X86_INS_PMAXSW = 382
-X86_INS_PMAXUB = 383
-X86_INS_PMINSW = 384
-X86_INS_PMINUB = 385
-X86_INS_PMOVMSKB = 386
-X86_INS_PMULHRSW = 387
-X86_INS_PMULHUW = 388
-X86_INS_PMULHW = 389
-X86_INS_PMULLW = 390
-X86_INS_PMULUDQ = 391
-X86_INS_POR = 392
-X86_INS_PSADBW = 393
-X86_INS_PSHUFB = 394
-X86_INS_PSHUFW = 395
-X86_INS_PSIGNB = 396
-X86_INS_PSIGND = 397
-X86_INS_PSIGNW = 398
-X86_INS_PSLLD = 399
-X86_INS_PSLLQ = 400
-X86_INS_PSLLW = 401
-X86_INS_PSRAD = 402
-X86_INS_PSRAW = 403
-X86_INS_PSRLD = 404
-X86_INS_PSRLQ = 405
-X86_INS_PSRLW = 406
-X86_INS_PSUBB = 407
-X86_INS_PSUBD = 408
-X86_INS_PSUBQ = 409
-X86_INS_PSUBSB = 410
-X86_INS_PSUBSW = 411
-X86_INS_PSUBUSB = 412
-X86_INS_PSUBUSW = 413
-X86_INS_PSUBW = 414
-X86_INS_PUNPCKHBW = 415
-X86_INS_PUNPCKHDQ = 416
-X86_INS_PUNPCKHWD = 417
-X86_INS_PUNPCKLBW = 418
-X86_INS_PUNPCKLDQ = 419
-X86_INS_PUNPCKLWD = 420
-X86_INS_PXOR = 421
-X86_INS_MONITOR = 422
-X86_INS_MONTMUL = 423
-X86_INS_MOV = 424
-X86_INS_MOVABS = 425
-X86_INS_MOVBE = 426
-X86_INS_MOVDDUP = 427
-X86_INS_MOVDQA = 428
-X86_INS_MOVDQU = 429
-X86_INS_MOVHLPS = 430
-X86_INS_MOVHPD = 431
-X86_INS_MOVHPS = 432
-X86_INS_MOVLHPS = 433
-X86_INS_MOVLPD = 434
-X86_INS_MOVLPS = 435
-X86_INS_MOVMSKPD = 436
-X86_INS_MOVMSKPS = 437
-X86_INS_MOVNTDQA = 438
-X86_INS_MOVNTDQ = 439
-X86_INS_MOVNTI = 440
-X86_INS_MOVNTPD = 441
-X86_INS_MOVNTPS = 442
-X86_INS_MOVNTSD = 443
-X86_INS_MOVNTSS = 444
-X86_INS_MOVSB = 445
-X86_INS_MOVSD = 446
-X86_INS_MOVSHDUP = 447
-X86_INS_MOVSLDUP = 448
-X86_INS_MOVSQ = 449
-X86_INS_MOVSS = 450
-X86_INS_MOVSW = 451
-X86_INS_MOVSX = 452
-X86_INS_MOVSXD = 453
-X86_INS_MOVUPD = 454
-X86_INS_MOVUPS = 455
-X86_INS_MOVZX = 456
-X86_INS_MPSADBW = 457
-X86_INS_MUL = 458
-X86_INS_MULPD = 459
-X86_INS_MULPS = 460
-X86_INS_MULSD = 461
-X86_INS_MULSS = 462
-X86_INS_MULX = 463
-X86_INS_FMUL = 464
-X86_INS_FIMUL = 465
-X86_INS_FMULP = 466
-X86_INS_MWAIT = 467
-X86_INS_NEG = 468
-X86_INS_NOP = 469
-X86_INS_NOT = 470
-X86_INS_OUT = 471
-X86_INS_OUTSB = 472
-X86_INS_OUTSD = 473
-X86_INS_OUTSW = 474
-X86_INS_PACKUSDW = 475
-X86_INS_PAUSE = 476
-X86_INS_PAVGUSB = 477
-X86_INS_PBLENDVB = 478
-X86_INS_PBLENDW = 479
-X86_INS_PCLMULQDQ = 480
-X86_INS_PCMPEQQ = 481
-X86_INS_PCMPESTRI = 482
-X86_INS_PCMPESTRM = 483
-X86_INS_PCMPGTQ = 484
-X86_INS_PCMPISTRI = 485
-X86_INS_PCMPISTRM = 486
-X86_INS_PDEP = 487
-X86_INS_PEXT = 488
-X86_INS_PEXTRB = 489
-X86_INS_PEXTRD = 490
-X86_INS_PEXTRQ = 491
-X86_INS_PF2ID = 492
-X86_INS_PF2IW = 493
-X86_INS_PFACC = 494
-X86_INS_PFADD = 495
-X86_INS_PFCMPEQ = 496
-X86_INS_PFCMPGE = 497
-X86_INS_PFCMPGT = 498
-X86_INS_PFMAX = 499
-X86_INS_PFMIN = 500
-X86_INS_PFMUL = 501
-X86_INS_PFNACC = 502
-X86_INS_PFPNACC = 503
-X86_INS_PFRCPIT1 = 504
-X86_INS_PFRCPIT2 = 505
-X86_INS_PFRCP = 506
-X86_INS_PFRSQIT1 = 507
-X86_INS_PFRSQRT = 508
-X86_INS_PFSUBR = 509
-X86_INS_PFSUB = 510
-X86_INS_PHMINPOSUW = 511
-X86_INS_PI2FD = 512
-X86_INS_PI2FW = 513
-X86_INS_PINSRB = 514
-X86_INS_PINSRD = 515
-X86_INS_PINSRQ = 516
-X86_INS_PMAXSB = 517
-X86_INS_PMAXSD = 518
-X86_INS_PMAXUD = 519
-X86_INS_PMAXUW = 520
-X86_INS_PMINSB = 521
-X86_INS_PMINSD = 522
-X86_INS_PMINUD = 523
-X86_INS_PMINUW = 524
-X86_INS_PMOVSXBD = 525
-X86_INS_PMOVSXBQ = 526
-X86_INS_PMOVSXBW = 527
-X86_INS_PMOVSXDQ = 528
-X86_INS_PMOVSXWD = 529
-X86_INS_PMOVSXWQ = 530
-X86_INS_PMOVZXBD = 531
-X86_INS_PMOVZXBQ = 532
-X86_INS_PMOVZXBW = 533
-X86_INS_PMOVZXDQ = 534
-X86_INS_PMOVZXWD = 535
-X86_INS_PMOVZXWQ = 536
-X86_INS_PMULDQ = 537
-X86_INS_PMULHRW = 538
-X86_INS_PMULLD = 539
-X86_INS_POP = 540
-X86_INS_POPAW = 541
-X86_INS_POPAL = 542
-X86_INS_POPCNT = 543
-X86_INS_POPF = 544
-X86_INS_POPFD = 545
-X86_INS_POPFQ = 546
-X86_INS_PREFETCH = 547
-X86_INS_PREFETCHNTA = 548
-X86_INS_PREFETCHT0 = 549
-X86_INS_PREFETCHT1 = 550
-X86_INS_PREFETCHT2 = 551
-X86_INS_PREFETCHW = 552
-X86_INS_PSHUFD = 553
-X86_INS_PSHUFHW = 554
-X86_INS_PSHUFLW = 555
-X86_INS_PSLLDQ = 556
-X86_INS_PSRLDQ = 557
-X86_INS_PSWAPD = 558
-X86_INS_PTEST = 559
-X86_INS_PUNPCKHQDQ = 560
-X86_INS_PUNPCKLQDQ = 561
-X86_INS_PUSH = 562
-X86_INS_PUSHAW = 563
-X86_INS_PUSHAL = 564
-X86_INS_PUSHF = 565
-X86_INS_PUSHFD = 566
-X86_INS_PUSHFQ = 567
-X86_INS_RCL = 568
-X86_INS_RCPPS = 569
-X86_INS_RCPSS = 570
-X86_INS_RCR = 571
-X86_INS_RDFSBASE = 572
-X86_INS_RDGSBASE = 573
-X86_INS_RDMSR = 574
-X86_INS_RDPMC = 575
-X86_INS_RDRAND = 576
-X86_INS_RDSEED = 577
-X86_INS_RDTSC = 578
-X86_INS_RDTSCP = 579
-X86_INS_REPNE = 580
-X86_INS_REP = 581
-X86_INS_RET = 582
-X86_INS_REX64 = 583
-X86_INS_ROL = 584
-X86_INS_ROR = 585
-X86_INS_RORX = 586
-X86_INS_ROUNDPD = 587
-X86_INS_ROUNDPS = 588
-X86_INS_ROUNDSD = 589
-X86_INS_ROUNDSS = 590
-X86_INS_RSM = 591
-X86_INS_RSQRTPS = 592
-X86_INS_RSQRTSS = 593
-X86_INS_SAHF = 594
-X86_INS_SAL = 595
-X86_INS_SALC = 596
-X86_INS_SAR = 597
-X86_INS_SARX = 598
-X86_INS_SBB = 599
-X86_INS_SCASW = 600
-X86_INS_SCASD = 601
-X86_INS_SCASQ = 602
-X86_INS_SCASB = 603
-X86_INS_SETAE = 604
-X86_INS_SETA = 605
-X86_INS_SETBE = 606
-X86_INS_SETB = 607
-X86_INS_SETE = 608
-X86_INS_SETGE = 609
-X86_INS_SETG = 610
-X86_INS_SETLE = 611
-X86_INS_SETL = 612
-X86_INS_SETNE = 613
-X86_INS_SETNO = 614
-X86_INS_SETNP = 615
-X86_INS_SETNS = 616
-X86_INS_SETO = 617
-X86_INS_SETP = 618
-X86_INS_SETS = 619
-X86_INS_SFENCE = 620
-X86_INS_SGDT = 621
-X86_INS_SHA1MSG1 = 622
-X86_INS_SHA1MSG2 = 623
-X86_INS_SHA1NEXTE = 624
-X86_INS_SHA1RNDS4 = 625
-X86_INS_SHA256MSG1 = 626
-X86_INS_SHA256MSG2 = 627
-X86_INS_SHA256RNDS2 = 628
-X86_INS_SHL = 629
-X86_INS_SHLD = 630
-X86_INS_SHLX = 631
-X86_INS_SHR = 632
-X86_INS_SHRD = 633
-X86_INS_SHRX = 634
-X86_INS_SHUFPD = 635
-X86_INS_SHUFPS = 636
-X86_INS_SIDT = 637
-X86_INS_FSIN = 638
-X86_INS_SKINIT = 639
-X86_INS_SLDT = 640
-X86_INS_SMSW = 641
-X86_INS_SQRTPD = 642
-X86_INS_SQRTPS = 643
-X86_INS_SQRTSD = 644
-X86_INS_SQRTSS = 645
-X86_INS_FSQRT = 646
-X86_INS_SS = 647
-X86_INS_STAC = 648
-X86_INS_STC = 649
-X86_INS_STD = 650
-X86_INS_STGI = 651
-X86_INS_STI = 652
-X86_INS_STMXCSR = 653
-X86_INS_STOSB = 654
-X86_INS_STOSD = 655
-X86_INS_STOSQ = 656
-X86_INS_STOSW = 657
-X86_INS_STR = 658
-X86_INS_FST = 659
-X86_INS_FSTP = 660
-X86_INS_FSTPNCE = 661
-X86_INS_SUBPD = 662
-X86_INS_SUBPS = 663
-X86_INS_FSUBR = 664
-X86_INS_FISUBR = 665
-X86_INS_FSUBRP = 666
-X86_INS_SUBSD = 667
-X86_INS_SUBSS = 668
-X86_INS_FSUB = 669
-X86_INS_FISUB = 670
-X86_INS_FSUBP = 671
-X86_INS_SWAPGS = 672
-X86_INS_SYSCALL = 673
-X86_INS_SYSENTER = 674
-X86_INS_SYSEXIT = 675
-X86_INS_SYSRET = 676
-X86_INS_T1MSKC = 677
-X86_INS_TEST = 678
-X86_INS_UD2 = 679
-X86_INS_FTST = 680
-X86_INS_TZCNT = 681
-X86_INS_TZMSK = 682
-X86_INS_FUCOMPI = 683
-X86_INS_FUCOMI = 684
-X86_INS_FUCOMPP = 685
-X86_INS_FUCOMP = 686
-X86_INS_FUCOM = 687
-X86_INS_UD2B = 688
-X86_INS_UNPCKHPD = 689
-X86_INS_UNPCKHPS = 690
-X86_INS_UNPCKLPD = 691
-X86_INS_UNPCKLPS = 692
-X86_INS_VADDPD = 693
-X86_INS_VADDPS = 694
-X86_INS_VADDSD = 695
-X86_INS_VADDSS = 696
-X86_INS_VADDSUBPD = 697
-X86_INS_VADDSUBPS = 698
-X86_INS_VAESDECLAST = 699
-X86_INS_VAESDEC = 700
-X86_INS_VAESENCLAST = 701
-X86_INS_VAESENC = 702
-X86_INS_VAESIMC = 703
-X86_INS_VAESKEYGENASSIST = 704
-X86_INS_VALIGND = 705
-X86_INS_VALIGNQ = 706
-X86_INS_VANDNPD = 707
-X86_INS_VANDNPS = 708
-X86_INS_VANDPD = 709
-X86_INS_VANDPS = 710
-X86_INS_VBLENDMPD = 711
-X86_INS_VBLENDMPS = 712
-X86_INS_VBLENDPD = 713
-X86_INS_VBLENDPS = 714
-X86_INS_VBLENDVPD = 715
-X86_INS_VBLENDVPS = 716
-X86_INS_VBROADCASTF128 = 717
-X86_INS_VBROADCASTI128 = 718
-X86_INS_VBROADCASTSD = 719
-X86_INS_VBROADCASTSS = 720
-X86_INS_VCMPPD = 721
-X86_INS_VCMPPS = 722
-X86_INS_VCMPSD = 723
-X86_INS_VCMPSS = 724
-X86_INS_VCVTDQ2PD = 725
-X86_INS_VCVTDQ2PS = 726
-X86_INS_VCVTPD2DQX = 727
-X86_INS_VCVTPD2DQ = 728
-X86_INS_VCVTPD2PSX = 729
-X86_INS_VCVTPD2PS = 730
-X86_INS_VCVTPD2UDQ = 731
-X86_INS_VCVTPH2PS = 732
-X86_INS_VCVTPS2DQ = 733
-X86_INS_VCVTPS2PD = 734
-X86_INS_VCVTPS2PH = 735
-X86_INS_VCVTPS2UDQ = 736
-X86_INS_VCVTSD2SI = 737
-X86_INS_VCVTSD2USI = 738
-X86_INS_VCVTSS2SI = 739
-X86_INS_VCVTSS2USI = 740
-X86_INS_VCVTTPD2DQX = 741
-X86_INS_VCVTTPD2DQ = 742
-X86_INS_VCVTTPD2UDQ = 743
-X86_INS_VCVTTPS2DQ = 744
-X86_INS_VCVTTPS2UDQ = 745
-X86_INS_VCVTUDQ2PD = 746
-X86_INS_VCVTUDQ2PS = 747
-X86_INS_VDIVPD = 748
-X86_INS_VDIVPS = 749
-X86_INS_VDIVSD = 750
-X86_INS_VDIVSS = 751
-X86_INS_VDPPD = 752
-X86_INS_VDPPS = 753
-X86_INS_VERR = 754
-X86_INS_VERW = 755
-X86_INS_VEXTRACTF128 = 756
-X86_INS_VEXTRACTF32X4 = 757
-X86_INS_VEXTRACTF64X4 = 758
-X86_INS_VEXTRACTI128 = 759
-X86_INS_VEXTRACTI32X4 = 760
-X86_INS_VEXTRACTI64X4 = 761
-X86_INS_VEXTRACTPS = 762
-X86_INS_VFMADD132PD = 763
-X86_INS_VFMADD132PS = 764
-X86_INS_VFMADD213PD = 765
-X86_INS_VFMADD213PS = 766
-X86_INS_VFMADDPD = 767
-X86_INS_VFMADD231PD = 768
-X86_INS_VFMADDPS = 769
-X86_INS_VFMADD231PS = 770
-X86_INS_VFMADDSD = 771
-X86_INS_VFMADD213SD = 772
-X86_INS_VFMADD132SD = 773
-X86_INS_VFMADD231SD = 774
-X86_INS_VFMADDSS = 775
-X86_INS_VFMADD213SS = 776
-X86_INS_VFMADD132SS = 777
-X86_INS_VFMADD231SS = 778
-X86_INS_VFMADDSUB132PD = 779
-X86_INS_VFMADDSUB132PS = 780
-X86_INS_VFMADDSUB213PD = 781
-X86_INS_VFMADDSUB213PS = 782
-X86_INS_VFMADDSUBPD = 783
-X86_INS_VFMADDSUB231PD = 784
-X86_INS_VFMADDSUBPS = 785
-X86_INS_VFMADDSUB231PS = 786
-X86_INS_VFMSUB132PD = 787
-X86_INS_VFMSUB132PS = 788
-X86_INS_VFMSUB213PD = 789
-X86_INS_VFMSUB213PS = 790
-X86_INS_VFMSUBADD132PD = 791
-X86_INS_VFMSUBADD132PS = 792
-X86_INS_VFMSUBADD213PD = 793
-X86_INS_VFMSUBADD213PS = 794
-X86_INS_VFMSUBADDPD = 795
-X86_INS_VFMSUBADD231PD = 796
-X86_INS_VFMSUBADDPS = 797
-X86_INS_VFMSUBADD231PS = 798
-X86_INS_VFMSUBPD = 799
-X86_INS_VFMSUB231PD = 800
-X86_INS_VFMSUBPS = 801
-X86_INS_VFMSUB231PS = 802
-X86_INS_VFMSUBSD = 803
-X86_INS_VFMSUB213SD = 804
-X86_INS_VFMSUB132SD = 805
-X86_INS_VFMSUB231SD = 806
-X86_INS_VFMSUBSS = 807
-X86_INS_VFMSUB213SS = 808
-X86_INS_VFMSUB132SS = 809
-X86_INS_VFMSUB231SS = 810
-X86_INS_VFNMADD132PD = 811
-X86_INS_VFNMADD132PS = 812
-X86_INS_VFNMADD213PD = 813
-X86_INS_VFNMADD213PS = 814
-X86_INS_VFNMADDPD = 815
-X86_INS_VFNMADD231PD = 816
-X86_INS_VFNMADDPS = 817
-X86_INS_VFNMADD231PS = 818
-X86_INS_VFNMADDSD = 819
-X86_INS_VFNMADD213SD = 820
-X86_INS_VFNMADD132SD = 821
-X86_INS_VFNMADD231SD = 822
-X86_INS_VFNMADDSS = 823
-X86_INS_VFNMADD213SS = 824
-X86_INS_VFNMADD132SS = 825
-X86_INS_VFNMADD231SS = 826
-X86_INS_VFNMSUB132PD = 827
-X86_INS_VFNMSUB132PS = 828
-X86_INS_VFNMSUB213PD = 829
-X86_INS_VFNMSUB213PS = 830
-X86_INS_VFNMSUBPD = 831
-X86_INS_VFNMSUB231PD = 832
-X86_INS_VFNMSUBPS = 833
-X86_INS_VFNMSUB231PS = 834
-X86_INS_VFNMSUBSD = 835
-X86_INS_VFNMSUB213SD = 836
-X86_INS_VFNMSUB132SD = 837
-X86_INS_VFNMSUB231SD = 838
-X86_INS_VFNMSUBSS = 839
-X86_INS_VFNMSUB213SS = 840
-X86_INS_VFNMSUB132SS = 841
-X86_INS_VFNMSUB231SS = 842
-X86_INS_VFRCZPD = 843
-X86_INS_VFRCZPS = 844
-X86_INS_VFRCZSD = 845
-X86_INS_VFRCZSS = 846
-X86_INS_VORPD = 847
-X86_INS_VORPS = 848
-X86_INS_VXORPD = 849
-X86_INS_VXORPS = 850
-X86_INS_VGATHERDPD = 851
-X86_INS_VGATHERDPS = 852
-X86_INS_VGATHERQPD = 853
-X86_INS_VGATHERQPS = 854
-X86_INS_VHADDPD = 855
-X86_INS_VHADDPS = 856
-X86_INS_VHSUBPD = 857
-X86_INS_VHSUBPS = 858
-X86_INS_VINSERTF128 = 859
-X86_INS_VINSERTF32X4 = 860
-X86_INS_VINSERTF64X4 = 861
-X86_INS_VINSERTI128 = 862
-X86_INS_VINSERTI32X4 = 863
-X86_INS_VINSERTI64X4 = 864
-X86_INS_VINSERTPS = 865
-X86_INS_VLDDQU = 866
-X86_INS_VLDMXCSR = 867
-X86_INS_VMASKMOVDQU = 868
-X86_INS_VMASKMOVPD = 869
-X86_INS_VMASKMOVPS = 870
-X86_INS_VMAXPD = 871
-X86_INS_VMAXPS = 872
-X86_INS_VMAXSD = 873
-X86_INS_VMAXSS = 874
-X86_INS_VMCALL = 875
-X86_INS_VMCLEAR = 876
-X86_INS_VMFUNC = 877
-X86_INS_VMINPD = 878
-X86_INS_VMINPS = 879
-X86_INS_VMINSD = 880
-X86_INS_VMINSS = 881
-X86_INS_VMLAUNCH = 882
-X86_INS_VMLOAD = 883
-X86_INS_VMMCALL = 884
-X86_INS_VMOVQ = 885
-X86_INS_VMOVDDUP = 886
-X86_INS_VMOVD = 887
-X86_INS_VMOVDQA32 = 888
-X86_INS_VMOVDQA64 = 889
-X86_INS_VMOVDQA = 890
-X86_INS_VMOVDQU32 = 891
-X86_INS_VMOVDQU64 = 892
-X86_INS_VMOVDQU = 893
-X86_INS_VMOVHLPS = 894
-X86_INS_VMOVHPD = 895
-X86_INS_VMOVHPS = 896
-X86_INS_VMOVLHPS = 897
-X86_INS_VMOVLPD = 898
-X86_INS_VMOVLPS = 899
-X86_INS_VMOVMSKPD = 900
-X86_INS_VMOVMSKPS = 901
-X86_INS_VMOVNTDQA = 902
-X86_INS_VMOVNTDQ = 903
-X86_INS_VMOVNTPD = 904
-X86_INS_VMOVNTPS = 905
-X86_INS_VMOVSD = 906
-X86_INS_VMOVSHDUP = 907
-X86_INS_VMOVSLDUP = 908
-X86_INS_VMOVSS = 909
-X86_INS_VMOVUPD = 910
-X86_INS_VMOVUPS = 911
-X86_INS_VMPSADBW = 912
-X86_INS_VMPTRLD = 913
-X86_INS_VMPTRST = 914
-X86_INS_VMREAD = 915
-X86_INS_VMRESUME = 916
-X86_INS_VMRUN = 917
-X86_INS_VMSAVE = 918
-X86_INS_VMULPD = 919
-X86_INS_VMULPS = 920
-X86_INS_VMULSD = 921
-X86_INS_VMULSS = 922
-X86_INS_VMWRITE = 923
-X86_INS_VMXOFF = 924
-X86_INS_VMXON = 925
-X86_INS_VPABSB = 926
-X86_INS_VPABSD = 927
-X86_INS_VPABSQ = 928
-X86_INS_VPABSW = 929
-X86_INS_VPACKSSDW = 930
-X86_INS_VPACKSSWB = 931
-X86_INS_VPACKUSDW = 932
-X86_INS_VPACKUSWB = 933
-X86_INS_VPADDB = 934
-X86_INS_VPADDD = 935
-X86_INS_VPADDQ = 936
-X86_INS_VPADDSB = 937
-X86_INS_VPADDSW = 938
-X86_INS_VPADDUSB = 939
-X86_INS_VPADDUSW = 940
-X86_INS_VPADDW = 941
-X86_INS_VPALIGNR = 942
-X86_INS_VPANDD = 943
-X86_INS_VPANDND = 944
-X86_INS_VPANDNQ = 945
-X86_INS_VPANDN = 946
-X86_INS_VPANDQ = 947
-X86_INS_VPAND = 948
-X86_INS_VPAVGB = 949
-X86_INS_VPAVGW = 950
-X86_INS_VPBLENDD = 951
-X86_INS_VPBLENDMD = 952
-X86_INS_VPBLENDMQ = 953
-X86_INS_VPBLENDVB = 954
-X86_INS_VPBLENDW = 955
-X86_INS_VPBROADCASTB = 956
-X86_INS_VPBROADCASTD = 957
-X86_INS_VPBROADCASTMB2Q = 958
-X86_INS_VPBROADCASTMW2D = 959
-X86_INS_VPBROADCASTQ = 960
-X86_INS_VPBROADCASTW = 961
-X86_INS_VPCLMULQDQ = 962
-X86_INS_VPCMOV = 963
-X86_INS_VPCMP = 964
-X86_INS_VPCMPD = 965
-X86_INS_VPCMPEQB = 966
-X86_INS_VPCMPEQD = 967
-X86_INS_VPCMPEQQ = 968
-X86_INS_VPCMPEQW = 969
-X86_INS_VPCMPESTRI = 970
-X86_INS_VPCMPESTRM = 971
-X86_INS_VPCMPGTB = 972
-X86_INS_VPCMPGTD = 973
-X86_INS_VPCMPGTQ = 974
-X86_INS_VPCMPGTW = 975
-X86_INS_VPCMPISTRI = 976
-X86_INS_VPCMPISTRM = 977
-X86_INS_VPCMPQ = 978
-X86_INS_VPCMPUD = 979
-X86_INS_VPCMPUQ = 980
-X86_INS_VPCOMB = 981
-X86_INS_VPCOMD = 982
-X86_INS_VPCOMQ = 983
-X86_INS_VPCOMUB = 984
-X86_INS_VPCOMUD = 985
-X86_INS_VPCOMUQ = 986
-X86_INS_VPCOMUW = 987
-X86_INS_VPCOMW = 988
-X86_INS_VPCONFLICTD = 989
-X86_INS_VPCONFLICTQ = 990
-X86_INS_VPERM2F128 = 991
-X86_INS_VPERM2I128 = 992
-X86_INS_VPERMD = 993
-X86_INS_VPERMI2D = 994
-X86_INS_VPERMI2PD = 995
-X86_INS_VPERMI2PS = 996
-X86_INS_VPERMI2Q = 997
-X86_INS_VPERMIL2PD = 998
-X86_INS_VPERMIL2PS = 999
-X86_INS_VPERMILPD = 1000
-X86_INS_VPERMILPS = 1001
-X86_INS_VPERMPD = 1002
-X86_INS_VPERMPS = 1003
-X86_INS_VPERMQ = 1004
-X86_INS_VPERMT2D = 1005
-X86_INS_VPERMT2PD = 1006
-X86_INS_VPERMT2PS = 1007
-X86_INS_VPERMT2Q = 1008
-X86_INS_VPEXTRB = 1009
-X86_INS_VPEXTRD = 1010
-X86_INS_VPEXTRQ = 1011
-X86_INS_VPEXTRW = 1012
-X86_INS_VPGATHERDD = 1013
-X86_INS_VPGATHERDQ = 1014
-X86_INS_VPGATHERQD = 1015
-X86_INS_VPGATHERQQ = 1016
-X86_INS_VPHADDBD = 1017
-X86_INS_VPHADDBQ = 1018
-X86_INS_VPHADDBW = 1019
-X86_INS_VPHADDDQ = 1020
-X86_INS_VPHADDD = 1021
-X86_INS_VPHADDSW = 1022
-X86_INS_VPHADDUBD = 1023
-X86_INS_VPHADDUBQ = 1024
-X86_INS_VPHADDUBW = 1025
-X86_INS_VPHADDUDQ = 1026
-X86_INS_VPHADDUWD = 1027
-X86_INS_VPHADDUWQ = 1028
-X86_INS_VPHADDWD = 1029
-X86_INS_VPHADDWQ = 1030
-X86_INS_VPHADDW = 1031
-X86_INS_VPHMINPOSUW = 1032
-X86_INS_VPHSUBBW = 1033
-X86_INS_VPHSUBDQ = 1034
-X86_INS_VPHSUBD = 1035
-X86_INS_VPHSUBSW = 1036
-X86_INS_VPHSUBWD = 1037
-X86_INS_VPHSUBW = 1038
-X86_INS_VPINSRB = 1039
-X86_INS_VPINSRD = 1040
-X86_INS_VPINSRQ = 1041
-X86_INS_VPINSRW = 1042
-X86_INS_VPMACSDD = 1043
-X86_INS_VPMACSDQH = 1044
-X86_INS_VPMACSDQL = 1045
-X86_INS_VPMACSSDD = 1046
-X86_INS_VPMACSSDQH = 1047
-X86_INS_VPMACSSDQL = 1048
-X86_INS_VPMACSSWD = 1049
-X86_INS_VPMACSSWW = 1050
-X86_INS_VPMACSWD = 1051
-X86_INS_VPMACSWW = 1052
-X86_INS_VPMADCSSWD = 1053
-X86_INS_VPMADCSWD = 1054
-X86_INS_VPMADDUBSW = 1055
-X86_INS_VPMADDWD = 1056
-X86_INS_VPMASKMOVD = 1057
-X86_INS_VPMASKMOVQ = 1058
-X86_INS_VPMAXSB = 1059
-X86_INS_VPMAXSD = 1060
-X86_INS_VPMAXSQ = 1061
-X86_INS_VPMAXSW = 1062
-X86_INS_VPMAXUB = 1063
-X86_INS_VPMAXUD = 1064
-X86_INS_VPMAXUQ = 1065
-X86_INS_VPMAXUW = 1066
-X86_INS_VPMINSB = 1067
-X86_INS_VPMINSD = 1068
-X86_INS_VPMINSQ = 1069
-X86_INS_VPMINSW = 1070
-X86_INS_VPMINUB = 1071
-X86_INS_VPMINUD = 1072
-X86_INS_VPMINUQ = 1073
-X86_INS_VPMINUW = 1074
-X86_INS_VPMOVDB = 1075
-X86_INS_VPMOVDW = 1076
-X86_INS_VPMOVMSKB = 1077
-X86_INS_VPMOVQB = 1078
-X86_INS_VPMOVQD = 1079
-X86_INS_VPMOVQW = 1080
-X86_INS_VPMOVSDB = 1081
-X86_INS_VPMOVSDW = 1082
-X86_INS_VPMOVSQB = 1083
-X86_INS_VPMOVSQD = 1084
-X86_INS_VPMOVSQW = 1085
-X86_INS_VPMOVSXBD = 1086
-X86_INS_VPMOVSXBQ = 1087
-X86_INS_VPMOVSXBW = 1088
-X86_INS_VPMOVSXDQ = 1089
-X86_INS_VPMOVSXWD = 1090
-X86_INS_VPMOVSXWQ = 1091
-X86_INS_VPMOVUSDB = 1092
-X86_INS_VPMOVUSDW = 1093
-X86_INS_VPMOVUSQB = 1094
-X86_INS_VPMOVUSQD = 1095
-X86_INS_VPMOVUSQW = 1096
-X86_INS_VPMOVZXBD = 1097
-X86_INS_VPMOVZXBQ = 1098
-X86_INS_VPMOVZXBW = 1099
-X86_INS_VPMOVZXDQ = 1100
-X86_INS_VPMOVZXWD = 1101
-X86_INS_VPMOVZXWQ = 1102
-X86_INS_VPMULDQ = 1103
-X86_INS_VPMULHRSW = 1104
-X86_INS_VPMULHUW = 1105
-X86_INS_VPMULHW = 1106
-X86_INS_VPMULLD = 1107
-X86_INS_VPMULLW = 1108
-X86_INS_VPMULUDQ = 1109
-X86_INS_VPORD = 1110
-X86_INS_VPORQ = 1111
-X86_INS_VPOR = 1112
-X86_INS_VPPERM = 1113
-X86_INS_VPROTB = 1114
-X86_INS_VPROTD = 1115
-X86_INS_VPROTQ = 1116
-X86_INS_VPROTW = 1117
-X86_INS_VPSADBW = 1118
-X86_INS_VPSCATTERDD = 1119
-X86_INS_VPSCATTERDQ = 1120
-X86_INS_VPSCATTERQD = 1121
-X86_INS_VPSCATTERQQ = 1122
-X86_INS_VPSHAB = 1123
-X86_INS_VPSHAD = 1124
-X86_INS_VPSHAQ = 1125
-X86_INS_VPSHAW = 1126
-X86_INS_VPSHLB = 1127
-X86_INS_VPSHLD = 1128
-X86_INS_VPSHLQ = 1129
-X86_INS_VPSHLW = 1130
-X86_INS_VPSHUFB = 1131
-X86_INS_VPSHUFD = 1132
-X86_INS_VPSHUFHW = 1133
-X86_INS_VPSHUFLW = 1134
-X86_INS_VPSIGNB = 1135
-X86_INS_VPSIGND = 1136
-X86_INS_VPSIGNW = 1137
-X86_INS_VPSLLDQ = 1138
-X86_INS_VPSLLD = 1139
-X86_INS_VPSLLQ = 1140
-X86_INS_VPSLLVD = 1141
-X86_INS_VPSLLVQ = 1142
-X86_INS_VPSLLW = 1143
-X86_INS_VPSRAD = 1144
-X86_INS_VPSRAQ = 1145
-X86_INS_VPSRAVD = 1146
-X86_INS_VPSRAVQ = 1147
-X86_INS_VPSRAW = 1148
-X86_INS_VPSRLDQ = 1149
-X86_INS_VPSRLD = 1150
-X86_INS_VPSRLQ = 1151
-X86_INS_VPSRLVD = 1152
-X86_INS_VPSRLVQ = 1153
-X86_INS_VPSRLW = 1154
-X86_INS_VPSUBB = 1155
-X86_INS_VPSUBD = 1156
-X86_INS_VPSUBQ = 1157
-X86_INS_VPSUBSB = 1158
-X86_INS_VPSUBSW = 1159
-X86_INS_VPSUBUSB = 1160
-X86_INS_VPSUBUSW = 1161
-X86_INS_VPSUBW = 1162
-X86_INS_VPTESTMD = 1163
-X86_INS_VPTESTMQ = 1164
-X86_INS_VPTESTNMD = 1165
-X86_INS_VPTESTNMQ = 1166
-X86_INS_VPTEST = 1167
-X86_INS_VPUNPCKHBW = 1168
-X86_INS_VPUNPCKHDQ = 1169
-X86_INS_VPUNPCKHQDQ = 1170
-X86_INS_VPUNPCKHWD = 1171
-X86_INS_VPUNPCKLBW = 1172
-X86_INS_VPUNPCKLDQ = 1173
-X86_INS_VPUNPCKLQDQ = 1174
-X86_INS_VPUNPCKLWD = 1175
-X86_INS_VPXORD = 1176
-X86_INS_VPXORQ = 1177
-X86_INS_VPXOR = 1178
-X86_INS_VRCP14PD = 1179
-X86_INS_VRCP14PS = 1180
-X86_INS_VRCP14SD = 1181
-X86_INS_VRCP14SS = 1182
-X86_INS_VRCP28PD = 1183
-X86_INS_VRCP28PS = 1184
-X86_INS_VRCP28SD = 1185
-X86_INS_VRCP28SS = 1186
-X86_INS_VRCPPS = 1187
-X86_INS_VRCPSS = 1188
-X86_INS_VRNDSCALEPD = 1189
-X86_INS_VRNDSCALEPS = 1190
-X86_INS_VRNDSCALESD = 1191
-X86_INS_VRNDSCALESS = 1192
-X86_INS_VROUNDPD = 1193
-X86_INS_VROUNDPS = 1194
-X86_INS_VROUNDSD = 1195
-X86_INS_VROUNDSS = 1196
-X86_INS_VRSQRT14PD = 1197
-X86_INS_VRSQRT14PS = 1198
-X86_INS_VRSQRT14SD = 1199
-X86_INS_VRSQRT14SS = 1200
-X86_INS_VRSQRT28PD = 1201
-X86_INS_VRSQRT28PS = 1202
-X86_INS_VRSQRT28SD = 1203
-X86_INS_VRSQRT28SS = 1204
-X86_INS_VRSQRTPS = 1205
-X86_INS_VRSQRTSS = 1206
-X86_INS_VSCATTERDPD = 1207
-X86_INS_VSCATTERDPS = 1208
-X86_INS_VSCATTERQPD = 1209
-X86_INS_VSCATTERQPS = 1210
-X86_INS_VSHUFPD = 1211
-X86_INS_VSHUFPS = 1212
-X86_INS_VSQRTPD = 1213
-X86_INS_VSQRTPS = 1214
-X86_INS_VSQRTSD = 1215
-X86_INS_VSQRTSS = 1216
-X86_INS_VSTMXCSR = 1217
-X86_INS_VSUBPD = 1218
-X86_INS_VSUBPS = 1219
-X86_INS_VSUBSD = 1220
-X86_INS_VSUBSS = 1221
-X86_INS_VTESTPD = 1222
-X86_INS_VTESTPS = 1223
-X86_INS_VUNPCKHPD = 1224
-X86_INS_VUNPCKHPS = 1225
-X86_INS_VUNPCKLPD = 1226
-X86_INS_VUNPCKLPS = 1227
-X86_INS_VZEROALL = 1228
-X86_INS_VZEROUPPER = 1229
-X86_INS_WAIT = 1230
-X86_INS_WBINVD = 1231
-X86_INS_WRFSBASE = 1232
-X86_INS_WRGSBASE = 1233
-X86_INS_WRMSR = 1234
-X86_INS_XABORT = 1235
-X86_INS_XACQUIRE = 1236
-X86_INS_XBEGIN = 1237
-X86_INS_XCHG = 1238
-X86_INS_FXCH = 1239
-X86_INS_XCRYPTCBC = 1240
-X86_INS_XCRYPTCFB = 1241
-X86_INS_XCRYPTCTR = 1242
-X86_INS_XCRYPTECB = 1243
-X86_INS_XCRYPTOFB = 1244
-X86_INS_XEND = 1245
-X86_INS_XGETBV = 1246
-X86_INS_XLATB = 1247
-X86_INS_XRELEASE = 1248
-X86_INS_XRSTOR = 1249
-X86_INS_XRSTOR64 = 1250
-X86_INS_XSAVE = 1251
-X86_INS_XSAVE64 = 1252
-X86_INS_XSAVEOPT = 1253
-X86_INS_XSAVEOPT64 = 1254
-X86_INS_XSETBV = 1255
-X86_INS_XSHA1 = 1256
-X86_INS_XSHA256 = 1257
-X86_INS_XSTORE = 1258
-X86_INS_XTEST = 1259
-X86_INS_MAX = 1260
+X86_INS_INSB = 222
+X86_INS_INSERTPS = 223
+X86_INS_INSERTQ = 224
+X86_INS_INSD = 225
+X86_INS_INSW = 226
+X86_INS_INT = 227
+X86_INS_INT1 = 228
+X86_INS_INT3 = 229
+X86_INS_INTO = 230
+X86_INS_INVD = 231
+X86_INS_INVEPT = 232
+X86_INS_INVLPG = 233
+X86_INS_INVLPGA = 234
+X86_INS_INVPCID = 235
+X86_INS_INVVPID = 236
+X86_INS_IRET = 237
+X86_INS_IRETD = 238
+X86_INS_IRETQ = 239
+X86_INS_FISTTP = 240
+X86_INS_FIST = 241
+X86_INS_FISTP = 242
+X86_INS_UCOMISD = 243
+X86_INS_UCOMISS = 244
+X86_INS_VCMP = 245
+X86_INS_VCOMISD = 246
+X86_INS_VCOMISS = 247
+X86_INS_VCVTSD2SS = 248
+X86_INS_VCVTSI2SD = 249
+X86_INS_VCVTSI2SS = 250
+X86_INS_VCVTSS2SD = 251
+X86_INS_VCVTTSD2SI = 252
+X86_INS_VCVTTSD2USI = 253
+X86_INS_VCVTTSS2SI = 254
+X86_INS_VCVTTSS2USI = 255
+X86_INS_VCVTUSI2SD = 256
+X86_INS_VCVTUSI2SS = 257
+X86_INS_VUCOMISD = 258
+X86_INS_VUCOMISS = 259
+X86_INS_JAE = 260
+X86_INS_JA = 261
+X86_INS_JBE = 262
+X86_INS_JB = 263
+X86_INS_JCXZ = 264
+X86_INS_JECXZ = 265
+X86_INS_JE = 266
+X86_INS_JGE = 267
+X86_INS_JG = 268
+X86_INS_JLE = 269
+X86_INS_JL = 270
+X86_INS_JMP = 271
+X86_INS_JNE = 272
+X86_INS_JNO = 273
+X86_INS_JNP = 274
+X86_INS_JNS = 275
+X86_INS_JO = 276
+X86_INS_JP = 277
+X86_INS_JRCXZ = 278
+X86_INS_JS = 279
+X86_INS_KANDB = 280
+X86_INS_KANDD = 281
+X86_INS_KANDNB = 282
+X86_INS_KANDND = 283
+X86_INS_KANDNQ = 284
+X86_INS_KANDNW = 285
+X86_INS_KANDQ = 286
+X86_INS_KANDW = 287
+X86_INS_KMOVB = 288
+X86_INS_KMOVD = 289
+X86_INS_KMOVQ = 290
+X86_INS_KMOVW = 291
+X86_INS_KNOTB = 292
+X86_INS_KNOTD = 293
+X86_INS_KNOTQ = 294
+X86_INS_KNOTW = 295
+X86_INS_KORB = 296
+X86_INS_KORD = 297
+X86_INS_KORQ = 298
+X86_INS_KORTESTW = 299
+X86_INS_KORW = 300
+X86_INS_KSHIFTLW = 301
+X86_INS_KSHIFTRW = 302
+X86_INS_KUNPCKBW = 303
+X86_INS_KXNORB = 304
+X86_INS_KXNORD = 305
+X86_INS_KXNORQ = 306
+X86_INS_KXNORW = 307
+X86_INS_KXORB = 308
+X86_INS_KXORD = 309
+X86_INS_KXORQ = 310
+X86_INS_KXORW = 311
+X86_INS_LAHF = 312
+X86_INS_LAR = 313
+X86_INS_LDDQU = 314
+X86_INS_LDMXCSR = 315
+X86_INS_LDS = 316
+X86_INS_FLDZ = 317
+X86_INS_FLD1 = 318
+X86_INS_FLD = 319
+X86_INS_LEA = 320
+X86_INS_LEAVE = 321
+X86_INS_LES = 322
+X86_INS_LFENCE = 323
+X86_INS_LFS = 324
+X86_INS_LGDT = 325
+X86_INS_LGS = 326
+X86_INS_LIDT = 327
+X86_INS_LLDT = 328
+X86_INS_LMSW = 329
+X86_INS_OR = 330
+X86_INS_LOCK = 331
+X86_INS_SUB = 332
+X86_INS_XOR = 333
+X86_INS_LODSB = 334
+X86_INS_LODSD = 335
+X86_INS_LODSQ = 336
+X86_INS_LODSW = 337
+X86_INS_LOOP = 338
+X86_INS_LOOPE = 339
+X86_INS_LOOPNE = 340
+X86_INS_RETF = 341
+X86_INS_RETFQ = 342
+X86_INS_LSL = 343
+X86_INS_LSS = 344
+X86_INS_LTR = 345
+X86_INS_XADD = 346
+X86_INS_LZCNT = 347
+X86_INS_MASKMOVDQU = 348
+X86_INS_MAXPD = 349
+X86_INS_MAXPS = 350
+X86_INS_MAXSD = 351
+X86_INS_MAXSS = 352
+X86_INS_MFENCE = 353
+X86_INS_MINPD = 354
+X86_INS_MINPS = 355
+X86_INS_MINSD = 356
+X86_INS_MINSS = 357
+X86_INS_CVTPD2PI = 358
+X86_INS_CVTPI2PD = 359
+X86_INS_CVTPI2PS = 360
+X86_INS_CVTPS2PI = 361
+X86_INS_CVTTPD2PI = 362
+X86_INS_CVTTPS2PI = 363
+X86_INS_EMMS = 364
+X86_INS_MASKMOVQ = 365
+X86_INS_MOVD = 366
+X86_INS_MOVDQ2Q = 367
+X86_INS_MOVNTQ = 368
+X86_INS_MOVQ2DQ = 369
+X86_INS_MOVQ = 370
+X86_INS_PABSB = 371
+X86_INS_PABSD = 372
+X86_INS_PABSW = 373
+X86_INS_PACKSSDW = 374
+X86_INS_PACKSSWB = 375
+X86_INS_PACKUSWB = 376
+X86_INS_PADDB = 377
+X86_INS_PADDD = 378
+X86_INS_PADDQ = 379
+X86_INS_PADDSB = 380
+X86_INS_PADDSW = 381
+X86_INS_PADDUSB = 382
+X86_INS_PADDUSW = 383
+X86_INS_PADDW = 384
+X86_INS_PALIGNR = 385
+X86_INS_PANDN = 386
+X86_INS_PAND = 387
+X86_INS_PAVGB = 388
+X86_INS_PAVGW = 389
+X86_INS_PCMPEQB = 390
+X86_INS_PCMPEQD = 391
+X86_INS_PCMPEQW = 392
+X86_INS_PCMPGTB = 393
+X86_INS_PCMPGTD = 394
+X86_INS_PCMPGTW = 395
+X86_INS_PEXTRW = 396
+X86_INS_PHADDSW = 397
+X86_INS_PHADDW = 398
+X86_INS_PHADDD = 399
+X86_INS_PHSUBD = 400
+X86_INS_PHSUBSW = 401
+X86_INS_PHSUBW = 402
+X86_INS_PINSRW = 403
+X86_INS_PMADDUBSW = 404
+X86_INS_PMADDWD = 405
+X86_INS_PMAXSW = 406
+X86_INS_PMAXUB = 407
+X86_INS_PMINSW = 408
+X86_INS_PMINUB = 409
+X86_INS_PMOVMSKB = 410
+X86_INS_PMULHRSW = 411
+X86_INS_PMULHUW = 412
+X86_INS_PMULHW = 413
+X86_INS_PMULLW = 414
+X86_INS_PMULUDQ = 415
+X86_INS_POR = 416
+X86_INS_PSADBW = 417
+X86_INS_PSHUFB = 418
+X86_INS_PSHUFW = 419
+X86_INS_PSIGNB = 420
+X86_INS_PSIGND = 421
+X86_INS_PSIGNW = 422
+X86_INS_PSLLD = 423
+X86_INS_PSLLQ = 424
+X86_INS_PSLLW = 425
+X86_INS_PSRAD = 426
+X86_INS_PSRAW = 427
+X86_INS_PSRLD = 428
+X86_INS_PSRLQ = 429
+X86_INS_PSRLW = 430
+X86_INS_PSUBB = 431
+X86_INS_PSUBD = 432
+X86_INS_PSUBQ = 433
+X86_INS_PSUBSB = 434
+X86_INS_PSUBSW = 435
+X86_INS_PSUBUSB = 436
+X86_INS_PSUBUSW = 437
+X86_INS_PSUBW = 438
+X86_INS_PUNPCKHBW = 439
+X86_INS_PUNPCKHDQ = 440
+X86_INS_PUNPCKHWD = 441
+X86_INS_PUNPCKLBW = 442
+X86_INS_PUNPCKLDQ = 443
+X86_INS_PUNPCKLWD = 444
+X86_INS_PXOR = 445
+X86_INS_MONITOR = 446
+X86_INS_MONTMUL = 447
+X86_INS_MOV = 448
+X86_INS_MOVABS = 449
+X86_INS_MOVBE = 450
+X86_INS_MOVDDUP = 451
+X86_INS_MOVDQA = 452
+X86_INS_MOVDQU = 453
+X86_INS_MOVHLPS = 454
+X86_INS_MOVHPD = 455
+X86_INS_MOVHPS = 456
+X86_INS_MOVLHPS = 457
+X86_INS_MOVLPD = 458
+X86_INS_MOVLPS = 459
+X86_INS_MOVMSKPD = 460
+X86_INS_MOVMSKPS = 461
+X86_INS_MOVNTDQA = 462
+X86_INS_MOVNTDQ = 463
+X86_INS_MOVNTI = 464
+X86_INS_MOVNTPD = 465
+X86_INS_MOVNTPS = 466
+X86_INS_MOVNTSD = 467
+X86_INS_MOVNTSS = 468
+X86_INS_MOVSB = 469
+X86_INS_MOVSD = 470
+X86_INS_MOVSHDUP = 471
+X86_INS_MOVSLDUP = 472
+X86_INS_MOVSQ = 473
+X86_INS_MOVSS = 474
+X86_INS_MOVSW = 475
+X86_INS_MOVSX = 476
+X86_INS_MOVSXD = 477
+X86_INS_MOVUPD = 478
+X86_INS_MOVUPS = 479
+X86_INS_MOVZX = 480
+X86_INS_MPSADBW = 481
+X86_INS_MUL = 482
+X86_INS_MULPD = 483
+X86_INS_MULPS = 484
+X86_INS_MULSD = 485
+X86_INS_MULSS = 486
+X86_INS_MULX = 487
+X86_INS_FMUL = 488
+X86_INS_FIMUL = 489
+X86_INS_FMULP = 490
+X86_INS_MWAIT = 491
+X86_INS_NEG = 492
+X86_INS_NOP = 493
+X86_INS_NOT = 494
+X86_INS_OUT = 495
+X86_INS_OUTSB = 496
+X86_INS_OUTSD = 497
+X86_INS_OUTSW = 498
+X86_INS_PACKUSDW = 499
+X86_INS_PAUSE = 500
+X86_INS_PAVGUSB = 501
+X86_INS_PBLENDVB = 502
+X86_INS_PBLENDW = 503
+X86_INS_PCLMULQDQ = 504
+X86_INS_PCMPEQQ = 505
+X86_INS_PCMPESTRI = 506
+X86_INS_PCMPESTRM = 507
+X86_INS_PCMPGTQ = 508
+X86_INS_PCMPISTRI = 509
+X86_INS_PCMPISTRM = 510
+X86_INS_PDEP = 511
+X86_INS_PEXT = 512
+X86_INS_PEXTRB = 513
+X86_INS_PEXTRD = 514
+X86_INS_PEXTRQ = 515
+X86_INS_PF2ID = 516
+X86_INS_PF2IW = 517
+X86_INS_PFACC = 518
+X86_INS_PFADD = 519
+X86_INS_PFCMPEQ = 520
+X86_INS_PFCMPGE = 521
+X86_INS_PFCMPGT = 522
+X86_INS_PFMAX = 523
+X86_INS_PFMIN = 524
+X86_INS_PFMUL = 525
+X86_INS_PFNACC = 526
+X86_INS_PFPNACC = 527
+X86_INS_PFRCPIT1 = 528
+X86_INS_PFRCPIT2 = 529
+X86_INS_PFRCP = 530
+X86_INS_PFRSQIT1 = 531
+X86_INS_PFRSQRT = 532
+X86_INS_PFSUBR = 533
+X86_INS_PFSUB = 534
+X86_INS_PHMINPOSUW = 535
+X86_INS_PI2FD = 536
+X86_INS_PI2FW = 537
+X86_INS_PINSRB = 538
+X86_INS_PINSRD = 539
+X86_INS_PINSRQ = 540
+X86_INS_PMAXSB = 541
+X86_INS_PMAXSD = 542
+X86_INS_PMAXUD = 543
+X86_INS_PMAXUW = 544
+X86_INS_PMINSB = 545
+X86_INS_PMINSD = 546
+X86_INS_PMINUD = 547
+X86_INS_PMINUW = 548
+X86_INS_PMOVSXBD = 549
+X86_INS_PMOVSXBQ = 550
+X86_INS_PMOVSXBW = 551
+X86_INS_PMOVSXDQ = 552
+X86_INS_PMOVSXWD = 553
+X86_INS_PMOVSXWQ = 554
+X86_INS_PMOVZXBD = 555
+X86_INS_PMOVZXBQ = 556
+X86_INS_PMOVZXBW = 557
+X86_INS_PMOVZXDQ = 558
+X86_INS_PMOVZXWD = 559
+X86_INS_PMOVZXWQ = 560
+X86_INS_PMULDQ = 561
+X86_INS_PMULHRW = 562
+X86_INS_PMULLD = 563
+X86_INS_POP = 564
+X86_INS_POPAW = 565
+X86_INS_POPAL = 566
+X86_INS_POPCNT = 567
+X86_INS_POPF = 568
+X86_INS_POPFD = 569
+X86_INS_POPFQ = 570
+X86_INS_PREFETCH = 571
+X86_INS_PREFETCHNTA = 572
+X86_INS_PREFETCHT0 = 573
+X86_INS_PREFETCHT1 = 574
+X86_INS_PREFETCHT2 = 575
+X86_INS_PREFETCHW = 576
+X86_INS_PSHUFD = 577
+X86_INS_PSHUFHW = 578
+X86_INS_PSHUFLW = 579
+X86_INS_PSLLDQ = 580
+X86_INS_PSRLDQ = 581
+X86_INS_PSWAPD = 582
+X86_INS_PTEST = 583
+X86_INS_PUNPCKHQDQ = 584
+X86_INS_PUNPCKLQDQ = 585
+X86_INS_PUSH = 586
+X86_INS_PUSHAW = 587
+X86_INS_PUSHAL = 588
+X86_INS_PUSHF = 589
+X86_INS_PUSHFD = 590
+X86_INS_PUSHFQ = 591
+X86_INS_RCL = 592
+X86_INS_RCPPS = 593
+X86_INS_RCPSS = 594
+X86_INS_RCR = 595
+X86_INS_RDFSBASE = 596
+X86_INS_RDGSBASE = 597
+X86_INS_RDMSR = 598
+X86_INS_RDPMC = 599
+X86_INS_RDRAND = 600
+X86_INS_RDSEED = 601
+X86_INS_RDTSC = 602
+X86_INS_RDTSCP = 603
+X86_INS_REPNE = 604
+X86_INS_REP = 605
+X86_INS_ROL = 606
+X86_INS_ROR = 607
+X86_INS_RORX = 608
+X86_INS_ROUNDPD = 609
+X86_INS_ROUNDPS = 610
+X86_INS_ROUNDSD = 611
+X86_INS_ROUNDSS = 612
+X86_INS_RSM = 613
+X86_INS_RSQRTPS = 614
+X86_INS_RSQRTSS = 615
+X86_INS_SAHF = 616
+X86_INS_SAL = 617
+X86_INS_SALC = 618
+X86_INS_SAR = 619
+X86_INS_SARX = 620
+X86_INS_SBB = 621
+X86_INS_SCASB = 622
+X86_INS_SCASD = 623
+X86_INS_SCASQ = 624
+X86_INS_SCASW = 625
+X86_INS_SETAE = 626
+X86_INS_SETA = 627
+X86_INS_SETBE = 628
+X86_INS_SETB = 629
+X86_INS_SETE = 630
+X86_INS_SETGE = 631
+X86_INS_SETG = 632
+X86_INS_SETLE = 633
+X86_INS_SETL = 634
+X86_INS_SETNE = 635
+X86_INS_SETNO = 636
+X86_INS_SETNP = 637
+X86_INS_SETNS = 638
+X86_INS_SETO = 639
+X86_INS_SETP = 640
+X86_INS_SETS = 641
+X86_INS_SFENCE = 642
+X86_INS_SGDT = 643
+X86_INS_SHA1MSG1 = 644
+X86_INS_SHA1MSG2 = 645
+X86_INS_SHA1NEXTE = 646
+X86_INS_SHA1RNDS4 = 647
+X86_INS_SHA256MSG1 = 648
+X86_INS_SHA256MSG2 = 649
+X86_INS_SHA256RNDS2 = 650
+X86_INS_SHL = 651
+X86_INS_SHLD = 652
+X86_INS_SHLX = 653
+X86_INS_SHR = 654
+X86_INS_SHRD = 655
+X86_INS_SHRX = 656
+X86_INS_SHUFPD = 657
+X86_INS_SHUFPS = 658
+X86_INS_SIDT = 659
+X86_INS_FSIN = 660
+X86_INS_SKINIT = 661
+X86_INS_SLDT = 662
+X86_INS_SMSW = 663
+X86_INS_SQRTPD = 664
+X86_INS_SQRTPS = 665
+X86_INS_SQRTSD = 666
+X86_INS_SQRTSS = 667
+X86_INS_FSQRT = 668
+X86_INS_SS = 669
+X86_INS_STAC = 670
+X86_INS_STC = 671
+X86_INS_STD = 672
+X86_INS_STGI = 673
+X86_INS_STI = 674
+X86_INS_STMXCSR = 675
+X86_INS_STOSB = 676
+X86_INS_STOSD = 677
+X86_INS_STOSQ = 678
+X86_INS_STOSW = 679
+X86_INS_STR = 680
+X86_INS_FST = 681
+X86_INS_FSTP = 682
+X86_INS_FSTPNCE = 683
+X86_INS_SUBPD = 684
+X86_INS_SUBPS = 685
+X86_INS_FSUBR = 686
+X86_INS_FISUBR = 687
+X86_INS_FSUBRP = 688
+X86_INS_SUBSD = 689
+X86_INS_SUBSS = 690
+X86_INS_FSUB = 691
+X86_INS_FISUB = 692
+X86_INS_FSUBP = 693
+X86_INS_SWAPGS = 694
+X86_INS_SYSCALL = 695
+X86_INS_SYSENTER = 696
+X86_INS_SYSEXIT = 697
+X86_INS_SYSRET = 698
+X86_INS_T1MSKC = 699
+X86_INS_TEST = 700
+X86_INS_UD2 = 701
+X86_INS_FTST = 702
+X86_INS_TZCNT = 703
+X86_INS_TZMSK = 704
+X86_INS_FUCOMPI = 705
+X86_INS_FUCOMI = 706
+X86_INS_FUCOMPP = 707
+X86_INS_FUCOMP = 708
+X86_INS_FUCOM = 709
+X86_INS_UD2B = 710
+X86_INS_UNPCKHPD = 711
+X86_INS_UNPCKHPS = 712
+X86_INS_UNPCKLPD = 713
+X86_INS_UNPCKLPS = 714
+X86_INS_VADDPD = 715
+X86_INS_VADDPS = 716
+X86_INS_VADDSD = 717
+X86_INS_VADDSS = 718
+X86_INS_VADDSUBPD = 719
+X86_INS_VADDSUBPS = 720
+X86_INS_VAESDECLAST = 721
+X86_INS_VAESDEC = 722
+X86_INS_VAESENCLAST = 723
+X86_INS_VAESENC = 724
+X86_INS_VAESIMC = 725
+X86_INS_VAESKEYGENASSIST = 726
+X86_INS_VALIGND = 727
+X86_INS_VALIGNQ = 728
+X86_INS_VANDNPD = 729
+X86_INS_VANDNPS = 730
+X86_INS_VANDPD = 731
+X86_INS_VANDPS = 732
+X86_INS_VBLENDMPD = 733
+X86_INS_VBLENDMPS = 734
+X86_INS_VBLENDPD = 735
+X86_INS_VBLENDPS = 736
+X86_INS_VBLENDVPD = 737
+X86_INS_VBLENDVPS = 738
+X86_INS_VBROADCASTF128 = 739
+X86_INS_VBROADCASTI128 = 740
+X86_INS_VBROADCASTI32X4 = 741
+X86_INS_VBROADCASTI64X4 = 742
+X86_INS_VBROADCASTSD = 743
+X86_INS_VBROADCASTSS = 744
+X86_INS_VCMPPD = 745
+X86_INS_VCMPPS = 746
+X86_INS_VCMPSD = 747
+X86_INS_VCMPSS = 748
+X86_INS_VCVTDQ2PD = 749
+X86_INS_VCVTDQ2PS = 750
+X86_INS_VCVTPD2DQX = 751
+X86_INS_VCVTPD2DQ = 752
+X86_INS_VCVTPD2PSX = 753
+X86_INS_VCVTPD2PS = 754
+X86_INS_VCVTPD2UDQ = 755
+X86_INS_VCVTPH2PS = 756
+X86_INS_VCVTPS2DQ = 757
+X86_INS_VCVTPS2PD = 758
+X86_INS_VCVTPS2PH = 759
+X86_INS_VCVTPS2UDQ = 760
+X86_INS_VCVTSD2SI = 761
+X86_INS_VCVTSD2USI = 762
+X86_INS_VCVTSS2SI = 763
+X86_INS_VCVTSS2USI = 764
+X86_INS_VCVTTPD2DQX = 765
+X86_INS_VCVTTPD2DQ = 766
+X86_INS_VCVTTPD2UDQ = 767
+X86_INS_VCVTTPS2DQ = 768
+X86_INS_VCVTTPS2UDQ = 769
+X86_INS_VCVTUDQ2PD = 770
+X86_INS_VCVTUDQ2PS = 771
+X86_INS_VDIVPD = 772
+X86_INS_VDIVPS = 773
+X86_INS_VDIVSD = 774
+X86_INS_VDIVSS = 775
+X86_INS_VDPPD = 776
+X86_INS_VDPPS = 777
+X86_INS_VERR = 778
+X86_INS_VERW = 779
+X86_INS_VEXTRACTF128 = 780
+X86_INS_VEXTRACTF32X4 = 781
+X86_INS_VEXTRACTF64X4 = 782
+X86_INS_VEXTRACTI128 = 783
+X86_INS_VEXTRACTI32X4 = 784
+X86_INS_VEXTRACTI64X4 = 785
+X86_INS_VEXTRACTPS = 786
+X86_INS_VFMADD132PD = 787
+X86_INS_VFMADD132PS = 788
+X86_INS_VFMADD213PD = 789
+X86_INS_VFMADD213PS = 790
+X86_INS_VFMADDPD = 791
+X86_INS_VFMADD231PD = 792
+X86_INS_VFMADDPS = 793
+X86_INS_VFMADD231PS = 794
+X86_INS_VFMADDSD = 795
+X86_INS_VFMADD213SD = 796
+X86_INS_VFMADD132SD = 797
+X86_INS_VFMADD231SD = 798
+X86_INS_VFMADDSS = 799
+X86_INS_VFMADD213SS = 800
+X86_INS_VFMADD132SS = 801
+X86_INS_VFMADD231SS = 802
+X86_INS_VFMADDSUB132PD = 803
+X86_INS_VFMADDSUB132PS = 804
+X86_INS_VFMADDSUB213PD = 805
+X86_INS_VFMADDSUB213PS = 806
+X86_INS_VFMADDSUBPD = 807
+X86_INS_VFMADDSUB231PD = 808
+X86_INS_VFMADDSUBPS = 809
+X86_INS_VFMADDSUB231PS = 810
+X86_INS_VFMSUB132PD = 811
+X86_INS_VFMSUB132PS = 812
+X86_INS_VFMSUB213PD = 813
+X86_INS_VFMSUB213PS = 814
+X86_INS_VFMSUBADD132PD = 815
+X86_INS_VFMSUBADD132PS = 816
+X86_INS_VFMSUBADD213PD = 817
+X86_INS_VFMSUBADD213PS = 818
+X86_INS_VFMSUBADDPD = 819
+X86_INS_VFMSUBADD231PD = 820
+X86_INS_VFMSUBADDPS = 821
+X86_INS_VFMSUBADD231PS = 822
+X86_INS_VFMSUBPD = 823
+X86_INS_VFMSUB231PD = 824
+X86_INS_VFMSUBPS = 825
+X86_INS_VFMSUB231PS = 826
+X86_INS_VFMSUBSD = 827
+X86_INS_VFMSUB213SD = 828
+X86_INS_VFMSUB132SD = 829
+X86_INS_VFMSUB231SD = 830
+X86_INS_VFMSUBSS = 831
+X86_INS_VFMSUB213SS = 832
+X86_INS_VFMSUB132SS = 833
+X86_INS_VFMSUB231SS = 834
+X86_INS_VFNMADD132PD = 835
+X86_INS_VFNMADD132PS = 836
+X86_INS_VFNMADD213PD = 837
+X86_INS_VFNMADD213PS = 838
+X86_INS_VFNMADDPD = 839
+X86_INS_VFNMADD231PD = 840
+X86_INS_VFNMADDPS = 841
+X86_INS_VFNMADD231PS = 842
+X86_INS_VFNMADDSD = 843
+X86_INS_VFNMADD213SD = 844
+X86_INS_VFNMADD132SD = 845
+X86_INS_VFNMADD231SD = 846
+X86_INS_VFNMADDSS = 847
+X86_INS_VFNMADD213SS = 848
+X86_INS_VFNMADD132SS = 849
+X86_INS_VFNMADD231SS = 850
+X86_INS_VFNMSUB132PD = 851
+X86_INS_VFNMSUB132PS = 852
+X86_INS_VFNMSUB213PD = 853
+X86_INS_VFNMSUB213PS = 854
+X86_INS_VFNMSUBPD = 855
+X86_INS_VFNMSUB231PD = 856
+X86_INS_VFNMSUBPS = 857
+X86_INS_VFNMSUB231PS = 858
+X86_INS_VFNMSUBSD = 859
+X86_INS_VFNMSUB213SD = 860
+X86_INS_VFNMSUB132SD = 861
+X86_INS_VFNMSUB231SD = 862
+X86_INS_VFNMSUBSS = 863
+X86_INS_VFNMSUB213SS = 864
+X86_INS_VFNMSUB132SS = 865
+X86_INS_VFNMSUB231SS = 866
+X86_INS_VFRCZPD = 867
+X86_INS_VFRCZPS = 868
+X86_INS_VFRCZSD = 869
+X86_INS_VFRCZSS = 870
+X86_INS_VORPD = 871
+X86_INS_VORPS = 872
+X86_INS_VXORPD = 873
+X86_INS_VXORPS = 874
+X86_INS_VGATHERDPD = 875
+X86_INS_VGATHERDPS = 876
+X86_INS_VGATHERPF0DPD = 877
+X86_INS_VGATHERPF0DPS = 878
+X86_INS_VGATHERPF0QPD = 879
+X86_INS_VGATHERPF0QPS = 880
+X86_INS_VGATHERPF1DPD = 881
+X86_INS_VGATHERPF1DPS = 882
+X86_INS_VGATHERPF1QPD = 883
+X86_INS_VGATHERPF1QPS = 884
+X86_INS_VGATHERQPD = 885
+X86_INS_VGATHERQPS = 886
+X86_INS_VHADDPD = 887
+X86_INS_VHADDPS = 888
+X86_INS_VHSUBPD = 889
+X86_INS_VHSUBPS = 890
+X86_INS_VINSERTF128 = 891
+X86_INS_VINSERTF32X4 = 892
+X86_INS_VINSERTF64X4 = 893
+X86_INS_VINSERTI128 = 894
+X86_INS_VINSERTI32X4 = 895
+X86_INS_VINSERTI64X4 = 896
+X86_INS_VINSERTPS = 897
+X86_INS_VLDDQU = 898
+X86_INS_VLDMXCSR = 899
+X86_INS_VMASKMOVDQU = 900
+X86_INS_VMASKMOVPD = 901
+X86_INS_VMASKMOVPS = 902
+X86_INS_VMAXPD = 903
+X86_INS_VMAXPS = 904
+X86_INS_VMAXSD = 905
+X86_INS_VMAXSS = 906
+X86_INS_VMCALL = 907
+X86_INS_VMCLEAR = 908
+X86_INS_VMFUNC = 909
+X86_INS_VMINPD = 910
+X86_INS_VMINPS = 911
+X86_INS_VMINSD = 912
+X86_INS_VMINSS = 913
+X86_INS_VMLAUNCH = 914
+X86_INS_VMLOAD = 915
+X86_INS_VMMCALL = 916
+X86_INS_VMOVQ = 917
+X86_INS_VMOVDDUP = 918
+X86_INS_VMOVD = 919
+X86_INS_VMOVDQA32 = 920
+X86_INS_VMOVDQA64 = 921
+X86_INS_VMOVDQA = 922
+X86_INS_VMOVDQU16 = 923
+X86_INS_VMOVDQU32 = 924
+X86_INS_VMOVDQU64 = 925
+X86_INS_VMOVDQU8 = 926
+X86_INS_VMOVDQU = 927
+X86_INS_VMOVHLPS = 928
+X86_INS_VMOVHPD = 929
+X86_INS_VMOVHPS = 930
+X86_INS_VMOVLHPS = 931
+X86_INS_VMOVLPD = 932
+X86_INS_VMOVLPS = 933
+X86_INS_VMOVMSKPD = 934
+X86_INS_VMOVMSKPS = 935
+X86_INS_VMOVNTDQA = 936
+X86_INS_VMOVNTDQ = 937
+X86_INS_VMOVNTPD = 938
+X86_INS_VMOVNTPS = 939
+X86_INS_VMOVSD = 940
+X86_INS_VMOVSHDUP = 941
+X86_INS_VMOVSLDUP = 942
+X86_INS_VMOVSS = 943
+X86_INS_VMOVUPD = 944
+X86_INS_VMOVUPS = 945
+X86_INS_VMPSADBW = 946
+X86_INS_VMPTRLD = 947
+X86_INS_VMPTRST = 948
+X86_INS_VMREAD = 949
+X86_INS_VMRESUME = 950
+X86_INS_VMRUN = 951
+X86_INS_VMSAVE = 952
+X86_INS_VMULPD = 953
+X86_INS_VMULPS = 954
+X86_INS_VMULSD = 955
+X86_INS_VMULSS = 956
+X86_INS_VMWRITE = 957
+X86_INS_VMXOFF = 958
+X86_INS_VMXON = 959
+X86_INS_VPABSB = 960
+X86_INS_VPABSD = 961
+X86_INS_VPABSQ = 962
+X86_INS_VPABSW = 963
+X86_INS_VPACKSSDW = 964
+X86_INS_VPACKSSWB = 965
+X86_INS_VPACKUSDW = 966
+X86_INS_VPACKUSWB = 967
+X86_INS_VPADDB = 968
+X86_INS_VPADDD = 969
+X86_INS_VPADDQ = 970
+X86_INS_VPADDSB = 971
+X86_INS_VPADDSW = 972
+X86_INS_VPADDUSB = 973
+X86_INS_VPADDUSW = 974
+X86_INS_VPADDW = 975
+X86_INS_VPALIGNR = 976
+X86_INS_VPANDD = 977
+X86_INS_VPANDND = 978
+X86_INS_VPANDNQ = 979
+X86_INS_VPANDN = 980
+X86_INS_VPANDQ = 981
+X86_INS_VPAND = 982
+X86_INS_VPAVGB = 983
+X86_INS_VPAVGW = 984
+X86_INS_VPBLENDD = 985
+X86_INS_VPBLENDMD = 986
+X86_INS_VPBLENDMQ = 987
+X86_INS_VPBLENDVB = 988
+X86_INS_VPBLENDW = 989
+X86_INS_VPBROADCASTB = 990
+X86_INS_VPBROADCASTD = 991
+X86_INS_VPBROADCASTMB2Q = 992
+X86_INS_VPBROADCASTMW2D = 993
+X86_INS_VPBROADCASTQ = 994
+X86_INS_VPBROADCASTW = 995
+X86_INS_VPCLMULQDQ = 996
+X86_INS_VPCMOV = 997
+X86_INS_VPCMP = 998
+X86_INS_VPCMPD = 999
+X86_INS_VPCMPEQB = 1000
+X86_INS_VPCMPEQD = 1001
+X86_INS_VPCMPEQQ = 1002
+X86_INS_VPCMPEQW = 1003
+X86_INS_VPCMPESTRI = 1004
+X86_INS_VPCMPESTRM = 1005
+X86_INS_VPCMPGTB = 1006
+X86_INS_VPCMPGTD = 1007
+X86_INS_VPCMPGTQ = 1008
+X86_INS_VPCMPGTW = 1009
+X86_INS_VPCMPISTRI = 1010
+X86_INS_VPCMPISTRM = 1011
+X86_INS_VPCMPQ = 1012
+X86_INS_VPCMPUD = 1013
+X86_INS_VPCMPUQ = 1014
+X86_INS_VPCOMB = 1015
+X86_INS_VPCOMD = 1016
+X86_INS_VPCOMQ = 1017
+X86_INS_VPCOMUB = 1018
+X86_INS_VPCOMUD = 1019
+X86_INS_VPCOMUQ = 1020
+X86_INS_VPCOMUW = 1021
+X86_INS_VPCOMW = 1022
+X86_INS_VPCONFLICTD = 1023
+X86_INS_VPCONFLICTQ = 1024
+X86_INS_VPERM2F128 = 1025
+X86_INS_VPERM2I128 = 1026
+X86_INS_VPERMD = 1027
+X86_INS_VPERMI2D = 1028
+X86_INS_VPERMI2PD = 1029
+X86_INS_VPERMI2PS = 1030
+X86_INS_VPERMI2Q = 1031
+X86_INS_VPERMIL2PD = 1032
+X86_INS_VPERMIL2PS = 1033
+X86_INS_VPERMILPD = 1034
+X86_INS_VPERMILPS = 1035
+X86_INS_VPERMPD = 1036
+X86_INS_VPERMPS = 1037
+X86_INS_VPERMQ = 1038
+X86_INS_VPERMT2D = 1039
+X86_INS_VPERMT2PD = 1040
+X86_INS_VPERMT2PS = 1041
+X86_INS_VPERMT2Q = 1042
+X86_INS_VPEXTRB = 1043
+X86_INS_VPEXTRD = 1044
+X86_INS_VPEXTRQ = 1045
+X86_INS_VPEXTRW = 1046
+X86_INS_VPGATHERDD = 1047
+X86_INS_VPGATHERDQ = 1048
+X86_INS_VPGATHERQD = 1049
+X86_INS_VPGATHERQQ = 1050
+X86_INS_VPHADDBD = 1051
+X86_INS_VPHADDBQ = 1052
+X86_INS_VPHADDBW = 1053
+X86_INS_VPHADDDQ = 1054
+X86_INS_VPHADDD = 1055
+X86_INS_VPHADDSW = 1056
+X86_INS_VPHADDUBD = 1057
+X86_INS_VPHADDUBQ = 1058
+X86_INS_VPHADDUBW = 1059
+X86_INS_VPHADDUDQ = 1060
+X86_INS_VPHADDUWD = 1061
+X86_INS_VPHADDUWQ = 1062
+X86_INS_VPHADDWD = 1063
+X86_INS_VPHADDWQ = 1064
+X86_INS_VPHADDW = 1065
+X86_INS_VPHMINPOSUW = 1066
+X86_INS_VPHSUBBW = 1067
+X86_INS_VPHSUBDQ = 1068
+X86_INS_VPHSUBD = 1069
+X86_INS_VPHSUBSW = 1070
+X86_INS_VPHSUBWD = 1071
+X86_INS_VPHSUBW = 1072
+X86_INS_VPINSRB = 1073
+X86_INS_VPINSRD = 1074
+X86_INS_VPINSRQ = 1075
+X86_INS_VPINSRW = 1076
+X86_INS_VPLZCNTD = 1077
+X86_INS_VPLZCNTQ = 1078
+X86_INS_VPMACSDD = 1079
+X86_INS_VPMACSDQH = 1080
+X86_INS_VPMACSDQL = 1081
+X86_INS_VPMACSSDD = 1082
+X86_INS_VPMACSSDQH = 1083
+X86_INS_VPMACSSDQL = 1084
+X86_INS_VPMACSSWD = 1085
+X86_INS_VPMACSSWW = 1086
+X86_INS_VPMACSWD = 1087
+X86_INS_VPMACSWW = 1088
+X86_INS_VPMADCSSWD = 1089
+X86_INS_VPMADCSWD = 1090
+X86_INS_VPMADDUBSW = 1091
+X86_INS_VPMADDWD = 1092
+X86_INS_VPMASKMOVD = 1093
+X86_INS_VPMASKMOVQ = 1094
+X86_INS_VPMAXSB = 1095
+X86_INS_VPMAXSD = 1096
+X86_INS_VPMAXSQ = 1097
+X86_INS_VPMAXSW = 1098
+X86_INS_VPMAXUB = 1099
+X86_INS_VPMAXUD = 1100
+X86_INS_VPMAXUQ = 1101
+X86_INS_VPMAXUW = 1102
+X86_INS_VPMINSB = 1103
+X86_INS_VPMINSD = 1104
+X86_INS_VPMINSQ = 1105
+X86_INS_VPMINSW = 1106
+X86_INS_VPMINUB = 1107
+X86_INS_VPMINUD = 1108
+X86_INS_VPMINUQ = 1109
+X86_INS_VPMINUW = 1110
+X86_INS_VPMOVDB = 1111
+X86_INS_VPMOVDW = 1112
+X86_INS_VPMOVMSKB = 1113
+X86_INS_VPMOVQB = 1114
+X86_INS_VPMOVQD = 1115
+X86_INS_VPMOVQW = 1116
+X86_INS_VPMOVSDB = 1117
+X86_INS_VPMOVSDW = 1118
+X86_INS_VPMOVSQB = 1119
+X86_INS_VPMOVSQD = 1120
+X86_INS_VPMOVSQW = 1121
+X86_INS_VPMOVSXBD = 1122
+X86_INS_VPMOVSXBQ = 1123
+X86_INS_VPMOVSXBW = 1124
+X86_INS_VPMOVSXDQ = 1125
+X86_INS_VPMOVSXWD = 1126
+X86_INS_VPMOVSXWQ = 1127
+X86_INS_VPMOVUSDB = 1128
+X86_INS_VPMOVUSDW = 1129
+X86_INS_VPMOVUSQB = 1130
+X86_INS_VPMOVUSQD = 1131
+X86_INS_VPMOVUSQW = 1132
+X86_INS_VPMOVZXBD = 1133
+X86_INS_VPMOVZXBQ = 1134
+X86_INS_VPMOVZXBW = 1135
+X86_INS_VPMOVZXDQ = 1136
+X86_INS_VPMOVZXWD = 1137
+X86_INS_VPMOVZXWQ = 1138
+X86_INS_VPMULDQ = 1139
+X86_INS_VPMULHRSW = 1140
+X86_INS_VPMULHUW = 1141
+X86_INS_VPMULHW = 1142
+X86_INS_VPMULLD = 1143
+X86_INS_VPMULLW = 1144
+X86_INS_VPMULUDQ = 1145
+X86_INS_VPORD = 1146
+X86_INS_VPORQ = 1147
+X86_INS_VPOR = 1148
+X86_INS_VPPERM = 1149
+X86_INS_VPROTB = 1150
+X86_INS_VPROTD = 1151
+X86_INS_VPROTQ = 1152
+X86_INS_VPROTW = 1153
+X86_INS_VPSADBW = 1154
+X86_INS_VPSCATTERDD = 1155
+X86_INS_VPSCATTERDQ = 1156
+X86_INS_VPSCATTERQD = 1157
+X86_INS_VPSCATTERQQ = 1158
+X86_INS_VPSHAB = 1159
+X86_INS_VPSHAD = 1160
+X86_INS_VPSHAQ = 1161
+X86_INS_VPSHAW = 1162
+X86_INS_VPSHLB = 1163
+X86_INS_VPSHLD = 1164
+X86_INS_VPSHLQ = 1165
+X86_INS_VPSHLW = 1166
+X86_INS_VPSHUFB = 1167
+X86_INS_VPSHUFD = 1168
+X86_INS_VPSHUFHW = 1169
+X86_INS_VPSHUFLW = 1170
+X86_INS_VPSIGNB = 1171
+X86_INS_VPSIGND = 1172
+X86_INS_VPSIGNW = 1173
+X86_INS_VPSLLDQ = 1174
+X86_INS_VPSLLD = 1175
+X86_INS_VPSLLQ = 1176
+X86_INS_VPSLLVD = 1177
+X86_INS_VPSLLVQ = 1178
+X86_INS_VPSLLW = 1179
+X86_INS_VPSRAD = 1180
+X86_INS_VPSRAQ = 1181
+X86_INS_VPSRAVD = 1182
+X86_INS_VPSRAVQ = 1183
+X86_INS_VPSRAW = 1184
+X86_INS_VPSRLDQ = 1185
+X86_INS_VPSRLD = 1186
+X86_INS_VPSRLQ = 1187
+X86_INS_VPSRLVD = 1188
+X86_INS_VPSRLVQ = 1189
+X86_INS_VPSRLW = 1190
+X86_INS_VPSUBB = 1191
+X86_INS_VPSUBD = 1192
+X86_INS_VPSUBQ = 1193
+X86_INS_VPSUBSB = 1194
+X86_INS_VPSUBSW = 1195
+X86_INS_VPSUBUSB = 1196
+X86_INS_VPSUBUSW = 1197
+X86_INS_VPSUBW = 1198
+X86_INS_VPTESTMD = 1199
+X86_INS_VPTESTMQ = 1200
+X86_INS_VPTESTNMD = 1201
+X86_INS_VPTESTNMQ = 1202
+X86_INS_VPTEST = 1203
+X86_INS_VPUNPCKHBW = 1204
+X86_INS_VPUNPCKHDQ = 1205
+X86_INS_VPUNPCKHQDQ = 1206
+X86_INS_VPUNPCKHWD = 1207
+X86_INS_VPUNPCKLBW = 1208
+X86_INS_VPUNPCKLDQ = 1209
+X86_INS_VPUNPCKLQDQ = 1210
+X86_INS_VPUNPCKLWD = 1211
+X86_INS_VPXORD = 1212
+X86_INS_VPXORQ = 1213
+X86_INS_VPXOR = 1214
+X86_INS_VRCP14PD = 1215
+X86_INS_VRCP14PS = 1216
+X86_INS_VRCP14SD = 1217
+X86_INS_VRCP14SS = 1218
+X86_INS_VRCP28PD = 1219
+X86_INS_VRCP28PS = 1220
+X86_INS_VRCP28SD = 1221
+X86_INS_VRCP28SS = 1222
+X86_INS_VRCPPS = 1223
+X86_INS_VRCPSS = 1224
+X86_INS_VRNDSCALEPD = 1225
+X86_INS_VRNDSCALEPS = 1226
+X86_INS_VRNDSCALESD = 1227
+X86_INS_VRNDSCALESS = 1228
+X86_INS_VROUNDPD = 1229
+X86_INS_VROUNDPS = 1230
+X86_INS_VROUNDSD = 1231
+X86_INS_VROUNDSS = 1232
+X86_INS_VRSQRT14PD = 1233
+X86_INS_VRSQRT14PS = 1234
+X86_INS_VRSQRT14SD = 1235
+X86_INS_VRSQRT14SS = 1236
+X86_INS_VRSQRT28PD = 1237
+X86_INS_VRSQRT28PS = 1238
+X86_INS_VRSQRT28SD = 1239
+X86_INS_VRSQRT28SS = 1240
+X86_INS_VRSQRTPS = 1241
+X86_INS_VRSQRTSS = 1242
+X86_INS_VSCATTERDPD = 1243
+X86_INS_VSCATTERDPS = 1244
+X86_INS_VSCATTERPF0DPD = 1245
+X86_INS_VSCATTERPF0DPS = 1246
+X86_INS_VSCATTERPF0QPD = 1247
+X86_INS_VSCATTERPF0QPS = 1248
+X86_INS_VSCATTERPF1DPD = 1249
+X86_INS_VSCATTERPF1DPS = 1250
+X86_INS_VSCATTERPF1QPD = 1251
+X86_INS_VSCATTERPF1QPS = 1252
+X86_INS_VSCATTERQPD = 1253
+X86_INS_VSCATTERQPS = 1254
+X86_INS_VSHUFPD = 1255
+X86_INS_VSHUFPS = 1256
+X86_INS_VSQRTPD = 1257
+X86_INS_VSQRTPS = 1258
+X86_INS_VSQRTSD = 1259
+X86_INS_VSQRTSS = 1260
+X86_INS_VSTMXCSR = 1261
+X86_INS_VSUBPD = 1262
+X86_INS_VSUBPS = 1263
+X86_INS_VSUBSD = 1264
+X86_INS_VSUBSS = 1265
+X86_INS_VTESTPD = 1266
+X86_INS_VTESTPS = 1267
+X86_INS_VUNPCKHPD = 1268
+X86_INS_VUNPCKHPS = 1269
+X86_INS_VUNPCKLPD = 1270
+X86_INS_VUNPCKLPS = 1271
+X86_INS_VZEROALL = 1272
+X86_INS_VZEROUPPER = 1273
+X86_INS_WAIT = 1274
+X86_INS_WBINVD = 1275
+X86_INS_WRFSBASE = 1276
+X86_INS_WRGSBASE = 1277
+X86_INS_WRMSR = 1278
+X86_INS_XABORT = 1279
+X86_INS_XACQUIRE = 1280
+X86_INS_XBEGIN = 1281
+X86_INS_XCHG = 1282
+X86_INS_FXCH = 1283
+X86_INS_XCRYPTCBC = 1284
+X86_INS_XCRYPTCFB = 1285
+X86_INS_XCRYPTCTR = 1286
+X86_INS_XCRYPTECB = 1287
+X86_INS_XCRYPTOFB = 1288
+X86_INS_XEND = 1289
+X86_INS_XGETBV = 1290
+X86_INS_XLATB = 1291
+X86_INS_XRELEASE = 1292
+X86_INS_XRSTOR = 1293
+X86_INS_XRSTOR64 = 1294
+X86_INS_XSAVE = 1295
+X86_INS_XSAVE64 = 1296
+X86_INS_XSAVEOPT = 1297
+X86_INS_XSAVEOPT64 = 1298
+X86_INS_XSETBV = 1299
+X86_INS_XSHA1 = 1300
+X86_INS_XSHA256 = 1301
+X86_INS_XSTORE = 1302
+X86_INS_XTEST = 1303
+X86_INS_MAX = 1304
 
 # Group of X86 instructions
 
@@ -1615,10 +1660,15 @@
 X86_GRP_TBM = 31
 X86_GRP_16BITMODE = 32
 X86_GRP_NOT64BITMODE = 33
-X86_GRP_JUMP = 34
-X86_GRP_VM = 35
-X86_GRP_INT = 36
-X86_GRP_IRET = 37
-X86_GRP_CALL = 38
-X86_GRP_RET = 39
-X86_GRP_MAX = 40
+X86_GRP_SGX = 34
+X86_GRP_DQI = 35
+X86_GRP_BWI = 36
+X86_GRP_PFI = 37
+X86_GRP_VLX = 38
+X86_GRP_JUMP = 39
+X86_GRP_VM = 40
+X86_GRP_INT = 41
+X86_GRP_IRET = 42
+X86_GRP_CALL = 43
+X86_GRP_RET = 44
+X86_GRP_MAX = 45
diff --git a/cs.c b/cs.c
index 65bdeab..56170f3 100644
--- a/cs.c
+++ b/cs.c
@@ -468,7 +468,6 @@
 
 			mci.flat_insn->size = insn_size;
 			handle->printer(&mci, &ss, handle->printer_info);
-
 			fill_insn(handle, insn_cache, ss.buffer, &mci, handle->post_printer, buffer);
 
 			f++;
diff --git a/include/x86.h b/include/x86.h
index 7d3aa34..115165e 100644
--- a/include/x86.h
+++ b/include/x86.h
@@ -31,7 +31,7 @@
 	X86_REG_CR11, X86_REG_CR12, X86_REG_CR13, X86_REG_CR14, X86_REG_CR15,
 	X86_REG_DR0, X86_REG_DR1, X86_REG_DR2, X86_REG_DR3, X86_REG_DR4,
 	X86_REG_DR5, X86_REG_DR6, X86_REG_DR7, X86_REG_FP0, X86_REG_FP1,
-	X86_REG_FP2, X86_REG_FP3, X86_REG_FP4, X86_REG_FP5, X86_REG_FP6,
+	X86_REG_FP2, X86_REG_FP3, X86_REG_FP4, X86_REG_FP5, X86_REG_FP6, X86_REG_FP7,
 	X86_REG_K0, X86_REG_K1, X86_REG_K2, X86_REG_K3, X86_REG_K4,
 	X86_REG_K5, X86_REG_K6, X86_REG_K7, X86_REG_MM0, X86_REG_MM1,
 	X86_REG_MM2, X86_REG_MM3, X86_REG_MM4, X86_REG_MM5, X86_REG_MM6,
@@ -337,11 +337,11 @@
 	X86_INS_CMP,
 	X86_INS_CMPPD,
 	X86_INS_CMPPS,
-	X86_INS_CMPSW,
+	X86_INS_CMPSB,
 	X86_INS_CMPSD,
 	X86_INS_CMPSQ,
-	X86_INS_CMPSB,
 	X86_INS_CMPSS,
+	X86_INS_CMPSW,
 	X86_INS_CMPXCHG16B,
 	X86_INS_CMPXCHG,
 	X86_INS_CMPXCHG8B,
@@ -392,6 +392,9 @@
 	X86_INS_DPPD,
 	X86_INS_DPPS,
 	X86_INS_DS,
+	X86_INS_RET,
+	X86_INS_ENCLS,
+	X86_INS_ENCLU,
 	X86_INS_ENTER,
 	X86_INS_ES,
 	X86_INS_EXTRACTPS,
@@ -458,13 +461,13 @@
 	X86_INS_IDIV,
 	X86_INS_FILD,
 	X86_INS_IMUL,
-	X86_INS_INSW,
 	X86_INS_IN,
-	X86_INS_INSD,
-	X86_INS_INSB,
 	X86_INS_INC,
+	X86_INS_INSB,
 	X86_INS_INSERTPS,
 	X86_INS_INSERTQ,
+	X86_INS_INSD,
+	X86_INS_INSW,
 	X86_INS_INT,
 	X86_INS_INT1,
 	X86_INS_INT3,
@@ -518,16 +521,37 @@
 	X86_INS_JP,
 	X86_INS_JRCXZ,
 	X86_INS_JS,
+	X86_INS_KANDB,
+	X86_INS_KANDD,
+	X86_INS_KANDNB,
+	X86_INS_KANDND,
+	X86_INS_KANDNQ,
 	X86_INS_KANDNW,
+	X86_INS_KANDQ,
 	X86_INS_KANDW,
+	X86_INS_KMOVB,
+	X86_INS_KMOVD,
+	X86_INS_KMOVQ,
 	X86_INS_KMOVW,
+	X86_INS_KNOTB,
+	X86_INS_KNOTD,
+	X86_INS_KNOTQ,
 	X86_INS_KNOTW,
+	X86_INS_KORB,
+	X86_INS_KORD,
+	X86_INS_KORQ,
 	X86_INS_KORTESTW,
 	X86_INS_KORW,
 	X86_INS_KSHIFTLW,
 	X86_INS_KSHIFTRW,
 	X86_INS_KUNPCKBW,
+	X86_INS_KXNORB,
+	X86_INS_KXNORD,
+	X86_INS_KXNORQ,
 	X86_INS_KXNORW,
+	X86_INS_KXORB,
+	X86_INS_KXORD,
+	X86_INS_KXORQ,
 	X86_INS_KXORW,
 	X86_INS_LAHF,
 	X86_INS_LAR,
@@ -823,8 +847,6 @@
 	X86_INS_RDTSCP,
 	X86_INS_REPNE,
 	X86_INS_REP,
-	X86_INS_RET,
-	X86_INS_REX64,
 	X86_INS_ROL,
 	X86_INS_ROR,
 	X86_INS_RORX,
@@ -841,10 +863,10 @@
 	X86_INS_SAR,
 	X86_INS_SARX,
 	X86_INS_SBB,
-	X86_INS_SCASW,
+	X86_INS_SCASB,
 	X86_INS_SCASD,
 	X86_INS_SCASQ,
-	X86_INS_SCASB,
+	X86_INS_SCASW,
 	X86_INS_SETAE,
 	X86_INS_SETA,
 	X86_INS_SETBE,
@@ -960,6 +982,8 @@
 	X86_INS_VBLENDVPS,
 	X86_INS_VBROADCASTF128,
 	X86_INS_VBROADCASTI128,
+	X86_INS_VBROADCASTI32X4,
+	X86_INS_VBROADCASTI64X4,
 	X86_INS_VBROADCASTSD,
 	X86_INS_VBROADCASTSS,
 	X86_INS_VCMPPD,
@@ -1094,6 +1118,14 @@
 	X86_INS_VXORPS,
 	X86_INS_VGATHERDPD,
 	X86_INS_VGATHERDPS,
+	X86_INS_VGATHERPF0DPD,
+	X86_INS_VGATHERPF0DPS,
+	X86_INS_VGATHERPF0QPD,
+	X86_INS_VGATHERPF0QPS,
+	X86_INS_VGATHERPF1DPD,
+	X86_INS_VGATHERPF1DPS,
+	X86_INS_VGATHERPF1QPD,
+	X86_INS_VGATHERPF1QPS,
 	X86_INS_VGATHERQPD,
 	X86_INS_VGATHERQPS,
 	X86_INS_VHADDPD,
@@ -1132,8 +1164,10 @@
 	X86_INS_VMOVDQA32,
 	X86_INS_VMOVDQA64,
 	X86_INS_VMOVDQA,
+	X86_INS_VMOVDQU16,
 	X86_INS_VMOVDQU32,
 	X86_INS_VMOVDQU64,
+	X86_INS_VMOVDQU8,
 	X86_INS_VMOVDQU,
 	X86_INS_VMOVHLPS,
 	X86_INS_VMOVHPD,
@@ -1284,6 +1318,8 @@
 	X86_INS_VPINSRD,
 	X86_INS_VPINSRQ,
 	X86_INS_VPINSRW,
+	X86_INS_VPLZCNTD,
+	X86_INS_VPLZCNTQ,
 	X86_INS_VPMACSDD,
 	X86_INS_VPMACSDQH,
 	X86_INS_VPMACSDQL,
@@ -1450,6 +1486,14 @@
 	X86_INS_VRSQRTSS,
 	X86_INS_VSCATTERDPD,
 	X86_INS_VSCATTERDPS,
+	X86_INS_VSCATTERPF0DPD,
+	X86_INS_VSCATTERPF0DPS,
+	X86_INS_VSCATTERPF0QPD,
+	X86_INS_VSCATTERPF0QPS,
+	X86_INS_VSCATTERPF1DPD,
+	X86_INS_VSCATTERPF1DPS,
+	X86_INS_VSCATTERPF1QPD,
+	X86_INS_VSCATTERPF1QPS,
 	X86_INS_VSCATTERQPD,
 	X86_INS_VSCATTERQPS,
 	X86_INS_VSHUFPD,
@@ -1542,6 +1586,11 @@
 	X86_GRP_TBM,
 	X86_GRP_16BITMODE,
 	X86_GRP_NOT64BITMODE,
+	X86_GRP_SGX,
+	X86_GRP_DQI,
+	X86_GRP_BWI,
+	X86_GRP_PFI,
+	X86_GRP_VLX,
 
 	X86_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)
 	X86_GRP_VM,	// all virtualization instructions (VT-x + AMD-V)