mips: update core. this added bunch of new instructions & groups. updated Python & Java bindings accordingly
diff --git a/arch/Mips/MipsDisassembler.c b/arch/Mips/MipsDisassembler.c
index dc46c7b..6359bcd 100644
--- a/arch/Mips/MipsDisassembler.c
+++ b/arch/Mips/MipsDisassembler.c
@@ -61,15 +61,15 @@
 static DecodeStatus DecodeFGR32RegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
-static DecodeStatus DecodeFGRH32RegisterClass(MCInst *Inst,
-		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
-
 static DecodeStatus DecodeCCRRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
 static DecodeStatus DecodeFCCRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeFGRCCRegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeHWRegsRegisterClass(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
@@ -100,12 +100,21 @@
 static DecodeStatus DecodeMSACtrlRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeCOP2RegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeBranchTarget(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder);
 
 static DecodeStatus DecodeJumpTarget(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeBranchTarget21(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBranchTarget26(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder);
+
 // DecodeBranchTargetMM - Decode microMIPS branch offset, which is
 // shifted left by 1 bit.
 static DecodeStatus DecodeBranchTargetMM(MCInst *Inst,
@@ -131,6 +140,9 @@
 static DecodeStatus DecodeFMem(MCInst *Inst, unsigned Insn,
 		uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeSpecial3LlSc(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeSimm16(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
@@ -145,6 +157,36 @@
 static DecodeStatus DecodeExtSize(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeSimm19Lsl2(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeSimm18Lsl3(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+/// INSVE_[BHWD] have an implicit operand that the generated decoder doesn't
+/// handle.
+static DecodeStatus DecodeINSVE_DF_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeAddiGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeDaddiGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBlezlGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBgtzlGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBgtzGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBlezGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+
 #define GET_SUBTARGETINFO_ENUM
 #include "MipsGenSubtargetInfo.inc"
 
@@ -156,25 +198,32 @@
 	// ref: MipsGenDisassemblerTables.inc::checkDecoderPredicate()
 	// some features are mutually execlusive
 	if (mode & CS_MODE_16) {
-		Bits &= ~Mips_FeatureMips32r2;
-		Bits &= ~Mips_FeatureMips32;
-		Bits &= ~Mips_FeatureFPIdx;
-		Bits &= ~Mips_FeatureBitCount;
-		Bits &= ~Mips_FeatureSwap;
-		Bits &= ~Mips_FeatureSEInReg;
-		Bits &= ~Mips_FeatureMips64r2;
-		Bits &= ~Mips_FeatureFP64Bit;
+		//Bits &= ~Mips_FeatureMips32r2;
+		//Bits &= ~Mips_FeatureMips32;
+		//Bits &= ~Mips_FeatureFPIdx;
+		//Bits &= ~Mips_FeatureBitCount;
+		//Bits &= ~Mips_FeatureSwap;
+		//Bits &= ~Mips_FeatureSEInReg;
+		//Bits &= ~Mips_FeatureMips64r2;
+		//Bits &= ~Mips_FeatureFP64Bit;
 	} else if (mode & CS_MODE_32) {
 		Bits &= ~Mips_FeatureMips16;
 		Bits &= ~Mips_FeatureFP64Bit;
+		Bits &= ~Mips_FeatureMips32r6;
+		Bits &= ~Mips_FeatureMips64r6;
 	} else if (mode & CS_MODE_64) {
 		Bits &= ~Mips_FeatureMips16;
+		Bits &= ~Mips_FeatureMips64r6;
+		Bits &= ~Mips_FeatureMips64r6;
 	}
 
-	if (mode & CS_MODE_MICRO)
+	if (mode & CS_MODE_MICRO) {
 		Bits |= Mips_FeatureMicroMips;
-	else
+		Bits &= ~Mips_FeatureMips4_32r2;
+		Bits &= ~Mips_FeatureMips2;
+	} else {
 		Bits &= ~Mips_FeatureMicroMips;
+	}
 
 	return Bits;
 }
@@ -200,9 +249,19 @@
 	// MipsRegStrings,
 	// MipsSubRegIdxLists, 12,
 	// MipsSubRegIdxRanges,   MipsRegEncodingTable);
-	MCRegisterInfo_InitMCRegisterInfo(MRI, MipsRegDesc, 317,
+
+	// InitMCRegisterInfo(MipsRegDesc, 386,
+	//		RA, PC,
+	//		MipsMCRegisterClasses, 47,
+	//		MipsRegUnitRoots, 265,
+	//		MipsRegDiffLists,
+	//		MipsRegStrings,
+	//		MipsSubRegIdxLists, 12,
+	//		MipsSubRegIdxRanges, MipsRegEncodingTable);
+
+	MCRegisterInfo_InitMCRegisterInfo(MRI, MipsRegDesc, 386,
 			0, 0, 
-			MipsMCRegisterClasses, 34,
+			MipsMCRegisterClasses, 47,
 			0, 0, 
 			MipsRegDiffLists,
 			0, 
@@ -272,6 +331,35 @@
 		return MCDisassembler_Fail;
 	}
 
+	if (((mode & CS_MODE_32) == 0) && ((mode & CS_MODE_MIPS3) == 0)) {	// COP3
+		// DEBUG(dbgs() << "Trying COP3_ table (32-bit opcodes):\n");
+		Result = decodeInstruction(DecoderTableCOP3_32, instr, Insn, Address, MRI, mode);
+		if (Result != MCDisassembler_Fail) {
+			*Size = 4;
+			return Result;
+		}
+	}
+
+	if (((mode & CS_MODE_MIPS32R6) != 0) && ((mode & CS_MODE_MIPSGP64) != 0)) {
+		// DEBUG(dbgs() << "Trying Mips32r6_64r6 (GPR64) table (32-bit opcodes):\n");
+		Result = decodeInstruction(DecoderTableMips32r6_64r6_GP6432, instr, Insn,
+				Address, MRI, mode);
+		if (Result != MCDisassembler_Fail) {
+			*Size = 4;
+			return Result;
+		}
+	}
+
+	if ((mode & CS_MODE_MIPS32R6) != 0) {
+		// DEBUG(dbgs() << "Trying Mips32r6_64r6 table (32-bit opcodes):\n");
+		Result = decodeInstruction(DecoderTableMips32r6_64r632, instr, Insn,
+				Address, MRI, mode);
+		if (Result != MCDisassembler_Fail) {
+			*Size = 4;
+			return Result;
+		}
+	}
+
 	// Calling the auto-generated decoder function.
 	Result = decodeInstruction(DecoderTableMips32, instr, Insn, Address, MRI, mode);
 	if (Result != MCDisassembler_Fail) {
@@ -346,6 +434,291 @@
 	return rc->RegsBegin[RegNo];
 }
 
+#define nullptr NULL
+
+static DecodeStatus DecodeINSVE_DF_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	typedef DecodeStatus (*DecodeFN)(MCInst *, unsigned, uint64_t, MCRegisterInfo *);
+	// The size of the n field depends on the element size
+	// The register class also depends on this.
+	uint32_t tmp = fieldFromInstruction(insn, 17, 5);
+	unsigned NSize = 0;
+	DecodeFN RegDecoder = nullptr;
+	if ((tmp & 0x18) == 0x00) { // INSVE_B
+		NSize = 4;
+		RegDecoder = DecodeMSA128BRegisterClass;
+	} else if ((tmp & 0x1c) == 0x10) { // INSVE_H
+		NSize = 3;
+		RegDecoder = DecodeMSA128HRegisterClass;
+	} else if ((tmp & 0x1e) == 0x18) { // INSVE_W
+		NSize = 2;
+		RegDecoder = DecodeMSA128WRegisterClass;
+	} else if ((tmp & 0x1f) == 0x1c) { // INSVE_D
+		NSize = 1;
+		RegDecoder = DecodeMSA128DRegisterClass;
+	} //else llvm_unreachable("Invalid encoding");
+
+	//assert(NSize != 0 && RegDecoder != nullptr);
+
+	// $wd
+	tmp = fieldFromInstruction(insn, 6, 5);
+	if (RegDecoder(MI, tmp, Address, Decoder) == MCDisassembler_Fail)
+		return MCDisassembler_Fail;
+	// $wd_in
+	if (RegDecoder(MI, tmp, Address, Decoder) == MCDisassembler_Fail)
+		return MCDisassembler_Fail;
+	// $n
+	tmp = fieldFromInstruction(insn, 16, NSize);
+	MCOperand_CreateImm0(MI, tmp);
+	// $ws
+	tmp = fieldFromInstruction(insn, 11, 5);
+	if (RegDecoder(MI, tmp, Address, Decoder) == MCDisassembler_Fail)
+		return MCDisassembler_Fail;
+	// $n2
+	MCOperand_CreateImm0(MI, 0);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeAddiGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the ADDI instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b001000 sssss ttttt iiiiiiiiiiiiiiii
+	//      BOVC if rs >= rt
+	//      BEQZALC if rs == 0 && rt != 0
+	//      BEQC if rs < rt && rs != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rs >= Rt) {
+		MCInst_setOpcode(MI, Mips_BOVC);
+		HasRs = true;
+	} else if (Rs != 0 && Rs < Rt) {
+		MCInst_setOpcode(MI, Mips_BEQC);
+		HasRs = true;
+	} else
+		MCInst_setOpcode(MI, Mips_BEQZALC);
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeDaddiGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the ADDI instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b011000 sssss ttttt iiiiiiiiiiiiiiii
+	//      BNVC if rs >= rt
+	//      BNEZALC if rs == 0 && rt != 0
+	//      BNEC if rs < rt && rs != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rs >= Rt) {
+		MCInst_setOpcode(MI, Mips_BNVC);
+		HasRs = true;
+	} else if (Rs != 0 && Rs < Rt) {
+		MCInst_setOpcode(MI, Mips_BNEC);
+		HasRs = true;
+	} else
+		MCInst_setOpcode(MI, Mips_BNEZALC);
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBlezlGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BLEZL instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b010110 sssss ttttt iiiiiiiiiiiiiiii
+	//      Invalid if rs == 0
+	//      BLEZC   if rs == 0  && rt != 0
+	//      BGEZC   if rs == rt && rt != 0
+	//      BGEC    if rs != rt && rs != 0  && rt != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rt == 0)
+		return MCDisassembler_Fail;
+	else if (Rs == 0)
+		MCInst_setOpcode(MI, Mips_BLEZC);
+	else if (Rs == Rt)
+		MCInst_setOpcode(MI, Mips_BGEZC);
+	else {
+		HasRs = true;
+		MCInst_setOpcode(MI, Mips_BGEC);
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBgtzlGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BGTZL instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b010111 sssss ttttt iiiiiiiiiiiiiiii
+	//      Invalid if rs == 0
+	//      BGTZC   if rs == 0  && rt != 0
+	//      BLTZC   if rs == rt && rt != 0
+	//      BLTC    if rs != rt && rs != 0  && rt != 0
+
+	bool HasRs = false;
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+
+	if (Rt == 0)
+		return MCDisassembler_Fail;
+	else if (Rs == 0)
+		MCInst_setOpcode(MI, Mips_BGTZC);
+	else if (Rs == Rt)
+		MCInst_setOpcode(MI, Mips_BLTZC);
+	else {
+		MCInst_setOpcode(MI, Mips_BLTC);
+		HasRs = true;
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBgtzGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BGTZ instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b000111 sssss ttttt iiiiiiiiiiiiiiii
+	//      BGTZ    if rt == 0
+	//      BGTZALC if rs == 0 && rt != 0
+	//      BLTZALC if rs != 0 && rs == rt
+	//      BLTUC   if rs != 0 && rs != rt
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+	bool HasRt = false;
+
+	if (Rt == 0) {
+		MCInst_setOpcode(MI, Mips_BGTZ);
+		HasRs = true;
+	} else if (Rs == 0) {
+		MCInst_setOpcode(MI, Mips_BGTZALC);
+		HasRt = true;
+	} else if (Rs == Rt) {
+		MCInst_setOpcode(MI, Mips_BLTZALC);
+		HasRs = true;
+	} else {
+		MCInst_setOpcode(MI, Mips_BLTUC);
+		HasRs = true;
+		HasRt = true;
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	if (HasRt)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBlezGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BLEZL instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b000110 sssss ttttt iiiiiiiiiiiiiiii
+	//      Invalid   if rs == 0
+	//      BLEZALC   if rs == 0  && rt != 0
+	//      BGEZALC   if rs == rt && rt != 0
+	//      BGEUC     if rs != rt && rs != 0  && rt != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rt == 0)
+		return MCDisassembler_Fail;
+	else if (Rs == 0)
+		MCInst_setOpcode(MI, Mips_BLEZALC);
+	else if (Rs == Rt)
+		MCInst_setOpcode(MI, Mips_BGEZALC);
+	else {
+		HasRs = true;
+		MCInst_setOpcode(MI, Mips_BGEUC);
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeCPU16RegsRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -419,19 +792,6 @@
 	return MCDisassembler_Success;
 }
 
-static DecodeStatus DecodeFGRH32RegisterClass(MCInst *Inst,
-		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
-{
-	unsigned Reg;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Reg = getReg(Decoder, Mips_FGRH32RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Reg);
-	return MCDisassembler_Success;
-}
-
 static DecodeStatus DecodeCCRRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -458,6 +818,19 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeFGRCCRegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	unsigned Reg;
+
+	if (RegNo > 31)
+		return MCDisassembler_Fail;
+
+	Reg = getReg(Decoder, Mips_FGRCCRegClassID, RegNo);
+	MCOperand_CreateReg0(Inst, Reg);
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeMem(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -468,7 +841,7 @@
 	Reg = getReg(Decoder, Mips_GPR32RegClassID, Reg);
 	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
 
-	if(MCInst_getOpcode(Inst) == Mips_SC){
+	if (MCInst_getOpcode(Inst) == Mips_SC){
 		MCOperand_CreateReg0(Inst, Reg);
 	}
 
@@ -500,8 +873,7 @@
 	// .h - 2 bytes
 	// .w - 4 bytes
 	// .d - 8 bytes
-	switch(MCInst_getOpcode(Inst))
-	{
+	switch(MCInst_getOpcode(Inst)) {
 		default:
 			//assert (0 && "Unexpected instruction");
 			return MCDisassembler_Fail;
@@ -537,6 +909,9 @@
 	Reg = getReg(Decoder, Mips_GPR32RegClassID, Reg);
 	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
 
+	if (MCInst_getOpcode(Inst) == Mips_SC_MM)
+		MCOperand_CreateReg0(Inst, Reg);
+
 	MCOperand_CreateReg0(Inst, Reg);
 	MCOperand_CreateReg0(Inst, Base);
 	MCOperand_CreateImm0(Inst, Offset);
@@ -578,13 +953,37 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeSpecial3LlSc(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int64_t Offset = SignExtend64((Insn >> 7) & 0x1ff, 9);
+	unsigned Rt = fieldFromInstruction(Insn, 16, 5);
+	unsigned Base = fieldFromInstruction(Insn, 21, 5);
+
+	Rt = getReg(Decoder, Mips_GPR32RegClassID, Rt);
+	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
+
+	if (MCInst_getOpcode(Inst) == Mips_SC_R6 ||
+			MCInst_getOpcode(Inst) == Mips_SCD_R6) {
+		MCOperand_CreateReg0(Inst, Rt);
+	}
+
+	MCOperand_CreateReg0(Inst, Rt);
+	MCOperand_CreateReg0(Inst, Base);
+	MCOperand_CreateImm0(Inst, Offset);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeHWRegsRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
 {
 	// Currently only hardware register 29 is supported.
 	if (RegNo != 29)
 		return  MCDisassembler_Fail;
+
 	MCOperand_CreateReg0(Inst, Mips_HWR29);
+
 	return MCDisassembler_Success;
 }
 
@@ -598,6 +997,7 @@
 
 	Reg = getReg(Decoder, Mips_AFGR64RegClassID, RegNo /2);
 	MCOperand_CreateReg0(Inst, Reg);
+
 	return MCDisassembler_Success;
 }
 
@@ -624,6 +1024,7 @@
 
 	Reg = getReg(Decoder, Mips_HI32DSPRegClassID, RegNo);
 	MCOperand_CreateReg0(Inst, Reg);
+
 	return MCDisassembler_Success;
 }
 
@@ -637,6 +1038,7 @@
 
 	Reg = getReg(Decoder, Mips_LO32DSPRegClassID, RegNo);
 	MCOperand_CreateReg0(Inst, Reg);
+
 	return MCDisassembler_Success;
 }
 
@@ -710,12 +1112,26 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeCOP2RegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	unsigned Reg;
+
+	if (RegNo > 31)
+		return MCDisassembler_Fail;
+
+	Reg = getReg(Decoder, Mips_COP2RegClassID, RegNo);
+	MCOperand_CreateReg0(Inst, Reg);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeBranchTarget(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	unsigned BranchOffset = Offset & 0xffff;
-	BranchOffset = SignExtend32(BranchOffset << 2, 18) + 4;
+	int32_t BranchOffset = (SignExtend32(Offset, 16) << 2) + 4;
 	MCOperand_CreateImm0(Inst, BranchOffset);
+
 	return MCDisassembler_Success;
 }
 
@@ -724,15 +1140,35 @@
 {
 	unsigned JumpOffset = fieldFromInstruction(Insn, 0, 26) << 2;
 	MCOperand_CreateImm0(Inst, JumpOffset);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBranchTarget21(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int32_t BranchOffset = SignExtend32(Offset, 21) << 2;
+
+	MCOperand_CreateImm0(Inst, BranchOffset);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBranchTarget26(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int32_t BranchOffset = SignExtend32(Offset, 26) << 2;
+
+	MCOperand_CreateImm0(Inst, BranchOffset);
 	return MCDisassembler_Success;
 }
 
 static DecodeStatus DecodeBranchTargetMM(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	unsigned BranchOffset = Offset & 0xffff;
-	BranchOffset = SignExtend32(BranchOffset << 1, 18);
+	int32_t BranchOffset = SignExtend32(Offset, 16) << 1;
 	MCOperand_CreateImm0(Inst, BranchOffset);
+
 	return MCDisassembler_Success;
 }
 
@@ -741,6 +1177,7 @@
 {
 	unsigned JumpOffset = fieldFromInstruction(Insn, 0, 26) << 1;
 	MCOperand_CreateImm0(Inst, JumpOffset);
+
 	return MCDisassembler_Success;
 }
 
@@ -777,4 +1214,18 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeSimm19Lsl2(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 19) << 2);
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeSimm18Lsl3(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 18) << 3);
+	return MCDisassembler_Success;
+}
+
 #endif
diff --git a/arch/Mips/MipsGenAsmWriter.inc b/arch/Mips/MipsGenAsmWriter.inc
index ac98211..881c874 100644
--- a/arch/Mips/MipsGenAsmWriter.inc
+++ b/arch/Mips/MipsGenAsmWriter.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,86 +25,90 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    7873U,	// DBG_VALUE
+    8918U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    7866U,	// BUNDLE
-    7883U,	// LIFETIME_START
-    7853U,	// LIFETIME_END
+    8911U,	// BUNDLE
+    8928U,	// LIFETIME_START
+    8898U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    12663U,	// ABSQ_S_PH
-    9521U,	// ABSQ_S_QB
-    15217U,	// ABSQ_S_W
-    16788525U,	// ADD
-    16789684U,	// ADDQH_PH
-    16789801U,	// ADDQH_R_PH
-    16792108U,	// ADDQH_R_W
-    16791711U,	// ADDQH_W
-    16789758U,	// ADDQ_PH
-    16789857U,	// ADDQ_S_PH
-    16792413U,	// ADDQ_S_W
-    16786897U,	// ADDSC
-    16785730U,	// ADDS_A_B
-    16786948U,	// ADDS_A_D
-    16788629U,	// ADDS_A_H
-    16791419U,	// ADDS_A_W
-    16786198U,	// ADDS_S_B
-    16787866U,	// ADDS_S_D
-    16789186U,	// ADDS_S_H
-    16792463U,	// ADDS_S_W
-    16786413U,	// ADDS_U_B
-    16788289U,	// ADDS_U_D
-    16789464U,	// ADDS_U_H
-    16792881U,	// ADDS_U_W
-    16786605U,	// ADDUH_QB
-    16786713U,	// ADDUH_R_QB
-    16789956U,	// ADDU_PH
-    16786818U,	// ADDU_QB
-    16789901U,	// ADDU_S_PH
-    16786759U,	// ADDU_S_QB
-    285221435U,	// ADDVI_B
-    285222825U,	// ADDVI_D
-    285224301U,	// ADDVI_H
-    285227300U,	// ADDVI_W
-    16786491U,	// ADDV_B
-    16788389U,	// ADDV_D
-    16789542U,	// ADDV_H
-    16792981U,	// ADDV_W
-    16786904U,	// ADDWC
-    16785712U,	// ADD_A_B
-    16786929U,	// ADD_A_D
-    16788611U,	// ADD_A_H
-    16791400U,	// ADD_A_W
-    16788525U,	// ADD_MM
-    16790163U,	// ADDi
-    16790163U,	// ADDi_MM
-    16791197U,	// ADDiu
-    16791197U,	// ADDiu_MM
-    16791172U,	// ADDu
-    16791172U,	// ADDu_MM
+    0U,	// LOAD_STACK_GUARD
+    21407U,	// ABSQ_S_PH
+    17795U,	// ABSQ_S_QB
+    24372U,	// ABSQ_S_W
+    33574461U,	// ADD
+    18064U,	// ADDIUPC
+    33575644U,	// ADDQH_PH
+    33575761U,	// ADDQH_R_PH
+    33578479U,	// ADDQH_R_W
+    33578082U,	// ADDQH_W
+    33575718U,	// ADDQ_PH
+    33575817U,	// ADDQ_S_PH
+    33578784U,	// ADDQ_S_W
+    33572524U,	// ADDSC
+    33571204U,	// ADDS_A_B
+    33572649U,	// ADDS_A_D
+    33574589U,	// ADDS_A_H
+    33577790U,	// ADDS_A_W
+    33571672U,	// ADDS_S_B
+    33573738U,	// ADDS_S_D
+    33575146U,	// ADDS_S_H
+    33578834U,	// ADDS_S_W
+    33571887U,	// ADDS_U_B
+    33574205U,	// ADDS_U_D
+    33575424U,	// ADDS_U_H
+    33579252U,	// ADDS_U_W
+    33572095U,	// ADDUH_QB
+    33572203U,	// ADDUH_R_QB
+    33575916U,	// ADDU_PH
+    33572308U,	// ADDU_QB
+    33575861U,	// ADDU_S_PH
+    33572249U,	// ADDU_S_QB
+    570442365U,	// ADDVI_B
+    570444081U,	// ADDVI_D
+    570445717U,	// ADDVI_H
+    570449127U,	// ADDVI_W
+    33571965U,	// ADDV_B
+    33574305U,	// ADDV_D
+    33575502U,	// ADDV_H
+    33579352U,	// ADDV_W
+    33572563U,	// ADDWC
+    33571186U,	// ADD_A_B
+    33572630U,	// ADD_A_D
+    33574571U,	// ADD_A_H
+    33577771U,	// ADD_A_W
+    33574461U,	// ADD_MM
+    33576129U,	// ADDi
+    33576129U,	// ADDi_MM
+    33577533U,	// ADDiu
+    33577533U,	// ADDiu_MM
+    33577487U,	// ADDu
+    33577487U,	// ADDu_MM
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
-    16788547U,	// AND
-    16788547U,	// AND64
-    285221294U,	// ANDI_B
-    16788547U,	// AND_MM
-    16791250U,	// AND_V
+    33576478U,	// ALIGN
+    18056U,	// ALUIPC
+    33574483U,	// AND
+    33574483U,	// AND64
+    570442224U,	// ANDI_B
+    33574483U,	// AND_MM
+    33577615U,	// AND_V
     0U,	// AND_V_D_PSEUDO
     0U,	// AND_V_H_PSEUDO
     0U,	// AND_V_W_PSEUDO
-    553661081U,	// ANDi
-    553661081U,	// ANDi64
-    553661081U,	// ANDi_MM
-    553659473U,	// APPEND
-    16786092U,	// ASUB_S_B
-    16787696U,	// ASUB_S_D
-    16789018U,	// ASUB_S_H
-    16792243U,	// ASUB_S_W
-    16786307U,	// ASUB_U_B
-    16788119U,	// ASUB_U_D
-    16789306U,	// ASUB_U_H
-    16792711U,	// ASUB_U_W
+    1107317959U,	// ANDi
+    1107317959U,	// ANDi64
+    1107317959U,	// ANDi_MM
+    1107316321U,	// APPEND
+    33571566U,	// ASUB_S_B
+    33573568U,	// ASUB_S_D
+    33574978U,	// ASUB_S_H
+    33578614U,	// ASUB_S_W
+    33571781U,	// ASUB_U_B
+    33574035U,	// ASUB_U_D
+    33575266U,	// ASUB_U_H
+    33579082U,	// ASUB_U_W
     0U,	// ATOMIC_CMP_SWAP_I16
     0U,	// ATOMIC_CMP_SWAP_I32
     0U,	// ATOMIC_CMP_SWAP_I64
@@ -137,1004 +141,1161 @@
     0U,	// ATOMIC_SWAP_I32
     0U,	// ATOMIC_SWAP_I64
     0U,	// ATOMIC_SWAP_I8
-    16786178U,	// AVER_S_B
-    16787846U,	// AVER_S_D
-    16789156U,	// AVER_S_H
-    16792443U,	// AVER_S_W
-    16786393U,	// AVER_U_B
-    16788269U,	// AVER_U_D
-    16789444U,	// AVER_U_H
-    16792861U,	// AVER_U_W
-    16786120U,	// AVE_S_B
-    16787778U,	// AVE_S_D
-    16789088U,	// AVE_S_H
-    16792325U,	// AVE_S_W
-    16786335U,	// AVE_U_B
-    16788201U,	// AVE_U_D
-    16789376U,	// AVE_U_H
-    16792793U,	// AVE_U_W
-    13981U,	// AddiuRxImmX16
-    79517U,	// AddiuRxPcImmX16
-    34616989U,	// AddiuRxRxImm16
-    1062557U,	// AddiuRxRxImmX16
-    2111133U,	// AddiuRxRyOffMemX16
-    147040U,	// AddiuSpImm16
-    212576U,	// AddiuSpImmX16
-    16791172U,	// AdduRxRyRz16
-    1059907U,	// AndRxRxRy16
+    33576239U,	// AUI
+    18049U,	// AUIPC
+    33571652U,	// AVER_S_B
+    33573718U,	// AVER_S_D
+    33575116U,	// AVER_S_H
+    33578814U,	// AVER_S_W
+    33571867U,	// AVER_U_B
+    33574185U,	// AVER_U_D
+    33575404U,	// AVER_U_H
+    33579232U,	// AVER_U_W
+    33571594U,	// AVE_S_B
+    33573650U,	// AVE_S_D
+    33575048U,	// AVE_S_H
+    33578696U,	// AVE_S_W
+    33571809U,	// AVE_U_B
+    33574117U,	// AVE_U_D
+    33575336U,	// AVE_U_H
+    33579164U,	// AVE_U_W
+    23101U,	// AddiuRxImmX16
+    154173U,	// AddiuRxPcImmX16
+    69229117U,	// AddiuRxRxImm16
+    2120253U,	// AddiuRxRxImmX16
+    4217405U,	// AddiuRxRyOffMemX16
+    287289U,	// AddiuSpImm16
+    418361U,	// AddiuSpImmX16
+    33577487U,	// AdduRxRyRz16
+    2117203U,	// AndRxRxRy16
     0U,	// B
-    553661382U,	// BALIGN
+    33577486U,	// BADDu
+    415061U,	// BAL
+    411192U,	// BALC
+    1107318301U,	// BALIGN
     0U,	// BAL_BR
-    11378U,	// BC1F
-    11378U,	// BC1F_MM
-    13902U,	// BC1T
-    13902U,	// BC1T_MM
-    285221363U,	// BCLRI_B
-    285222769U,	// BCLRI_D
-    285224245U,	// BCLRI_H
-    285227244U,	// BCLRI_W
-    16786059U,	// BCLR_B
-    16787620U,	// BCLR_D
-    16788985U,	// BCLR_H
-    16792159U,	// BCLR_W
-    16790583U,	// BEQ
-    16790583U,	// BEQ64
-    16790583U,	// BEQ_MM
-    15861U,	// BGEZ
-    15861U,	// BGEZ64
-    13065U,	// BGEZAL
-    13065U,	// BGEZAL_MM
-    15861U,	// BGEZ_MM
-    15905U,	// BGTZ
-    15905U,	// BGTZ64
-    15905U,	// BGTZ_MM
-    823140824U,	// BINSLI_B
-    823142230U,	// BINSLI_D
-    823143706U,	// BINSLI_H
-    823146705U,	// BINSLI_W
-    1091576427U,	// BINSL_B
-    1091577879U,	// BINSL_D
-    1091579276U,	// BINSL_H
-    1091582319U,	// BINSL_W
-    823140885U,	// BINSRI_B
-    823142275U,	// BINSRI_D
-    823143751U,	// BINSRI_H
-    823146750U,	// BINSRI_W
-    1091576475U,	// BINSR_B
-    1091578070U,	// BINSR_D
-    1091579401U,	// BINSR_H
-    1091582609U,	// BINSR_W
-    14106U,	// BITREV
-    15867U,	// BLEZ
-    15867U,	// BLEZ64
-    15867U,	// BLEZ_MM
-    15911U,	// BLTZ
-    15911U,	// BLTZ64
-    13073U,	// BLTZAL
-    13073U,	// BLTZAL_MM
-    15911U,	// BLTZ_MM
-    823140940U,	// BMNZI_B
-    1091581707U,	// BMNZ_V
-    823140932U,	// BMZI_B
-    1091581693U,	// BMZ_V
-    16788578U,	// BNE
-    16788578U,	// BNE64
-    285221302U,	// BNEGI_B
-    285222717U,	// BNEGI_D
-    285224193U,	// BNEGI_H
-    285227192U,	// BNEGI_W
-    16785814U,	// BNEG_B
-    16787237U,	// BNEG_D
-    16788713U,	// BNEG_H
-    16791631U,	// BNEG_W
-    16788578U,	// BNE_MM
-    9315U,	// BNZ_B
-    11288U,	// BNZ_D
-    12366U,	// BNZ_H
-    14084U,	// BNZ_V
-    15830U,	// BNZ_W
-    204937U,	// BPOSGE32
+    411171U,	// BC
+    25255U,	// BC1EQZ
+    20116U,	// BC1F
+    20116U,	// BC1F_MM
+    25239U,	// BC1NEZ
+    22995U,	// BC1T
+    22995U,	// BC1T_MM
+    25263U,	// BC2EQZ
+    25247U,	// BC2NEZ
+    570442293U,	// BCLRI_B
+    570444025U,	// BCLRI_D
+    570445661U,	// BCLRI_H
+    570449071U,	// BCLRI_W
+    33571533U,	// BCLR_B
+    33573492U,	// BCLR_D
+    33574945U,	// BCLR_H
+    33578530U,	// BCLR_W
+    33576621U,	// BEQ
+    33576621U,	// BEQ64
+    33572518U,	// BEQC
+    18016U,	// BEQZALC
+    18159U,	// BEQZC
+    33576621U,	// BEQ_MM
+    33572391U,	// BGEC
+    33572537U,	// BGEUC
+    25022U,	// BGEZ
+    25022U,	// BGEZ64
+    21855U,	// BGEZAL
+    17989U,	// BGEZALC
+    21855U,	// BGEZAL_MM
+    18138U,	// BGEZC
+    25022U,	// BGEZ_MM
+    25082U,	// BGTZ
+    25082U,	// BGTZ64
+    18025U,	// BGTZALC
+    18166U,	// BGTZC
+    25082U,	// BGTZ_MM
+    1646281242U,	// BINSLI_B
+    1646282974U,	// BINSLI_D
+    1646284610U,	// BINSLI_H
+    1646288020U,	// BINSLI_W
+    2183152301U,	// BINSL_B
+    2183154086U,	// BINSL_D
+    2183155636U,	// BINSL_H
+    2183159090U,	// BINSL_W
+    1646281303U,	// BINSRI_B
+    1646283019U,	// BINSRI_D
+    1646284655U,	// BINSRI_H
+    1646288065U,	// BINSRI_W
+    2183152349U,	// BINSR_B
+    2183154342U,	// BINSR_D
+    2183155761U,	// BINSR_H
+    2183159380U,	// BINSR_W
+    23255U,	// BITREV
+    22093U,	// BITSWAP
+    25028U,	// BLEZ
+    25028U,	// BLEZ64
+    17998U,	// BLEZALC
+    18145U,	// BLEZC
+    25028U,	// BLEZ_MM
+    33572531U,	// BLTC
+    33572544U,	// BLTUC
+    25088U,	// BLTZ
+    25088U,	// BLTZ64
+    21863U,	// BLTZAL
+    18034U,	// BLTZALC
+    21863U,	// BLTZAL_MM
+    18173U,	// BLTZC
+    25088U,	// BLTZ_MM
+    1646281358U,	// BMNZI_B
+    2183158472U,	// BMNZ_V
+    1646281350U,	// BMZI_B
+    2183158458U,	// BMZ_V
+    33574527U,	// BNE
+    33574527U,	// BNE64
+    33572397U,	// BNEC
+    570442232U,	// BNEGI_B
+    570443973U,	// BNEGI_D
+    570445609U,	// BNEGI_H
+    570449019U,	// BNEGI_W
+    33571288U,	// BNEG_B
+    33573037U,	// BNEG_D
+    33574673U,	// BNEG_H
+    33578002U,	// BNEG_W
+    18007U,	// BNEZALC
+    18152U,	// BNEZC
+    33574527U,	// BNE_MM
+    33572551U,	// BNVC
+    17573U,	// BNZ_B
+    19998U,	// BNZ_D
+    21110U,	// BNZ_H
+    23233U,	// BNZ_V
+    24985U,	// BNZ_W
+    33572557U,	// BOVC
+    409767U,	// BPOSGE32
     0U,	// BPOSGE32_PSEUDO
-    13035U,	// BREAK
-    13035U,	// BREAK_MM
-    823140799U,	// BSELI_B
+    21820U,	// BREAK
+    21820U,	// BREAK_MM
+    1646281217U,	// BSELI_B
     0U,	// BSEL_D_PSEUDO
     0U,	// BSEL_FD_PSEUDO
     0U,	// BSEL_FW_PSEUDO
     0U,	// BSEL_H_PSEUDO
-    1091581665U,	// BSEL_V
+    2183158430U,	// BSEL_V
     0U,	// BSEL_W_PSEUDO
-    285221417U,	// BSETI_B
-    285222807U,	// BSETI_D
-    285224283U,	// BSETI_H
-    285227282U,	// BSETI_W
-    16786275U,	// BSET_B
-    16787982U,	// BSET_D
-    16789274U,	// BSET_H
-    16792617U,	// BSET_W
-    9309U,	// BZ_B
-    11282U,	// BZ_D
-    12360U,	// BZ_H
-    14071U,	// BZ_V
-    15824U,	// BZ_W
-    50347540U,	// BeqzRxImm16
-    15892U,	// BeqzRxImmX16
-    139574U,	// Bimm16
-    205110U,	// BimmX16
-    50347521U,	// BnezRxImm16
-    15873U,	// BnezRxImmX16
-    7845U,	// Break16
-    278042U,	// Bteqz16
-    67122173U,	// BteqzT8CmpX16
-    67121861U,	// BteqzT8CmpiX16
-    67122772U,	// BteqzT8SltX16
-    67121879U,	// BteqzT8SltiX16
-    67122859U,	// BteqzT8SltiuX16
-    67122873U,	// BteqzT8SltuX16
-    212506U,	// BteqzX16
-    278023U,	// Btnez16
-    83899389U,	// BtnezT8CmpX16
-    83899077U,	// BtnezT8CmpiX16
-    83899988U,	// BtnezT8SltX16
-    83899095U,	// BtnezT8SltiX16
-    83900075U,	// BtnezT8SltiuX16
-    83900089U,	// BtnezT8SltuX16
-    212487U,	// BtnezX16
+    570442347U,	// BSETI_B
+    570444063U,	// BSETI_D
+    570445699U,	// BSETI_H
+    570449109U,	// BSETI_W
+    33571749U,	// BSET_B
+    33573854U,	// BSET_D
+    33575234U,	// BSET_H
+    33578988U,	// BSET_W
+    17567U,	// BZ_B
+    19982U,	// BZ_D
+    21104U,	// BZ_H
+    23220U,	// BZ_V
+    24979U,	// BZ_W
+    100688357U,	// BeqzRxImm16
+    25061U,	// BeqzRxImmX16
+    278904U,	// Bimm16
+    409976U,	// BimmX16
+    100688330U,	// BnezRxImm16
+    25034U,	// BnezRxImmX16
+    8890U,	// Break16
+    549363U,	// Bteqz16
+    134239853U,	// BteqzT8CmpX16
+    134239492U,	// BteqzT8CmpiX16
+    134240735U,	// BteqzT8SltX16
+    134239522U,	// BteqzT8SltiX16
+    134240843U,	// BteqzT8SltiuX16
+    134240879U,	// BteqzT8SltuX16
+    418291U,	// BteqzX16
+    549336U,	// Btnez16
+    167794285U,	// BtnezT8CmpX16
+    167793924U,	// BtnezT8CmpiX16
+    167795167U,	// BtnezT8SltX16
+    167793954U,	// BtnezT8SltiX16
+    167795275U,	// BtnezT8SltiuX16
+    167795311U,	// BtnezT8SltuX16
+    418264U,	// BtnezX16
     0U,	// BuildPairF64
     0U,	// BuildPairF64_64
-    10184U,	// CEIL_L_D64
-    13682U,	// CEIL_L_S
-    11244U,	// CEIL_W_D32
-    11244U,	// CEIL_W_D64
-    11244U,	// CEIL_W_MM
-    13864U,	// CEIL_W_S
-    13864U,	// CEIL_W_S_MM
-    16785890U,	// CEQI_B
-    16787296U,	// CEQI_D
-    16788772U,	// CEQI_H
-    16791771U,	// CEQI_W
-    16786044U,	// CEQ_B
-    16787561U,	// CEQ_D
-    16788963U,	// CEQ_H
-    16792047U,	// CEQ_W
-    8227U,	// CFC1
-    8227U,	// CFC1_MM
-    8480U,	// CFCMSA
-    16786129U,	// CLEI_S_B
-    16787787U,	// CLEI_S_D
-    16789097U,	// CLEI_S_H
-    16792334U,	// CLEI_S_W
-    285221800U,	// CLEI_U_B
-    285223666U,	// CLEI_U_D
-    285224841U,	// CLEI_U_H
-    285228258U,	// CLEI_U_W
-    16786111U,	// CLE_S_B
-    16787769U,	// CLE_S_D
-    16789079U,	// CLE_S_H
-    16792316U,	// CLE_S_W
-    16786326U,	// CLE_U_B
-    16788192U,	// CLE_U_D
-    16789367U,	// CLE_U_H
-    16792784U,	// CLE_U_W
-    13269U,	// CLO
-    13269U,	// CLO_MM
-    16786149U,	// CLTI_S_B
-    16787807U,	// CLTI_S_D
-    16789117U,	// CLTI_S_H
-    16792354U,	// CLTI_S_W
-    285221820U,	// CLTI_U_B
-    285223686U,	// CLTI_U_D
-    285224861U,	// CLTI_U_H
-    285228278U,	// CLTI_U_W
-    16786217U,	// CLT_S_B
-    16787885U,	// CLT_S_D
-    16789205U,	// CLT_S_H
-    16792482U,	// CLT_S_W
-    16786444U,	// CLT_U_B
-    16788320U,	// CLT_U_D
-    16789495U,	// CLT_U_H
-    16792912U,	// CLT_U_W
-    15887U,	// CLZ
-    15887U,	// CLZ_MM
-    16786651U,	// CMPGDU_EQ_QB
-    16786556U,	// CMPGDU_LE_QB
-    16786770U,	// CMPGDU_LT_QB
-    16786665U,	// CMPGU_EQ_QB
-    16786570U,	// CMPGU_LE_QB
-    16786784U,	// CMPGU_LT_QB
-    9462U,	// CMPU_EQ_QB
-    9367U,	// CMPU_LE_QB
-    9581U,	// CMPU_LT_QB
-    12551U,	// CMP_EQ_PH
-    12447U,	// CMP_LE_PH
-    12720U,	// CMP_LT_PH
-    7915U,	// CONSTPOOL_ENTRY
+    36472U,	// CACHE
+    36472U,	// CACHE_R6
+    18768U,	// CEIL_L_D64
+    22608U,	// CEIL_L_S
+    19944U,	// CEIL_W_D32
+    19944U,	// CEIL_W_D64
+    19944U,	// CEIL_W_MM
+    22930U,	// CEIL_W_S
+    22930U,	// CEIL_W_S_MM
+    33571364U,	// CEQI_B
+    33573096U,	// CEQI_D
+    33574732U,	// CEQI_H
+    33578142U,	// CEQI_W
+    33571518U,	// CEQ_B
+    33573399U,	// CEQ_D
+    33574923U,	// CEQ_H
+    33578418U,	// CEQ_W
+    16437U,	// CFC1
+    16437U,	// CFC1_MM
+    16738U,	// CFCMSA
+    1107321457U,	// CINS
+    1107321413U,	// CINS32
+    19404U,	// CLASS_D
+    22782U,	// CLASS_S
+    33571603U,	// CLEI_S_B
+    33573659U,	// CLEI_S_D
+    33575057U,	// CLEI_S_H
+    33578705U,	// CLEI_S_W
+    570442730U,	// CLEI_U_B
+    570445038U,	// CLEI_U_D
+    570446257U,	// CLEI_U_H
+    570450085U,	// CLEI_U_W
+    33571585U,	// CLE_S_B
+    33573641U,	// CLE_S_D
+    33575039U,	// CLE_S_H
+    33578687U,	// CLE_S_W
+    33571800U,	// CLE_U_B
+    33574108U,	// CLE_U_D
+    33575327U,	// CLE_U_H
+    33579155U,	// CLE_U_W
+    22068U,	// CLO
+    22068U,	// CLO_MM
+    22068U,	// CLO_R6
+    33571623U,	// CLTI_S_B
+    33573679U,	// CLTI_S_D
+    33575077U,	// CLTI_S_H
+    33578725U,	// CLTI_S_W
+    570442750U,	// CLTI_U_B
+    570445058U,	// CLTI_U_D
+    570446277U,	// CLTI_U_H
+    570450105U,	// CLTI_U_W
+    33571691U,	// CLT_S_B
+    33573757U,	// CLT_S_D
+    33575165U,	// CLT_S_H
+    33578853U,	// CLT_S_W
+    33571918U,	// CLT_U_B
+    33574236U,	// CLT_U_D
+    33575455U,	// CLT_U_H
+    33579283U,	// CLT_U_W
+    25056U,	// CLZ
+    25056U,	// CLZ_MM
+    25056U,	// CLZ_R6
+    33572141U,	// CMPGDU_EQ_QB
+    33572046U,	// CMPGDU_LE_QB
+    33572260U,	// CMPGDU_LT_QB
+    33572155U,	// CMPGU_EQ_QB
+    33572060U,	// CMPGU_LE_QB
+    33572274U,	// CMPGU_LT_QB
+    17736U,	// CMPU_EQ_QB
+    17641U,	// CMPU_LE_QB
+    17855U,	// CMPU_LT_QB
+    33573388U,	// CMP_EQ_D
+    21295U,	// CMP_EQ_PH
+    33577145U,	// CMP_EQ_S
+    33572958U,	// CMP_F_D
+    33576956U,	// CMP_F_S
+    33572802U,	// CMP_LE_D
+    21191U,	// CMP_LE_PH
+    33576877U,	// CMP_LE_S
+    33573879U,	// CMP_LT_D
+    21464U,	// CMP_LT_PH
+    33577240U,	// CMP_LT_S
+    33572976U,	// CMP_SAF_D
+    33576966U,	// CMP_SAF_S
+    33573415U,	// CMP_SEQ_D
+    33577164U,	// CMP_SEQ_S
+    33572839U,	// CMP_SLE_D
+    33576906U,	// CMP_SLE_S
+    33573906U,	// CMP_SLT_D
+    33577259U,	// CMP_SLT_S
+    33573463U,	// CMP_SUEQ_D
+    33577195U,	// CMP_SUEQ_S
+    33572887U,	// CMP_SULE_D
+    33576937U,	// CMP_SULE_S
+    33573954U,	// CMP_SULT_D
+    33577290U,	// CMP_SULT_S
+    33573345U,	// CMP_SUN_D
+    33577118U,	// CMP_SUN_S
+    33573443U,	// CMP_UEQ_D
+    33577184U,	// CMP_UEQ_S
+    33572867U,	// CMP_ULE_D
+    33576926U,	// CMP_ULE_S
+    33573934U,	// CMP_ULT_D
+    33577279U,	// CMP_ULT_S
+    33573327U,	// CMP_UN_D
+    33577108U,	// CMP_UN_S
+    8976U,	// CONSTPOOL_ENTRY
     0U,	// COPY_FD_PSEUDO
     0U,	// COPY_FW_PSEUDO
-    2516591440U,	// COPY_S_B
-    2516593130U,	// COPY_S_D
-    2516594439U,	// COPY_S_H
-    2516597738U,	// COPY_S_W
-    2516591655U,	// COPY_U_B
-    2516593553U,	// COPY_U_D
-    2516594706U,	// COPY_U_H
-    2516598145U,	// COPY_U_W
-    344126U,	// CTC1
-    344126U,	// CTC1_MM
-    8488U,	// CTCMSA
-    13567U,	// CVT_D32_S
-    14263U,	// CVT_D32_W
-    14263U,	// CVT_D32_W_MM
-    13042U,	// CVT_D64_L
-    13567U,	// CVT_D64_S
-    14263U,	// CVT_D64_W
-    13567U,	// CVT_D_S_MM
-    10205U,	// CVT_L_D64
-    10205U,	// CVT_L_D64_MM
-    13703U,	// CVT_L_S
-    13703U,	// CVT_L_S_MM
-    10471U,	// CVT_S_D32
-    10471U,	// CVT_S_D32_MM
-    10471U,	// CVT_S_D64
-    13051U,	// CVT_S_L
-    15018U,	// CVT_S_W
-    15018U,	// CVT_S_W_MM
-    11265U,	// CVT_W_D32
-    11265U,	// CVT_W_D64
-    11265U,	// CVT_W_MM
-    13885U,	// CVT_W_S
-    13885U,	// CVT_W_S_MM
-    10336U,	// C_EQ_D32
-    10336U,	// C_EQ_D64
-    13744U,	// C_EQ_S
-    9974U,	// C_F_D32
-    9974U,	// C_F_D64
-    13630U,	// C_F_S
-    9861U,	// C_LE_D32
-    9861U,	// C_LE_D64
-    13594U,	// C_LE_S
-    10783U,	// C_LT_D32
-    10783U,	// C_LT_D64
-    13786U,	// C_LT_S
-    9852U,	// C_NGE_D32
-    9852U,	// C_NGE_D64
-    13585U,	// C_NGE_S
-    9877U,	// C_NGLE_D32
-    9877U,	// C_NGLE_D64
-    13602U,	// C_NGLE_S
-    10214U,	// C_NGL_D32
-    10214U,	// C_NGL_D64
-    13712U,	// C_NGL_S
-    10774U,	// C_NGT_D32
-    10774U,	// C_NGT_D64
-    13777U,	// C_NGT_S
-    9887U,	// C_OLE_D32
-    9887U,	// C_OLE_D64
-    13612U,	// C_OLE_S
-    10799U,	// C_OLT_D32
-    10799U,	// C_OLT_D64
-    13794U,	// C_OLT_S
-    10352U,	// C_SEQ_D32
-    10352U,	// C_SEQ_D64
-    13752U,	// C_SEQ_S
-    10005U,	// C_SF_D32
-    10005U,	// C_SF_D64
-    13637U,	// C_SF_S
-    10369U,	// C_UEQ_D32
-    10369U,	// C_UEQ_D64
-    13761U,	// C_UEQ_S
-    9904U,	// C_ULE_D32
-    9904U,	// C_ULE_D64
-    13621U,	// C_ULE_S
-    10816U,	// C_ULT_D32
-    10816U,	// C_ULT_D64
-    13803U,	// C_ULT_S
-    10296U,	// C_UN_D32
-    10296U,	// C_UN_D64
-    13728U,	// C_UN_S
-    13309U,	// CmpRxRy16
-    117453509U,	// CmpiRxImm16
-    12997U,	// CmpiRxImmX16
-    212594U,	// Constant32
-    16788524U,	// DADD
-    16790162U,	// DADDi
-    16791196U,	// DADDiu
-    16791171U,	// DADDu
-    13268U,	// DCLO
-    15886U,	// DCLZ
-    7919U,	// DERET
-    7919U,	// DERET_MM
-    553664142U,	// DEXT
-    553664129U,	// DEXTM
-    553664155U,	// DEXTU
-    209557U,	// DI
-    553664136U,	// DINS
-    553664122U,	// DINSM
-    553664148U,	// DINSU
-    16786238U,	// DIV_S_B
-    16787928U,	// DIV_S_D
-    16789226U,	// DIV_S_H
-    16792525U,	// DIV_S_W
-    16786453U,	// DIV_U_B
-    16788351U,	// DIV_U_D
-    16789504U,	// DIV_U_H
-    16792943U,	// DIV_U_W
-    209557U,	// DI_MM
-    16785690U,	// DLSA
-    553656329U,	// DMFC0
-    8233U,	// DMFC1
-    553656504U,	// DMFC2
-    553656336U,	// DMTC0
-    344132U,	// DMTC1
-    553656511U,	// DMTC2
-    13918U,	// DMULT
-    14021U,	// DMULTu
-    16787836U,	// DOTP_S_D
-    16789146U,	// DOTP_S_H
-    16792393U,	// DOTP_S_W
-    16788259U,	// DOTP_U_D
-    16789434U,	// DOTP_U_H
-    16792851U,	// DOTP_U_W
-    1091578149U,	// DPADD_S_D
-    1091579459U,	// DPADD_S_H
-    1091582696U,	// DPADD_S_W
-    1091578572U,	// DPADD_U_D
-    1091579747U,	// DPADD_U_H
-    1091583164U,	// DPADD_U_W
-    16790015U,	// DPAQX_SA_W_PH
-    16790098U,	// DPAQX_S_W_PH
-    16791853U,	// DPAQ_SA_L_W
-    16790057U,	// DPAQ_S_W_PH
-    16790297U,	// DPAU_H_QBL
-    16790593U,	// DPAU_H_QBR
-    16790136U,	// DPAX_W_PH
-    16790005U,	// DPA_W_PH
-    16790030U,	// DPSQX_SA_W_PH
-    16790112U,	// DPSQX_S_W_PH
-    16791866U,	// DPSQ_SA_L_W
-    16790085U,	// DPSQ_S_W_PH
-    1091578116U,	// DPSUB_S_D
-    1091579438U,	// DPSUB_S_H
-    1091582663U,	// DPSUB_S_W
-    1091578539U,	// DPSUB_U_D
-    1091579726U,	// DPSUB_U_H
-    1091583131U,	// DPSUB_U_W
-    16790309U,	// DPSU_H_QBL
-    16790605U,	// DPSU_H_QBR
-    16790147U,	// DPSX_W_PH
-    16790126U,	// DPS_W_PH
-    553661662U,	// DROTR
-    553656483U,	// DROTR32
-    16791368U,	// DROTRV
-    12373U,	// DSBH
-    15955U,	// DSDIV
-    11320U,	// DSHD
-    553661355U,	// DSLL
-    553656467U,	// DSLL32
-    134230955U,	// DSLL64_32
-    16791330U,	// DSLLV
-    553656596U,	// DSRA
-    553656449U,	// DSRA32
-    16791315U,	// DSRAV
-    553661361U,	// DSRL
-    553656475U,	// DSRL32
-    16791337U,	// DSRLV
-    16791157U,	// DSUBu
-    15941U,	// DUDIV
-    15956U,	// DivRxRy16
-    15942U,	// DivuRxRy16
-    209569U,	// EI
-    209569U,	// EI_MM
-    7920U,	// ERET
-    7920U,	// ERET_MM
-    553664143U,	// EXT
-    553661489U,	// EXTP
-    553661421U,	// EXTPDP
-    16791352U,	// EXTPDPV
-    16791361U,	// EXTPV
-    16792586U,	// EXTRV_RS_W
-    16792140U,	// EXTRV_R_W
-    16789235U,	// EXTRV_S_H
-    16793023U,	// EXTRV_W
-    553663487U,	// EXTR_RS_W
-    553663031U,	// EXTR_R_W
-    553660078U,	// EXTR_S_H
-    553663130U,	// EXTR_W
-    553664143U,	// EXT_MM
+    738214802U,	// COPY_S_B
+    738216890U,	// COPY_S_D
+    738218287U,	// COPY_S_H
+    738221997U,	// COPY_S_W
+    738215017U,	// COPY_U_B
+    738217357U,	// COPY_U_D
+    738218554U,	// COPY_U_H
+    738222404U,	// COPY_U_W
+    704592U,	// CTC1
+    704592U,	// CTC1_MM
+    16746U,	// CTCMSA
+    22410U,	// CVT_D32_S
+    23418U,	// CVT_D32_W
+    23418U,	// CVT_D32_W_MM
+    21827U,	// CVT_D64_L
+    22410U,	// CVT_D64_S
+    23418U,	// CVT_D64_W
+    22410U,	// CVT_D_S_MM
+    18789U,	// CVT_L_D64
+    18789U,	// CVT_L_D64_MM
+    22629U,	// CVT_L_S
+    22629U,	// CVT_L_S_MM
+    19127U,	// CVT_S_D32
+    19127U,	// CVT_S_D32_MM
+    19127U,	// CVT_S_D64
+    21836U,	// CVT_S_L
+    24173U,	// CVT_S_W
+    24173U,	// CVT_S_W_MM
+    19965U,	// CVT_W_D32
+    19965U,	// CVT_W_D64
+    19965U,	// CVT_W_MM
+    22951U,	// CVT_W_S
+    22951U,	// CVT_W_S_MM
+    18948U,	// C_EQ_D32
+    18948U,	// C_EQ_D64
+    22705U,	// C_EQ_S
+    18519U,	// C_F_D32
+    18519U,	// C_F_D64
+    22517U,	// C_F_S
+    18362U,	// C_LE_D32
+    18362U,	// C_LE_D64
+    22437U,	// C_LE_S
+    19439U,	// C_LT_D32
+    19439U,	// C_LT_D64
+    22800U,	// C_LT_S
+    18353U,	// C_NGE_D32
+    18353U,	// C_NGE_D64
+    22428U,	// C_NGE_S
+    18388U,	// C_NGLE_D32
+    18388U,	// C_NGLE_D64
+    22455U,	// C_NGLE_S
+    18805U,	// C_NGL_D32
+    18805U,	// C_NGL_D64
+    22645U,	// C_NGL_S
+    19430U,	// C_NGT_D32
+    19430U,	// C_NGT_D64
+    22791U,	// C_NGT_S
+    18398U,	// C_OLE_D32
+    18398U,	// C_OLE_D64
+    22465U,	// C_OLE_S
+    19465U,	// C_OLT_D32
+    19465U,	// C_OLT_D64
+    22818U,	// C_OLT_S
+    18974U,	// C_SEQ_D32
+    18974U,	// C_SEQ_D64
+    22723U,	// C_SEQ_S
+    18589U,	// C_SF_D32
+    18589U,	// C_SF_D64
+    22563U,	// C_SF_S
+    19002U,	// C_UEQ_D32
+    19002U,	// C_UEQ_D64
+    22743U,	// C_UEQ_S
+    18426U,	// C_ULE_D32
+    18426U,	// C_ULE_D64
+    22485U,	// C_ULE_S
+    19493U,	// C_ULT_D32
+    19493U,	// C_ULT_D64
+    22838U,	// C_ULT_S
+    18887U,	// C_UN_D32
+    18887U,	// C_UN_D64
+    22668U,	// C_UN_S
+    22125U,	// CmpRxRy16
+    234902788U,	// CmpiRxImm16
+    21764U,	// CmpiRxImmX16
+    418395U,	// Constant32
+    33574460U,	// DADD
+    33576128U,	// DADDi
+    33577532U,	// DADDiu
+    33577493U,	// DADDu
+    7132383U,	// DAHI
+    33576485U,	// DALIGN
+    7132444U,	// DATI
+    33576238U,	// DAUI
+    22092U,	// DBITSWAP
+    22067U,	// DCLO
+    22067U,	// DCLO_R6
+    25055U,	// DCLZ
+    25055U,	// DCLZ_R6
+    33577695U,	// DDIV
+    33577603U,	// DDIVU
+    9002U,	// DERET
+    9002U,	// DERET_MM
+    1107321475U,	// DEXT
+    1107321450U,	// DEXTM
+    1107321488U,	// DEXTU
+    414915U,	// DI
+    1107321463U,	// DINS
+    1107321443U,	// DINSM
+    1107321481U,	// DINSU
+    33577696U,	// DIV
+    33577604U,	// DIVU
+    33571712U,	// DIV_S_B
+    33573800U,	// DIV_S_D
+    33575186U,	// DIV_S_H
+    33578896U,	// DIV_S_W
+    33571927U,	// DIV_U_B
+    33574267U,	// DIV_U_D
+    33575464U,	// DIV_U_H
+    33579314U,	// DIV_U_W
+    414915U,	// DI_MM
+    33571164U,	// DLSA
+    33571164U,	// DLSA_R6
+    1107312649U,	// DMFC0
+    16443U,	// DMFC1
+    1107312854U,	// DMFC2
+    33574505U,	// DMOD
+    33577507U,	// DMODU
+    1107312656U,	// DMTC0
+    704598U,	// DMTC1
+    1107312861U,	// DMTC2
+    33576122U,	// DMUH
+    33577525U,	// DMUHU
+    33576461U,	// DMUL
+    23017U,	// DMULT
+    23163U,	// DMULTu
+    33577569U,	// DMULU
+    33576461U,	// DMUL_R6
+    33573708U,	// DOTP_S_D
+    33575106U,	// DOTP_S_H
+    33578764U,	// DOTP_S_W
+    33574175U,	// DOTP_U_D
+    33575394U,	// DOTP_U_H
+    33579222U,	// DOTP_U_W
+    2183154421U,	// DPADD_S_D
+    2183155819U,	// DPADD_S_H
+    2183159467U,	// DPADD_S_W
+    2183154888U,	// DPADD_U_D
+    2183156107U,	// DPADD_U_H
+    2183159935U,	// DPADD_U_W
+    33575975U,	// DPAQX_SA_W_PH
+    33576058U,	// DPAQX_S_W_PH
+    33578224U,	// DPAQ_SA_L_W
+    33576017U,	// DPAQ_S_W_PH
+    33576303U,	// DPAU_H_QBL
+    33576636U,	// DPAU_H_QBR
+    33576096U,	// DPAX_W_PH
+    33575965U,	// DPA_W_PH
+    22130U,	// DPOP
+    33575990U,	// DPSQX_SA_W_PH
+    33576072U,	// DPSQX_S_W_PH
+    33578237U,	// DPSQ_SA_L_W
+    33576045U,	// DPSQ_S_W_PH
+    2183154388U,	// DPSUB_S_D
+    2183155798U,	// DPSUB_S_H
+    2183159434U,	// DPSUB_S_W
+    2183154855U,	// DPSUB_U_D
+    2183156086U,	// DPSUB_U_H
+    2183159902U,	// DPSUB_U_W
+    33576315U,	// DPSU_H_QBL
+    33576648U,	// DPSU_H_QBR
+    33576107U,	// DPSX_W_PH
+    33576086U,	// DPS_W_PH
+    1107318617U,	// DROTR
+    1107312833U,	// DROTR32
+    33577739U,	// DROTRV
+    21117U,	// DSBH
+    25132U,	// DSDIV
+    20040U,	// DSHD
+    1107318273U,	// DSLL
+    1107312817U,	// DSLL32
+    268457473U,	// DSLL64_32
+    33577701U,	// DSLLV
+    1107312982U,	// DSRA
+    1107312799U,	// DSRA32
+    33577680U,	// DSRAV
+    1107318279U,	// DSRL
+    1107312825U,	// DSRL32
+    33577708U,	// DSRLV
+    33572375U,	// DSUB
+    33577472U,	// DSUBu
+    25118U,	// DUDIV
+    25133U,	// DivRxRy16
+    25119U,	// DivuRxRy16
+    8960U,	// EHB
+    414927U,	// EI
+    414927U,	// EI_MM
+    9003U,	// ERET
+    9003U,	// ERET_MM
+    1107321476U,	// EXT
+    1107318439U,	// EXTP
+    1107318365U,	// EXTPDP
+    33577723U,	// EXTPDPV
+    33577732U,	// EXTPV
+    33578957U,	// EXTRV_RS_W
+    33578511U,	// EXTRV_R_W
+    33575195U,	// EXTRV_S_H
+    33579394U,	// EXTRV_W
+    1107320770U,	// EXTR_RS_W
+    1107320314U,	// EXTR_R_W
+    1107316950U,	// EXTR_S_H
+    1107320413U,	// EXTR_W
+    1107321469U,	// EXTS
+    1107321421U,	// EXTS32
+    1107321476U,	// EXT_MM
     0U,	// ExtractElementF64
     0U,	// ExtractElementF64_64
     0U,	// FABS_D
-    10740U,	// FABS_D32
-    10740U,	// FABS_D64
-    10740U,	// FABS_MM
-    13770U,	// FABS_S
-    13770U,	// FABS_S_MM
+    19396U,	// FABS_D32
+    19396U,	// FABS_D64
+    19396U,	// FABS_MM
+    22775U,	// FABS_S
+    22775U,	// FABS_S_MM
     0U,	// FABS_W
-    16787017U,	// FADD_D
-    16787018U,	// FADD_D32
-    16787018U,	// FADD_D64
-    16787018U,	// FADD_MM
-    16790794U,	// FADD_S
-    16790794U,	// FADD_S_MM
-    16791488U,	// FADD_W
-    16787197U,	// FCAF_D
-    16791607U,	// FCAF_W
-    16787560U,	// FCEQ_D
-    16792046U,	// FCEQ_W
-    10747U,	// FCLASS_D
-    15382U,	// FCLASS_W
-    16787085U,	// FCLE_D
-    16791530U,	// FCLE_W
-    16788007U,	// FCLT_D
-    16792625U,	// FCLT_W
-    425634U,	// FCMP_D32
-    425634U,	// FCMP_D32_MM
-    425634U,	// FCMP_D64
-    491170U,	// FCMP_S32
-    491170U,	// FCMP_S32_MM
-    16787147U,	// FCNE_D
-    16791564U,	// FCNE_W
-    16787636U,	// FCOR_D
-    16792175U,	// FCOR_W
-    16787594U,	// FCUEQ_D
-    16792062U,	// FCUEQ_W
-    16787129U,	// FCULE_D
-    16791546U,	// FCULE_W
-    16788041U,	// FCULT_D
-    16792641U,	// FCULT_W
-    16787163U,	// FCUNE_D
-    16791580U,	// FCUNE_W
-    16787520U,	// FCUN_D
-    16791952U,	// FCUN_W
-    16788415U,	// FDIV_D
-    16788416U,	// FDIV_D32
-    16788416U,	// FDIV_D64
-    16788416U,	// FDIV_MM
-    16791044U,	// FDIV_S
-    16791044U,	// FDIV_S_MM
-    16793007U,	// FDIV_W
-    16788893U,	// FEXDO_H
-    16791968U,	// FEXDO_W
-    16786920U,	// FEXP2_D
+    33572734U,	// FADD_D
+    33572735U,	// FADD_D32
+    33572735U,	// FADD_D64
+    33572735U,	// FADD_MM
+    33576853U,	// FADD_S
+    33576853U,	// FADD_S_MM
+    33577859U,	// FADD_W
+    33572968U,	// FCAF_D
+    33577978U,	// FCAF_W
+    33573398U,	// FCEQ_D
+    33578417U,	// FCEQ_W
+    19403U,	// FCLASS_D
+    24537U,	// FCLASS_W
+    33572812U,	// FCLE_D
+    33577901U,	// FCLE_W
+    33573889U,	// FCLT_D
+    33578996U,	// FCLT_W
+    991927U,	// FCMP_D32
+    991927U,	// FCMP_D32_MM
+    991927U,	// FCMP_D64
+    1122999U,	// FCMP_S32
+    1122999U,	// FCMP_S32_MM
+    33572908U,	// FCNE_D
+    33577935U,	// FCNE_W
+    33573508U,	// FCOR_D
+    33578546U,	// FCOR_W
+    33573454U,	// FCUEQ_D
+    33578433U,	// FCUEQ_W
+    33572878U,	// FCULE_D
+    33577917U,	// FCULE_W
+    33573945U,	// FCULT_D
+    33579012U,	// FCULT_W
+    33572924U,	// FCUNE_D
+    33577951U,	// FCUNE_W
+    33573337U,	// FCUN_D
+    33578323U,	// FCUN_W
+    33574331U,	// FDIV_D
+    33574332U,	// FDIV_D32
+    33574332U,	// FDIV_D64
+    33574332U,	// FDIV_MM
+    33577326U,	// FDIV_S
+    33577326U,	// FDIV_S_MM
+    33579378U,	// FDIV_W
+    33574853U,	// FEXDO_H
+    33578339U,	// FEXDO_W
+    33572621U,	// FEXP2_D
     0U,	// FEXP2_D_1_PSEUDO
-    16791391U,	// FEXP2_W
+    33577762U,	// FEXP2_W
     0U,	// FEXP2_W_1_PSEUDO
-    10238U,	// FEXUPL_D
-    14678U,	// FEXUPL_W
-    10436U,	// FEXUPR_D
-    14975U,	// FEXUPR_W
-    10678U,	// FFINT_S_D
-    15275U,	// FFINT_S_W
-    11113U,	// FFINT_U_D
-    15705U,	// FFINT_U_W
-    10248U,	// FFQL_D
-    14688U,	// FFQL_W
-    10446U,	// FFQR_D
-    14985U,	// FFQR_W
-    8789U,	// FILL_B
-    10223U,	// FILL_D
+    18829U,	// FEXUPL_D
+    23833U,	// FEXUPL_W
+    19092U,	// FEXUPR_D
+    24130U,	// FEXUPR_W
+    19334U,	// FFINT_S_D
+    24430U,	// FFINT_S_W
+    19813U,	// FFINT_U_D
+    24860U,	// FFINT_U_W
+    18839U,	// FFQL_D
+    23843U,	// FFQL_W
+    19102U,	// FFQR_D
+    24140U,	// FFQR_W
+    17047U,	// FILL_B
+    18814U,	// FILL_D
     0U,	// FILL_FD_PSEUDO
     0U,	// FILL_FW_PSEUDO
-    11638U,	// FILL_H
-    14663U,	// FILL_W
-    9695U,	// FLOG2_D
-    14166U,	// FLOG2_W
-    10194U,	// FLOOR_L_D64
-    13692U,	// FLOOR_L_S
-    11254U,	// FLOOR_W_D32
-    11254U,	// FLOOR_W_D64
-    11254U,	// FLOOR_W_MM
-    13874U,	// FLOOR_W_S
-    13874U,	// FLOOR_W_S_MM
-    1091577425U,	// FMADD_D
-    1091581896U,	// FMADD_W
-    16786958U,	// FMAX_A_D
-    16791429U,	// FMAX_A_W
-    16788490U,	// FMAX_D
-    16793032U,	// FMAX_W
-    16786938U,	// FMIN_A_D
-    16791409U,	// FMIN_A_W
-    16787504U,	// FMIN_D
-    16791944U,	// FMIN_W
-    11215U,	// FMOV_D32
-    11215U,	// FMOV_D32_MM
-    11215U,	// FMOV_D64
-    13835U,	// FMOV_S
-    13835U,	// FMOV_S_MM
-    1091577383U,	// FMSUB_D
-    1091581854U,	// FMSUB_W
-    16787488U,	// FMUL_D
-    16787489U,	// FMUL_D32
-    16787489U,	// FMUL_D64
-    16787489U,	// FMUL_MM
-    16790937U,	// FMUL_S
-    16790937U,	// FMUL_S_MM
-    16791928U,	// FMUL_W
-    10022U,	// FNEG_D32
-    10022U,	// FNEG_D64
-    10022U,	// FNEG_MM
-    13653U,	// FNEG_S
-    13653U,	// FNEG_S_MM
-    10328U,	// FRCP_D
-    14761U,	// FRCP_W
-    10851U,	// FRINT_D
-    15451U,	// FRINT_W
-    10879U,	// FRSQRT_D
-    15479U,	// FRSQRT_W
-    16787205U,	// FSAF_D
-    16791615U,	// FSAF_W
-    16787577U,	// FSEQ_D
-    16792054U,	// FSEQ_W
-    16787112U,	// FSLE_D
-    16791538U,	// FSLE_W
-    16788024U,	// FSLT_D
-    16792633U,	// FSLT_W
-    16787155U,	// FSNE_D
-    16791572U,	// FSNE_W
-    16787644U,	// FSOR_D
-    16792183U,	// FSOR_W
-    10870U,	// FSQRT_D
-    10871U,	// FSQRT_D32
-    10871U,	// FSQRT_D64
-    10871U,	// FSQRT_MM
-    13812U,	// FSQRT_S
-    13812U,	// FSQRT_S_MM
-    15470U,	// FSQRT_W
-    16786975U,	// FSUB_D
-    16786976U,	// FSUB_D32
-    16786976U,	// FSUB_D64
-    16786976U,	// FSUB_MM
-    16790776U,	// FSUB_S
-    16790776U,	// FSUB_S_MM
-    16791446U,	// FSUB_W
-    16787603U,	// FSUEQ_D
-    16792071U,	// FSUEQ_W
-    16787138U,	// FSULE_D
-    16791555U,	// FSULE_W
-    16788050U,	// FSULT_D
-    16792650U,	// FSULT_W
-    16787172U,	// FSUNE_D
-    16791589U,	// FSUNE_W
-    16787528U,	// FSUN_D
-    16791960U,	// FSUN_W
-    10689U,	// FTINT_S_D
-    15286U,	// FTINT_S_W
-    11124U,	// FTINT_U_D
-    15716U,	// FTINT_U_W
-    16788970U,	// FTQ_H
-    16792080U,	// FTQ_W
-    10511U,	// FTRUNC_S_D
-    15058U,	// FTRUNC_S_W
-    10934U,	// FTRUNC_U_D
-    15526U,	// FTRUNC_U_W
-    152056513U,	// GotPrologue16
-    16787739U,	// HADD_S_D
-    16789049U,	// HADD_S_H
-    16792286U,	// HADD_S_W
-    16788162U,	// HADD_U_D
-    16789337U,	// HADD_U_H
-    16792754U,	// HADD_U_W
-    16787706U,	// HSUB_S_D
-    16789028U,	// HSUB_S_H
-    16792253U,	// HSUB_S_W
-    16788129U,	// HSUB_U_D
-    16789316U,	// HSUB_U_H
-    16792721U,	// HSUB_U_W
-    16786508U,	// ILVEV_B
-    16788406U,	// ILVEV_D
-    16789559U,	// ILVEV_H
-    16792998U,	// ILVEV_W
-    16786036U,	// ILVL_B
-    16787496U,	// ILVL_D
-    16788885U,	// ILVL_H
-    16791936U,	// ILVL_W
-    16785788U,	// ILVOD_B
-    16787059U,	// ILVOD_D
-    16788687U,	// ILVOD_H
-    16791521U,	// ILVOD_W
-    16786084U,	// ILVR_B
-    16787679U,	// ILVR_D
-    16789010U,	// ILVR_H
-    16792226U,	// ILVR_W
-    553664137U,	// INS
-    3679091U,	// INSERT_B
-    3680876U,	// INSERT_D
+    20382U,	// FILL_H
+    23818U,	// FILL_W
+    18180U,	// FLOG2_D
+    23321U,	// FLOG2_W
+    18778U,	// FLOOR_L_D64
+    22618U,	// FLOOR_L_S
+    19954U,	// FLOOR_W_D32
+    19954U,	// FLOOR_W_D64
+    19954U,	// FLOOR_W_MM
+    22940U,	// FLOOR_W_S
+    22940U,	// FLOOR_W_S_MM
+    2183153542U,	// FMADD_D
+    2183158667U,	// FMADD_W
+    33572659U,	// FMAX_A_D
+    33577800U,	// FMAX_A_W
+    33574406U,	// FMAX_D
+    33579403U,	// FMAX_W
+    33572639U,	// FMIN_A_D
+    33577780U,	// FMIN_A_W
+    33573311U,	// FMIN_D
+    33578315U,	// FMIN_W
+    19915U,	// FMOV_D32
+    19915U,	// FMOV_D32_MM
+    19915U,	// FMOV_D64
+    22901U,	// FMOV_S
+    22901U,	// FMOV_S_MM
+    2183153500U,	// FMSUB_D
+    2183158625U,	// FMSUB_W
+    33573295U,	// FMUL_D
+    33573296U,	// FMUL_D32
+    33573296U,	// FMUL_D64
+    33573296U,	// FMUL_MM
+    33577086U,	// FMUL_S
+    33577086U,	// FMUL_S_MM
+    33578299U,	// FMUL_W
+    18606U,	// FNEG_D32
+    18606U,	// FNEG_D64
+    18606U,	// FNEG_MM
+    22579U,	// FNEG_S
+    22579U,	// FNEG_S_MM
+    18940U,	// FRCP_D
+    23916U,	// FRCP_W
+    19551U,	// FRINT_D
+    24606U,	// FRINT_W
+    19579U,	// FRSQRT_D
+    24634U,	// FRSQRT_W
+    33572987U,	// FSAF_D
+    33577986U,	// FSAF_W
+    33573426U,	// FSEQ_D
+    33578425U,	// FSEQ_W
+    33572850U,	// FSLE_D
+    33577909U,	// FSLE_W
+    33573917U,	// FSLT_D
+    33579004U,	// FSLT_W
+    33572916U,	// FSNE_D
+    33577943U,	// FSNE_W
+    33573516U,	// FSOR_D
+    33578554U,	// FSOR_W
+    19570U,	// FSQRT_D
+    19571U,	// FSQRT_D32
+    19571U,	// FSQRT_D64
+    19571U,	// FSQRT_MM
+    22878U,	// FSQRT_S
+    22878U,	// FSQRT_S_MM
+    24625U,	// FSQRT_W
+    33572692U,	// FSUB_D
+    33572693U,	// FSUB_D32
+    33572693U,	// FSUB_D64
+    33572693U,	// FSUB_MM
+    33576835U,	// FSUB_S
+    33576835U,	// FSUB_S_MM
+    33577817U,	// FSUB_W
+    33573475U,	// FSUEQ_D
+    33578442U,	// FSUEQ_W
+    33572899U,	// FSULE_D
+    33577926U,	// FSULE_W
+    33573966U,	// FSULT_D
+    33579021U,	// FSULT_W
+    33572933U,	// FSUNE_D
+    33577960U,	// FSUNE_W
+    33573356U,	// FSUN_D
+    33578331U,	// FSUN_W
+    19345U,	// FTINT_S_D
+    24441U,	// FTINT_S_W
+    19824U,	// FTINT_U_D
+    24871U,	// FTINT_U_W
+    33574930U,	// FTQ_H
+    33578451U,	// FTQ_W
+    19167U,	// FTRUNC_S_D
+    24213U,	// FTRUNC_S_W
+    19634U,	// FTRUNC_U_D
+    24681U,	// FTRUNC_U_W
+    304108795U,	// GotPrologue16
+    33573611U,	// HADD_S_D
+    33575009U,	// HADD_S_H
+    33578657U,	// HADD_S_W
+    33574078U,	// HADD_U_D
+    33575297U,	// HADD_U_H
+    33579125U,	// HADD_U_W
+    33573578U,	// HSUB_S_D
+    33574988U,	// HSUB_S_H
+    33578624U,	// HSUB_S_W
+    33574045U,	// HSUB_U_D
+    33575276U,	// HSUB_U_H
+    33579092U,	// HSUB_U_W
+    33571982U,	// ILVEV_B
+    33574322U,	// ILVEV_D
+    33575519U,	// ILVEV_H
+    33579369U,	// ILVEV_W
+    33571510U,	// ILVL_B
+    33573303U,	// ILVL_D
+    33574845U,	// ILVL_H
+    33578307U,	// ILVL_W
+    33571262U,	// ILVOD_B
+    33572776U,	// ILVOD_D
+    33574647U,	// ILVOD_H
+    33577892U,	// ILVOD_W
+    33571558U,	// ILVR_B
+    33573551U,	// ILVR_D
+    33574970U,	// ILVR_H
+    33578597U,	// ILVR_W
+    1107321458U,	// INS
+    9585589U,	// INSERT_B
+    0U,	// INSERT_B_VIDX_PSEUDO
+    9587816U,	// INSERT_D
+    0U,	// INSERT_D_VIDX_PSEUDO
     0U,	// INSERT_FD_PSEUDO
+    0U,	// INSERT_FD_VIDX_PSEUDO
     0U,	// INSERT_FW_PSEUDO
-    3682090U,	// INSERT_H
-    3685476U,	// INSERT_W
-    1062736U,	// INSV
-    4727173U,	// INSVE_B
-    4728557U,	// INSVE_D
-    4730072U,	// INSVE_H
-    4732974U,	// INSVE_W
-    553664137U,	// INS_MM
-    209640U,	// J
-    209668U,	// JAL
-    13518U,	// JALR
-    13518U,	// JALR64
+    0U,	// INSERT_FW_VIDX_PSEUDO
+    9589074U,	// INSERT_H
+    0U,	// INSERT_H_VIDX_PSEUDO
+    9592871U,	// INSERT_W
+    0U,	// INSERT_W_VIDX_PSEUDO
+    2120467U,	// INSV
+    11682247U,	// INSVE_B
+    11683918U,	// INSVE_D
+    11685632U,	// INSVE_H
+    11688945U,	// INSVE_W
+    1107321458U,	// INS_MM
+    415033U,	// J
+    415066U,	// JAL
+    22345U,	// JALR
+    415561U,	// JALR16_MM
+    22345U,	// JALR64
     0U,	// JALR64Pseudo
     0U,	// JALRPseudo
-    13518U,	// JALR_MM
-    209668U,	// JAL_MM
-    210122U,	// JR
-    210122U,	// JR64
-    210122U,	// JR_MM
-    209640U,	// J_MM
-    602884U,	// Jal16
-    668420U,	// JalB16
-    7907U,	// JrRa16
-    7898U,	// JrcRa16
-    212537U,	// JrcRx16
-    204801U,	// JumpLinkReg16
-    5252207U,	// LB
-    5252207U,	// LB64
-    168836586U,	// LBUX
-    5252207U,	// LB_MM
-    5256816U,	// LBu
-    5256816U,	// LBu64
-    5256816U,	// LBu_MM
-    5254207U,	// LD
-    5251095U,	// LDC1
-    5251095U,	// LDC164
-    5251095U,	// LDC1_MM
-    5251244U,	// LDC2
-    8615U,	// LDI_B
-    10038U,	// LDI_D
-    11514U,	// LDI_H
-    14513U,	// LDI_W
-    5256032U,	// LDL
-    5256328U,	// LDR
-    168829015U,	// LDXC1
-    168829015U,	// LDXC164
-    5251437U,	// LD_B
-    5252708U,	// LD_D
-    5254336U,	// LD_H
-    5257170U,	// LD_W
-    2111133U,	// LEA_ADDiu
-    2111132U,	// LEA_ADDiu64
-    2111133U,	// LEA_ADDiu_MM
-    5255270U,	// LH
-    5255270U,	// LH64
-    168836581U,	// LHX
-    5255270U,	// LH_MM
-    5256855U,	// LHu
-    5256855U,	// LHu64
-    5256855U,	// LHu_MM
-    5256103U,	// LL
-    5254206U,	// LLD
-    5256103U,	// LL_MM
-    5251079U,	// LOAD_ACC128
-    5251079U,	// LOAD_ACC64
-    5251079U,	// LOAD_ACC64DSP
-    5256194U,	// LOAD_CCOND_DSP
-    16785691U,	// LSA
-    168829029U,	// LUXC1
-    168829029U,	// LUXC164
-    168829029U,	// LUXC1_MM
-    6304483U,	// LUi
-    6304483U,	// LUi64
-    6304483U,	// LUi_MM
-    5258717U,	// LW
-    5258717U,	// LW64
-    5251147U,	// LWC1
-    5251147U,	// LWC1_MM
-    5251270U,	// LWC2
-    5256124U,	// LWL
-    5256124U,	// LWL64
-    5256124U,	// LWL_MM
-    5256428U,	// LWR
-    5256428U,	// LWR64
-    5256428U,	// LWR_MM
-    5256909U,	// LWU_MM
-    168836592U,	// LWX
-    168829043U,	// LWXC1
-    168829043U,	// LWXC1_MM
-    5258717U,	// LW_MM
-    5256909U,	// LWu
-    5252207U,	// LbRxRyOffMemX16
-    5256816U,	// LbuRxRyOffMemX16
-    5255270U,	// LhRxRyOffMemX16
-    5256855U,	// LhuRxRyOffMemX16
-    117453505U,	// LiRxImm16
-    12983U,	// LiRxImmAlignX16
-    12993U,	// LiRxImmX16
-    6299870U,	// LoadAddr32Imm
-    5251294U,	// LoadAddr32Reg
-    6304449U,	// LoadImm32Reg
-    736733U,	// LwConstant32
-    33570269U,	// LwRxPcTcp16
-    15837U,	// LwRxPcTcpX16
-    5258717U,	// LwRxRyOffMemX16
-    185613789U,	// LwRxSpImmX16
-    11314U,	// MADD
-    1091579342U,	// MADDR_Q_H
-    1091582425U,	// MADDR_Q_W
-    13962U,	// MADDU
-    16791178U,	// MADDU_DSP
-    13962U,	// MADDU_MM
-    1091576890U,	// MADDV_B
-    1091578788U,	// MADDV_D
-    1091579941U,	// MADDV_H
-    1091583380U,	// MADDV_W
-    16787026U,	// MADD_D32
-    16787026U,	// MADD_D32_MM
-    16787026U,	// MADD_D64
-    16788530U,	// MADD_DSP
-    11314U,	// MADD_MM
-    1091579312U,	// MADD_Q_H
-    1091582395U,	// MADD_Q_W
-    16790793U,	// MADD_S
-    16790793U,	// MADD_S_MM
-    16790378U,	// MAQ_SA_W_PHL
-    16790674U,	// MAQ_SA_W_PHR
-    16790406U,	// MAQ_S_W_PHL
-    16790702U,	// MAQ_S_W_PHR
-    16786159U,	// MAXI_S_B
-    16787817U,	// MAXI_S_D
-    16789127U,	// MAXI_S_H
-    16792364U,	// MAXI_S_W
-    285221830U,	// MAXI_U_B
-    285223696U,	// MAXI_U_D
-    285224871U,	// MAXI_U_H
-    285228288U,	// MAXI_U_W
-    16785740U,	// MAX_A_B
-    16786959U,	// MAX_A_D
-    16788639U,	// MAX_A_H
-    16791430U,	// MAX_A_W
-    16786247U,	// MAX_S_B
-    16787937U,	// MAX_S_D
-    16789246U,	// MAX_S_H
-    16792545U,	// MAX_S_W
-    16786462U,	// MAX_U_B
-    16788360U,	// MAX_U_D
-    16789513U,	// MAX_U_H
-    16792952U,	// MAX_U_W
-    553656330U,	// MFC0
-    8234U,	// MFC1
-    8234U,	// MFC1_MM
-    553656505U,	// MFC2
-    8240U,	// MFHC1
-    8240U,	// MFHC1_MM
-    209579U,	// MFHI
-    209579U,	// MFHI64
-    12971U,	// MFHI_DSP
-    209579U,	// MFHI_MM
-    209882U,	// MFLO
-    209882U,	// MFLO64
-    13274U,	// MFLO_DSP
-    209882U,	// MFLO_MM
-    16786139U,	// MINI_S_B
-    16787797U,	// MINI_S_D
-    16789107U,	// MINI_S_H
-    16792344U,	// MINI_S_W
-    285221810U,	// MINI_U_B
-    285223676U,	// MINI_U_D
-    285224851U,	// MINI_U_H
-    285228268U,	// MINI_U_W
-    16785721U,	// MIN_A_B
-    16786939U,	// MIN_A_D
-    16788620U,	// MIN_A_H
-    16791410U,	// MIN_A_W
-    16786169U,	// MIN_S_B
-    16787827U,	// MIN_S_D
-    16789137U,	// MIN_S_H
-    16792384U,	// MIN_S_W
-    16786384U,	// MIN_U_B
-    16788250U,	// MIN_U_D
-    16789425U,	// MIN_U_H
-    16792842U,	// MIN_U_W
+    17592U,	// JALR_HB
+    22345U,	// JALR_MM
+    418221U,	// JALX
+    415066U,	// JAL_MM
+    17982U,	// JIALC
+    17971U,	// JIC
+    415557U,	// JR
+    415557U,	// JR64
+    410801U,	// JR_HB
+    410801U,	// JR_HB_R6
+    415557U,	// JR_MM
+    415033U,	// J_MM
+    1332570U,	// Jal16
+    1463642U,	// JalB16
+    8952U,	// JrRa16
+    8943U,	// JrcRa16
+    418322U,	// JrcRx16
+    409601U,	// JumpLinkReg16
+    12600513U,	// LB
+    12600513U,	// LB64
+    337666483U,	// LBUX
+    12600513U,	// LB_MM
+    12605947U,	// LBu
+    12605947U,	// LBu64
+    12605947U,	// LBu_MM
+    12602959U,	// LD
+    12599337U,	// LDC1
+    12599337U,	// LDC164
+    12599337U,	// LDC1_MM
+    12599498U,	// LDC2
+    12599498U,	// LDC2_R6
+    12599548U,	// LDC3
+    16873U,	// LDI_B
+    18622U,	// LDI_D
+    20258U,	// LDI_H
+    23668U,	// LDI_W
+    12604854U,	// LDL
+    18043U,	// LDPC
+    12605187U,	// LDR
+    337657961U,	// LDXC1
+    337657961U,	// LDXC164
+    12599727U,	// LD_B
+    12601241U,	// LD_D
+    12603112U,	// LD_H
+    12606357U,	// LD_W
+    4217405U,	// LEA_ADDiu
+    4217404U,	// LEA_ADDiu64
+    4217405U,	// LEA_ADDiu_MM
+    12604046U,	// LH
+    12604046U,	// LH64
+    337666472U,	// LHX
+    12604046U,	// LH_MM
+    12606000U,	// LHu
+    12606000U,	// LHu64
+    12606000U,	// LHu_MM
+    12604925U,	// LL
+    12602958U,	// LLD
+    12602958U,	// LLD_R6
+    12604925U,	// LL_MM
+    12604925U,	// LL_R6
+    12599303U,	// LOAD_ACC128
+    12599303U,	// LOAD_ACC64
+    12599303U,	// LOAD_ACC64DSP
+    12605048U,	// LOAD_CCOND_DSP
+    0U,	// LONG_BRANCH_ADDiu
+    0U,	// LONG_BRANCH_DADDiu
+    0U,	// LONG_BRANCH_LUi
+    33571165U,	// LSA
+    33571165U,	// LSA_R6
+    337657975U,	// LUXC1
+    337657975U,	// LUXC164
+    337657975U,	// LUXC1_MM
+    14701876U,	// LUi
+    14701876U,	// LUi64
+    14701876U,	// LUi_MM
+    12607904U,	// LW
+    12607904U,	// LW64
+    12599389U,	// LWC1
+    12599389U,	// LWC1_MM
+    12599524U,	// LWC2
+    12599524U,	// LWC2_R6
+    12599560U,	// LWC3
+    12604947U,	// LWL
+    12604947U,	// LWL64
+    12604947U,	// LWL_MM
+    18080U,	// LWPC
+    12605287U,	// LWR
+    12605287U,	// LWR64
+    12605287U,	// LWR_MM
+    18073U,	// LWUPC
+    12606090U,	// LWU_MM
+    337666489U,	// LWX
+    337657989U,	// LWXC1
+    337657989U,	// LWXC1_MM
+    12607904U,	// LW_MM
+    12606090U,	// LWu
+    12600513U,	// LbRxRyOffMemX16
+    12605947U,	// LbuRxRyOffMemX16
+    12604046U,	// LhRxRyOffMemX16
+    12606000U,	// LhuRxRyOffMemX16
+    234902779U,	// LiRxImm16
+    21745U,	// LiRxImmAlignX16
+    21755U,	// LiRxImmX16
+    14696736U,	// LoadAddr32Imm
+    12599584U,	// LoadAddr32Reg
+    14701819U,	// LoadImm32Reg
+    21759U,	// LoadImm64Reg
+    1597856U,	// LwConstant32
+    67133856U,	// LwRxPcTcp16
+    24992U,	// LwRxPcTcpX16
+    12607904U,	// LwRxRyOffMemX16
+    371220896U,	// LwRxSpImmX16
+    20034U,	// MADD
+    2183153804U,	// MADDF_D
+    2183157786U,	// MADDF_S
+    2183155702U,	// MADDR_Q_H
+    2183159196U,	// MADDR_Q_W
+    23068U,	// MADDU
+    33577500U,	// MADDU_DSP
+    23068U,	// MADDU_MM
+    2183152764U,	// MADDV_B
+    2183155104U,	// MADDV_D
+    2183156301U,	// MADDV_H
+    2183160151U,	// MADDV_W
+    33572743U,	// MADD_D32
+    33572743U,	// MADD_D32_MM
+    33572743U,	// MADD_D64
+    33574466U,	// MADD_DSP
+    20034U,	// MADD_MM
+    2183155672U,	// MADD_Q_H
+    2183159166U,	// MADD_Q_W
+    33576852U,	// MADD_S
+    33576852U,	// MADD_S_MM
+    33576384U,	// MAQ_SA_W_PHL
+    33576717U,	// MAQ_SA_W_PHR
+    33576412U,	// MAQ_S_W_PHL
+    33576745U,	// MAQ_S_W_PHR
+    33572684U,	// MAXA_D
+    33576825U,	// MAXA_S
+    33571633U,	// MAXI_S_B
+    33573689U,	// MAXI_S_D
+    33575087U,	// MAXI_S_H
+    33578735U,	// MAXI_S_W
+    570442760U,	// MAXI_U_B
+    570445068U,	// MAXI_U_D
+    570446287U,	// MAXI_U_H
+    570450115U,	// MAXI_U_W
+    33571214U,	// MAX_A_B
+    33572660U,	// MAX_A_D
+    33574599U,	// MAX_A_H
+    33577801U,	// MAX_A_W
+    33574407U,	// MAX_D
+    33577392U,	// MAX_S
+    33571721U,	// MAX_S_B
+    33573809U,	// MAX_S_D
+    33575206U,	// MAX_S_H
+    33578916U,	// MAX_S_W
+    33571936U,	// MAX_U_B
+    33574276U,	// MAX_U_D
+    33575473U,	// MAX_U_H
+    33579323U,	// MAX_U_W
+    1107312650U,	// MFC0
+    16444U,	// MFC1
+    16444U,	// MFC1_MM
+    1107312855U,	// MFC2
+    16450U,	// MFHC1_D32
+    16450U,	// MFHC1_D64
+    16450U,	// MFHC1_MM
+    414949U,	// MFHI
+    414949U,	// MFHI16_MM
+    414949U,	// MFHI64
+    21733U,	// MFHI_DSP
+    414949U,	// MFHI_MM
+    415289U,	// MFLO
+    415289U,	// MFLO16_MM
+    415289U,	// MFLO64
+    22073U,	// MFLO_DSP
+    415289U,	// MFLO_MM
+    33572669U,	// MINA_D
+    33576817U,	// MINA_S
+    33571613U,	// MINI_S_B
+    33573669U,	// MINI_S_D
+    33575067U,	// MINI_S_H
+    33578715U,	// MINI_S_W
+    570442740U,	// MINI_U_B
+    570445048U,	// MINI_U_D
+    570446267U,	// MINI_U_H
+    570450095U,	// MINI_U_W
+    33571195U,	// MIN_A_B
+    33572640U,	// MIN_A_D
+    33574580U,	// MIN_A_H
+    33577781U,	// MIN_A_W
+    33573312U,	// MIN_D
+    33577093U,	// MIN_S
+    33571643U,	// MIN_S_B
+    33573699U,	// MIN_S_D
+    33575097U,	// MIN_S_H
+    33578755U,	// MIN_S_W
+    33571858U,	// MIN_U_B
+    33574166U,	// MIN_U_D
+    33575385U,	// MIN_U_H
+    33579213U,	// MIN_U_W
     0U,	// MIPSeh_return32
     0U,	// MIPSeh_return64
-    16786883U,	// MODSUB
-    16786102U,	// MOD_S_B
-    16787760U,	// MOD_S_D
-    16789070U,	// MOD_S_H
-    16792307U,	// MOD_S_W
-    16786317U,	// MOD_U_B
-    16788183U,	// MOD_U_D
-    16789358U,	// MOD_U_H
-    16792775U,	// MOD_U_W
-    14041U,	// MOVE_V
-    16787229U,	// MOVF_D32
-    16787229U,	// MOVF_D32_MM
-    16787229U,	// MOVF_D64
-    16788600U,	// MOVF_I
-    16788600U,	// MOVF_I64
-    16788600U,	// MOVF_I_MM
-    16790861U,	// MOVF_S
-    16790861U,	// MOVF_S_MM
-    16787536U,	// MOVN_I64_D64
-    16790478U,	// MOVN_I64_I
-    16790478U,	// MOVN_I64_I64
-    16790952U,	// MOVN_I64_S
-    16787536U,	// MOVN_I_D32
-    16787536U,	// MOVN_I_D32_MM
-    16787536U,	// MOVN_I_D64
-    16790478U,	// MOVN_I_I
-    16790478U,	// MOVN_I_I64
-    16790478U,	// MOVN_I_MM
-    16790952U,	// MOVN_I_S
-    16790952U,	// MOVN_I_S_MM
-    16788111U,	// MOVT_D32
-    16788111U,	// MOVT_D32_MM
-    16788111U,	// MOVT_D64
-    16791146U,	// MOVT_I
-    16791146U,	// MOVT_I64
-    16791146U,	// MOVT_I_MM
-    16791036U,	// MOVT_S
-    16791036U,	// MOVT_S_MM
-    16788511U,	// MOVZ_I64_D64
-    16793133U,	// MOVZ_I64_I
-    16793133U,	// MOVZ_I64_I64
-    16791110U,	// MOVZ_I64_S
-    16788511U,	// MOVZ_I_D32
-    16788511U,	// MOVZ_I_D32_MM
-    16788511U,	// MOVZ_I_D64
-    16793133U,	// MOVZ_I_I
-    16793133U,	// MOVZ_I_I64
-    16793133U,	// MOVZ_I_MM
-    16791110U,	// MOVZ_I_S
-    16791110U,	// MOVZ_I_S_MM
-    9675U,	// MSUB
-    1091579331U,	// MSUBR_Q_H
-    1091582414U,	// MSUBR_Q_W
-    13948U,	// MSUBU
-    16791164U,	// MSUBU_DSP
-    13948U,	// MSUBU_MM
-    1091576881U,	// MSUBV_B
-    1091578779U,	// MSUBV_D
-    1091579932U,	// MSUBV_H
-    1091583371U,	// MSUBV_W
-    16786984U,	// MSUB_D32
-    16786984U,	// MSUB_D32_MM
-    16786984U,	// MSUB_D64
-    16786891U,	// MSUB_DSP
-    9675U,	// MSUB_MM
-    1091579302U,	// MSUB_Q_H
-    1091582385U,	// MSUB_Q_W
-    16790775U,	// MSUB_S
-    16790775U,	// MSUB_S_MM
-    553656337U,	// MTC0
-    344133U,	// MTC1
-    344133U,	// MTC1_MM
-    553656512U,	// MTC2
-    344119U,	// MTHC1
-    344119U,	// MTHC1_MM
-    209585U,	// MTHI
-    209585U,	// MTHI64
-    348849U,	// MTHI_DSP
-    209585U,	// MTHI_MM
-    349173U,	// MTHLIP
-    209895U,	// MTLO
-    209895U,	// MTLO64
-    349159U,	// MTLO_DSP
-    209895U,	// MTLO_MM
-    16790455U,	// MUL
-    16790419U,	// MULEQ_S_W_PHL
-    16790715U,	// MULEQ_S_W_PHR
-    16790321U,	// MULEU_S_PH_QBL
-    16790617U,	// MULEU_S_PH_QBR
-    16789924U,	// MULQ_RS_PH
-    16792564U,	// MULQ_RS_W
-    16789868U,	// MULQ_S_PH
-    16792423U,	// MULQ_S_W
-    16788953U,	// MULR_Q_H
-    16792036U,	// MULR_Q_W
-    16790070U,	// MULSAQ_S_W_PH
-    16790045U,	// MULSA_W_PH
-    13919U,	// MULT
-    16791238U,	// MULTU_DSP
-    16791135U,	// MULT_DSP
-    13919U,	// MULT_MM
-    14022U,	// MULTu
-    14022U,	// MULTu_MM
-    16786517U,	// MULV_B
-    16788423U,	// MULV_D
-    16789568U,	// MULV_H
-    16793015U,	// MULV_W
-    16790455U,	// MUL_MM
-    16789741U,	// MUL_PH
-    16788922U,	// MUL_Q_H
-    16792005U,	// MUL_Q_W
-    16789836U,	// MUL_S_PH
-    209579U,	// Mfhi16
-    209882U,	// Mflo16
-    11372U,	// Move32R16
-    11372U,	// MoveR3216
-    13919U,	// MultRxRy16
-    8148575U,	// MultRxRyRz16
-    14022U,	// MultuRxRy16
-    8148678U,	// MultuRxRyRz16
-    8540U,	// NLOC_B
-    9785U,	// NLOC_D
-    11439U,	// NLOC_H
-    14247U,	// NLOC_W
-    8548U,	// NLZC_B
-    9793U,	// NLZC_D
-    11447U,	// NLZC_H
-    14255U,	// NLZC_W
-    16787034U,	// NMADD_D32
-    16787034U,	// NMADD_D32_MM
-    16787034U,	// NMADD_D64
-    16790792U,	// NMADD_S
-    16790792U,	// NMADD_S_MM
-    16786992U,	// NMSUB_D32
-    16786992U,	// NMSUB_D32_MM
-    16786992U,	// NMSUB_D64
-    16790774U,	// NMSUB_S
-    16790774U,	// NMSUB_S_MM
+    33574506U,	// MOD
+    33572373U,	// MODSUB
+    33577508U,	// MODU
+    33571576U,	// MOD_S_B
+    33573632U,	// MOD_S_D
+    33575030U,	// MOD_S_H
+    33578678U,	// MOD_S_W
+    33571791U,	// MOD_U_B
+    33574099U,	// MOD_U_D
+    33575318U,	// MOD_U_H
+    33579146U,	// MOD_U_W
+    20110U,	// MOVE16_MM
+    23190U,	// MOVE_V
+    33573029U,	// MOVF_D32
+    33573029U,	// MOVF_D32_MM
+    33573029U,	// MOVF_D64
+    33574560U,	// MOVF_I
+    33574560U,	// MOVF_I64
+    33574560U,	// MOVF_I_MM
+    33577003U,	// MOVF_S
+    33577003U,	// MOVF_S_MM
+    33573364U,	// MOVN_I64_D64
+    33576493U,	// MOVN_I64_I
+    33576493U,	// MOVN_I64_I64
+    33577129U,	// MOVN_I64_S
+    33573364U,	// MOVN_I_D32
+    33573364U,	// MOVN_I_D32_MM
+    33573364U,	// MOVN_I_D64
+    33576493U,	// MOVN_I_I
+    33576493U,	// MOVN_I_I64
+    33576493U,	// MOVN_I_MM
+    33577129U,	// MOVN_I_S
+    33577129U,	// MOVN_I_S_MM
+    33574027U,	// MOVT_D32
+    33574027U,	// MOVT_D32_MM
+    33574027U,	// MOVT_D64
+    33577461U,	// MOVT_I
+    33577461U,	// MOVT_I64
+    33577461U,	// MOVT_I_MM
+    33577318U,	// MOVT_S
+    33577318U,	// MOVT_S_MM
+    33574447U,	// MOVZ_I64_D64
+    33579526U,	// MOVZ_I64_I
+    33579526U,	// MOVZ_I64_I64
+    33577419U,	// MOVZ_I64_S
+    33574447U,	// MOVZ_I_D32
+    33574447U,	// MOVZ_I_D32_MM
+    33574447U,	// MOVZ_I_D64
+    33579526U,	// MOVZ_I_I
+    33579526U,	// MOVZ_I_I64
+    33579526U,	// MOVZ_I_MM
+    33577419U,	// MOVZ_I_S
+    33577419U,	// MOVZ_I_S_MM
+    17949U,	// MSUB
+    2183153795U,	// MSUBF_D
+    2183157777U,	// MSUBF_S
+    2183155691U,	// MSUBR_Q_H
+    2183159185U,	// MSUBR_Q_W
+    23047U,	// MSUBU
+    33577479U,	// MSUBU_DSP
+    23047U,	// MSUBU_MM
+    2183152755U,	// MSUBV_B
+    2183155095U,	// MSUBV_D
+    2183156292U,	// MSUBV_H
+    2183160142U,	// MSUBV_W
+    33572701U,	// MSUB_D32
+    33572701U,	// MSUB_D32_MM
+    33572701U,	// MSUB_D64
+    33572381U,	// MSUB_DSP
+    17949U,	// MSUB_MM
+    2183155662U,	// MSUB_Q_H
+    2183159156U,	// MSUB_Q_W
+    33576834U,	// MSUB_S
+    33576834U,	// MSUB_S_MM
+    1107312657U,	// MTC0
+    704599U,	// MTC1
+    704599U,	// MTC1_MM
+    1107312862U,	// MTC2
+    81993U,	// MTHC1_D32
+    81993U,	// MTHC1_D64
+    704585U,	// MTHC1_MM
+    414955U,	// MTHI
+    414955U,	// MTHI64
+    709867U,	// MTHI_DSP
+    414955U,	// MTHI_MM
+    710245U,	// MTHLIP
+    415302U,	// MTLO
+    415302U,	// MTLO64
+    710214U,	// MTLO_DSP
+    415302U,	// MTLO_MM
+    409629U,	// MTM0
+    409747U,	// MTM1
+    409840U,	// MTM2
+    409635U,	// MTP0
+    409753U,	// MTP1
+    409846U,	// MTP2
+    33576123U,	// MUH
+    33577526U,	// MUHU
+    33576462U,	// MUL
+    33576425U,	// MULEQ_S_W_PHL
+    33576758U,	// MULEQ_S_W_PHR
+    33576327U,	// MULEU_S_PH_QBL
+    33576660U,	// MULEU_S_PH_QBR
+    33575884U,	// MULQ_RS_PH
+    33578935U,	// MULQ_RS_W
+    33575828U,	// MULQ_S_PH
+    33578794U,	// MULQ_S_W
+    33574913U,	// MULR_Q_H
+    33578407U,	// MULR_Q_W
+    33576030U,	// MULSAQ_S_W_PH
+    33576005U,	// MULSA_W_PH
+    23018U,	// MULT
+    33577596U,	// MULTU_DSP
+    33577450U,	// MULT_DSP
+    23018U,	// MULT_MM
+    23164U,	// MULTu
+    23164U,	// MULTu_MM
+    33577563U,	// MULU
+    33571991U,	// MULV_B
+    33574339U,	// MULV_D
+    33575528U,	// MULV_H
+    33579386U,	// MULV_W
+    33576462U,	// MUL_MM
+    33575701U,	// MUL_PH
+    33574882U,	// MUL_Q_H
+    33578376U,	// MUL_Q_W
+    33576462U,	// MUL_R6
+    33575796U,	// MUL_S_PH
+    414949U,	// Mfhi16
+    415289U,	// Mflo16
+    20110U,	// Move32R16
+    20110U,	// MoveR3216
+    23018U,	// MultRxRy16
+    17619434U,	// MultRxRyRz16
+    23164U,	// MultuRxRy16
+    17619580U,	// MultuRxRyRz16
+    16798U,	// NLOC_B
+    18286U,	// NLOC_D
+    20183U,	// NLOC_H
+    23402U,	// NLOC_W
+    16806U,	// NLZC_B
+    18294U,	// NLZC_D
+    20191U,	// NLZC_H
+    23410U,	// NLZC_W
+    33572751U,	// NMADD_D32
+    33572751U,	// NMADD_D32_MM
+    33572751U,	// NMADD_D64
+    33576851U,	// NMADD_S
+    33576851U,	// NMADD_S_MM
+    33572709U,	// NMSUB_D32
+    33572709U,	// NMSUB_D32_MM
+    33572709U,	// NMSUB_D64
+    33576833U,	// NMSUB_S
+    33576833U,	// NMSUB_S_MM
     0U,	// NOP
-    16790740U,	// NOR
-    16790740U,	// NOR64
-    285221381U,	// NORI_B
-    16790740U,	// NOR_MM
-    16791273U,	// NOR_V
+    33576783U,	// NOR
+    33576783U,	// NOR64
+    570442311U,	// NORI_B
+    33576783U,	// NOR_MM
+    33577638U,	// NOR_V
     0U,	// NOR_V_D_PSEUDO
     0U,	// NOR_V_H_PSEUDO
     0U,	// NOR_V_W_PSEUDO
-    11390U,	// NegRxRy16
-    13925U,	// NotRxRy16
-    16790741U,	// OR
-    16790741U,	// OR64
-    285221382U,	// ORI_B
-    16790741U,	// OR_MM
-    16791274U,	// OR_V
+    20134U,	// NegRxRy16
+    23024U,	// NotRxRy16
+    33576784U,	// OR
+    33576784U,	// OR64
+    570442312U,	// ORI_B
+    33576784U,	// OR_MM
+    33577639U,	// OR_V
     0U,	// OR_V_D_PSEUDO
     0U,	// OR_V_H_PSEUDO
     0U,	// OR_V_W_PSEUDO
-    553661138U,	// ORi
-    553661138U,	// ORi64
-    553661138U,	// ORi_MM
-    1062101U,	// OrRxRxRy16
-    16789730U,	// PACKRL_PH
-    16786499U,	// PCKEV_B
-    16788397U,	// PCKEV_D
-    16789550U,	// PCKEV_H
-    16792989U,	// PCKEV_W
-    16785779U,	// PCKOD_B
-    16787050U,	// PCKOD_D
-    16788678U,	// PCKOD_H
-    16791512U,	// PCKOD_W
-    9067U,	// PCNT_B
-    10843U,	// PCNT_D
-    12066U,	// PCNT_H
-    15443U,	// PCNT_W
-    16789694U,	// PICK_PH
-    16786615U,	// PICK_QB
-    13136U,	// PRECEQU_PH_QBL
-    8418U,	// PRECEQU_PH_QBLA
-    13432U,	// PRECEQU_PH_QBR
-    8451U,	// PRECEQU_PH_QBRA
-    13176U,	// PRECEQ_W_PHL
-    13472U,	// PRECEQ_W_PHR
-    13121U,	// PRECEU_PH_QBL
-    8402U,	// PRECEU_PH_QBLA
-    13417U,	// PRECEU_PH_QBR
-    8435U,	// PRECEU_PH_QBRA
-    16789646U,	// PRECRQU_S_QB_PH
-    16791655U,	// PRECRQ_PH_W
-    16789619U,	// PRECRQ_QB_PH
-    16791686U,	// PRECRQ_RS_PH_W
-    16789633U,	// PRECR_QB_PH
-    553662551U,	// PRECR_SRA_PH_W
-    553662580U,	// PRECR_SRA_R_PH_W
-    553659464U,	// PREPEND
+    1107318039U,	// ORi
+    1107318039U,	// ORi64
+    1107318039U,	// ORi_MM
+    2119504U,	// OrRxRxRy16
+    33575690U,	// PACKRL_PH
+    8964U,	// PAUSE
+    33571973U,	// PCKEV_B
+    33574313U,	// PCKEV_D
+    33575510U,	// PCKEV_H
+    33579360U,	// PCKEV_W
+    33571253U,	// PCKOD_B
+    33572767U,	// PCKOD_D
+    33574638U,	// PCKOD_H
+    33577883U,	// PCKOD_W
+    17325U,	// PCNT_B
+    19543U,	// PCNT_D
+    20810U,	// PCNT_H
+    24598U,	// PCNT_W
+    33575654U,	// PICK_PH
+    33572105U,	// PICK_QB
+    22131U,	// POP
+    21926U,	// PRECEQU_PH_QBL
+    16676U,	// PRECEQU_PH_QBLA
+    22259U,	// PRECEQU_PH_QBR
+    16709U,	// PRECEQU_PH_QBRA
+    21966U,	// PRECEQ_W_PHL
+    22299U,	// PRECEQ_W_PHR
+    21911U,	// PRECEU_PH_QBL
+    16660U,	// PRECEU_PH_QBLA
+    22244U,	// PRECEU_PH_QBR
+    16693U,	// PRECEU_PH_QBRA
+    33575606U,	// PRECRQU_S_QB_PH
+    33578026U,	// PRECRQ_PH_W
+    33575579U,	// PRECRQ_QB_PH
+    33578057U,	// PRECRQ_RS_PH_W
+    33575593U,	// PRECR_QB_PH
+    1107319834U,	// PRECR_SRA_PH_W
+    1107319863U,	// PRECR_SRA_R_PH_W
+    36506U,	// PREF
+    36506U,	// PREF_R6
+    1107316312U,	// PREPEND
     0U,	// PseudoCMPU_EQ_QB
     0U,	// PseudoCMPU_LE_QB
     0U,	// PseudoCMPU_LT_QB
     0U,	// PseudoCMP_EQ_PH
     0U,	// PseudoCMP_LE_PH
     0U,	// PseudoCMP_LT_PH
-    8199U,	// PseudoCVT_D32_W
-    8199U,	// PseudoCVT_D64_L
-    8199U,	// PseudoCVT_D64_W
-    8199U,	// PseudoCVT_S_L
-    8199U,	// PseudoCVT_S_W
+    16391U,	// PseudoCVT_D32_W
+    16391U,	// PseudoCVT_D64_L
+    16391U,	// PseudoCVT_D64_W
+    16391U,	// PseudoCVT_S_L
+    16391U,	// PseudoCVT_S_W
     0U,	// PseudoDMULT
     0U,	// PseudoDMULTu
     0U,	// PseudoDSDIV
     0U,	// PseudoDUDIV
+    0U,	// PseudoIndirectBranch
+    0U,	// PseudoIndirectBranch64
     0U,	// PseudoMADD
     0U,	// PseudoMADDU
     0U,	// PseudoMFHI
@@ -1150,251 +1311,276 @@
     0U,	// PseudoMULTu
     0U,	// PseudoPICK_PH
     0U,	// PseudoPICK_QB
+    0U,	// PseudoReturn
+    0U,	// PseudoReturn64
     0U,	// PseudoSDIV
     0U,	// PseudoUDIV
-    9651U,	// RADDU_W_QB
-    6304803U,	// RDDSP
-    13541U,	// RDHWR
-    13541U,	// RDHWR64
-    12769U,	// REPLV_PH
-    9631U,	// REPLV_QB
-    6303952U,	// REPL_PH
-    6300873U,	// REPL_QB
-    210122U,	// RET
-    210122U,	// RET_MM
-    553661663U,	// ROTR
-    16791369U,	// ROTRV
-    16791369U,	// ROTRV_MM
-    553661663U,	// ROTR_MM
-    10173U,	// ROUND_L_D64
-    13671U,	// ROUND_L_S
-    11233U,	// ROUND_W_D32
-    11233U,	// ROUND_W_D64
-    11233U,	// ROUND_W_MM
-    13853U,	// ROUND_W_S
-    13853U,	// ROUND_W_S_MM
+    17925U,	// RADDU_W_QB
+    14702233U,	// RDDSP
+    22368U,	// RDHWR
+    22368U,	// RDHWR64
+    21513U,	// REPLV_PH
+    17905U,	// REPLV_QB
+    14701304U,	// REPL_PH
+    14697755U,	// REPL_QB
+    19552U,	// RINT_D
+    22870U,	// RINT_S
+    1107318618U,	// ROTR
+    33577740U,	// ROTRV
+    33577740U,	// ROTRV_MM
+    1107318618U,	// ROTR_MM
+    18757U,	// ROUND_L_D64
+    22597U,	// ROUND_L_S
+    19933U,	// ROUND_W_D32
+    19933U,	// ROUND_W_D64
+    19933U,	// ROUND_W_MM
+    22919U,	// ROUND_W_S
+    22919U,	// ROUND_W_S_MM
     0U,	// Restore16
     0U,	// RestoreX16
     0U,	// RetRA
     0U,	// RetRA16
-    285221664U,	// SAT_S_B
-    553658788U,	// SAT_S_D
-    285224652U,	// SAT_S_H
-    553663385U,	// SAT_S_W
-    285221891U,	// SAT_U_B
-    553659223U,	// SAT_U_D
-    285224942U,	// SAT_U_H
-    553663815U,	// SAT_U_W
-    5252543U,	// SB
-    5252543U,	// SB64
-    5252543U,	// SB_MM
-    869844U,	// SC
-    871463U,	// SCD
-    5252564U,	// SC_MM
-    5254233U,	// SD
-    5251101U,	// SDC1
-    5251101U,	// SDC164
-    5251101U,	// SDC1_MM
-    5251250U,	// SDC2
-    15956U,	// SDIV
-    15956U,	// SDIV_MM
-    5256037U,	// SDL
-    5256333U,	// SDR
-    168829022U,	// SDXC1
-    168829022U,	// SDXC164
-    9322U,	// SEB
-    9322U,	// SEB64
-    9322U,	// SEB_MM
-    12385U,	// SEH
-    12385U,	// SEH64
-    12385U,	// SEH_MM
-    5255822U,	// SH
-    5255822U,	// SH64
-    285221263U,	// SHF_B
-    285224162U,	// SHF_H
-    285227080U,	// SHF_W
-    13280U,	// SHILO
-    14128U,	// SHILOV
-    16789975U,	// SHLLV_PH
-    16786837U,	// SHLLV_QB
-    16789912U,	// SHLLV_S_PH
-    16792534U,	// SHLLV_S_W
-    553660615U,	// SHLL_PH
-    553657536U,	// SHLL_QB
-    553660737U,	// SHLL_S_PH
-    553663286U,	// SHLL_S_W
-    16789965U,	// SHRAV_PH
-    16786827U,	// SHRAV_QB
-    16789813U,	// SHRAV_R_PH
-    16786725U,	// SHRAV_R_QB
-    16792129U,	// SHRAV_R_W
-    553660522U,	// SHRA_PH
-    553657459U,	// SHRA_QB
-    553660690U,	// SHRA_R_PH
-    553657602U,	// SHRA_R_QB
-    553662999U,	// SHRA_R_W
-    16789995U,	// SHRLV_PH
-    16786857U,	// SHRLV_QB
-    553660633U,	// SHRL_PH
-    553657554U,	// SHRL_QB
-    5255822U,	// SH_MM
-    3054510502U,	// SLDI_B
-    3054511925U,	// SLDI_D
-    3054513401U,	// SLDI_H
-    3054516400U,	// SLDI_W
-    3322945900U,	// SLD_B
-    3322947171U,	// SLD_D
-    3322948799U,	// SLD_H
-    3322951633U,	// SLD_W
-    553661356U,	// SLL
-    201339820U,	// SLL64_32
-    201339820U,	// SLL64_64
-    285221320U,	// SLLI_B
-    285222726U,	// SLLI_D
-    285224202U,	// SLLI_H
-    285227201U,	// SLLI_W
-    16791331U,	// SLLV
-    16791331U,	// SLLV_MM
-    16786013U,	// SLL_B
-    16787447U,	// SLL_D
-    16788862U,	// SLL_H
-    553661356U,	// SLL_MM
-    16791887U,	// SLL_W
-    16791124U,	// SLT
-    16791124U,	// SLT64
-    16791124U,	// SLT_MM
-    16790231U,	// SLTi
-    16790231U,	// SLTi64
-    16790231U,	// SLTi_MM
-    16791211U,	// SLTiu
-    16791211U,	// SLTiu64
-    16791211U,	// SLTiu_MM
-    16791225U,	// SLTu
-    16791225U,	// SLTu64
-    16791225U,	// SLTu_MM
+    1107313506U,	// SAT_S_B
+    1107315572U,	// SAT_S_D
+    570446068U,	// SAT_S_H
+    1107320668U,	// SAT_S_W
+    1107313733U,	// SAT_U_B
+    1107316051U,	// SAT_U_D
+    570446358U,	// SAT_U_H
+    1107321098U,	// SAT_U_W
+    12600849U,	// SB
+    12600849U,	// SB64
+    12600849U,	// SB_MM
+    1754799U,	// SC
+    1756727U,	// SCD
+    1756727U,	// SCD_R6
+    1754799U,	// SC_MM
+    1754799U,	// SC_R6
+    12602991U,	// SD
+    415318U,	// SDBBP
+    415318U,	// SDBBP_R6
+    12599343U,	// SDC1
+    12599343U,	// SDC164
+    12599343U,	// SDC1_MM
+    12599504U,	// SDC2
+    12599504U,	// SDC2_R6
+    12599554U,	// SDC3
+    25133U,	// SDIV
+    25133U,	// SDIV_MM
+    12604859U,	// SDL
+    12605192U,	// SDR
+    337657968U,	// SDXC1
+    337657968U,	// SDXC164
+    17580U,	// SEB
+    17580U,	// SEB64
+    17580U,	// SEB_MM
+    21129U,	// SEH
+    21129U,	// SEH64
+    21129U,	// SEH_MM
+    33579499U,	// SELEQZ
+    33579499U,	// SELEQZ64
+    33574437U,	// SELEQZ_D
+    33577409U,	// SELEQZ_S
+    33579472U,	// SELNEZ
+    33579472U,	// SELNEZ64
+    33574420U,	// SELNEZ_D
+    33577399U,	// SELNEZ_S
+    2183154030U,	// SEL_D
+    2183157870U,	// SEL_S
+    33576626U,	// SEQ
+    33576202U,	// SEQi
+    12604598U,	// SH
+    12604598U,	// SH64
+    570442193U,	// SHF_B
+    570445578U,	// SHF_H
+    570448907U,	// SHF_W
+    22079U,	// SHILO
+    23283U,	// SHILOV
+    33575935U,	// SHLLV_PH
+    33572327U,	// SHLLV_QB
+    33575872U,	// SHLLV_S_PH
+    33578905U,	// SHLLV_S_W
+    1107317487U,	// SHLL_PH
+    1107313938U,	// SHLL_QB
+    1107317609U,	// SHLL_S_PH
+    1107320569U,	// SHLL_S_W
+    33575925U,	// SHRAV_PH
+    33572317U,	// SHRAV_QB
+    33575773U,	// SHRAV_R_PH
+    33572215U,	// SHRAV_R_QB
+    33578500U,	// SHRAV_R_W
+    1107317394U,	// SHRA_PH
+    1107313861U,	// SHRA_QB
+    1107317562U,	// SHRA_R_PH
+    1107314004U,	// SHRA_R_QB
+    1107320282U,	// SHRA_R_W
+    33575955U,	// SHRLV_PH
+    33572347U,	// SHRLV_QB
+    1107317505U,	// SHRL_PH
+    1107313956U,	// SHRL_QB
+    12604598U,	// SH_MM
+    1814053352U,	// SLDI_B
+    1814055101U,	// SLDI_D
+    1814056737U,	// SLDI_H
+    1814060147U,	// SLDI_W
+    2350924206U,	// SLD_B
+    2350925720U,	// SLD_D
+    2350927591U,	// SLD_H
+    2350930836U,	// SLD_W
+    1107318274U,	// SLL
+    402675202U,	// SLL64_32
+    402675202U,	// SLL64_64
+    570442250U,	// SLLI_B
+    570443982U,	// SLLI_D
+    570445618U,	// SLLI_H
+    570449028U,	// SLLI_W
+    33577702U,	// SLLV
+    33577702U,	// SLLV_MM
+    33571487U,	// SLL_B
+    33573254U,	// SLL_D
+    33574822U,	// SLL_H
+    1107318274U,	// SLL_MM
+    33578258U,	// SLL_W
+    33577439U,	// SLT
+    33577439U,	// SLT64
+    33577439U,	// SLT_MM
+    33576226U,	// SLTi
+    33576226U,	// SLTi64
+    33576226U,	// SLTi_MM
+    33577547U,	// SLTiu
+    33577547U,	// SLTiu64
+    33577547U,	// SLTiu_MM
+    33577583U,	// SLTu
+    33577583U,	// SLTu64
+    33577583U,	// SLTu_MM
+    33574532U,	// SNE
+    33576147U,	// SNEi
     0U,	// SNZ_B_PSEUDO
     0U,	// SNZ_D_PSEUDO
     0U,	// SNZ_H_PSEUDO
     0U,	// SNZ_V_PSEUDO
     0U,	// SNZ_W_PSEUDO
-    2516591135U,	// SPLATI_B
-    2516592525U,	// SPLATI_D
-    2516594001U,	// SPLATI_H
-    2516597000U,	// SPLATI_W
-    2248155994U,	// SPLAT_B
-    2248157701U,	// SPLAT_D
-    2248158993U,	// SPLAT_H
-    2248162336U,	// SPLAT_W
-    553656597U,	// SRA
-    285221278U,	// SRAI_B
-    285222701U,	// SRAI_D
-    285224177U,	// SRAI_H
-    285227176U,	// SRAI_W
-    285221354U,	// SRARI_B
-    553658216U,	// SRARI_D
-    285224236U,	// SRARI_H
-    553662691U,	// SRARI_W
-    16786051U,	// SRAR_B
-    16787612U,	// SRAR_D
-    16788977U,	// SRAR_H
-    16792151U,	// SRAR_W
-    16791316U,	// SRAV
-    16791316U,	// SRAV_MM
-    16785749U,	// SRA_B
-    16786968U,	// SRA_D
-    16788648U,	// SRA_H
-    553656597U,	// SRA_MM
-    16791439U,	// SRA_W
-    553661362U,	// SRL
-    285221328U,	// SRLI_B
-    285222734U,	// SRLI_D
-    285224210U,	// SRLI_H
-    285227209U,	// SRLI_W
-    285221372U,	// SRLRI_B
-    553658234U,	// SRLRI_D
-    285224254U,	// SRLRI_H
-    553662709U,	// SRLRI_W
-    16786067U,	// SRLR_B
-    16787628U,	// SRLR_D
-    16788993U,	// SRLR_H
-    16792167U,	// SRLR_W
-    16791338U,	// SRLV
-    16791338U,	// SRLV_MM
-    16786020U,	// SRL_B
-    16787472U,	// SRL_D
-    16788869U,	// SRL_H
-    553661362U,	// SRL_MM
-    16791912U,	// SRL_W
-    5251079U,	// STORE_ACC128
-    5251079U,	// STORE_ACC64
-    5251079U,	// STORE_ACC64DSP
-    5256210U,	// STORE_CCOND_DSP
-    5251965U,	// ST_B
-    5253769U,	// ST_D
-    5254964U,	// ST_H
-    5258369U,	// ST_W
-    16786886U,	// SUB
-    16789674U,	// SUBQH_PH
-    16789789U,	// SUBQH_R_PH
-    16792097U,	// SUBQH_R_W
-    16791702U,	// SUBQH_W
-    16789749U,	// SUBQ_PH
-    16789846U,	// SUBQ_S_PH
-    16792403U,	// SUBQ_S_W
-    16786423U,	// SUBSUS_U_B
-    16788299U,	// SUBSUS_U_D
-    16789474U,	// SUBSUS_U_H
-    16792891U,	// SUBSUS_U_W
-    16786226U,	// SUBSUU_S_B
-    16787916U,	// SUBSUU_S_D
-    16789214U,	// SUBSUU_S_H
-    16792513U,	// SUBSUU_S_W
-    16786188U,	// SUBS_S_B
-    16787856U,	// SUBS_S_D
-    16789176U,	// SUBS_S_H
-    16792453U,	// SUBS_S_W
-    16786403U,	// SUBS_U_B
-    16788279U,	// SUBS_U_D
-    16789454U,	// SUBS_U_H
-    16792871U,	// SUBS_U_W
-    16786595U,	// SUBUH_QB
-    16786701U,	// SUBUH_R_QB
-    16789947U,	// SUBU_PH
-    16786809U,	// SUBU_QB
-    16789890U,	// SUBU_S_PH
-    16786748U,	// SUBU_S_QB
-    285221426U,	// SUBVI_B
-    285222816U,	// SUBVI_D
-    285224292U,	// SUBVI_H
-    285227291U,	// SUBVI_W
-    16786482U,	// SUBV_B
-    16788380U,	// SUBV_D
-    16789533U,	// SUBV_H
-    16792972U,	// SUBV_W
-    16786886U,	// SUB_MM
-    16791158U,	// SUBu
-    16791158U,	// SUBu_MM
-    168829036U,	// SUXC1
-    168829036U,	// SUXC164
-    168829036U,	// SUXC1_MM
-    5258721U,	// SW
-    5258721U,	// SW64
-    5251153U,	// SWC1
-    5251153U,	// SWC1_MM
-    5251276U,	// SWC2
-    5256129U,	// SWL
-    5256129U,	// SWL64
-    5256129U,	// SWL_MM
-    5256433U,	// SWR
-    5256433U,	// SWR64
-    5256433U,	// SWR_MM
-    168829050U,	// SWXC1
-    168829050U,	// SWXC1_MM
-    5258721U,	// SW_MM
-    212588U,	// SYNC
-    212588U,	// SYNC_MM
-    209826U,	// SYSCALL
-    209826U,	// SYSCALL_MM
+    738214497U,	// SPLATI_B
+    738216213U,	// SPLATI_D
+    738217849U,	// SPLATI_H
+    738221259U,	// SPLATI_W
+    201343900U,	// SPLAT_B
+    201346005U,	// SPLAT_D
+    201347385U,	// SPLAT_H
+    201351139U,	// SPLAT_W
+    1107312983U,	// SRA
+    570442208U,	// SRAI_B
+    570443957U,	// SRAI_D
+    570445593U,	// SRAI_H
+    570449003U,	// SRAI_W
+    1107313196U,	// SRARI_B
+    1107314928U,	// SRARI_D
+    570445652U,	// SRARI_H
+    1107319974U,	// SRARI_W
+    33571525U,	// SRAR_B
+    33573484U,	// SRAR_D
+    33574937U,	// SRAR_H
+    33578522U,	// SRAR_W
+    33577681U,	// SRAV
+    33577681U,	// SRAV_MM
+    33571223U,	// SRA_B
+    33572677U,	// SRA_D
+    33574608U,	// SRA_H
+    1107312983U,	// SRA_MM
+    33577810U,	// SRA_W
+    1107318280U,	// SRL
+    570442258U,	// SRLI_B
+    570443990U,	// SRLI_D
+    570445626U,	// SRLI_H
+    570449036U,	// SRLI_W
+    1107313214U,	// SRLRI_B
+    1107314946U,	// SRLRI_D
+    570445670U,	// SRLRI_H
+    1107319992U,	// SRLRI_W
+    33571541U,	// SRLR_B
+    33573500U,	// SRLR_D
+    33574953U,	// SRLR_H
+    33578538U,	// SRLR_W
+    33577709U,	// SRLV
+    33577709U,	// SRLV_MM
+    33571494U,	// SRL_B
+    33573279U,	// SRL_D
+    33574829U,	// SRL_H
+    1107318280U,	// SRL_MM
+    33578283U,	// SRL_W
+    8985U,	// SSNOP
+    12599303U,	// STORE_ACC128
+    12599303U,	// STORE_ACC64
+    12599303U,	// STORE_ACC64DSP
+    12605064U,	// STORE_CCOND_DSP
+    12600255U,	// ST_B
+    12602501U,	// ST_D
+    12603740U,	// ST_H
+    12607556U,	// ST_W
+    33572376U,	// SUB
+    33575634U,	// SUBQH_PH
+    33575749U,	// SUBQH_R_PH
+    33578468U,	// SUBQH_R_W
+    33578073U,	// SUBQH_W
+    33575709U,	// SUBQ_PH
+    33575806U,	// SUBQ_S_PH
+    33578774U,	// SUBQ_S_W
+    33571897U,	// SUBSUS_U_B
+    33574215U,	// SUBSUS_U_D
+    33575434U,	// SUBSUS_U_H
+    33579262U,	// SUBSUS_U_W
+    33571700U,	// SUBSUU_S_B
+    33573788U,	// SUBSUU_S_D
+    33575174U,	// SUBSUU_S_H
+    33578884U,	// SUBSUU_S_W
+    33571662U,	// SUBS_S_B
+    33573728U,	// SUBS_S_D
+    33575136U,	// SUBS_S_H
+    33578824U,	// SUBS_S_W
+    33571877U,	// SUBS_U_B
+    33574195U,	// SUBS_U_D
+    33575414U,	// SUBS_U_H
+    33579242U,	// SUBS_U_W
+    33572085U,	// SUBUH_QB
+    33572191U,	// SUBUH_R_QB
+    33575907U,	// SUBU_PH
+    33572299U,	// SUBU_QB
+    33575850U,	// SUBU_S_PH
+    33572238U,	// SUBU_S_QB
+    570442356U,	// SUBVI_B
+    570444072U,	// SUBVI_D
+    570445708U,	// SUBVI_H
+    570449118U,	// SUBVI_W
+    33571956U,	// SUBV_B
+    33574296U,	// SUBV_D
+    33575493U,	// SUBV_H
+    33579343U,	// SUBV_W
+    33572376U,	// SUB_MM
+    33577473U,	// SUBu
+    33577473U,	// SUBu_MM
+    337657982U,	// SUXC1
+    337657982U,	// SUXC164
+    337657982U,	// SUXC1_MM
+    12607908U,	// SW
+    12607908U,	// SW64
+    12599395U,	// SWC1
+    12599395U,	// SWC1_MM
+    12599530U,	// SWC2
+    12599530U,	// SWC2_R6
+    12599566U,	// SWC3
+    12604952U,	// SWL
+    12604952U,	// SWL64
+    12604952U,	// SWL_MM
+    12605292U,	// SWR
+    12605292U,	// SWR64
+    12605292U,	// SWR_MM
+    337657996U,	// SWXC1
+    337657996U,	// SWXC1_MM
+    12607908U,	// SW_MM
+    418389U,	// SYNC
+    418389U,	// SYNC_MM
+    415224U,	// SYSCALL
+    415224U,	// SYSCALL_MM
     0U,	// SZ_B_PSEUDO
     0U,	// SZ_D_PSEUDO
     0U,	// SZ_H_PSEUDO
@@ -1402,109 +1588,116 @@
     0U,	// SZ_W_PSEUDO
     0U,	// Save16
     0U,	// SaveX16
-    5252543U,	// SbRxRyOffMemX16
-    212531U,	// SebRx16
-    212543U,	// SehRx16
-    957972U,	// SelBeqZ
-    957953U,	// SelBneZ
-    226530301U,	// SelTBteqZCmp
-    226529989U,	// SelTBteqZCmpi
-    226530900U,	// SelTBteqZSlt
-    226530007U,	// SelTBteqZSlti
-    226530987U,	// SelTBteqZSltiu
-    226531001U,	// SelTBteqZSltu
-    243307517U,	// SelTBtneZCmp
-    243307205U,	// SelTBtneZCmpi
-    243308116U,	// SelTBtneZSlt
-    243307223U,	// SelTBtneZSlti
-    243308203U,	// SelTBtneZSltiu
-    243308217U,	// SelTBtneZSltu
-    5255822U,	// ShRxRyOffMemX16
-    553661356U,	// SllX16
-    1062691U,	// SllvRxRy16
-    10245716U,	// SltCCRxRy16
-    13908U,	// SltRxRy16
-    10244823U,	// SltiCCRxImmX16
-    117453527U,	// SltiRxImm16
-    13015U,	// SltiRxImmX16
-    10245803U,	// SltiuCCRxImmX16
-    117454507U,	// SltiuRxImm16
-    13995U,	// SltiuRxImmX16
-    10245817U,	// SltuCCRxRy16
-    14009U,	// SltuRxRy16
-    10245817U,	// SltuRxRyRz16
-    553656597U,	// SraX16
-    1062676U,	// SravRxRy16
-    553661362U,	// SrlX16
-    1062698U,	// SrlvRxRy16
-    16791158U,	// SubuRxRyRz16
-    5258721U,	// SwRxRyOffMemX16
-    185613793U,	// SwRxSpImmX16
+    12600849U,	// SbRxRyOffMemX16
+    418316U,	// SebRx16
+    418328U,	// SehRx16
+    1941989U,	// SelBeqZ
+    1941962U,	// SelBneZ
+    455186029U,	// SelTBteqZCmp
+    455185668U,	// SelTBteqZCmpi
+    455186911U,	// SelTBteqZSlt
+    455185698U,	// SelTBteqZSlti
+    455187019U,	// SelTBteqZSltiu
+    455187055U,	// SelTBteqZSltu
+    488740461U,	// SelTBtneZCmp
+    488740100U,	// SelTBtneZCmpi
+    488741343U,	// SelTBtneZSlt
+    488740130U,	// SelTBtneZSlti
+    488741451U,	// SelTBtneZSltiu
+    488741487U,	// SelTBtneZSltu
+    12604598U,	// ShRxRyOffMemX16
+    1107318274U,	// SllX16
+    2120422U,	// SllvRxRy16
+    21813727U,	// SltCCRxRy16
+    23007U,	// SltRxRy16
+    21812514U,	// SltiCCRxImmX16
+    234902818U,	// SltiRxImm16
+    21794U,	// SltiRxImmX16
+    21813835U,	// SltiuCCRxImmX16
+    234904139U,	// SltiuRxImm16
+    23115U,	// SltiuRxImmX16
+    21813871U,	// SltuCCRxRy16
+    23151U,	// SltuRxRy16
+    21813871U,	// SltuRxRyRz16
+    1107312983U,	// SraX16
+    2120401U,	// SravRxRy16
+    1107318280U,	// SrlX16
+    2120429U,	// SrlvRxRy16
+    33577473U,	// SubuRxRyRz16
+    12607908U,	// SwRxRyOffMemX16
+    371220900U,	// SwRxSpImmX16
     0U,	// TAILCALL
     0U,	// TAILCALL64_R
     0U,	// TAILCALL_R
-    553661500U,	// TEQ
-    6304459U,	// TEQI
-    6304459U,	// TEQI_MM
-    553661500U,	// TEQ_MM
-    553659485U,	// TGE
-    6304415U,	// TGEI
-    6305444U,	// TGEIU
-    6305444U,	// TGEIU_MM
-    6304415U,	// TGEI_MM
-    553662097U,	// TGEU
-    553662097U,	// TGEU_MM
-    553659485U,	// TGE_MM
-    553662041U,	// TLT
-    6304477U,	// TLTI
-    6305458U,	// TLTIU_MM
-    6304477U,	// TLTI_MM
-    553662143U,	// TLTU
-    553662143U,	// TLTU_MM
-    553662041U,	// TLT_MM
-    553659495U,	// TNE
-    6304421U,	// TNEI
-    6304421U,	// TNEI_MM
-    553659495U,	// TNE_MM
+    1107318455U,	// TEQ
+    14701840U,	// TEQI
+    14701840U,	// TEQI_MM
+    1107318455U,	// TEQ_MM
+    1107316339U,	// TGE
+    14701773U,	// TGEI
+    14703172U,	// TGEIU
+    14703172U,	// TGEIU_MM
+    14701773U,	// TGEI_MM
+    1107319338U,	// TGEU
+    1107319338U,	// TGEU_MM
+    1107316339U,	// TGE_MM
+    8980U,	// TLBP
+    8991U,	// TLBR
+    8970U,	// TLBWI
+    8996U,	// TLBWR
+    1107319268U,	// TLT
+    14701864U,	// TLTI
+    14703186U,	// TLTIU_MM
+    14701864U,	// TLTI_MM
+    1107319413U,	// TLTU
+    1107319413U,	// TLTU_MM
+    1107319268U,	// TLT_MM
+    1107316361U,	// TNE
+    14701785U,	// TNEI
+    14701785U,	// TNEI_MM
+    1107316361U,	// TNE_MM
     0U,	// TRAP
-    10162U,	// TRUNC_L_D64
-    13660U,	// TRUNC_L_S
-    11222U,	// TRUNC_W_D32
-    11222U,	// TRUNC_W_D64
-    11222U,	// TRUNC_W_MM
-    13842U,	// TRUNC_W_S
-    13842U,	// TRUNC_W_S_MM
-    6305458U,	// TTLTIU
-    15942U,	// UDIV
-    15942U,	// UDIV_MM
-    1091576206U,	// VSHF_B
-    1091577613U,	// VSHF_D
-    1091579105U,	// VSHF_H
-    1091582023U,	// VSHF_W
-    7925U,	// WAIT
-    7925U,	// WAIT_MM
-    6304810U,	// WRDSP
-    12379U,	// WSBH
-    12379U,	// WSBH_MM
-    16790745U,	// XOR
-    16790745U,	// XOR64
-    285221389U,	// XORI_B
-    16790745U,	// XOR_MM
-    16791280U,	// XOR_V
+    18746U,	// TRUNC_L_D64
+    22586U,	// TRUNC_L_S
+    19922U,	// TRUNC_W_D32
+    19922U,	// TRUNC_W_D64
+    19922U,	// TRUNC_W_MM
+    22908U,	// TRUNC_W_S
+    22908U,	// TRUNC_W_S_MM
+    14703186U,	// TTLTIU
+    25119U,	// UDIV
+    25119U,	// UDIV_MM
+    33577561U,	// V3MULU
+    33570839U,	// VMM0
+    33577576U,	// VMULU
+    2183152080U,	// VSHF_B
+    2183153813U,	// VSHF_D
+    2183155465U,	// VSHF_H
+    2183158794U,	// VSHF_W
+    9008U,	// WAIT
+    416217U,	// WAIT_MM
+    14702240U,	// WRDSP
+    21123U,	// WSBH
+    21123U,	// WSBH_MM
+    33576788U,	// XOR
+    33576788U,	// XOR64
+    570442319U,	// XORI_B
+    33576788U,	// XOR_MM
+    33577645U,	// XOR_V
     0U,	// XOR_V_D_PSEUDO
     0U,	// XOR_V_H_PSEUDO
     0U,	// XOR_V_W_PSEUDO
-    553661137U,	// XORi
-    553661137U,	// XORi64
-    553661137U,	// XORi_MM
-    1062105U,	// XorRxRxRy16
+    1107318038U,	// XORi
+    1107318038U,	// XORi64
+    1107318038U,	// XORi_MM
+    2119508U,	// XorRxRxRy16
     0U
   };
 
   static const uint8_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -1521,10 +1714,12 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// ABSQ_S_PH
     0U,	// ABSQ_S_QB
     0U,	// ABSQ_S_W
     0U,	// ADD
+    0U,	// ADDIUPC
     0U,	// ADDQH_PH
     0U,	// ADDQH_R_PH
     0U,	// ADDQH_R_W
@@ -1573,6 +1768,8 @@
     0U,	// ADDu_MM
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
+    1U,	// ALIGN
+    0U,	// ALUIPC
     0U,	// AND
     0U,	// AND64
     0U,	// ANDI_B
@@ -1625,6 +1822,8 @@
     0U,	// ATOMIC_SWAP_I32
     0U,	// ATOMIC_SWAP_I64
     0U,	// ATOMIC_SWAP_I8
+    0U,	// AUI
+    0U,	// AUIPC
     0U,	// AVER_S_B
     0U,	// AVER_S_D
     0U,	// AVER_S_H
@@ -1651,12 +1850,20 @@
     0U,	// AdduRxRyRz16
     0U,	// AndRxRxRy16
     0U,	// B
+    0U,	// BADDu
+    0U,	// BAL
+    0U,	// BALC
     0U,	// BALIGN
     0U,	// BAL_BR
+    0U,	// BC
+    0U,	// BC1EQZ
     0U,	// BC1F
     0U,	// BC1F_MM
+    0U,	// BC1NEZ
     0U,	// BC1T
     0U,	// BC1T_MM
+    0U,	// BC2EQZ
+    0U,	// BC2NEZ
     0U,	// BCLRI_B
     0U,	// BCLRI_D
     0U,	// BCLRI_H
@@ -1667,14 +1874,23 @@
     0U,	// BCLR_W
     0U,	// BEQ
     0U,	// BEQ64
+    0U,	// BEQC
+    0U,	// BEQZALC
+    0U,	// BEQZC
     0U,	// BEQ_MM
+    0U,	// BGEC
+    0U,	// BGEUC
     0U,	// BGEZ
     0U,	// BGEZ64
     0U,	// BGEZAL
+    0U,	// BGEZALC
     0U,	// BGEZAL_MM
+    0U,	// BGEZC
     0U,	// BGEZ_MM
     0U,	// BGTZ
     0U,	// BGTZ64
+    0U,	// BGTZALC
+    0U,	// BGTZC
     0U,	// BGTZ_MM
     0U,	// BINSLI_B
     0U,	// BINSLI_D
@@ -1693,13 +1909,20 @@
     0U,	// BINSR_H
     0U,	// BINSR_W
     0U,	// BITREV
+    0U,	// BITSWAP
     0U,	// BLEZ
     0U,	// BLEZ64
+    0U,	// BLEZALC
+    0U,	// BLEZC
     0U,	// BLEZ_MM
+    0U,	// BLTC
+    0U,	// BLTUC
     0U,	// BLTZ
     0U,	// BLTZ64
     0U,	// BLTZAL
+    0U,	// BLTZALC
     0U,	// BLTZAL_MM
+    0U,	// BLTZC
     0U,	// BLTZ_MM
     0U,	// BMNZI_B
     0U,	// BMNZ_V
@@ -1707,6 +1930,7 @@
     0U,	// BMZ_V
     0U,	// BNE
     0U,	// BNE64
+    0U,	// BNEC
     0U,	// BNEGI_B
     0U,	// BNEGI_D
     0U,	// BNEGI_H
@@ -1715,12 +1939,16 @@
     0U,	// BNEG_D
     0U,	// BNEG_H
     0U,	// BNEG_W
+    0U,	// BNEZALC
+    0U,	// BNEZC
     0U,	// BNE_MM
+    0U,	// BNVC
     0U,	// BNZ_B
     0U,	// BNZ_D
     0U,	// BNZ_H
     0U,	// BNZ_V
     0U,	// BNZ_W
+    0U,	// BOVC
     0U,	// BPOSGE32
     0U,	// BPOSGE32_PSEUDO
     0U,	// BREAK
@@ -1770,6 +1998,8 @@
     0U,	// BtnezX16
     0U,	// BuildPairF64
     0U,	// BuildPairF64_64
+    0U,	// CACHE
+    0U,	// CACHE_R6
     0U,	// CEIL_L_D64
     0U,	// CEIL_L_S
     0U,	// CEIL_W_D32
@@ -1788,6 +2018,10 @@
     0U,	// CFC1
     0U,	// CFC1_MM
     0U,	// CFCMSA
+    1U,	// CINS
+    1U,	// CINS32
+    0U,	// CLASS_D
+    0U,	// CLASS_S
     0U,	// CLEI_S_B
     0U,	// CLEI_S_D
     0U,	// CLEI_S_H
@@ -1806,6 +2040,7 @@
     0U,	// CLE_U_W
     0U,	// CLO
     0U,	// CLO_MM
+    0U,	// CLO_R6
     0U,	// CLTI_S_B
     0U,	// CLTI_S_D
     0U,	// CLTI_S_H
@@ -1824,6 +2059,7 @@
     0U,	// CLT_U_W
     0U,	// CLZ
     0U,	// CLZ_MM
+    0U,	// CLZ_R6
     0U,	// CMPGDU_EQ_QB
     0U,	// CMPGDU_LE_QB
     0U,	// CMPGDU_LT_QB
@@ -1833,20 +2069,52 @@
     0U,	// CMPU_EQ_QB
     0U,	// CMPU_LE_QB
     0U,	// CMPU_LT_QB
+    0U,	// CMP_EQ_D
     0U,	// CMP_EQ_PH
+    0U,	// CMP_EQ_S
+    0U,	// CMP_F_D
+    0U,	// CMP_F_S
+    0U,	// CMP_LE_D
     0U,	// CMP_LE_PH
+    0U,	// CMP_LE_S
+    0U,	// CMP_LT_D
     0U,	// CMP_LT_PH
+    0U,	// CMP_LT_S
+    0U,	// CMP_SAF_D
+    0U,	// CMP_SAF_S
+    0U,	// CMP_SEQ_D
+    0U,	// CMP_SEQ_S
+    0U,	// CMP_SLE_D
+    0U,	// CMP_SLE_S
+    0U,	// CMP_SLT_D
+    0U,	// CMP_SLT_S
+    0U,	// CMP_SUEQ_D
+    0U,	// CMP_SUEQ_S
+    0U,	// CMP_SULE_D
+    0U,	// CMP_SULE_S
+    0U,	// CMP_SULT_D
+    0U,	// CMP_SULT_S
+    0U,	// CMP_SUN_D
+    0U,	// CMP_SUN_S
+    0U,	// CMP_UEQ_D
+    0U,	// CMP_UEQ_S
+    0U,	// CMP_ULE_D
+    0U,	// CMP_ULE_S
+    0U,	// CMP_ULT_D
+    0U,	// CMP_ULT_S
+    0U,	// CMP_UN_D
+    0U,	// CMP_UN_S
     0U,	// CONSTPOOL_ENTRY
     0U,	// COPY_FD_PSEUDO
     0U,	// COPY_FW_PSEUDO
-    0U,	// COPY_S_B
-    0U,	// COPY_S_D
-    0U,	// COPY_S_H
-    0U,	// COPY_S_W
-    0U,	// COPY_U_B
-    0U,	// COPY_U_D
-    0U,	// COPY_U_H
-    0U,	// COPY_U_W
+    2U,	// COPY_S_B
+    2U,	// COPY_S_D
+    2U,	// COPY_S_H
+    2U,	// COPY_S_W
+    2U,	// COPY_U_B
+    2U,	// COPY_U_D
+    2U,	// COPY_U_H
+    2U,	// COPY_U_W
     0U,	// CTC1
     0U,	// CTC1_MM
     0U,	// CTCMSA
@@ -1928,17 +2196,28 @@
     0U,	// DADDi
     0U,	// DADDiu
     0U,	// DADDu
+    0U,	// DAHI
+    1U,	// DALIGN
+    0U,	// DATI
+    0U,	// DAUI
+    0U,	// DBITSWAP
     0U,	// DCLO
+    0U,	// DCLO_R6
     0U,	// DCLZ
+    0U,	// DCLZ_R6
+    0U,	// DDIV
+    0U,	// DDIVU
     0U,	// DERET
     0U,	// DERET_MM
-    1U,	// DEXT
-    1U,	// DEXTM
-    1U,	// DEXTU
+    5U,	// DEXT
+    5U,	// DEXTM
+    5U,	// DEXTU
     0U,	// DI
-    1U,	// DINS
-    1U,	// DINSM
-    1U,	// DINSU
+    5U,	// DINS
+    5U,	// DINSM
+    5U,	// DINSU
+    0U,	// DIV
+    0U,	// DIVU
     0U,	// DIV_S_B
     0U,	// DIV_S_D
     0U,	// DIV_S_H
@@ -1948,15 +2227,23 @@
     0U,	// DIV_U_H
     0U,	// DIV_U_W
     0U,	// DI_MM
-    3U,	// DLSA
+    1U,	// DLSA
+    1U,	// DLSA_R6
     0U,	// DMFC0
     0U,	// DMFC1
     0U,	// DMFC2
+    0U,	// DMOD
+    0U,	// DMODU
     0U,	// DMTC0
     0U,	// DMTC1
     0U,	// DMTC2
+    0U,	// DMUH
+    0U,	// DMUHU
+    0U,	// DMUL
     0U,	// DMULT
     0U,	// DMULTu
+    0U,	// DMULU
+    0U,	// DMUL_R6
     0U,	// DOTP_S_D
     0U,	// DOTP_S_H
     0U,	// DOTP_S_W
@@ -1977,6 +2264,7 @@
     0U,	// DPAU_H_QBR
     0U,	// DPAX_W_PH
     0U,	// DPA_W_PH
+    0U,	// DPOP
     0U,	// DPSQX_SA_W_PH
     0U,	// DPSQX_S_W_PH
     0U,	// DPSQ_SA_L_W
@@ -2007,15 +2295,17 @@
     0U,	// DSRL
     0U,	// DSRL32
     0U,	// DSRLV
+    0U,	// DSUB
     0U,	// DSUBu
     0U,	// DUDIV
     0U,	// DivRxRy16
     0U,	// DivuRxRy16
+    0U,	// EHB
     0U,	// EI
     0U,	// EI_MM
     0U,	// ERET
     0U,	// ERET_MM
-    1U,	// EXT
+    5U,	// EXT
     0U,	// EXTP
     0U,	// EXTPDP
     0U,	// EXTPDPV
@@ -2028,7 +2318,9 @@
     0U,	// EXTR_R_W
     0U,	// EXTR_S_H
     0U,	// EXTR_W
-    1U,	// EXT_MM
+    1U,	// EXTS
+    1U,	// EXTS32
+    5U,	// EXT_MM
     0U,	// ExtractElementF64
     0U,	// ExtractElementF64_64
     0U,	// FABS_D
@@ -2224,29 +2516,42 @@
     0U,	// ILVR_D
     0U,	// ILVR_H
     0U,	// ILVR_W
-    1U,	// INS
+    5U,	// INS
     0U,	// INSERT_B
+    0U,	// INSERT_B_VIDX_PSEUDO
     0U,	// INSERT_D
+    0U,	// INSERT_D_VIDX_PSEUDO
     0U,	// INSERT_FD_PSEUDO
+    0U,	// INSERT_FD_VIDX_PSEUDO
     0U,	// INSERT_FW_PSEUDO
+    0U,	// INSERT_FW_VIDX_PSEUDO
     0U,	// INSERT_H
+    0U,	// INSERT_H_VIDX_PSEUDO
     0U,	// INSERT_W
+    0U,	// INSERT_W_VIDX_PSEUDO
     0U,	// INSV
     0U,	// INSVE_B
     0U,	// INSVE_D
     0U,	// INSVE_H
     0U,	// INSVE_W
-    1U,	// INS_MM
+    5U,	// INS_MM
     0U,	// J
     0U,	// JAL
     0U,	// JALR
+    0U,	// JALR16_MM
     0U,	// JALR64
     0U,	// JALR64Pseudo
     0U,	// JALRPseudo
+    0U,	// JALR_HB
     0U,	// JALR_MM
+    0U,	// JALX
     0U,	// JAL_MM
+    0U,	// JIALC
+    0U,	// JIC
     0U,	// JR
     0U,	// JR64
+    0U,	// JR_HB
+    0U,	// JR_HB_R6
     0U,	// JR_MM
     0U,	// J_MM
     0U,	// Jal16
@@ -2267,11 +2572,14 @@
     0U,	// LDC164
     0U,	// LDC1_MM
     0U,	// LDC2
+    0U,	// LDC2_R6
+    0U,	// LDC3
     0U,	// LDI_B
     0U,	// LDI_D
     0U,	// LDI_H
     0U,	// LDI_W
     0U,	// LDL
+    0U,	// LDPC
     0U,	// LDR
     0U,	// LDXC1
     0U,	// LDXC164
@@ -2291,12 +2599,18 @@
     0U,	// LHu_MM
     0U,	// LL
     0U,	// LLD
+    0U,	// LLD_R6
     0U,	// LL_MM
+    0U,	// LL_R6
     0U,	// LOAD_ACC128
     0U,	// LOAD_ACC64
     0U,	// LOAD_ACC64DSP
     0U,	// LOAD_CCOND_DSP
-    3U,	// LSA
+    0U,	// LONG_BRANCH_ADDiu
+    0U,	// LONG_BRANCH_DADDiu
+    0U,	// LONG_BRANCH_LUi
+    1U,	// LSA
+    1U,	// LSA_R6
     0U,	// LUXC1
     0U,	// LUXC164
     0U,	// LUXC1_MM
@@ -2308,12 +2622,16 @@
     0U,	// LWC1
     0U,	// LWC1_MM
     0U,	// LWC2
+    0U,	// LWC2_R6
+    0U,	// LWC3
     0U,	// LWL
     0U,	// LWL64
     0U,	// LWL_MM
+    0U,	// LWPC
     0U,	// LWR
     0U,	// LWR64
     0U,	// LWR_MM
+    0U,	// LWUPC
     0U,	// LWU_MM
     0U,	// LWX
     0U,	// LWXC1
@@ -2330,12 +2648,15 @@
     0U,	// LoadAddr32Imm
     0U,	// LoadAddr32Reg
     0U,	// LoadImm32Reg
+    0U,	// LoadImm64Reg
     0U,	// LwConstant32
     0U,	// LwRxPcTcp16
     0U,	// LwRxPcTcpX16
     0U,	// LwRxRyOffMemX16
     0U,	// LwRxSpImmX16
     0U,	// MADD
+    0U,	// MADDF_D
+    0U,	// MADDF_S
     0U,	// MADDR_Q_H
     0U,	// MADDR_Q_W
     0U,	// MADDU
@@ -2345,19 +2666,21 @@
     0U,	// MADDV_D
     0U,	// MADDV_H
     0U,	// MADDV_W
-    1U,	// MADD_D32
-    1U,	// MADD_D32_MM
-    1U,	// MADD_D64
+    5U,	// MADD_D32
+    5U,	// MADD_D32_MM
+    5U,	// MADD_D64
     0U,	// MADD_DSP
     0U,	// MADD_MM
     0U,	// MADD_Q_H
     0U,	// MADD_Q_W
-    1U,	// MADD_S
-    1U,	// MADD_S_MM
+    5U,	// MADD_S
+    5U,	// MADD_S_MM
     0U,	// MAQ_SA_W_PHL
     0U,	// MAQ_SA_W_PHR
     0U,	// MAQ_S_W_PHL
     0U,	// MAQ_S_W_PHR
+    0U,	// MAXA_D
+    0U,	// MAXA_S
     0U,	// MAXI_S_B
     0U,	// MAXI_S_D
     0U,	// MAXI_S_H
@@ -2370,6 +2693,8 @@
     0U,	// MAX_A_D
     0U,	// MAX_A_H
     0U,	// MAX_A_W
+    0U,	// MAX_D
+    0U,	// MAX_S
     0U,	// MAX_S_B
     0U,	// MAX_S_D
     0U,	// MAX_S_H
@@ -2382,16 +2707,21 @@
     0U,	// MFC1
     0U,	// MFC1_MM
     0U,	// MFC2
-    0U,	// MFHC1
+    0U,	// MFHC1_D32
+    0U,	// MFHC1_D64
     0U,	// MFHC1_MM
     0U,	// MFHI
+    0U,	// MFHI16_MM
     0U,	// MFHI64
     0U,	// MFHI_DSP
     0U,	// MFHI_MM
     0U,	// MFLO
+    0U,	// MFLO16_MM
     0U,	// MFLO64
     0U,	// MFLO_DSP
     0U,	// MFLO_MM
+    0U,	// MINA_D
+    0U,	// MINA_S
     0U,	// MINI_S_B
     0U,	// MINI_S_D
     0U,	// MINI_S_H
@@ -2404,6 +2734,8 @@
     0U,	// MIN_A_D
     0U,	// MIN_A_H
     0U,	// MIN_A_W
+    0U,	// MIN_D
+    0U,	// MIN_S
     0U,	// MIN_S_B
     0U,	// MIN_S_D
     0U,	// MIN_S_H
@@ -2414,7 +2746,9 @@
     0U,	// MIN_U_W
     0U,	// MIPSeh_return32
     0U,	// MIPSeh_return64
+    0U,	// MOD
     0U,	// MODSUB
+    0U,	// MODU
     0U,	// MOD_S_B
     0U,	// MOD_S_D
     0U,	// MOD_S_H
@@ -2423,6 +2757,7 @@
     0U,	// MOD_U_D
     0U,	// MOD_U_H
     0U,	// MOD_U_W
+    0U,	// MOVE16_MM
     0U,	// MOVE_V
     0U,	// MOVF_D32
     0U,	// MOVF_D32_MM
@@ -2465,6 +2800,8 @@
     0U,	// MOVZ_I_S
     0U,	// MOVZ_I_S_MM
     0U,	// MSUB
+    0U,	// MSUBF_D
+    0U,	// MSUBF_S
     0U,	// MSUBR_Q_H
     0U,	// MSUBR_Q_W
     0U,	// MSUBU
@@ -2474,20 +2811,21 @@
     0U,	// MSUBV_D
     0U,	// MSUBV_H
     0U,	// MSUBV_W
-    1U,	// MSUB_D32
-    1U,	// MSUB_D32_MM
-    1U,	// MSUB_D64
+    5U,	// MSUB_D32
+    5U,	// MSUB_D32_MM
+    5U,	// MSUB_D64
     0U,	// MSUB_DSP
     0U,	// MSUB_MM
     0U,	// MSUB_Q_H
     0U,	// MSUB_Q_W
-    1U,	// MSUB_S
-    1U,	// MSUB_S_MM
+    5U,	// MSUB_S
+    5U,	// MSUB_S_MM
     0U,	// MTC0
     0U,	// MTC1
     0U,	// MTC1_MM
     0U,	// MTC2
-    0U,	// MTHC1
+    0U,	// MTHC1_D32
+    0U,	// MTHC1_D64
     0U,	// MTHC1_MM
     0U,	// MTHI
     0U,	// MTHI64
@@ -2498,6 +2836,14 @@
     0U,	// MTLO64
     0U,	// MTLO_DSP
     0U,	// MTLO_MM
+    0U,	// MTM0
+    0U,	// MTM1
+    0U,	// MTM2
+    0U,	// MTP0
+    0U,	// MTP1
+    0U,	// MTP2
+    0U,	// MUH
+    0U,	// MUHU
     0U,	// MUL
     0U,	// MULEQ_S_W_PHL
     0U,	// MULEQ_S_W_PHR
@@ -2517,6 +2863,7 @@
     0U,	// MULT_MM
     0U,	// MULTu
     0U,	// MULTu_MM
+    0U,	// MULU
     0U,	// MULV_B
     0U,	// MULV_D
     0U,	// MULV_H
@@ -2525,6 +2872,7 @@
     0U,	// MUL_PH
     0U,	// MUL_Q_H
     0U,	// MUL_Q_W
+    0U,	// MUL_R6
     0U,	// MUL_S_PH
     0U,	// Mfhi16
     0U,	// Mflo16
@@ -2542,16 +2890,16 @@
     0U,	// NLZC_D
     0U,	// NLZC_H
     0U,	// NLZC_W
-    1U,	// NMADD_D32
-    1U,	// NMADD_D32_MM
-    1U,	// NMADD_D64
-    1U,	// NMADD_S
-    1U,	// NMADD_S_MM
-    1U,	// NMSUB_D32
-    1U,	// NMSUB_D32_MM
-    1U,	// NMSUB_D64
-    1U,	// NMSUB_S
-    1U,	// NMSUB_S_MM
+    5U,	// NMADD_D32
+    5U,	// NMADD_D32_MM
+    5U,	// NMADD_D64
+    5U,	// NMADD_S
+    5U,	// NMADD_S_MM
+    5U,	// NMSUB_D32
+    5U,	// NMSUB_D32_MM
+    5U,	// NMSUB_D64
+    5U,	// NMSUB_S
+    5U,	// NMSUB_S_MM
     0U,	// NOP
     0U,	// NOR
     0U,	// NOR64
@@ -2576,6 +2924,7 @@
     0U,	// ORi_MM
     0U,	// OrRxRxRy16
     0U,	// PACKRL_PH
+    0U,	// PAUSE
     0U,	// PCKEV_B
     0U,	// PCKEV_D
     0U,	// PCKEV_H
@@ -2590,6 +2939,7 @@
     0U,	// PCNT_W
     0U,	// PICK_PH
     0U,	// PICK_QB
+    0U,	// POP
     0U,	// PRECEQU_PH_QBL
     0U,	// PRECEQU_PH_QBLA
     0U,	// PRECEQU_PH_QBR
@@ -2607,6 +2957,8 @@
     0U,	// PRECR_QB_PH
     0U,	// PRECR_SRA_PH_W
     0U,	// PRECR_SRA_R_PH_W
+    0U,	// PREF
+    0U,	// PREF_R6
     0U,	// PREPEND
     0U,	// PseudoCMPU_EQ_QB
     0U,	// PseudoCMPU_LE_QB
@@ -2623,6 +2975,8 @@
     0U,	// PseudoDMULTu
     0U,	// PseudoDSDIV
     0U,	// PseudoDUDIV
+    0U,	// PseudoIndirectBranch
+    0U,	// PseudoIndirectBranch64
     0U,	// PseudoMADD
     0U,	// PseudoMADDU
     0U,	// PseudoMFHI
@@ -2638,6 +2992,8 @@
     0U,	// PseudoMULTu
     0U,	// PseudoPICK_PH
     0U,	// PseudoPICK_QB
+    0U,	// PseudoReturn
+    0U,	// PseudoReturn64
     0U,	// PseudoSDIV
     0U,	// PseudoUDIV
     0U,	// RADDU_W_QB
@@ -2648,8 +3004,8 @@
     0U,	// REPLV_QB
     0U,	// REPL_PH
     0U,	// REPL_QB
-    0U,	// RET
-    0U,	// RET_MM
+    0U,	// RINT_D
+    0U,	// RINT_S
     0U,	// ROTR
     0U,	// ROTRV
     0U,	// ROTRV_MM
@@ -2678,12 +3034,18 @@
     0U,	// SB_MM
     0U,	// SC
     0U,	// SCD
+    0U,	// SCD_R6
     0U,	// SC_MM
+    0U,	// SC_R6
     0U,	// SD
+    0U,	// SDBBP
+    0U,	// SDBBP_R6
     0U,	// SDC1
     0U,	// SDC164
     0U,	// SDC1_MM
     0U,	// SDC2
+    0U,	// SDC2_R6
+    0U,	// SDC3
     0U,	// SDIV
     0U,	// SDIV_MM
     0U,	// SDL
@@ -2696,6 +3058,18 @@
     0U,	// SEH
     0U,	// SEH64
     0U,	// SEH_MM
+    0U,	// SELEQZ
+    0U,	// SELEQZ64
+    0U,	// SELEQZ_D
+    0U,	// SELEQZ_S
+    0U,	// SELNEZ
+    0U,	// SELNEZ64
+    0U,	// SELNEZ_D
+    0U,	// SELNEZ_S
+    0U,	// SEL_D
+    0U,	// SEL_S
+    0U,	// SEQ
+    0U,	// SEQi
     0U,	// SH
     0U,	// SH64
     0U,	// SHF_B
@@ -2726,14 +3100,14 @@
     0U,	// SHRL_PH
     0U,	// SHRL_QB
     0U,	// SH_MM
-    0U,	// SLDI_B
-    0U,	// SLDI_D
-    0U,	// SLDI_H
-    0U,	// SLDI_W
-    0U,	// SLD_B
-    0U,	// SLD_D
-    0U,	// SLD_H
-    0U,	// SLD_W
+    2U,	// SLDI_B
+    2U,	// SLDI_D
+    2U,	// SLDI_H
+    2U,	// SLDI_W
+    2U,	// SLD_B
+    2U,	// SLD_D
+    2U,	// SLD_H
+    2U,	// SLD_W
     0U,	// SLL
     0U,	// SLL64_32
     0U,	// SLL64_64
@@ -2760,19 +3134,21 @@
     0U,	// SLTu
     0U,	// SLTu64
     0U,	// SLTu_MM
+    0U,	// SNE
+    0U,	// SNEi
     0U,	// SNZ_B_PSEUDO
     0U,	// SNZ_D_PSEUDO
     0U,	// SNZ_H_PSEUDO
     0U,	// SNZ_V_PSEUDO
     0U,	// SNZ_W_PSEUDO
-    0U,	// SPLATI_B
-    0U,	// SPLATI_D
-    0U,	// SPLATI_H
-    0U,	// SPLATI_W
-    0U,	// SPLAT_B
-    0U,	// SPLAT_D
-    0U,	// SPLAT_H
-    0U,	// SPLAT_W
+    2U,	// SPLATI_B
+    2U,	// SPLATI_D
+    2U,	// SPLATI_H
+    2U,	// SPLATI_W
+    2U,	// SPLAT_B
+    2U,	// SPLAT_D
+    2U,	// SPLAT_H
+    2U,	// SPLAT_W
     0U,	// SRA
     0U,	// SRAI_B
     0U,	// SRAI_D
@@ -2813,6 +3189,7 @@
     0U,	// SRL_H
     0U,	// SRL_MM
     0U,	// SRL_W
+    0U,	// SSNOP
     0U,	// STORE_ACC128
     0U,	// STORE_ACC64
     0U,	// STORE_ACC64DSP
@@ -2870,6 +3247,8 @@
     0U,	// SWC1
     0U,	// SWC1_MM
     0U,	// SWC2
+    0U,	// SWC2_R6
+    0U,	// SWC3
     0U,	// SWL
     0U,	// SWL64
     0U,	// SWL_MM
@@ -2943,6 +3322,10 @@
     0U,	// TGEU
     0U,	// TGEU_MM
     0U,	// TGE_MM
+    0U,	// TLBP
+    0U,	// TLBR
+    0U,	// TLBWI
+    0U,	// TLBWR
     0U,	// TLT
     0U,	// TLTI
     0U,	// TLTIU_MM
@@ -2965,6 +3348,9 @@
     0U,	// TTLTIU
     0U,	// UDIV
     0U,	// UDIV_MM
+    0U,	// V3MULU
+    0U,	// VMM0
+    0U,	// VMULU
     0U,	// VSHF_B
     0U,	// VSHF_D
     0U,	// VSHF_H
@@ -2994,894 +3380,1031 @@
   /* 0 */ 'j', 'a', 'l', 'r', 'c', 32, 9, 0,
   /* 8 */ 'd', 'm', 'f', 'c', '0', 9, 0,
   /* 15 */ 'd', 'm', 't', 'c', '0', 9, 0,
-  /* 22 */ 'l', 'd', 'c', '1', 9, 0,
-  /* 28 */ 's', 'd', 'c', '1', 9, 0,
-  /* 34 */ 'c', 'f', 'c', '1', 9, 0,
-  /* 40 */ 'd', 'm', 'f', 'c', '1', 9, 0,
-  /* 47 */ 'm', 'f', 'h', 'c', '1', 9, 0,
-  /* 54 */ 'm', 't', 'h', 'c', '1', 9, 0,
-  /* 61 */ 'c', 't', 'c', '1', 9, 0,
-  /* 67 */ 'd', 'm', 't', 'c', '1', 9, 0,
-  /* 74 */ 'l', 'w', 'c', '1', 9, 0,
-  /* 80 */ 's', 'w', 'c', '1', 9, 0,
-  /* 86 */ 'l', 'd', 'x', 'c', '1', 9, 0,
-  /* 93 */ 's', 'd', 'x', 'c', '1', 9, 0,
-  /* 100 */ 'l', 'u', 'x', 'c', '1', 9, 0,
-  /* 107 */ 's', 'u', 'x', 'c', '1', 9, 0,
-  /* 114 */ 'l', 'w', 'x', 'c', '1', 9, 0,
-  /* 121 */ 's', 'w', 'x', 'c', '1', 9, 0,
-  /* 128 */ 'd', 's', 'r', 'a', '3', '2', 9, 0,
-  /* 136 */ 'b', 'p', 'o', 's', 'g', 'e', '3', '2', 9, 0,
-  /* 146 */ 'd', 's', 'l', 'l', '3', '2', 9, 0,
-  /* 154 */ 'd', 's', 'r', 'l', '3', '2', 9, 0,
-  /* 162 */ 'd', 'r', 'o', 't', 'r', '3', '2', 9, 0,
-  /* 171 */ 'l', 'd', 'c', '2', 9, 0,
-  /* 177 */ 's', 'd', 'c', '2', 9, 0,
-  /* 183 */ 'd', 'm', 'f', 'c', '2', 9, 0,
-  /* 190 */ 'd', 'm', 't', 'c', '2', 9, 0,
-  /* 197 */ 'l', 'w', 'c', '2', 9, 0,
-  /* 203 */ 's', 'w', 'c', '2', 9, 0,
-  /* 209 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
-  /* 225 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
-  /* 242 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
-  /* 258 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
-  /* 275 */ 'd', 's', 'r', 'a', 9, 0,
-  /* 281 */ 'd', 'l', 's', 'a', 9, 0,
-  /* 287 */ 'c', 'f', 'c', 'm', 's', 'a', 9, 0,
-  /* 295 */ 'c', 't', 'c', 'm', 's', 'a', 9, 0,
-  /* 303 */ 'a', 'd', 'd', '_', 'a', '.', 'b', 9, 0,
-  /* 312 */ 'm', 'i', 'n', '_', 'a', '.', 'b', 9, 0,
-  /* 321 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'b', 9, 0,
-  /* 331 */ 'm', 'a', 'x', '_', 'a', '.', 'b', 9, 0,
-  /* 340 */ 's', 'r', 'a', '.', 'b', 9, 0,
-  /* 347 */ 'n', 'l', 'o', 'c', '.', 'b', 9, 0,
-  /* 355 */ 'n', 'l', 'z', 'c', '.', 'b', 9, 0,
-  /* 363 */ 's', 'l', 'd', '.', 'b', 9, 0,
-  /* 370 */ 'p', 'c', 'k', 'o', 'd', '.', 'b', 9, 0,
-  /* 379 */ 'i', 'l', 'v', 'o', 'd', '.', 'b', 9, 0,
-  /* 388 */ 'i', 'n', 's', 'v', 'e', '.', 'b', 9, 0,
-  /* 397 */ 'v', 's', 'h', 'f', '.', 'b', 9, 0,
-  /* 405 */ 'b', 'n', 'e', 'g', '.', 'b', 9, 0,
-  /* 413 */ 's', 'r', 'a', 'i', '.', 'b', 9, 0,
-  /* 421 */ 's', 'l', 'd', 'i', '.', 'b', 9, 0,
-  /* 429 */ 'a', 'n', 'd', 'i', '.', 'b', 9, 0,
-  /* 437 */ 'b', 'n', 'e', 'g', 'i', '.', 'b', 9, 0,
-  /* 446 */ 'b', 's', 'e', 'l', 'i', '.', 'b', 9, 0,
-  /* 455 */ 's', 'l', 'l', 'i', '.', 'b', 9, 0,
-  /* 463 */ 's', 'r', 'l', 'i', '.', 'b', 9, 0,
-  /* 471 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'b', 9, 0,
-  /* 481 */ 'c', 'e', 'q', 'i', '.', 'b', 9, 0,
-  /* 489 */ 's', 'r', 'a', 'r', 'i', '.', 'b', 9, 0,
-  /* 498 */ 'b', 'c', 'l', 'r', 'i', '.', 'b', 9, 0,
-  /* 507 */ 's', 'r', 'l', 'r', 'i', '.', 'b', 9, 0,
-  /* 516 */ 'n', 'o', 'r', 'i', '.', 'b', 9, 0,
-  /* 524 */ 'x', 'o', 'r', 'i', '.', 'b', 9, 0,
-  /* 532 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'b', 9, 0,
-  /* 542 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'b', 9, 0,
-  /* 552 */ 'b', 's', 'e', 't', 'i', '.', 'b', 9, 0,
-  /* 561 */ 's', 'u', 'b', 'v', 'i', '.', 'b', 9, 0,
-  /* 570 */ 'a', 'd', 'd', 'v', 'i', '.', 'b', 9, 0,
-  /* 579 */ 'b', 'm', 'z', 'i', '.', 'b', 9, 0,
-  /* 587 */ 'b', 'm', 'n', 'z', 'i', '.', 'b', 9, 0,
-  /* 596 */ 'f', 'i', 'l', 'l', '.', 'b', 9, 0,
-  /* 604 */ 's', 'l', 'l', '.', 'b', 9, 0,
-  /* 611 */ 's', 'r', 'l', '.', 'b', 9, 0,
-  /* 618 */ 'b', 'i', 'n', 's', 'l', '.', 'b', 9, 0,
-  /* 627 */ 'i', 'l', 'v', 'l', '.', 'b', 9, 0,
-  /* 635 */ 'c', 'e', 'q', '.', 'b', 9, 0,
-  /* 642 */ 's', 'r', 'a', 'r', '.', 'b', 9, 0,
-  /* 650 */ 'b', 'c', 'l', 'r', '.', 'b', 9, 0,
-  /* 658 */ 's', 'r', 'l', 'r', '.', 'b', 9, 0,
-  /* 666 */ 'b', 'i', 'n', 's', 'r', '.', 'b', 9, 0,
-  /* 675 */ 'i', 'l', 'v', 'r', '.', 'b', 9, 0,
-  /* 683 */ 'a', 's', 'u', 'b', '_', 's', '.', 'b', 9, 0,
-  /* 693 */ 'm', 'o', 'd', '_', 's', '.', 'b', 9, 0,
-  /* 702 */ 'c', 'l', 'e', '_', 's', '.', 'b', 9, 0,
-  /* 711 */ 'a', 'v', 'e', '_', 's', '.', 'b', 9, 0,
-  /* 720 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 730 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 740 */ 'c', 'l', 't', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 750 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 760 */ 'm', 'i', 'n', '_', 's', '.', 'b', 9, 0,
-  /* 769 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'b', 9, 0,
-  /* 779 */ 's', 'u', 'b', 's', '_', 's', '.', 'b', 9, 0,
-  /* 789 */ 'a', 'd', 'd', 's', '_', 's', '.', 'b', 9, 0,
-  /* 799 */ 's', 'a', 't', '_', 's', '.', 'b', 9, 0,
-  /* 808 */ 'c', 'l', 't', '_', 's', '.', 'b', 9, 0,
-  /* 817 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'b', 9, 0,
-  /* 829 */ 'd', 'i', 'v', '_', 's', '.', 'b', 9, 0,
-  /* 838 */ 'm', 'a', 'x', '_', 's', '.', 'b', 9, 0,
-  /* 847 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'b', 9, 0,
-  /* 857 */ 's', 'p', 'l', 'a', 't', '.', 'b', 9, 0,
-  /* 866 */ 'b', 's', 'e', 't', '.', 'b', 9, 0,
-  /* 874 */ 'p', 'c', 'n', 't', '.', 'b', 9, 0,
-  /* 882 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'b', 9, 0,
-  /* 892 */ 's', 't', '.', 'b', 9, 0,
-  /* 898 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'b', 9, 0,
-  /* 908 */ 'm', 'o', 'd', '_', 'u', '.', 'b', 9, 0,
-  /* 917 */ 'c', 'l', 'e', '_', 'u', '.', 'b', 9, 0,
-  /* 926 */ 'a', 'v', 'e', '_', 'u', '.', 'b', 9, 0,
-  /* 935 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 945 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 955 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 965 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 975 */ 'm', 'i', 'n', '_', 'u', '.', 'b', 9, 0,
-  /* 984 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'b', 9, 0,
-  /* 994 */ 's', 'u', 'b', 's', '_', 'u', '.', 'b', 9, 0,
-  /* 1004 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'b', 9, 0,
-  /* 1014 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'b', 9, 0,
-  /* 1026 */ 's', 'a', 't', '_', 'u', '.', 'b', 9, 0,
-  /* 1035 */ 'c', 'l', 't', '_', 'u', '.', 'b', 9, 0,
-  /* 1044 */ 'd', 'i', 'v', '_', 'u', '.', 'b', 9, 0,
-  /* 1053 */ 'm', 'a', 'x', '_', 'u', '.', 'b', 9, 0,
-  /* 1062 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'b', 9, 0,
-  /* 1072 */ 'm', 's', 'u', 'b', 'v', '.', 'b', 9, 0,
-  /* 1081 */ 'm', 'a', 'd', 'd', 'v', '.', 'b', 9, 0,
-  /* 1090 */ 'p', 'c', 'k', 'e', 'v', '.', 'b', 9, 0,
-  /* 1099 */ 'i', 'l', 'v', 'e', 'v', '.', 'b', 9, 0,
-  /* 1108 */ 'm', 'u', 'l', 'v', '.', 'b', 9, 0,
-  /* 1116 */ 'b', 'z', '.', 'b', 9, 0,
-  /* 1122 */ 'b', 'n', 'z', '.', 'b', 9, 0,
-  /* 1129 */ 's', 'e', 'b', 9, 0,
-  /* 1134 */ 'l', 'b', 9, 0,
-  /* 1138 */ 's', 'h', 'r', 'a', '.', 'q', 'b', 9, 0,
-  /* 1147 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
-  /* 1161 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
-  /* 1174 */ 'c', 'm', 'p', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
-  /* 1186 */ 's', 'u', 'b', 'u', 'h', '.', 'q', 'b', 9, 0,
-  /* 1196 */ 'a', 'd', 'd', 'u', 'h', '.', 'q', 'b', 9, 0,
-  /* 1206 */ 'p', 'i', 'c', 'k', '.', 'q', 'b', 9, 0,
-  /* 1215 */ 's', 'h', 'l', 'l', '.', 'q', 'b', 9, 0,
-  /* 1224 */ 'r', 'e', 'p', 'l', '.', 'q', 'b', 9, 0,
-  /* 1233 */ 's', 'h', 'r', 'l', '.', 'q', 'b', 9, 0,
-  /* 1242 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
-  /* 1256 */ 'c', 'm', 'p', 'g', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
-  /* 1269 */ 'c', 'm', 'p', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
-  /* 1281 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1292 */ 's', 'u', 'b', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1304 */ 'a', 'd', 'd', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1316 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1328 */ 'a', 'b', 's', 'q', '_', 's', '.', 'q', 'b', 9, 0,
-  /* 1339 */ 's', 'u', 'b', 'u', '_', 's', '.', 'q', 'b', 9, 0,
-  /* 1350 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'q', 'b', 9, 0,
-  /* 1361 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
-  /* 1375 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
-  /* 1388 */ 'c', 'm', 'p', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
-  /* 1400 */ 's', 'u', 'b', 'u', '.', 'q', 'b', 9, 0,
-  /* 1409 */ 'a', 'd', 'd', 'u', '.', 'q', 'b', 9, 0,
-  /* 1418 */ 's', 'h', 'r', 'a', 'v', '.', 'q', 'b', 9, 0,
-  /* 1428 */ 's', 'h', 'l', 'l', 'v', '.', 'q', 'b', 9, 0,
-  /* 1438 */ 'r', 'e', 'p', 'l', 'v', '.', 'q', 'b', 9, 0,
-  /* 1448 */ 's', 'h', 'r', 'l', 'v', '.', 'q', 'b', 9, 0,
-  /* 1458 */ 'r', 'a', 'd', 'd', 'u', '.', 'w', '.', 'q', 'b', 9, 0,
-  /* 1470 */ 's', 'b', 9, 0,
-  /* 1474 */ 'm', 'o', 'd', 's', 'u', 'b', 9, 0,
-  /* 1482 */ 'm', 's', 'u', 'b', 9, 0,
-  /* 1488 */ 'a', 'd', 'd', 's', 'c', 9, 0,
-  /* 1495 */ 'a', 'd', 'd', 'w', 'c', 9, 0,
-  /* 1502 */ 'f', 'l', 'o', 'g', '2', '.', 'd', 9, 0,
-  /* 1511 */ 'f', 'e', 'x', 'p', '2', '.', 'd', 9, 0,
-  /* 1520 */ 'a', 'd', 'd', '_', 'a', '.', 'd', 9, 0,
-  /* 1529 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'd', 9, 0,
-  /* 1539 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'd', 9, 0,
-  /* 1549 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'd', 9, 0,
-  /* 1559 */ 's', 'r', 'a', '.', 'd', 9, 0,
-  /* 1566 */ 'f', 's', 'u', 'b', '.', 'd', 9, 0,
-  /* 1574 */ 'f', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
-  /* 1583 */ 'n', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
-  /* 1592 */ 'n', 'l', 'o', 'c', '.', 'd', 9, 0,
-  /* 1600 */ 'n', 'l', 'z', 'c', '.', 'd', 9, 0,
-  /* 1608 */ 'f', 'a', 'd', 'd', '.', 'd', 9, 0,
-  /* 1616 */ 'f', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
-  /* 1625 */ 'n', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
-  /* 1634 */ 's', 'l', 'd', '.', 'd', 9, 0,
-  /* 1641 */ 'p', 'c', 'k', 'o', 'd', '.', 'd', 9, 0,
-  /* 1650 */ 'i', 'l', 'v', 'o', 'd', '.', 'd', 9, 0,
-  /* 1659 */ 'c', '.', 'n', 'g', 'e', '.', 'd', 9, 0,
-  /* 1668 */ 'c', '.', 'l', 'e', '.', 'd', 9, 0,
-  /* 1676 */ 'f', 'c', 'l', 'e', '.', 'd', 9, 0,
-  /* 1684 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 'd', 9, 0,
-  /* 1694 */ 'c', '.', 'o', 'l', 'e', '.', 'd', 9, 0,
-  /* 1703 */ 'f', 's', 'l', 'e', '.', 'd', 9, 0,
-  /* 1711 */ 'c', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
-  /* 1720 */ 'f', 'c', 'u', 'l', 'e', '.', 'd', 9, 0,
-  /* 1729 */ 'f', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
-  /* 1738 */ 'f', 'c', 'n', 'e', '.', 'd', 9, 0,
-  /* 1746 */ 'f', 's', 'n', 'e', '.', 'd', 9, 0,
-  /* 1754 */ 'f', 'c', 'u', 'n', 'e', '.', 'd', 9, 0,
-  /* 1763 */ 'f', 's', 'u', 'n', 'e', '.', 'd', 9, 0,
-  /* 1772 */ 'i', 'n', 's', 'v', 'e', '.', 'd', 9, 0,
-  /* 1781 */ 'c', '.', 'f', '.', 'd', 9, 0,
-  /* 1788 */ 'f', 'c', 'a', 'f', '.', 'd', 9, 0,
-  /* 1796 */ 'f', 's', 'a', 'f', '.', 'd', 9, 0,
-  /* 1804 */ 'v', 's', 'h', 'f', '.', 'd', 9, 0,
-  /* 1812 */ 'c', '.', 's', 'f', '.', 'd', 9, 0,
-  /* 1820 */ 'm', 'o', 'v', 'f', '.', 'd', 9, 0,
-  /* 1828 */ 'b', 'n', 'e', 'g', '.', 'd', 9, 0,
-  /* 1836 */ 's', 'r', 'a', 'i', '.', 'd', 9, 0,
-  /* 1844 */ 's', 'l', 'd', 'i', '.', 'd', 9, 0,
-  /* 1852 */ 'b', 'n', 'e', 'g', 'i', '.', 'd', 9, 0,
-  /* 1861 */ 's', 'l', 'l', 'i', '.', 'd', 9, 0,
-  /* 1869 */ 's', 'r', 'l', 'i', '.', 'd', 9, 0,
-  /* 1877 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'd', 9, 0,
-  /* 1887 */ 'c', 'e', 'q', 'i', '.', 'd', 9, 0,
-  /* 1895 */ 's', 'r', 'a', 'r', 'i', '.', 'd', 9, 0,
-  /* 1904 */ 'b', 'c', 'l', 'r', 'i', '.', 'd', 9, 0,
-  /* 1913 */ 's', 'r', 'l', 'r', 'i', '.', 'd', 9, 0,
-  /* 1922 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'd', 9, 0,
-  /* 1932 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'd', 9, 0,
-  /* 1942 */ 'b', 's', 'e', 't', 'i', '.', 'd', 9, 0,
-  /* 1951 */ 's', 'u', 'b', 'v', 'i', '.', 'd', 9, 0,
-  /* 1960 */ 'a', 'd', 'd', 'v', 'i', '.', 'd', 9, 0,
-  /* 1969 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 'd', 9, 0,
-  /* 1980 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 'd', 9, 0,
-  /* 1991 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 'd', 9, 0,
-  /* 2001 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 'd', 9, 0,
-  /* 2012 */ 'c', 'v', 't', '.', 'l', '.', 'd', 9, 0,
-  /* 2021 */ 'c', '.', 'n', 'g', 'l', '.', 'd', 9, 0,
-  /* 2030 */ 'f', 'i', 'l', 'l', '.', 'd', 9, 0,
-  /* 2038 */ 's', 'l', 'l', '.', 'd', 9, 0,
-  /* 2045 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'd', 9, 0,
-  /* 2055 */ 'f', 'f', 'q', 'l', '.', 'd', 9, 0,
-  /* 2063 */ 's', 'r', 'l', '.', 'd', 9, 0,
-  /* 2070 */ 'b', 'i', 'n', 's', 'l', '.', 'd', 9, 0,
-  /* 2079 */ 'f', 'm', 'u', 'l', '.', 'd', 9, 0,
-  /* 2087 */ 'i', 'l', 'v', 'l', '.', 'd', 9, 0,
-  /* 2095 */ 'f', 'm', 'i', 'n', '.', 'd', 9, 0,
-  /* 2103 */ 'c', '.', 'u', 'n', '.', 'd', 9, 0,
-  /* 2111 */ 'f', 'c', 'u', 'n', '.', 'd', 9, 0,
-  /* 2119 */ 'f', 's', 'u', 'n', '.', 'd', 9, 0,
-  /* 2127 */ 'm', 'o', 'v', 'n', '.', 'd', 9, 0,
-  /* 2135 */ 'f', 'r', 'c', 'p', '.', 'd', 9, 0,
-  /* 2143 */ 'c', '.', 'e', 'q', '.', 'd', 9, 0,
-  /* 2151 */ 'f', 'c', 'e', 'q', '.', 'd', 9, 0,
-  /* 2159 */ 'c', '.', 's', 'e', 'q', '.', 'd', 9, 0,
-  /* 2168 */ 'f', 's', 'e', 'q', '.', 'd', 9, 0,
-  /* 2176 */ 'c', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
-  /* 2185 */ 'f', 'c', 'u', 'e', 'q', '.', 'd', 9, 0,
-  /* 2194 */ 'f', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
-  /* 2203 */ 's', 'r', 'a', 'r', '.', 'd', 9, 0,
-  /* 2211 */ 'b', 'c', 'l', 'r', '.', 'd', 9, 0,
-  /* 2219 */ 's', 'r', 'l', 'r', '.', 'd', 9, 0,
-  /* 2227 */ 'f', 'c', 'o', 'r', '.', 'd', 9, 0,
-  /* 2235 */ 'f', 's', 'o', 'r', '.', 'd', 9, 0,
-  /* 2243 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'd', 9, 0,
-  /* 2253 */ 'f', 'f', 'q', 'r', '.', 'd', 9, 0,
-  /* 2261 */ 'b', 'i', 'n', 's', 'r', '.', 'd', 9, 0,
-  /* 2270 */ 'i', 'l', 'v', 'r', '.', 'd', 9, 0,
-  /* 2278 */ 'c', 'v', 't', '.', 's', '.', 'd', 9, 0,
-  /* 2287 */ 'a', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
-  /* 2297 */ 'h', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
-  /* 2307 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
-  /* 2318 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'd', 9, 0,
-  /* 2330 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
-  /* 2340 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
-  /* 2351 */ 'm', 'o', 'd', '_', 's', '.', 'd', 9, 0,
-  /* 2360 */ 'c', 'l', 'e', '_', 's', '.', 'd', 9, 0,
-  /* 2369 */ 'a', 'v', 'e', '_', 's', '.', 'd', 9, 0,
-  /* 2378 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2388 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2398 */ 'c', 'l', 't', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2408 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2418 */ 'm', 'i', 'n', '_', 's', '.', 'd', 9, 0,
-  /* 2427 */ 'd', 'o', 't', 'p', '_', 's', '.', 'd', 9, 0,
-  /* 2437 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'd', 9, 0,
-  /* 2447 */ 's', 'u', 'b', 's', '_', 's', '.', 'd', 9, 0,
-  /* 2457 */ 'a', 'd', 'd', 's', '_', 's', '.', 'd', 9, 0,
-  /* 2467 */ 's', 'a', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2476 */ 'c', 'l', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2485 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2496 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2507 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'd', 9, 0,
-  /* 2519 */ 'd', 'i', 'v', '_', 's', '.', 'd', 9, 0,
-  /* 2528 */ 'm', 'a', 'x', '_', 's', '.', 'd', 9, 0,
-  /* 2537 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'd', 9, 0,
-  /* 2547 */ 'a', 'b', 's', '.', 'd', 9, 0,
-  /* 2554 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'd', 9, 0,
-  /* 2564 */ 's', 'p', 'l', 'a', 't', '.', 'd', 9, 0,
-  /* 2573 */ 'b', 's', 'e', 't', '.', 'd', 9, 0,
-  /* 2581 */ 'c', '.', 'n', 'g', 't', '.', 'd', 9, 0,
-  /* 2590 */ 'c', '.', 'l', 't', '.', 'd', 9, 0,
-  /* 2598 */ 'f', 'c', 'l', 't', '.', 'd', 9, 0,
-  /* 2606 */ 'c', '.', 'o', 'l', 't', '.', 'd', 9, 0,
-  /* 2615 */ 'f', 's', 'l', 't', '.', 'd', 9, 0,
-  /* 2623 */ 'c', '.', 'u', 'l', 't', '.', 'd', 9, 0,
-  /* 2632 */ 'f', 'c', 'u', 'l', 't', '.', 'd', 9, 0,
-  /* 2641 */ 'f', 's', 'u', 'l', 't', '.', 'd', 9, 0,
-  /* 2650 */ 'p', 'c', 'n', 't', '.', 'd', 9, 0,
-  /* 2658 */ 'f', 'r', 'i', 'n', 't', '.', 'd', 9, 0,
-  /* 2667 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'd', 9, 0,
-  /* 2677 */ 'f', 's', 'q', 'r', 't', '.', 'd', 9, 0,
-  /* 2686 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'd', 9, 0,
-  /* 2696 */ 's', 't', '.', 'd', 9, 0,
-  /* 2702 */ 'm', 'o', 'v', 't', '.', 'd', 9, 0,
-  /* 2710 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
-  /* 2720 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
-  /* 2730 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
-  /* 2741 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'd', 9, 0,
-  /* 2753 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
-  /* 2763 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
-  /* 2774 */ 'm', 'o', 'd', '_', 'u', '.', 'd', 9, 0,
-  /* 2783 */ 'c', 'l', 'e', '_', 'u', '.', 'd', 9, 0,
-  /* 2792 */ 'a', 'v', 'e', '_', 'u', '.', 'd', 9, 0,
-  /* 2801 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2811 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2821 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2831 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2841 */ 'm', 'i', 'n', '_', 'u', '.', 'd', 9, 0,
-  /* 2850 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'd', 9, 0,
-  /* 2860 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'd', 9, 0,
-  /* 2870 */ 's', 'u', 'b', 's', '_', 'u', '.', 'd', 9, 0,
-  /* 2880 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'd', 9, 0,
-  /* 2890 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'd', 9, 0,
-  /* 2902 */ 's', 'a', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2911 */ 'c', 'l', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2920 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2931 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2942 */ 'd', 'i', 'v', '_', 'u', '.', 'd', 9, 0,
-  /* 2951 */ 'm', 'a', 'x', '_', 'u', '.', 'd', 9, 0,
-  /* 2960 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'd', 9, 0,
-  /* 2970 */ 'm', 's', 'u', 'b', 'v', '.', 'd', 9, 0,
-  /* 2979 */ 'm', 'a', 'd', 'd', 'v', '.', 'd', 9, 0,
-  /* 2988 */ 'p', 'c', 'k', 'e', 'v', '.', 'd', 9, 0,
-  /* 2997 */ 'i', 'l', 'v', 'e', 'v', '.', 'd', 9, 0,
-  /* 3006 */ 'f', 'd', 'i', 'v', '.', 'd', 9, 0,
-  /* 3014 */ 'm', 'u', 'l', 'v', '.', 'd', 9, 0,
-  /* 3022 */ 'm', 'o', 'v', '.', 'd', 9, 0,
-  /* 3029 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 'd', 9, 0,
-  /* 3040 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 'd', 9, 0,
-  /* 3051 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 'd', 9, 0,
-  /* 3061 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 'd', 9, 0,
-  /* 3072 */ 'c', 'v', 't', '.', 'w', '.', 'd', 9, 0,
-  /* 3081 */ 'f', 'm', 'a', 'x', '.', 'd', 9, 0,
-  /* 3089 */ 'b', 'z', '.', 'd', 9, 0,
-  /* 3095 */ 'b', 'n', 'z', '.', 'd', 9, 0,
-  /* 3102 */ 'm', 'o', 'v', 'z', '.', 'd', 9, 0,
-  /* 3110 */ 's', 'c', 'd', 9, 0,
-  /* 3115 */ 'd', 'a', 'd', 'd', 9, 0,
-  /* 3121 */ 'm', 'a', 'd', 'd', 9, 0,
-  /* 3127 */ 'd', 's', 'h', 'd', 9, 0,
-  /* 3133 */ 'l', 'l', 'd', 9, 0,
-  /* 3138 */ 'a', 'n', 'd', 9, 0,
-  /* 3143 */ 'p', 'r', 'e', 'p', 'e', 'n', 'd', 9, 0,
-  /* 3152 */ 'a', 'p', 'p', 'e', 'n', 'd', 9, 0,
-  /* 3160 */ 's', 'd', 9, 0,
-  /* 3164 */ 't', 'g', 'e', 9, 0,
-  /* 3169 */ 'b', 'n', 'e', 9, 0,
-  /* 3174 */ 't', 'n', 'e', 9, 0,
-  /* 3179 */ 'm', 'o', 'v', 'e', 9, 0,
-  /* 3185 */ 'b', 'c', '1', 'f', 9, 0,
-  /* 3191 */ 'm', 'o', 'v', 'f', 9, 0,
-  /* 3197 */ 'n', 'e', 'g', 9, 0,
-  /* 3202 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
-  /* 3211 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
-  /* 3220 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
-  /* 3230 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
-  /* 3239 */ 's', 'r', 'a', '.', 'h', 9, 0,
-  /* 3246 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
-  /* 3254 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
-  /* 3262 */ 's', 'l', 'd', '.', 'h', 9, 0,
-  /* 3269 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
-  /* 3278 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
-  /* 3287 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
-  /* 3296 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
-  /* 3304 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
-  /* 3312 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
-  /* 3320 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
-  /* 3328 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
-  /* 3337 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
-  /* 3345 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
-  /* 3353 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
-  /* 3363 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
-  /* 3371 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
-  /* 3380 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
-  /* 3389 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
-  /* 3398 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
-  /* 3408 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
-  /* 3418 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
-  /* 3427 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
-  /* 3436 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
-  /* 3445 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
-  /* 3453 */ 's', 'l', 'l', '.', 'h', 9, 0,
-  /* 3460 */ 's', 'r', 'l', '.', 'h', 9, 0,
-  /* 3467 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
-  /* 3476 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
-  /* 3484 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
-  /* 3493 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
-  /* 3503 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
-  /* 3513 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
-  /* 3522 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 3533 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 3544 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 3554 */ 'c', 'e', 'q', '.', 'h', 9, 0,
-  /* 3561 */ 'f', 't', 'q', '.', 'h', 9, 0,
-  /* 3568 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
-  /* 3576 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
-  /* 3584 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
-  /* 3592 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
-  /* 3601 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
-  /* 3609 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 3619 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 3629 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 3640 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 3650 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 3661 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 3670 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
-  /* 3679 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
-  /* 3688 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3698 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3708 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3718 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3728 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
-  /* 3737 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
-  /* 3747 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
-  /* 3757 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
-  /* 3767 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
-  /* 3777 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
-  /* 3787 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
-  /* 3796 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
-  /* 3805 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
-  /* 3817 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
-  /* 3826 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
-  /* 3837 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
-  /* 3846 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
-  /* 3856 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
-  /* 3865 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
-  /* 3873 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
-  /* 3881 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
-  /* 3891 */ 's', 't', '.', 'h', 9, 0,
-  /* 3897 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 3907 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 3917 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 3928 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 3938 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 3949 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 3958 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
-  /* 3967 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
-  /* 3976 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 3986 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 3996 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4006 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4016 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
-  /* 4025 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
-  /* 4035 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
-  /* 4045 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4055 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4065 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4077 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
-  /* 4086 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
-  /* 4095 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
-  /* 4104 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
-  /* 4113 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
-  /* 4123 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
-  /* 4132 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
-  /* 4141 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
-  /* 4150 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
-  /* 4159 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
-  /* 4167 */ 'b', 'z', '.', 'h', 9, 0,
-  /* 4173 */ 'b', 'n', 'z', '.', 'h', 9, 0,
-  /* 4180 */ 'd', 's', 'b', 'h', 9, 0,
-  /* 4186 */ 'w', 's', 'b', 'h', 9, 0,
-  /* 4192 */ 's', 'e', 'h', 9, 0,
-  /* 4197 */ 'l', 'h', 9, 0,
-  /* 4201 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
-  /* 4210 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4224 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4237 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4254 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
-  /* 4265 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
-  /* 4275 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
-  /* 4285 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
-  /* 4294 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
-  /* 4303 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
-  /* 4312 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
-  /* 4321 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
-  /* 4332 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
-  /* 4340 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
-  /* 4349 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
-  /* 4358 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
-  /* 4369 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4380 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4392 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4404 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4416 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4427 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4437 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4448 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4459 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4470 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4481 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4492 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4503 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4515 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
-  /* 4527 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
-  /* 4538 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
-  /* 4547 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
-  /* 4556 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
-  /* 4566 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 4576 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 4586 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 4596 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4606 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4621 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4636 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4648 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4661 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4676 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4689 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4703 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4717 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4727 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4738 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4749 */ 's', 'h', 9, 0,
-  /* 4753 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
-  /* 4760 */ 'a', 'n', 'd', 'i', 9, 0,
-  /* 4766 */ 't', 'g', 'e', 'i', 9, 0,
-  /* 4772 */ 't', 'n', 'e', 'i', 9, 0,
-  /* 4778 */ 'm', 'f', 'h', 'i', 9, 0,
-  /* 4784 */ 'm', 't', 'h', 'i', 9, 0,
-  /* 4790 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
-  /* 4804 */ 'c', 'm', 'p', 'i', 9, 0,
-  /* 4810 */ 't', 'e', 'q', 'i', 9, 0,
-  /* 4816 */ 'x', 'o', 'r', 'i', 9, 0,
-  /* 4822 */ 's', 'l', 't', 'i', 9, 0,
-  /* 4828 */ 't', 'l', 't', 'i', 9, 0,
-  /* 4834 */ 'l', 'u', 'i', 9, 0,
-  /* 4839 */ 'j', 9, 0,
-  /* 4842 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
-  /* 4849 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
-  /* 4858 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
-  /* 4867 */ 'j', 'a', 'l', 9, 0,
-  /* 4872 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
-  /* 4880 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
-  /* 4888 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4900 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4912 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4928 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4943 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4959 */ 'l', 'd', 'l', 9, 0,
-  /* 4964 */ 's', 'd', 'l', 9, 0,
-  /* 4969 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 4983 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 4997 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5010 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5025 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
-  /* 5034 */ 'd', 's', 'l', 'l', 9, 0,
-  /* 5040 */ 'd', 's', 'r', 'l', 9, 0,
-  /* 5046 */ 'm', 'u', 'l', 9, 0,
-  /* 5051 */ 'l', 'w', 'l', 9, 0,
-  /* 5056 */ 's', 'w', 'l', 9, 0,
-  /* 5061 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
-  /* 5069 */ 'm', 'o', 'v', 'n', 9, 0,
-  /* 5075 */ 'd', 'c', 'l', 'o', 9, 0,
-  /* 5081 */ 'm', 'f', 'l', 'o', 9, 0,
-  /* 5087 */ 's', 'h', 'i', 'l', 'o', 9, 0,
-  /* 5094 */ 'm', 't', 'l', 'o', 9, 0,
-  /* 5100 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
-  /* 5108 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
-  /* 5116 */ 'c', 'm', 'p', 9, 0,
-  /* 5121 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
-  /* 5137 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
-  /* 5154 */ 'r', 'd', 'd', 's', 'p', 9, 0,
-  /* 5161 */ 'w', 'r', 'd', 's', 'p', 9, 0,
-  /* 5168 */ 'e', 'x', 't', 'p', 9, 0,
-  /* 5174 */ 'b', 'e', 'q', 9, 0,
-  /* 5179 */ 't', 'e', 'q', 9, 0,
-  /* 5184 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5196 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5208 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5224 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5239 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5255 */ 'l', 'd', 'r', 9, 0,
-  /* 5260 */ 's', 'd', 'r', 9, 0,
-  /* 5265 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5279 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5293 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5306 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5321 */ 'j', 'r', 9, 0,
-  /* 5325 */ 'j', 'a', 'l', 'r', 9, 0,
-  /* 5331 */ 'n', 'o', 'r', 9, 0,
-  /* 5336 */ 'x', 'o', 'r', 9, 0,
-  /* 5341 */ 'd', 'r', 'o', 't', 'r', 9, 0,
-  /* 5348 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
-  /* 5355 */ 'l', 'w', 'r', 9, 0,
-  /* 5360 */ 's', 'w', 'r', 9, 0,
-  /* 5365 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
-  /* 5374 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
-  /* 5383 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
-  /* 5392 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
-  /* 5401 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
-  /* 5409 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
-  /* 5419 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
-  /* 5428 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
-  /* 5437 */ 'c', '.', 'f', '.', 's', 9, 0,
-  /* 5444 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
-  /* 5452 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
-  /* 5460 */ 'n', 'e', 'g', '.', 's', 9, 0,
-  /* 5467 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
-  /* 5478 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
-  /* 5489 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
-  /* 5499 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
-  /* 5510 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
-  /* 5519 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
-  /* 5528 */ 'm', 'u', 'l', '.', 's', 9, 0,
-  /* 5535 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
-  /* 5543 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
-  /* 5551 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
-  /* 5559 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
-  /* 5568 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
-  /* 5577 */ 'a', 'b', 's', '.', 's', 9, 0,
-  /* 5584 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
-  /* 5593 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
-  /* 5601 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
-  /* 5610 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
-  /* 5619 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
-  /* 5627 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
-  /* 5635 */ 'd', 'i', 'v', '.', 's', 9, 0,
-  /* 5642 */ 'm', 'o', 'v', '.', 's', 9, 0,
-  /* 5649 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
-  /* 5660 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
-  /* 5671 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
-  /* 5681 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
-  /* 5692 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
-  /* 5701 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
-  /* 5709 */ 'b', 'c', '1', 't', 9, 0,
-  /* 5715 */ 's', 'l', 't', 9, 0,
-  /* 5720 */ 't', 'l', 't', 9, 0,
-  /* 5725 */ 'd', 'm', 'u', 'l', 't', 9, 0,
-  /* 5732 */ 'n', 'o', 't', 9, 0,
-  /* 5737 */ 'm', 'o', 'v', 't', 9, 0,
-  /* 5743 */ 'l', 'b', 'u', 9, 0,
-  /* 5748 */ 'd', 's', 'u', 'b', 'u', 9, 0,
-  /* 5755 */ 'm', 's', 'u', 'b', 'u', 9, 0,
-  /* 5762 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
-  /* 5769 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
-  /* 5776 */ 't', 'g', 'e', 'u', 9, 0,
-  /* 5782 */ 'l', 'h', 'u', 9, 0,
-  /* 5787 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
-  /* 5795 */ 't', 'g', 'e', 'i', 'u', 9, 0,
-  /* 5802 */ 's', 'l', 't', 'i', 'u', 9, 0,
-  /* 5809 */ 't', 'l', 't', 'i', 'u', 9, 0,
-  /* 5816 */ 's', 'l', 't', 'u', 9, 0,
-  /* 5822 */ 't', 'l', 't', 'u', 9, 0,
-  /* 5828 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
-  /* 5836 */ 'l', 'w', 'u', 9, 0,
-  /* 5841 */ 'a', 'n', 'd', '.', 'v', 9, 0,
-  /* 5848 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
-  /* 5856 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
-  /* 5864 */ 'n', 'o', 'r', '.', 'v', 9, 0,
-  /* 5871 */ 'x', 'o', 'r', '.', 'v', 9, 0,
-  /* 5878 */ 'b', 'z', '.', 'v', 9, 0,
-  /* 5884 */ 'b', 'm', 'z', '.', 'v', 9, 0,
-  /* 5891 */ 'b', 'n', 'z', '.', 'v', 9, 0,
-  /* 5898 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
-  /* 5906 */ 'd', 's', 'r', 'a', 'v', 9, 0,
-  /* 5913 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
-  /* 5921 */ 'd', 's', 'l', 'l', 'v', 9, 0,
-  /* 5928 */ 'd', 's', 'r', 'l', 'v', 9, 0,
-  /* 5935 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
-  /* 5943 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
-  /* 5952 */ 'e', 'x', 't', 'p', 'v', 9, 0,
-  /* 5959 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
-  /* 5967 */ 'i', 'n', 's', 'v', 9, 0,
-  /* 5973 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
-  /* 5982 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
-  /* 5991 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
-  /* 6000 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
-  /* 6010 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
-  /* 6020 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
-  /* 6030 */ 's', 'r', 'a', '.', 'w', 9, 0,
-  /* 6037 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
-  /* 6045 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
-  /* 6054 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
-  /* 6062 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
-  /* 6070 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
-  /* 6079 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
-  /* 6087 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
-  /* 6096 */ 's', 'l', 'd', '.', 'w', 9, 0,
-  /* 6103 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
-  /* 6112 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
-  /* 6121 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
-  /* 6129 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
-  /* 6137 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
-  /* 6146 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
-  /* 6155 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
-  /* 6163 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
-  /* 6171 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
-  /* 6180 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
-  /* 6189 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
-  /* 6198 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
-  /* 6206 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
-  /* 6214 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
-  /* 6222 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
-  /* 6230 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6246 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6259 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6277 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6293 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
-  /* 6302 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
-  /* 6311 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
-  /* 6319 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
-  /* 6327 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
-  /* 6336 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
-  /* 6344 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
-  /* 6352 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
-  /* 6362 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
-  /* 6370 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
-  /* 6379 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
-  /* 6388 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
-  /* 6397 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
-  /* 6407 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
-  /* 6417 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
-  /* 6426 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
-  /* 6435 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
-  /* 6444 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
-  /* 6457 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
-  /* 6470 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
-  /* 6478 */ 's', 'l', 'l', '.', 'w', 9, 0,
-  /* 6485 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
-  /* 6495 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
-  /* 6503 */ 's', 'r', 'l', '.', 'w', 9, 0,
-  /* 6510 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
-  /* 6519 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
-  /* 6527 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
-  /* 6535 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
-  /* 6543 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
-  /* 6551 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
-  /* 6559 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
-  /* 6568 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
-  /* 6576 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
-  /* 6586 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
-  /* 6596 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
-  /* 6605 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 6616 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 6627 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 6637 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
-  /* 6645 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
-  /* 6653 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
-  /* 6662 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
-  /* 6671 */ 'f', 't', 'q', '.', 'w', 9, 0,
-  /* 6678 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
-  /* 6688 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
-  /* 6699 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
-  /* 6710 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
-  /* 6720 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
-  /* 6731 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
-  /* 6742 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
-  /* 6750 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
-  /* 6758 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
-  /* 6766 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
-  /* 6774 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
-  /* 6782 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
-  /* 6792 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
-  /* 6800 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
-  /* 6809 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
-  /* 6817 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
-  /* 6825 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
-  /* 6834 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 6844 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 6854 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 6865 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
-  /* 6877 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 6887 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 6898 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 6907 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
-  /* 6916 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
-  /* 6925 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6935 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6945 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6955 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6965 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
-  /* 6975 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
-  /* 6984 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
-  /* 6994 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7004 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7014 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7024 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7034 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
-  /* 7044 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
-  /* 7054 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
-  /* 7064 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7073 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7082 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7093 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7104 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
-  /* 7116 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
-  /* 7125 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
-  /* 7136 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
-  /* 7145 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
-  /* 7155 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 7166 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 7177 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 7189 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
-  /* 7199 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
-  /* 7208 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
-  /* 7216 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
-  /* 7224 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
-  /* 7232 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
-  /* 7241 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
-  /* 7250 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
-  /* 7258 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
-  /* 7267 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
-  /* 7277 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
-  /* 7286 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
-  /* 7296 */ 's', 't', '.', 'w', 9, 0,
-  /* 7302 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 7312 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 7322 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 7333 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
-  /* 7345 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 7355 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 7366 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 7375 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
-  /* 7384 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
-  /* 7393 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7403 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7413 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7423 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7433 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
-  /* 7442 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
-  /* 7452 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
-  /* 7462 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 7472 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 7482 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 7494 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7503 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7512 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7523 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7534 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
-  /* 7543 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
-  /* 7552 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
-  /* 7562 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
-  /* 7571 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
-  /* 7580 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
-  /* 7589 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
-  /* 7598 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
-  /* 7606 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
-  /* 7614 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
-  /* 7623 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
-  /* 7631 */ 'b', 'z', '.', 'w', 9, 0,
-  /* 7637 */ 'b', 'n', 'z', '.', 'w', 9, 0,
-  /* 7644 */ 'l', 'w', 9, 0,
-  /* 7648 */ 's', 'w', 9, 0,
-  /* 7652 */ 'l', 'h', 'x', 9, 0,
-  /* 7657 */ 'l', 'b', 'u', 'x', 9, 0,
-  /* 7663 */ 'l', 'w', 'x', 9, 0,
-  /* 7668 */ 'b', 'g', 'e', 'z', 9, 0,
-  /* 7674 */ 'b', 'l', 'e', 'z', 9, 0,
-  /* 7680 */ 'b', 'n', 'e', 'z', 9, 0,
-  /* 7686 */ 'b', 't', 'n', 'e', 'z', 9, 0,
-  /* 7693 */ 'd', 'c', 'l', 'z', 9, 0,
-  /* 7699 */ 'b', 'e', 'q', 'z', 9, 0,
-  /* 7705 */ 'b', 't', 'e', 'q', 'z', 9, 0,
-  /* 7712 */ 'b', 'g', 't', 'z', 9, 0,
-  /* 7718 */ 'b', 'l', 't', 'z', 9, 0,
-  /* 7724 */ 'm', 'o', 'v', 'z', 9, 0,
-  /* 7730 */ 's', 'e', 'b', 9, 32, 0,
-  /* 7736 */ 'j', 'r', 'c', 9, 32, 0,
-  /* 7742 */ 's', 'e', 'h', 9, 32, 0,
-  /* 7748 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
-  /* 7762 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
-  /* 7775 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
-  /* 7787 */ 's', 'y', 'n', 'c', 32, 0,
-  /* 7793 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
-  /* 7801 */ 'd', 'i', 'n', 's', 'm', 32, 0,
-  /* 7808 */ 'd', 'e', 'x', 't', 'm', 32, 0,
-  /* 7815 */ 'd', 'i', 'n', 's', 32, 0,
-  /* 7821 */ 'd', 'e', 'x', 't', 32, 0,
-  /* 7827 */ 'd', 'i', 'n', 's', 'u', 32, 0,
-  /* 7834 */ 'd', 'e', 'x', 't', 'u', 32, 0,
-  /* 7841 */ 'c', '.', 0,
-  /* 7844 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
-  /* 7852 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 7865 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 7872 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 7882 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 7897 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
-  /* 7906 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
-  /* 7914 */ 'f', 'o', 'o', 0,
-  /* 7918 */ 'd', 'e', 'r', 'e', 't', 0,
-  /* 7924 */ 'w', 'a', 'i', 't', 0,
+  /* 22 */ 'v', 'm', 'm', '0', 9, 0,
+  /* 28 */ 'm', 't', 'm', '0', 9, 0,
+  /* 34 */ 'm', 't', 'p', '0', 9, 0,
+  /* 40 */ 'l', 'd', 'c', '1', 9, 0,
+  /* 46 */ 's', 'd', 'c', '1', 9, 0,
+  /* 52 */ 'c', 'f', 'c', '1', 9, 0,
+  /* 58 */ 'd', 'm', 'f', 'c', '1', 9, 0,
+  /* 65 */ 'm', 'f', 'h', 'c', '1', 9, 0,
+  /* 72 */ 'm', 't', 'h', 'c', '1', 9, 0,
+  /* 79 */ 'c', 't', 'c', '1', 9, 0,
+  /* 85 */ 'd', 'm', 't', 'c', '1', 9, 0,
+  /* 92 */ 'l', 'w', 'c', '1', 9, 0,
+  /* 98 */ 's', 'w', 'c', '1', 9, 0,
+  /* 104 */ 'l', 'd', 'x', 'c', '1', 9, 0,
+  /* 111 */ 's', 'd', 'x', 'c', '1', 9, 0,
+  /* 118 */ 'l', 'u', 'x', 'c', '1', 9, 0,
+  /* 125 */ 's', 'u', 'x', 'c', '1', 9, 0,
+  /* 132 */ 'l', 'w', 'x', 'c', '1', 9, 0,
+  /* 139 */ 's', 'w', 'x', 'c', '1', 9, 0,
+  /* 146 */ 'm', 't', 'm', '1', 9, 0,
+  /* 152 */ 'm', 't', 'p', '1', 9, 0,
+  /* 158 */ 'd', 's', 'r', 'a', '3', '2', 9, 0,
+  /* 166 */ 'b', 'p', 'o', 's', 'g', 'e', '3', '2', 9, 0,
+  /* 176 */ 'd', 's', 'l', 'l', '3', '2', 9, 0,
+  /* 184 */ 'd', 's', 'r', 'l', '3', '2', 9, 0,
+  /* 192 */ 'd', 'r', 'o', 't', 'r', '3', '2', 9, 0,
+  /* 201 */ 'l', 'd', 'c', '2', 9, 0,
+  /* 207 */ 's', 'd', 'c', '2', 9, 0,
+  /* 213 */ 'd', 'm', 'f', 'c', '2', 9, 0,
+  /* 220 */ 'd', 'm', 't', 'c', '2', 9, 0,
+  /* 227 */ 'l', 'w', 'c', '2', 9, 0,
+  /* 233 */ 's', 'w', 'c', '2', 9, 0,
+  /* 239 */ 'm', 't', 'm', '2', 9, 0,
+  /* 245 */ 'm', 't', 'p', '2', 9, 0,
+  /* 251 */ 'l', 'd', 'c', '3', 9, 0,
+  /* 257 */ 's', 'd', 'c', '3', 9, 0,
+  /* 263 */ 'l', 'w', 'c', '3', 9, 0,
+  /* 269 */ 's', 'w', 'c', '3', 9, 0,
+  /* 275 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
+  /* 291 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
+  /* 308 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
+  /* 324 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
+  /* 341 */ 'd', 's', 'r', 'a', 9, 0,
+  /* 347 */ 'd', 'l', 's', 'a', 9, 0,
+  /* 353 */ 'c', 'f', 'c', 'm', 's', 'a', 9, 0,
+  /* 361 */ 'c', 't', 'c', 'm', 's', 'a', 9, 0,
+  /* 369 */ 'a', 'd', 'd', '_', 'a', '.', 'b', 9, 0,
+  /* 378 */ 'm', 'i', 'n', '_', 'a', '.', 'b', 9, 0,
+  /* 387 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'b', 9, 0,
+  /* 397 */ 'm', 'a', 'x', '_', 'a', '.', 'b', 9, 0,
+  /* 406 */ 's', 'r', 'a', '.', 'b', 9, 0,
+  /* 413 */ 'n', 'l', 'o', 'c', '.', 'b', 9, 0,
+  /* 421 */ 'n', 'l', 'z', 'c', '.', 'b', 9, 0,
+  /* 429 */ 's', 'l', 'd', '.', 'b', 9, 0,
+  /* 436 */ 'p', 'c', 'k', 'o', 'd', '.', 'b', 9, 0,
+  /* 445 */ 'i', 'l', 'v', 'o', 'd', '.', 'b', 9, 0,
+  /* 454 */ 'i', 'n', 's', 'v', 'e', '.', 'b', 9, 0,
+  /* 463 */ 'v', 's', 'h', 'f', '.', 'b', 9, 0,
+  /* 471 */ 'b', 'n', 'e', 'g', '.', 'b', 9, 0,
+  /* 479 */ 's', 'r', 'a', 'i', '.', 'b', 9, 0,
+  /* 487 */ 's', 'l', 'd', 'i', '.', 'b', 9, 0,
+  /* 495 */ 'a', 'n', 'd', 'i', '.', 'b', 9, 0,
+  /* 503 */ 'b', 'n', 'e', 'g', 'i', '.', 'b', 9, 0,
+  /* 512 */ 'b', 's', 'e', 'l', 'i', '.', 'b', 9, 0,
+  /* 521 */ 's', 'l', 'l', 'i', '.', 'b', 9, 0,
+  /* 529 */ 's', 'r', 'l', 'i', '.', 'b', 9, 0,
+  /* 537 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'b', 9, 0,
+  /* 547 */ 'c', 'e', 'q', 'i', '.', 'b', 9, 0,
+  /* 555 */ 's', 'r', 'a', 'r', 'i', '.', 'b', 9, 0,
+  /* 564 */ 'b', 'c', 'l', 'r', 'i', '.', 'b', 9, 0,
+  /* 573 */ 's', 'r', 'l', 'r', 'i', '.', 'b', 9, 0,
+  /* 582 */ 'n', 'o', 'r', 'i', '.', 'b', 9, 0,
+  /* 590 */ 'x', 'o', 'r', 'i', '.', 'b', 9, 0,
+  /* 598 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'b', 9, 0,
+  /* 608 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'b', 9, 0,
+  /* 618 */ 'b', 's', 'e', 't', 'i', '.', 'b', 9, 0,
+  /* 627 */ 's', 'u', 'b', 'v', 'i', '.', 'b', 9, 0,
+  /* 636 */ 'a', 'd', 'd', 'v', 'i', '.', 'b', 9, 0,
+  /* 645 */ 'b', 'm', 'z', 'i', '.', 'b', 9, 0,
+  /* 653 */ 'b', 'm', 'n', 'z', 'i', '.', 'b', 9, 0,
+  /* 662 */ 'f', 'i', 'l', 'l', '.', 'b', 9, 0,
+  /* 670 */ 's', 'l', 'l', '.', 'b', 9, 0,
+  /* 677 */ 's', 'r', 'l', '.', 'b', 9, 0,
+  /* 684 */ 'b', 'i', 'n', 's', 'l', '.', 'b', 9, 0,
+  /* 693 */ 'i', 'l', 'v', 'l', '.', 'b', 9, 0,
+  /* 701 */ 'c', 'e', 'q', '.', 'b', 9, 0,
+  /* 708 */ 's', 'r', 'a', 'r', '.', 'b', 9, 0,
+  /* 716 */ 'b', 'c', 'l', 'r', '.', 'b', 9, 0,
+  /* 724 */ 's', 'r', 'l', 'r', '.', 'b', 9, 0,
+  /* 732 */ 'b', 'i', 'n', 's', 'r', '.', 'b', 9, 0,
+  /* 741 */ 'i', 'l', 'v', 'r', '.', 'b', 9, 0,
+  /* 749 */ 'a', 's', 'u', 'b', '_', 's', '.', 'b', 9, 0,
+  /* 759 */ 'm', 'o', 'd', '_', 's', '.', 'b', 9, 0,
+  /* 768 */ 'c', 'l', 'e', '_', 's', '.', 'b', 9, 0,
+  /* 777 */ 'a', 'v', 'e', '_', 's', '.', 'b', 9, 0,
+  /* 786 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 796 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 806 */ 'c', 'l', 't', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 816 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 826 */ 'm', 'i', 'n', '_', 's', '.', 'b', 9, 0,
+  /* 835 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'b', 9, 0,
+  /* 845 */ 's', 'u', 'b', 's', '_', 's', '.', 'b', 9, 0,
+  /* 855 */ 'a', 'd', 'd', 's', '_', 's', '.', 'b', 9, 0,
+  /* 865 */ 's', 'a', 't', '_', 's', '.', 'b', 9, 0,
+  /* 874 */ 'c', 'l', 't', '_', 's', '.', 'b', 9, 0,
+  /* 883 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'b', 9, 0,
+  /* 895 */ 'd', 'i', 'v', '_', 's', '.', 'b', 9, 0,
+  /* 904 */ 'm', 'a', 'x', '_', 's', '.', 'b', 9, 0,
+  /* 913 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'b', 9, 0,
+  /* 923 */ 's', 'p', 'l', 'a', 't', '.', 'b', 9, 0,
+  /* 932 */ 'b', 's', 'e', 't', '.', 'b', 9, 0,
+  /* 940 */ 'p', 'c', 'n', 't', '.', 'b', 9, 0,
+  /* 948 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'b', 9, 0,
+  /* 958 */ 's', 't', '.', 'b', 9, 0,
+  /* 964 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'b', 9, 0,
+  /* 974 */ 'm', 'o', 'd', '_', 'u', '.', 'b', 9, 0,
+  /* 983 */ 'c', 'l', 'e', '_', 'u', '.', 'b', 9, 0,
+  /* 992 */ 'a', 'v', 'e', '_', 'u', '.', 'b', 9, 0,
+  /* 1001 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1011 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1021 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1031 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1041 */ 'm', 'i', 'n', '_', 'u', '.', 'b', 9, 0,
+  /* 1050 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'b', 9, 0,
+  /* 1060 */ 's', 'u', 'b', 's', '_', 'u', '.', 'b', 9, 0,
+  /* 1070 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'b', 9, 0,
+  /* 1080 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'b', 9, 0,
+  /* 1092 */ 's', 'a', 't', '_', 'u', '.', 'b', 9, 0,
+  /* 1101 */ 'c', 'l', 't', '_', 'u', '.', 'b', 9, 0,
+  /* 1110 */ 'd', 'i', 'v', '_', 'u', '.', 'b', 9, 0,
+  /* 1119 */ 'm', 'a', 'x', '_', 'u', '.', 'b', 9, 0,
+  /* 1128 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'b', 9, 0,
+  /* 1138 */ 'm', 's', 'u', 'b', 'v', '.', 'b', 9, 0,
+  /* 1147 */ 'm', 'a', 'd', 'd', 'v', '.', 'b', 9, 0,
+  /* 1156 */ 'p', 'c', 'k', 'e', 'v', '.', 'b', 9, 0,
+  /* 1165 */ 'i', 'l', 'v', 'e', 'v', '.', 'b', 9, 0,
+  /* 1174 */ 'm', 'u', 'l', 'v', '.', 'b', 9, 0,
+  /* 1182 */ 'b', 'z', '.', 'b', 9, 0,
+  /* 1188 */ 'b', 'n', 'z', '.', 'b', 9, 0,
+  /* 1195 */ 's', 'e', 'b', 9, 0,
+  /* 1200 */ 'j', 'r', '.', 'h', 'b', 9, 0,
+  /* 1207 */ 'j', 'a', 'l', 'r', '.', 'h', 'b', 9, 0,
+  /* 1216 */ 'l', 'b', 9, 0,
+  /* 1220 */ 's', 'h', 'r', 'a', '.', 'q', 'b', 9, 0,
+  /* 1229 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
+  /* 1243 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
+  /* 1256 */ 'c', 'm', 'p', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
+  /* 1268 */ 's', 'u', 'b', 'u', 'h', '.', 'q', 'b', 9, 0,
+  /* 1278 */ 'a', 'd', 'd', 'u', 'h', '.', 'q', 'b', 9, 0,
+  /* 1288 */ 'p', 'i', 'c', 'k', '.', 'q', 'b', 9, 0,
+  /* 1297 */ 's', 'h', 'l', 'l', '.', 'q', 'b', 9, 0,
+  /* 1306 */ 'r', 'e', 'p', 'l', '.', 'q', 'b', 9, 0,
+  /* 1315 */ 's', 'h', 'r', 'l', '.', 'q', 'b', 9, 0,
+  /* 1324 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
+  /* 1338 */ 'c', 'm', 'p', 'g', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
+  /* 1351 */ 'c', 'm', 'p', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
+  /* 1363 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1374 */ 's', 'u', 'b', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1386 */ 'a', 'd', 'd', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1398 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1410 */ 'a', 'b', 's', 'q', '_', 's', '.', 'q', 'b', 9, 0,
+  /* 1421 */ 's', 'u', 'b', 'u', '_', 's', '.', 'q', 'b', 9, 0,
+  /* 1432 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'q', 'b', 9, 0,
+  /* 1443 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
+  /* 1457 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
+  /* 1470 */ 'c', 'm', 'p', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
+  /* 1482 */ 's', 'u', 'b', 'u', '.', 'q', 'b', 9, 0,
+  /* 1491 */ 'a', 'd', 'd', 'u', '.', 'q', 'b', 9, 0,
+  /* 1500 */ 's', 'h', 'r', 'a', 'v', '.', 'q', 'b', 9, 0,
+  /* 1510 */ 's', 'h', 'l', 'l', 'v', '.', 'q', 'b', 9, 0,
+  /* 1520 */ 'r', 'e', 'p', 'l', 'v', '.', 'q', 'b', 9, 0,
+  /* 1530 */ 's', 'h', 'r', 'l', 'v', '.', 'q', 'b', 9, 0,
+  /* 1540 */ 'r', 'a', 'd', 'd', 'u', '.', 'w', '.', 'q', 'b', 9, 0,
+  /* 1552 */ 's', 'b', 9, 0,
+  /* 1556 */ 'm', 'o', 'd', 's', 'u', 'b', 9, 0,
+  /* 1564 */ 'm', 's', 'u', 'b', 9, 0,
+  /* 1570 */ 'b', 'c', 9, 0,
+  /* 1574 */ 'b', 'g', 'e', 'c', 9, 0,
+  /* 1580 */ 'b', 'n', 'e', 'c', 9, 0,
+  /* 1586 */ 'j', 'i', 'c', 9, 0,
+  /* 1591 */ 'b', 'a', 'l', 'c', 9, 0,
+  /* 1597 */ 'j', 'i', 'a', 'l', 'c', 9, 0,
+  /* 1604 */ 'b', 'g', 'e', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1613 */ 'b', 'l', 'e', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1622 */ 'b', 'n', 'e', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1631 */ 'b', 'e', 'q', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1640 */ 'b', 'g', 't', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1649 */ 'b', 'l', 't', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1658 */ 'l', 'd', 'p', 'c', 9, 0,
+  /* 1664 */ 'a', 'u', 'i', 'p', 'c', 9, 0,
+  /* 1671 */ 'a', 'l', 'u', 'i', 'p', 'c', 9, 0,
+  /* 1679 */ 'a', 'd', 'd', 'i', 'u', 'p', 'c', 9, 0,
+  /* 1688 */ 'l', 'w', 'u', 'p', 'c', 9, 0,
+  /* 1695 */ 'l', 'w', 'p', 'c', 9, 0,
+  /* 1701 */ 'b', 'e', 'q', 'c', 9, 0,
+  /* 1707 */ 'a', 'd', 'd', 's', 'c', 9, 0,
+  /* 1714 */ 'b', 'l', 't', 'c', 9, 0,
+  /* 1720 */ 'b', 'g', 'e', 'u', 'c', 9, 0,
+  /* 1727 */ 'b', 'l', 't', 'u', 'c', 9, 0,
+  /* 1734 */ 'b', 'n', 'v', 'c', 9, 0,
+  /* 1740 */ 'b', 'o', 'v', 'c', 9, 0,
+  /* 1746 */ 'a', 'd', 'd', 'w', 'c', 9, 0,
+  /* 1753 */ 'b', 'g', 'e', 'z', 'c', 9, 0,
+  /* 1760 */ 'b', 'l', 'e', 'z', 'c', 9, 0,
+  /* 1767 */ 'b', 'n', 'e', 'z', 'c', 9, 0,
+  /* 1774 */ 'b', 'e', 'q', 'z', 'c', 9, 0,
+  /* 1781 */ 'b', 'g', 't', 'z', 'c', 9, 0,
+  /* 1788 */ 'b', 'l', 't', 'z', 'c', 9, 0,
+  /* 1795 */ 'f', 'l', 'o', 'g', '2', '.', 'd', 9, 0,
+  /* 1804 */ 'f', 'e', 'x', 'p', '2', '.', 'd', 9, 0,
+  /* 1813 */ 'a', 'd', 'd', '_', 'a', '.', 'd', 9, 0,
+  /* 1822 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'd', 9, 0,
+  /* 1832 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'd', 9, 0,
+  /* 1842 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'd', 9, 0,
+  /* 1852 */ 'm', 'i', 'n', 'a', '.', 'd', 9, 0,
+  /* 1860 */ 's', 'r', 'a', '.', 'd', 9, 0,
+  /* 1867 */ 'm', 'a', 'x', 'a', '.', 'd', 9, 0,
+  /* 1875 */ 'f', 's', 'u', 'b', '.', 'd', 9, 0,
+  /* 1883 */ 'f', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
+  /* 1892 */ 'n', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
+  /* 1901 */ 'n', 'l', 'o', 'c', '.', 'd', 9, 0,
+  /* 1909 */ 'n', 'l', 'z', 'c', '.', 'd', 9, 0,
+  /* 1917 */ 'f', 'a', 'd', 'd', '.', 'd', 9, 0,
+  /* 1925 */ 'f', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
+  /* 1934 */ 'n', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
+  /* 1943 */ 's', 'l', 'd', '.', 'd', 9, 0,
+  /* 1950 */ 'p', 'c', 'k', 'o', 'd', '.', 'd', 9, 0,
+  /* 1959 */ 'i', 'l', 'v', 'o', 'd', '.', 'd', 9, 0,
+  /* 1968 */ 'c', '.', 'n', 'g', 'e', '.', 'd', 9, 0,
+  /* 1977 */ 'c', '.', 'l', 'e', '.', 'd', 9, 0,
+  /* 1985 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'd', 9, 0,
+  /* 1995 */ 'f', 'c', 'l', 'e', '.', 'd', 9, 0,
+  /* 2003 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 'd', 9, 0,
+  /* 2013 */ 'c', '.', 'o', 'l', 'e', '.', 'd', 9, 0,
+  /* 2022 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 'd', 9, 0,
+  /* 2033 */ 'f', 's', 'l', 'e', '.', 'd', 9, 0,
+  /* 2041 */ 'c', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2050 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2061 */ 'f', 'c', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2070 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2082 */ 'f', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2091 */ 'f', 'c', 'n', 'e', '.', 'd', 9, 0,
+  /* 2099 */ 'f', 's', 'n', 'e', '.', 'd', 9, 0,
+  /* 2107 */ 'f', 'c', 'u', 'n', 'e', '.', 'd', 9, 0,
+  /* 2116 */ 'f', 's', 'u', 'n', 'e', '.', 'd', 9, 0,
+  /* 2125 */ 'i', 'n', 's', 'v', 'e', '.', 'd', 9, 0,
+  /* 2134 */ 'c', '.', 'f', '.', 'd', 9, 0,
+  /* 2141 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 'd', 9, 0,
+  /* 2151 */ 'f', 'c', 'a', 'f', '.', 'd', 9, 0,
+  /* 2159 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 'd', 9, 0,
+  /* 2170 */ 'f', 's', 'a', 'f', '.', 'd', 9, 0,
+  /* 2178 */ 'm', 's', 'u', 'b', 'f', '.', 'd', 9, 0,
+  /* 2187 */ 'm', 'a', 'd', 'd', 'f', '.', 'd', 9, 0,
+  /* 2196 */ 'v', 's', 'h', 'f', '.', 'd', 9, 0,
+  /* 2204 */ 'c', '.', 's', 'f', '.', 'd', 9, 0,
+  /* 2212 */ 'm', 'o', 'v', 'f', '.', 'd', 9, 0,
+  /* 2220 */ 'b', 'n', 'e', 'g', '.', 'd', 9, 0,
+  /* 2228 */ 's', 'r', 'a', 'i', '.', 'd', 9, 0,
+  /* 2236 */ 's', 'l', 'd', 'i', '.', 'd', 9, 0,
+  /* 2244 */ 'b', 'n', 'e', 'g', 'i', '.', 'd', 9, 0,
+  /* 2253 */ 's', 'l', 'l', 'i', '.', 'd', 9, 0,
+  /* 2261 */ 's', 'r', 'l', 'i', '.', 'd', 9, 0,
+  /* 2269 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'd', 9, 0,
+  /* 2279 */ 'c', 'e', 'q', 'i', '.', 'd', 9, 0,
+  /* 2287 */ 's', 'r', 'a', 'r', 'i', '.', 'd', 9, 0,
+  /* 2296 */ 'b', 'c', 'l', 'r', 'i', '.', 'd', 9, 0,
+  /* 2305 */ 's', 'r', 'l', 'r', 'i', '.', 'd', 9, 0,
+  /* 2314 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'd', 9, 0,
+  /* 2324 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'd', 9, 0,
+  /* 2334 */ 'b', 's', 'e', 't', 'i', '.', 'd', 9, 0,
+  /* 2343 */ 's', 'u', 'b', 'v', 'i', '.', 'd', 9, 0,
+  /* 2352 */ 'a', 'd', 'd', 'v', 'i', '.', 'd', 9, 0,
+  /* 2361 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 'd', 9, 0,
+  /* 2372 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 'd', 9, 0,
+  /* 2383 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 'd', 9, 0,
+  /* 2393 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 'd', 9, 0,
+  /* 2404 */ 'c', 'v', 't', '.', 'l', '.', 'd', 9, 0,
+  /* 2413 */ 's', 'e', 'l', '.', 'd', 9, 0,
+  /* 2420 */ 'c', '.', 'n', 'g', 'l', '.', 'd', 9, 0,
+  /* 2429 */ 'f', 'i', 'l', 'l', '.', 'd', 9, 0,
+  /* 2437 */ 's', 'l', 'l', '.', 'd', 9, 0,
+  /* 2444 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'd', 9, 0,
+  /* 2454 */ 'f', 'f', 'q', 'l', '.', 'd', 9, 0,
+  /* 2462 */ 's', 'r', 'l', '.', 'd', 9, 0,
+  /* 2469 */ 'b', 'i', 'n', 's', 'l', '.', 'd', 9, 0,
+  /* 2478 */ 'f', 'm', 'u', 'l', '.', 'd', 9, 0,
+  /* 2486 */ 'i', 'l', 'v', 'l', '.', 'd', 9, 0,
+  /* 2494 */ 'f', 'm', 'i', 'n', '.', 'd', 9, 0,
+  /* 2502 */ 'c', '.', 'u', 'n', '.', 'd', 9, 0,
+  /* 2510 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 'd', 9, 0,
+  /* 2520 */ 'f', 'c', 'u', 'n', '.', 'd', 9, 0,
+  /* 2528 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 'd', 9, 0,
+  /* 2539 */ 'f', 's', 'u', 'n', '.', 'd', 9, 0,
+  /* 2547 */ 'm', 'o', 'v', 'n', '.', 'd', 9, 0,
+  /* 2555 */ 'f', 'r', 'c', 'p', '.', 'd', 9, 0,
+  /* 2563 */ 'c', '.', 'e', 'q', '.', 'd', 9, 0,
+  /* 2571 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'd', 9, 0,
+  /* 2581 */ 'f', 'c', 'e', 'q', '.', 'd', 9, 0,
+  /* 2589 */ 'c', '.', 's', 'e', 'q', '.', 'd', 9, 0,
+  /* 2598 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 'd', 9, 0,
+  /* 2609 */ 'f', 's', 'e', 'q', '.', 'd', 9, 0,
+  /* 2617 */ 'c', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2626 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2637 */ 'f', 'c', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2646 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2658 */ 'f', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2667 */ 's', 'r', 'a', 'r', '.', 'd', 9, 0,
+  /* 2675 */ 'b', 'c', 'l', 'r', '.', 'd', 9, 0,
+  /* 2683 */ 's', 'r', 'l', 'r', '.', 'd', 9, 0,
+  /* 2691 */ 'f', 'c', 'o', 'r', '.', 'd', 9, 0,
+  /* 2699 */ 'f', 's', 'o', 'r', '.', 'd', 9, 0,
+  /* 2707 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'd', 9, 0,
+  /* 2717 */ 'f', 'f', 'q', 'r', '.', 'd', 9, 0,
+  /* 2725 */ 'b', 'i', 'n', 's', 'r', '.', 'd', 9, 0,
+  /* 2734 */ 'i', 'l', 'v', 'r', '.', 'd', 9, 0,
+  /* 2742 */ 'c', 'v', 't', '.', 's', '.', 'd', 9, 0,
+  /* 2751 */ 'a', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
+  /* 2761 */ 'h', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
+  /* 2771 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
+  /* 2782 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'd', 9, 0,
+  /* 2794 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
+  /* 2804 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
+  /* 2815 */ 'm', 'o', 'd', '_', 's', '.', 'd', 9, 0,
+  /* 2824 */ 'c', 'l', 'e', '_', 's', '.', 'd', 9, 0,
+  /* 2833 */ 'a', 'v', 'e', '_', 's', '.', 'd', 9, 0,
+  /* 2842 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2852 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2862 */ 'c', 'l', 't', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2872 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2882 */ 'm', 'i', 'n', '_', 's', '.', 'd', 9, 0,
+  /* 2891 */ 'd', 'o', 't', 'p', '_', 's', '.', 'd', 9, 0,
+  /* 2901 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'd', 9, 0,
+  /* 2911 */ 's', 'u', 'b', 's', '_', 's', '.', 'd', 9, 0,
+  /* 2921 */ 'a', 'd', 'd', 's', '_', 's', '.', 'd', 9, 0,
+  /* 2931 */ 's', 'a', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2940 */ 'c', 'l', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2949 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2960 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2971 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'd', 9, 0,
+  /* 2983 */ 'd', 'i', 'v', '_', 's', '.', 'd', 9, 0,
+  /* 2992 */ 'm', 'a', 'x', '_', 's', '.', 'd', 9, 0,
+  /* 3001 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'd', 9, 0,
+  /* 3011 */ 'a', 'b', 's', '.', 'd', 9, 0,
+  /* 3018 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'd', 9, 0,
+  /* 3028 */ 's', 'p', 'l', 'a', 't', '.', 'd', 9, 0,
+  /* 3037 */ 'b', 's', 'e', 't', '.', 'd', 9, 0,
+  /* 3045 */ 'c', '.', 'n', 'g', 't', '.', 'd', 9, 0,
+  /* 3054 */ 'c', '.', 'l', 't', '.', 'd', 9, 0,
+  /* 3062 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'd', 9, 0,
+  /* 3072 */ 'f', 'c', 'l', 't', '.', 'd', 9, 0,
+  /* 3080 */ 'c', '.', 'o', 'l', 't', '.', 'd', 9, 0,
+  /* 3089 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 'd', 9, 0,
+  /* 3100 */ 'f', 's', 'l', 't', '.', 'd', 9, 0,
+  /* 3108 */ 'c', '.', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3117 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3128 */ 'f', 'c', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3137 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3149 */ 'f', 's', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3158 */ 'p', 'c', 'n', 't', '.', 'd', 9, 0,
+  /* 3166 */ 'f', 'r', 'i', 'n', 't', '.', 'd', 9, 0,
+  /* 3175 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'd', 9, 0,
+  /* 3185 */ 'f', 's', 'q', 'r', 't', '.', 'd', 9, 0,
+  /* 3194 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'd', 9, 0,
+  /* 3204 */ 's', 't', '.', 'd', 9, 0,
+  /* 3210 */ 'm', 'o', 'v', 't', '.', 'd', 9, 0,
+  /* 3218 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
+  /* 3228 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
+  /* 3238 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
+  /* 3249 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'd', 9, 0,
+  /* 3261 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
+  /* 3271 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
+  /* 3282 */ 'm', 'o', 'd', '_', 'u', '.', 'd', 9, 0,
+  /* 3291 */ 'c', 'l', 'e', '_', 'u', '.', 'd', 9, 0,
+  /* 3300 */ 'a', 'v', 'e', '_', 'u', '.', 'd', 9, 0,
+  /* 3309 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3319 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3329 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3339 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3349 */ 'm', 'i', 'n', '_', 'u', '.', 'd', 9, 0,
+  /* 3358 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'd', 9, 0,
+  /* 3368 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'd', 9, 0,
+  /* 3378 */ 's', 'u', 'b', 's', '_', 'u', '.', 'd', 9, 0,
+  /* 3388 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'd', 9, 0,
+  /* 3398 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'd', 9, 0,
+  /* 3410 */ 's', 'a', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3419 */ 'c', 'l', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3428 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3439 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3450 */ 'd', 'i', 'v', '_', 'u', '.', 'd', 9, 0,
+  /* 3459 */ 'm', 'a', 'x', '_', 'u', '.', 'd', 9, 0,
+  /* 3468 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'd', 9, 0,
+  /* 3478 */ 'm', 's', 'u', 'b', 'v', '.', 'd', 9, 0,
+  /* 3487 */ 'm', 'a', 'd', 'd', 'v', '.', 'd', 9, 0,
+  /* 3496 */ 'p', 'c', 'k', 'e', 'v', '.', 'd', 9, 0,
+  /* 3505 */ 'i', 'l', 'v', 'e', 'v', '.', 'd', 9, 0,
+  /* 3514 */ 'f', 'd', 'i', 'v', '.', 'd', 9, 0,
+  /* 3522 */ 'm', 'u', 'l', 'v', '.', 'd', 9, 0,
+  /* 3530 */ 'm', 'o', 'v', '.', 'd', 9, 0,
+  /* 3537 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 'd', 9, 0,
+  /* 3548 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 'd', 9, 0,
+  /* 3559 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 'd', 9, 0,
+  /* 3569 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 'd', 9, 0,
+  /* 3580 */ 'c', 'v', 't', '.', 'w', '.', 'd', 9, 0,
+  /* 3589 */ 'f', 'm', 'a', 'x', '.', 'd', 9, 0,
+  /* 3597 */ 'b', 'z', '.', 'd', 9, 0,
+  /* 3603 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 'd', 9, 0,
+  /* 3613 */ 'b', 'n', 'z', '.', 'd', 9, 0,
+  /* 3620 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 'd', 9, 0,
+  /* 3630 */ 'm', 'o', 'v', 'z', '.', 'd', 9, 0,
+  /* 3638 */ 's', 'c', 'd', 9, 0,
+  /* 3643 */ 'd', 'a', 'd', 'd', 9, 0,
+  /* 3649 */ 'm', 'a', 'd', 'd', 9, 0,
+  /* 3655 */ 'd', 's', 'h', 'd', 9, 0,
+  /* 3661 */ 'l', 'l', 'd', 9, 0,
+  /* 3666 */ 'a', 'n', 'd', 9, 0,
+  /* 3671 */ 'p', 'r', 'e', 'p', 'e', 'n', 'd', 9, 0,
+  /* 3680 */ 'a', 'p', 'p', 'e', 'n', 'd', 9, 0,
+  /* 3688 */ 'd', 'm', 'o', 'd', 9, 0,
+  /* 3694 */ 's', 'd', 9, 0,
+  /* 3698 */ 't', 'g', 'e', 9, 0,
+  /* 3703 */ 'c', 'a', 'c', 'h', 'e', 9, 0,
+  /* 3710 */ 'b', 'n', 'e', 9, 0,
+  /* 3715 */ 's', 'n', 'e', 9, 0,
+  /* 3720 */ 't', 'n', 'e', 9, 0,
+  /* 3725 */ 'm', 'o', 'v', 'e', 9, 0,
+  /* 3731 */ 'b', 'c', '1', 'f', 9, 0,
+  /* 3737 */ 'p', 'r', 'e', 'f', 9, 0,
+  /* 3743 */ 'm', 'o', 'v', 'f', 9, 0,
+  /* 3749 */ 'n', 'e', 'g', 9, 0,
+  /* 3754 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
+  /* 3763 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
+  /* 3772 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
+  /* 3782 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
+  /* 3791 */ 's', 'r', 'a', '.', 'h', 9, 0,
+  /* 3798 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
+  /* 3806 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
+  /* 3814 */ 's', 'l', 'd', '.', 'h', 9, 0,
+  /* 3821 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
+  /* 3830 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
+  /* 3839 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
+  /* 3848 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
+  /* 3856 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
+  /* 3864 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
+  /* 3872 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
+  /* 3880 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
+  /* 3889 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
+  /* 3897 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
+  /* 3905 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
+  /* 3915 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
+  /* 3923 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
+  /* 3932 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
+  /* 3941 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
+  /* 3950 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
+  /* 3960 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
+  /* 3970 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
+  /* 3979 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
+  /* 3988 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
+  /* 3997 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
+  /* 4005 */ 's', 'l', 'l', '.', 'h', 9, 0,
+  /* 4012 */ 's', 'r', 'l', '.', 'h', 9, 0,
+  /* 4019 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
+  /* 4028 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
+  /* 4036 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
+  /* 4045 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
+  /* 4055 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
+  /* 4065 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
+  /* 4074 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4085 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4096 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4106 */ 'c', 'e', 'q', '.', 'h', 9, 0,
+  /* 4113 */ 'f', 't', 'q', '.', 'h', 9, 0,
+  /* 4120 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
+  /* 4128 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
+  /* 4136 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
+  /* 4144 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
+  /* 4153 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
+  /* 4161 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4171 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4181 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4192 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4202 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4213 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4222 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
+  /* 4231 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
+  /* 4240 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4250 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4260 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4270 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4280 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
+  /* 4289 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
+  /* 4299 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
+  /* 4309 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
+  /* 4319 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
+  /* 4329 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
+  /* 4339 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
+  /* 4348 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
+  /* 4357 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
+  /* 4369 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
+  /* 4378 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
+  /* 4389 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
+  /* 4398 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
+  /* 4408 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
+  /* 4417 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
+  /* 4425 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
+  /* 4433 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
+  /* 4443 */ 's', 't', '.', 'h', 9, 0,
+  /* 4449 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4459 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4469 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4480 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4490 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4501 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4510 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
+  /* 4519 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
+  /* 4528 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4538 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4548 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4558 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4568 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
+  /* 4577 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
+  /* 4587 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
+  /* 4597 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4607 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4617 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4629 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
+  /* 4638 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
+  /* 4647 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
+  /* 4656 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
+  /* 4665 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
+  /* 4675 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
+  /* 4684 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
+  /* 4693 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
+  /* 4702 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
+  /* 4711 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
+  /* 4719 */ 'b', 'z', '.', 'h', 9, 0,
+  /* 4725 */ 'b', 'n', 'z', '.', 'h', 9, 0,
+  /* 4732 */ 'd', 's', 'b', 'h', 9, 0,
+  /* 4738 */ 'w', 's', 'b', 'h', 9, 0,
+  /* 4744 */ 's', 'e', 'h', 9, 0,
+  /* 4749 */ 'l', 'h', 9, 0,
+  /* 4753 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
+  /* 4762 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4776 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4789 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4806 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
+  /* 4817 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
+  /* 4827 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
+  /* 4837 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
+  /* 4846 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
+  /* 4855 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
+  /* 4864 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
+  /* 4873 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
+  /* 4884 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
+  /* 4892 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
+  /* 4901 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
+  /* 4910 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
+  /* 4921 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4932 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4944 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4956 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4968 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 4979 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 4989 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5000 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5011 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5022 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5033 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5044 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5055 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5067 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
+  /* 5079 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
+  /* 5090 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
+  /* 5099 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
+  /* 5108 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
+  /* 5118 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5128 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5138 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5148 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5158 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5173 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5188 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5200 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5213 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5228 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5241 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5255 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5269 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5279 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5290 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5301 */ 's', 'h', 9, 0,
+  /* 5305 */ 'd', 'm', 'u', 'h', 9, 0,
+  /* 5311 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
+  /* 5318 */ 'a', 'n', 'd', 'i', 9, 0,
+  /* 5324 */ 't', 'g', 'e', 'i', 9, 0,
+  /* 5330 */ 's', 'n', 'e', 'i', 9, 0,
+  /* 5336 */ 't', 'n', 'e', 'i', 9, 0,
+  /* 5342 */ 'd', 'a', 'h', 'i', 9, 0,
+  /* 5348 */ 'm', 'f', 'h', 'i', 9, 0,
+  /* 5354 */ 'm', 't', 'h', 'i', 9, 0,
+  /* 5360 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
+  /* 5374 */ 'd', 'l', 'i', 9, 0,
+  /* 5379 */ 'c', 'm', 'p', 'i', 9, 0,
+  /* 5385 */ 's', 'e', 'q', 'i', 9, 0,
+  /* 5391 */ 't', 'e', 'q', 'i', 9, 0,
+  /* 5397 */ 'x', 'o', 'r', 'i', 9, 0,
+  /* 5403 */ 'd', 'a', 't', 'i', 9, 0,
+  /* 5409 */ 's', 'l', 't', 'i', 9, 0,
+  /* 5415 */ 't', 'l', 't', 'i', 9, 0,
+  /* 5421 */ 'd', 'a', 'u', 'i', 9, 0,
+  /* 5427 */ 'l', 'u', 'i', 9, 0,
+  /* 5432 */ 'j', 9, 0,
+  /* 5435 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
+  /* 5442 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
+  /* 5451 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
+  /* 5460 */ 'b', 'a', 'l', 9, 0,
+  /* 5465 */ 'j', 'a', 'l', 9, 0,
+  /* 5470 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
+  /* 5478 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
+  /* 5486 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5498 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5510 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5526 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5541 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5557 */ 'l', 'd', 'l', 9, 0,
+  /* 5562 */ 's', 'd', 'l', 9, 0,
+  /* 5567 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5581 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5595 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5608 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5623 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
+  /* 5632 */ 'd', 's', 'l', 'l', 9, 0,
+  /* 5638 */ 'd', 's', 'r', 'l', 9, 0,
+  /* 5644 */ 'd', 'm', 'u', 'l', 9, 0,
+  /* 5650 */ 'l', 'w', 'l', 9, 0,
+  /* 5655 */ 's', 'w', 'l', 9, 0,
+  /* 5660 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
+  /* 5668 */ 'd', 'a', 'l', 'i', 'g', 'n', 9, 0,
+  /* 5676 */ 'm', 'o', 'v', 'n', 9, 0,
+  /* 5682 */ 'd', 'c', 'l', 'o', 9, 0,
+  /* 5688 */ 'm', 'f', 'l', 'o', 9, 0,
+  /* 5694 */ 's', 'h', 'i', 'l', 'o', 9, 0,
+  /* 5701 */ 'm', 't', 'l', 'o', 9, 0,
+  /* 5707 */ 'd', 'b', 'i', 't', 's', 'w', 'a', 'p', 9, 0,
+  /* 5717 */ 's', 'd', 'b', 'b', 'p', 9, 0,
+  /* 5724 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
+  /* 5732 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
+  /* 5740 */ 'c', 'm', 'p', 9, 0,
+  /* 5745 */ 'd', 'p', 'o', 'p', 9, 0,
+  /* 5751 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
+  /* 5767 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
+  /* 5784 */ 'r', 'd', 'd', 's', 'p', 9, 0,
+  /* 5791 */ 'w', 'r', 'd', 's', 'p', 9, 0,
+  /* 5798 */ 'e', 'x', 't', 'p', 9, 0,
+  /* 5804 */ 'b', 'e', 'q', 9, 0,
+  /* 5809 */ 's', 'e', 'q', 9, 0,
+  /* 5814 */ 't', 'e', 'q', 9, 0,
+  /* 5819 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5831 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5843 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5859 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5874 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5890 */ 'l', 'd', 'r', 9, 0,
+  /* 5895 */ 's', 'd', 'r', 9, 0,
+  /* 5900 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5914 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5928 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5941 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5956 */ 'j', 'r', 9, 0,
+  /* 5960 */ 'j', 'a', 'l', 'r', 9, 0,
+  /* 5966 */ 'n', 'o', 'r', 9, 0,
+  /* 5971 */ 'x', 'o', 'r', 9, 0,
+  /* 5976 */ 'd', 'r', 'o', 't', 'r', 9, 0,
+  /* 5983 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
+  /* 5990 */ 'l', 'w', 'r', 9, 0,
+  /* 5995 */ 's', 'w', 'r', 9, 0,
+  /* 6000 */ 'm', 'i', 'n', 'a', '.', 's', 9, 0,
+  /* 6008 */ 'm', 'a', 'x', 'a', '.', 's', 9, 0,
+  /* 6016 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
+  /* 6025 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
+  /* 6034 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
+  /* 6043 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
+  /* 6052 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
+  /* 6060 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 's', 9, 0,
+  /* 6070 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
+  /* 6080 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
+  /* 6089 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 's', 9, 0,
+  /* 6100 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6109 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6120 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6132 */ 'c', '.', 'f', '.', 's', 9, 0,
+  /* 6139 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 's', 9, 0,
+  /* 6149 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 's', 9, 0,
+  /* 6160 */ 'm', 's', 'u', 'b', 'f', '.', 's', 9, 0,
+  /* 6169 */ 'm', 'a', 'd', 'd', 'f', '.', 's', 9, 0,
+  /* 6178 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
+  /* 6186 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
+  /* 6194 */ 'n', 'e', 'g', '.', 's', 9, 0,
+  /* 6201 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
+  /* 6212 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
+  /* 6223 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
+  /* 6233 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
+  /* 6244 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
+  /* 6253 */ 's', 'e', 'l', '.', 's', 9, 0,
+  /* 6260 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
+  /* 6269 */ 'm', 'u', 'l', '.', 's', 9, 0,
+  /* 6276 */ 'm', 'i', 'n', '.', 's', 9, 0,
+  /* 6283 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
+  /* 6291 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 's', 9, 0,
+  /* 6301 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 's', 9, 0,
+  /* 6312 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
+  /* 6320 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
+  /* 6328 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 's', 9, 0,
+  /* 6338 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
+  /* 6347 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 's', 9, 0,
+  /* 6358 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6367 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6378 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6390 */ 'a', 'b', 's', '.', 's', 9, 0,
+  /* 6397 */ 'c', 'l', 'a', 's', 's', '.', 's', 9, 0,
+  /* 6406 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
+  /* 6415 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
+  /* 6423 */ 'c', 'm', 'p', '.', 'l', 't', '.', 's', 9, 0,
+  /* 6433 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
+  /* 6442 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 's', 9, 0,
+  /* 6453 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6462 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6473 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6485 */ 'r', 'i', 'n', 't', '.', 's', 9, 0,
+  /* 6493 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
+  /* 6501 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
+  /* 6509 */ 'd', 'i', 'v', '.', 's', 9, 0,
+  /* 6516 */ 'm', 'o', 'v', '.', 's', 9, 0,
+  /* 6523 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
+  /* 6534 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
+  /* 6545 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
+  /* 6555 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
+  /* 6566 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
+  /* 6575 */ 'm', 'a', 'x', '.', 's', 9, 0,
+  /* 6582 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 's', 9, 0,
+  /* 6592 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 's', 9, 0,
+  /* 6602 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
+  /* 6610 */ 'b', 'c', '1', 't', 9, 0,
+  /* 6616 */ 'w', 'a', 'i', 't', 9, 0,
+  /* 6622 */ 's', 'l', 't', 9, 0,
+  /* 6627 */ 't', 'l', 't', 9, 0,
+  /* 6632 */ 'd', 'm', 'u', 'l', 't', 9, 0,
+  /* 6639 */ 'n', 'o', 't', 9, 0,
+  /* 6644 */ 'm', 'o', 'v', 't', 9, 0,
+  /* 6650 */ 'l', 'b', 'u', 9, 0,
+  /* 6655 */ 'd', 's', 'u', 'b', 'u', 9, 0,
+  /* 6662 */ 'm', 's', 'u', 'b', 'u', 9, 0,
+  /* 6669 */ 'b', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6676 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6683 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6690 */ 'd', 'm', 'o', 'd', 'u', 9, 0,
+  /* 6697 */ 't', 'g', 'e', 'u', 9, 0,
+  /* 6703 */ 'l', 'h', 'u', 9, 0,
+  /* 6708 */ 'd', 'm', 'u', 'h', 'u', 9, 0,
+  /* 6715 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
+  /* 6723 */ 't', 'g', 'e', 'i', 'u', 9, 0,
+  /* 6730 */ 's', 'l', 't', 'i', 'u', 9, 0,
+  /* 6737 */ 't', 'l', 't', 'i', 'u', 9, 0,
+  /* 6744 */ 'v', '3', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6752 */ 'd', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6759 */ 'v', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6766 */ 's', 'l', 't', 'u', 9, 0,
+  /* 6772 */ 't', 'l', 't', 'u', 9, 0,
+  /* 6778 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
+  /* 6786 */ 'd', 'd', 'i', 'v', 'u', 9, 0,
+  /* 6793 */ 'l', 'w', 'u', 9, 0,
+  /* 6798 */ 'a', 'n', 'd', '.', 'v', 9, 0,
+  /* 6805 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
+  /* 6813 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
+  /* 6821 */ 'n', 'o', 'r', '.', 'v', 9, 0,
+  /* 6828 */ 'x', 'o', 'r', '.', 'v', 9, 0,
+  /* 6835 */ 'b', 'z', '.', 'v', 9, 0,
+  /* 6841 */ 'b', 'm', 'z', '.', 'v', 9, 0,
+  /* 6848 */ 'b', 'n', 'z', '.', 'v', 9, 0,
+  /* 6855 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
+  /* 6863 */ 'd', 's', 'r', 'a', 'v', 9, 0,
+  /* 6870 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
+  /* 6878 */ 'd', 'd', 'i', 'v', 9, 0,
+  /* 6884 */ 'd', 's', 'l', 'l', 'v', 9, 0,
+  /* 6891 */ 'd', 's', 'r', 'l', 'v', 9, 0,
+  /* 6898 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
+  /* 6906 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
+  /* 6915 */ 'e', 'x', 't', 'p', 'v', 9, 0,
+  /* 6922 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
+  /* 6930 */ 'i', 'n', 's', 'v', 9, 0,
+  /* 6936 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
+  /* 6945 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
+  /* 6954 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
+  /* 6963 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
+  /* 6973 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
+  /* 6983 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
+  /* 6993 */ 's', 'r', 'a', '.', 'w', 9, 0,
+  /* 7000 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
+  /* 7008 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
+  /* 7017 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
+  /* 7025 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
+  /* 7033 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
+  /* 7042 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
+  /* 7050 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
+  /* 7059 */ 's', 'l', 'd', '.', 'w', 9, 0,
+  /* 7066 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
+  /* 7075 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
+  /* 7084 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
+  /* 7092 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
+  /* 7100 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
+  /* 7109 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
+  /* 7118 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
+  /* 7126 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
+  /* 7134 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
+  /* 7143 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
+  /* 7152 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
+  /* 7161 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
+  /* 7169 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
+  /* 7177 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
+  /* 7185 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
+  /* 7193 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7209 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7222 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7240 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7256 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
+  /* 7265 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
+  /* 7274 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
+  /* 7282 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
+  /* 7290 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
+  /* 7299 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
+  /* 7307 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
+  /* 7315 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
+  /* 7325 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
+  /* 7333 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
+  /* 7342 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
+  /* 7351 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
+  /* 7360 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
+  /* 7370 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
+  /* 7380 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
+  /* 7389 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
+  /* 7398 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
+  /* 7407 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
+  /* 7420 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
+  /* 7433 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
+  /* 7441 */ 's', 'l', 'l', '.', 'w', 9, 0,
+  /* 7448 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
+  /* 7458 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
+  /* 7466 */ 's', 'r', 'l', '.', 'w', 9, 0,
+  /* 7473 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
+  /* 7482 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
+  /* 7490 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
+  /* 7498 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
+  /* 7506 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
+  /* 7514 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
+  /* 7522 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
+  /* 7531 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
+  /* 7539 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
+  /* 7549 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
+  /* 7559 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
+  /* 7568 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7579 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7590 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7600 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
+  /* 7608 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
+  /* 7616 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
+  /* 7625 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
+  /* 7634 */ 'f', 't', 'q', '.', 'w', 9, 0,
+  /* 7641 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
+  /* 7651 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
+  /* 7662 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
+  /* 7673 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
+  /* 7683 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
+  /* 7694 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
+  /* 7705 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
+  /* 7713 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
+  /* 7721 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
+  /* 7729 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
+  /* 7737 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
+  /* 7745 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
+  /* 7755 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
+  /* 7763 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
+  /* 7772 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
+  /* 7780 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
+  /* 7788 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
+  /* 7797 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 7807 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 7817 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 7828 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
+  /* 7840 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 7850 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 7861 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 7870 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
+  /* 7879 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
+  /* 7888 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7898 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7908 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7918 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7928 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
+  /* 7938 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
+  /* 7947 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
+  /* 7957 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7967 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7977 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7987 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7997 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
+  /* 8007 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
+  /* 8017 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
+  /* 8027 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8036 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8045 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8056 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8067 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
+  /* 8079 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
+  /* 8088 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
+  /* 8099 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
+  /* 8108 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
+  /* 8118 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8129 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8140 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8152 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
+  /* 8162 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
+  /* 8171 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
+  /* 8179 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
+  /* 8187 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
+  /* 8195 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
+  /* 8204 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
+  /* 8213 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
+  /* 8221 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
+  /* 8230 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
+  /* 8240 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
+  /* 8249 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
+  /* 8259 */ 's', 't', '.', 'w', 9, 0,
+  /* 8265 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8275 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8285 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8296 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
+  /* 8308 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8318 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8329 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8338 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
+  /* 8347 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
+  /* 8356 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8366 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8376 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8386 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8396 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
+  /* 8405 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
+  /* 8415 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
+  /* 8425 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8435 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8445 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8457 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8466 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8475 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8486 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8497 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
+  /* 8506 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
+  /* 8515 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
+  /* 8525 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
+  /* 8534 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
+  /* 8543 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
+  /* 8552 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
+  /* 8561 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
+  /* 8569 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
+  /* 8577 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
+  /* 8586 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
+  /* 8594 */ 'b', 'z', '.', 'w', 9, 0,
+  /* 8600 */ 'b', 'n', 'z', '.', 'w', 9, 0,
+  /* 8607 */ 'l', 'w', 9, 0,
+  /* 8611 */ 's', 'w', 9, 0,
+  /* 8615 */ 'l', 'h', 'x', 9, 0,
+  /* 8620 */ 'j', 'a', 'l', 'x', 9, 0,
+  /* 8626 */ 'l', 'b', 'u', 'x', 9, 0,
+  /* 8632 */ 'l', 'w', 'x', 9, 0,
+  /* 8637 */ 'b', 'g', 'e', 'z', 9, 0,
+  /* 8643 */ 'b', 'l', 'e', 'z', 9, 0,
+  /* 8649 */ 'b', 'n', 'e', 'z', 9, 0,
+  /* 8655 */ 's', 'e', 'l', 'n', 'e', 'z', 9, 0,
+  /* 8663 */ 'b', 't', 'n', 'e', 'z', 9, 0,
+  /* 8670 */ 'd', 'c', 'l', 'z', 9, 0,
+  /* 8676 */ 'b', 'e', 'q', 'z', 9, 0,
+  /* 8682 */ 's', 'e', 'l', 'e', 'q', 'z', 9, 0,
+  /* 8690 */ 'b', 't', 'e', 'q', 'z', 9, 0,
+  /* 8697 */ 'b', 'g', 't', 'z', 9, 0,
+  /* 8703 */ 'b', 'l', 't', 'z', 9, 0,
+  /* 8709 */ 'm', 'o', 'v', 'z', 9, 0,
+  /* 8715 */ 's', 'e', 'b', 9, 32, 0,
+  /* 8721 */ 'j', 'r', 'c', 9, 32, 0,
+  /* 8727 */ 's', 'e', 'h', 9, 32, 0,
+  /* 8733 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
+  /* 8747 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
+  /* 8760 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
+  /* 8772 */ 'c', 'i', 'n', 's', '3', '2', 32, 0,
+  /* 8780 */ 'e', 'x', 't', 's', '3', '2', 32, 0,
+  /* 8788 */ 's', 'y', 'n', 'c', 32, 0,
+  /* 8794 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
+  /* 8802 */ 'd', 'i', 'n', 's', 'm', 32, 0,
+  /* 8809 */ 'd', 'e', 'x', 't', 'm', 32, 0,
+  /* 8816 */ 'c', 'i', 'n', 's', 32, 0,
+  /* 8822 */ 'd', 'i', 'n', 's', 32, 0,
+  /* 8828 */ 'e', 'x', 't', 's', 32, 0,
+  /* 8834 */ 'd', 'e', 'x', 't', 32, 0,
+  /* 8840 */ 'd', 'i', 'n', 's', 'u', 32, 0,
+  /* 8847 */ 'd', 'e', 'x', 't', 'u', 32, 0,
+  /* 8854 */ 'b', 'c', '1', 'n', 'e', 'z', 32, 0,
+  /* 8862 */ 'b', 'c', '2', 'n', 'e', 'z', 32, 0,
+  /* 8870 */ 'b', 'c', '1', 'e', 'q', 'z', 32, 0,
+  /* 8878 */ 'b', 'c', '2', 'e', 'q', 'z', 32, 0,
+  /* 8886 */ 'c', '.', 0,
+  /* 8889 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
+  /* 8897 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 8910 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 8917 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 8927 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 8942 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
+  /* 8951 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
+  /* 8959 */ 'e', 'h', 'b', 0,
+  /* 8963 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 8969 */ 't', 'l', 'b', 'w', 'i', 0,
+  /* 8975 */ 'f', 'o', 'o', 0,
+  /* 8979 */ 't', 'l', 'b', 'p', 0,
+  /* 8984 */ 's', 's', 'n', 'o', 'p', 0,
+  /* 8990 */ 't', 'l', 'b', 'r', 0,
+  /* 8995 */ 't', 'l', 'b', 'w', 'r', 0,
+  /* 9001 */ 'd', 'e', 'r', 'e', 't', 0,
+  /* 9007 */ 'w', 'a', 'i', 't', 0,
   };
 #endif
 
@@ -3891,32 +4414,46 @@
   uint64_t Bits = (Bits2 << 32) | Bits1;
   // assert(Bits != 0 && "Cannot print this instruction.");
 #ifndef CAPSTONE_DIET
-  SStream_concat0(O, AsmStrs+(Bits & 8191)-1);
+  SStream_concat0(O, AsmStrs+(Bits & 16383)-1);
 #endif
 
 
-  // Fragment 0 encoded into 3 bits for 5 unique commands.
-  //printf("Frag-0: %"PRIu64"\n", (Bits >> 13) & 7);
-  switch ((Bits >> 13) & 7) {
+  // Fragment 0 encoded into 3 bits for 7 unique commands.
+  //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 7);
+  switch ((Bits >> 14) & 7) {
   default:   // unreachable.
   case 0:
     // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, Break16, CONSTPOOL_EN...
     return;
     break;
   case 1:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
     printOperand(MI, 0, O); 
     break;
   case 2:
-    // CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1, MTHC1_MM, MTHI_DSP, MTHLIP...
+    // CACHE, CACHE_R6, PREF, PREF_R6
+    printUnsignedImm(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printMemOperand(MI, 0, O); 
+    return;
+    break;
+  case 3:
+    // CTC1, CTC1_MM, DAHI, DATI, DMTC1, MTC1, MTC1_MM, MTHC1_MM, MTHI_DSP, M...
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 3:
+  case 4:
     // FCMP_D32, FCMP_D32_MM, FCMP_D64, FCMP_S32, FCMP_S32_MM
     printFCCOperand(MI, 2, O); 
     break;
-  case 4:
+  case 5:
+    // MTHC1_D32, MTHC1_D64
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    return;
+    break;
+  case 6:
     // SelBeqZ, SelBneZ, SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZ...
     printOperand(MI, 3, O); 
     break;
@@ -3924,11 +4461,11 @@
 
 
   // Fragment 1 encoded into 4 bits for 15 unique commands.
-  //printf("Frag-1: %"PRIu64"\n", (Bits >> 16) & 15);
-  switch ((Bits >> 16) & 15) {
+  //printf("Frag-1: %"PRIu64"\n", (Bits >> 17) & 15);
+  switch ((Bits >> 17) & 15) {
   default:   // unreachable.
   case 0:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
     SStream_concat0(O, ", "); 
     break;
   case 1:
@@ -3943,7 +4480,7 @@
     return;
     break;
   case 3:
-    // AddiuSpImmX16, BPOSGE32, BimmX16, BteqzX16, BtnezX16, Constant32, DI, ...
+    // AddiuSpImmX16, BAL, BALC, BC, BPOSGE32, BimmX16, BteqzX16, BtnezX16, C...
     return;
     break;
   case 4:
@@ -3952,11 +4489,15 @@
     return;
     break;
   case 5:
-    // CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1, MTHC1_MM, MTHI_DSP, MTHLIP...
+    // CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1_MM, MTHI_DSP, MTHLIP, MTLO_...
     printOperand(MI, 0, O); 
     return;
     break;
   case 6:
+    // DAHI, DATI, MultRxRyRz16, MultuRxRyRz16, SltCCRxRy16, SltiCCRxImmX16, ...
+    printOperand(MI, 2, O); 
+    break;
+  case 7:
     // FCMP_D32, FCMP_D32_MM, FCMP_D64
     SStream_concat0(O, ".d\t"); 
     printOperand(MI, 0, O); 
@@ -3964,7 +4505,7 @@
     printOperand(MI, 1, O); 
     return;
     break;
-  case 7:
+  case 8:
     // FCMP_S32, FCMP_S32_MM
     SStream_concat0(O, ".s\t"); 
     printOperand(MI, 0, O); 
@@ -3972,33 +4513,29 @@
     printOperand(MI, 1, O); 
     return;
     break;
-  case 8:
+  case 9:
     // INSERT_B, INSERT_D, INSERT_H, INSERT_W, INSVE_B, INSVE_D, INSVE_H, INS...
     SStream_concat0(O, "["); 
     break;
-  case 9:
+  case 10:
     // Jal16
     SStream_concat0(O, "\n\tnop"); 
     return;
     break;
-  case 10:
+  case 11:
     // JalB16
     SStream_concat0(O, "\t# branch\n\tnop"); 
     return;
     break;
-  case 11:
+  case 12:
     // LwConstant32
     SStream_concat0(O, ", 1f\n\tb\t2f\n\t.align\t2\n1: \t.word\t"); 
     printOperand(MI, 1, O); 
     SStream_concat0(O, "\n2:"); 
     return;
     break;
-  case 12:
-    // MultRxRyRz16, MultuRxRyRz16, SltCCRxRy16, SltiCCRxImmX16, SltiuCCRxImm...
-    printOperand(MI, 2, O); 
-    break;
   case 13:
-    // SC, SCD
+    // SC, SCD, SCD_R6, SC_MM, SC_R6
     printMemOperand(MI, 2, O); 
     return;
     break;
@@ -4013,12 +4550,12 @@
   }
 
 
-  // Fragment 2 encoded into 4 bits for 10 unique commands.
-  //printf("Frag-2: %"PRIu64"\n", (Bits >> 20) & 15);
-  switch ((Bits >> 20) & 15) {
+  // Fragment 2 encoded into 4 bits for 11 unique commands.
+  //printf("Frag-2: %"PRIu64"\n", (Bits >> 21) & 15);
+  switch ((Bits >> 21) & 15) {
   default:   // unreachable.
   case 0:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
     printOperand(MI, 1, O); 
     break;
   case 1:
@@ -4031,41 +4568,47 @@
     return;
     break;
   case 3:
+    // DAHI, DATI
+    return;
+    break;
+  case 4:
     // INSERT_B, INSERT_D, INSERT_H, INSERT_W
     printUnsignedImm(MI, 3, O); 
     SStream_concat0(O, "], "); 
     printOperand(MI, 2, O); 
     return;
     break;
-  case 4:
+  case 5:
     // INSVE_B, INSVE_D, INSVE_H, INSVE_W
     printUnsignedImm(MI, 2, O); 
     SStream_concat0(O, "], "); 
     printOperand(MI, 3, O); 
-    SStream_concat0(O, "[0]"); 
+    SStream_concat0(O, "["); 
+    printUnsignedImm(MI, 4, O); 
+    SStream_concat0(O, "]"); 
     return;
     break;
-  case 5:
+  case 6:
     // LB, LB64, LB_MM, LBu, LBu64, LBu_MM, LD, LDC1, LDC164, LDC1_MM, LDC2, ...
     printMemOperand(MI, 1, O); 
     return;
     break;
-  case 6:
+  case 7:
     // LUi, LUi64, LUi_MM, LoadAddr32Imm, LoadImm32Reg, RDDSP, REPL_PH, REPL_...
     printUnsignedImm(MI, 1, O); 
     return;
     break;
-  case 7:
+  case 8:
     // MultRxRyRz16, MultuRxRyRz16
     SStream_concat0(O, "\n\tmflo\t"); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 8:
+  case 9:
     // SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZSlti, SelTBteqZSlt...
     printOperand(MI, 4, O); 
     break;
-  case 9:
+  case 10:
     // SltCCRxRy16, SltiCCRxImmX16, SltiuCCRxImmX16, SltuCCRxRy16, SltuRxRyRz...
     SStream_concat0(O, "\n\tmove\t"); 
     printOperand(MI, 0, O); 
@@ -4076,11 +4619,11 @@
 
 
   // Fragment 3 encoded into 4 bits for 15 unique commands.
-  //printf("Frag-3: %"PRIu64"\n", (Bits >> 24) & 15);
-  switch ((Bits >> 24) & 15) {
+  //printf("Frag-3: %"PRIu64"\n", (Bits >> 25) & 15);
+  switch ((Bits >> 25) & 15) {
   default:   // unreachable.
   case 0:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, AddiuRxImmX16, AddiuRxRxImmX16, AndRxR...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADDIUPC, ALUIPC, AUIPC, AddiuRxImmX16,...
     return;
     break;
   case 1:
@@ -4171,8 +4714,8 @@
 
 
   // Fragment 4 encoded into 3 bits for 5 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 28) & 7);
-  switch ((Bits >> 28) & 7) {
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 29) & 7);
+  switch ((Bits >> 29) & 7) {
   default:   // unreachable.
   case 0:
     // ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, ADDQ_S_PH, ADD...
@@ -4183,7 +4726,7 @@
     printUnsignedImm8(MI, 2, O); 
     break;
   case 2:
-    // ANDi, ANDi64, ANDi_MM, APPEND, BALIGN, DEXT, DEXTM, DEXTU, DINS, DINSM...
+    // ANDi, ANDi64, ANDi_MM, APPEND, BALIGN, CINS, CINS32, DEXT, DEXTM, DEXT...
     printUnsignedImm(MI, 2, O); 
     break;
   case 3:
@@ -4198,37 +4741,36 @@
 
 
   // Fragment 5 encoded into 2 bits for 3 unique commands.
-  //printf("Frag-5: %"PRIu64"\n", (Bits >> 31) & 3);
-  switch ((Bits >> 31) & 3) {
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 32) & 3);
+  switch ((Bits >> 32) & 3) {
   default:   // unreachable.
   case 0:
     // ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, ADDQ_S_PH, ADD...
     return;
     break;
   case 1:
+    // ALIGN, CINS, CINS32, DALIGN, DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, D...
+    SStream_concat0(O, ", "); 
+    break;
+  case 2:
     // COPY_S_B, COPY_S_D, COPY_S_H, COPY_S_W, COPY_U_B, COPY_U_D, COPY_U_H, ...
     SStream_concat0(O, "]"); 
     return;
     break;
-  case 2:
-    // DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, DLSA, EXT, EXT_MM, INS, INS_MM...
-    SStream_concat0(O, ", "); 
-    break;
   }
 
 
   // Fragment 6 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-6: %"PRIu64"\n", (Bits >> 33) & 1);
-  if ((Bits >> 33) & 1) {
-    // DLSA, LSA
-    printUnsignedImm(MI, 3, O); 
-    return;
-  } else {
+  //printf("Frag-6: %"PRIu64"\n", (Bits >> 34) & 1);
+  if ((Bits >> 34) & 1) {
     // DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, EXT, EXT_MM, INS, INS_MM, MADD...
     printOperand(MI, 3, O); 
     return;
+  } else {
+    // ALIGN, CINS, CINS32, DALIGN, DLSA, DLSA_R6, EXTS, EXTS32, LSA, LSA_R6
+    printUnsignedImm(MI, 3, O); 
+    return;
   }
-
 }
 
 
@@ -4237,7 +4779,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 317 && "Invalid register number!");
+  // assert(RegNo && RegNo < 386 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -4253,142 +4795,153 @@
   /* 44 */ 'f', 'c', 'c', '0', 0,
   /* 49 */ 'f', '0', 0,
   /* 52 */ 'k', '0', 0,
-  /* 55 */ 's', '0', 0,
-  /* 58 */ 't', '0', 0,
-  /* 61 */ 'v', '0', 0,
-  /* 64 */ 'w', '0', 0,
-  /* 67 */ 'f', '1', '1', 0,
-  /* 71 */ 'w', '1', '1', 0,
-  /* 75 */ 'f', '2', '1', 0,
-  /* 79 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '1', 0,
-  /* 92 */ 'w', '2', '1', 0,
-  /* 96 */ 'f', '3', '1', 0,
-  /* 100 */ 'w', '3', '1', 0,
-  /* 104 */ 'a', '1', 0,
-  /* 107 */ 'a', 'c', '1', 0,
-  /* 111 */ 'f', 'c', 'c', '1', 0,
-  /* 116 */ 'f', '1', 0,
-  /* 119 */ 'k', '1', 0,
-  /* 122 */ 's', '1', 0,
-  /* 125 */ 't', '1', 0,
-  /* 128 */ 'v', '1', 0,
-  /* 131 */ 'w', '1', 0,
-  /* 134 */ 'f', '1', '2', 0,
-  /* 138 */ 'w', '1', '2', 0,
-  /* 142 */ 'f', '2', '2', 0,
-  /* 146 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '2', 0,
-  /* 159 */ 'w', '2', '2', 0,
-  /* 163 */ 'a', '2', 0,
-  /* 166 */ 'a', 'c', '2', 0,
-  /* 170 */ 'f', 'c', 'c', '2', 0,
-  /* 175 */ 'f', '2', 0,
-  /* 178 */ 's', '2', 0,
-  /* 181 */ 't', '2', 0,
-  /* 184 */ 'w', '2', 0,
-  /* 187 */ 'f', '1', '3', 0,
-  /* 191 */ 'w', '1', '3', 0,
-  /* 195 */ 'f', '2', '3', 0,
-  /* 199 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '3', 0,
-  /* 212 */ 'w', '2', '3', 0,
-  /* 216 */ 'a', '3', 0,
-  /* 219 */ 'a', 'c', '3', 0,
-  /* 223 */ 'f', 'c', 'c', '3', 0,
-  /* 228 */ 'f', '3', 0,
-  /* 231 */ 's', '3', 0,
-  /* 234 */ 't', '3', 0,
-  /* 237 */ 'w', '3', 0,
-  /* 240 */ 'f', '1', '4', 0,
-  /* 244 */ 'w', '1', '4', 0,
-  /* 248 */ 'f', '2', '4', 0,
-  /* 252 */ 'w', '2', '4', 0,
-  /* 256 */ 'f', 'c', 'c', '4', 0,
-  /* 261 */ 'f', '4', 0,
-  /* 264 */ 's', '4', 0,
-  /* 267 */ 't', '4', 0,
-  /* 270 */ 'w', '4', 0,
-  /* 273 */ 'f', '1', '5', 0,
-  /* 277 */ 'w', '1', '5', 0,
-  /* 281 */ 'f', '2', '5', 0,
-  /* 285 */ 'w', '2', '5', 0,
-  /* 289 */ 'f', 'c', 'c', '5', 0,
-  /* 294 */ 'f', '5', 0,
-  /* 297 */ 's', '5', 0,
-  /* 300 */ 't', '5', 0,
-  /* 303 */ 'w', '5', 0,
-  /* 306 */ 'f', '1', '6', 0,
-  /* 310 */ 'w', '1', '6', 0,
-  /* 314 */ 'f', '2', '6', 0,
-  /* 318 */ 'w', '2', '6', 0,
-  /* 322 */ 'f', 'c', 'c', '6', 0,
-  /* 327 */ 'f', '6', 0,
-  /* 330 */ 's', '6', 0,
-  /* 333 */ 't', '6', 0,
-  /* 336 */ 'w', '6', 0,
-  /* 339 */ 'f', '1', '7', 0,
-  /* 343 */ 'w', '1', '7', 0,
-  /* 347 */ 'f', '2', '7', 0,
-  /* 351 */ 'w', '2', '7', 0,
-  /* 355 */ 'f', 'c', 'c', '7', 0,
-  /* 360 */ 'f', '7', 0,
-  /* 363 */ 's', '7', 0,
-  /* 366 */ 't', '7', 0,
-  /* 369 */ 'w', '7', 0,
-  /* 372 */ 'f', '1', '8', 0,
-  /* 376 */ 'w', '1', '8', 0,
-  /* 380 */ 'f', '2', '8', 0,
-  /* 384 */ 'w', '2', '8', 0,
-  /* 388 */ 'f', '8', 0,
-  /* 391 */ 't', '8', 0,
-  /* 394 */ 'w', '8', 0,
-  /* 397 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '1', '6', '_', '1', '9', 0,
-  /* 413 */ 'f', '1', '9', 0,
-  /* 417 */ 'w', '1', '9', 0,
-  /* 421 */ 'f', '2', '9', 0,
-  /* 425 */ 'w', '2', '9', 0,
-  /* 429 */ 'f', '9', 0,
-  /* 432 */ 't', '9', 0,
-  /* 435 */ 'w', '9', 0,
-  /* 438 */ 'D', 'S', 'P', 'E', 'F', 'I', 0,
-  /* 445 */ 'r', 'a', 0,
-  /* 448 */ 'p', 'c', 0,
-  /* 451 */ 'D', 'S', 'P', 'C', 'C', 'o', 'n', 'd', 0,
-  /* 460 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', 0,
-  /* 471 */ 'h', 'i', 0,
-  /* 474 */ 'l', 'o', 0,
-  /* 477 */ 'z', 'e', 'r', 'o', 0,
-  /* 482 */ 'f', 'p', 0,
-  /* 485 */ 'g', 'p', 0,
-  /* 488 */ 's', 'p', 0,
-  /* 491 */ 'D', 'S', 'P', 'P', 'o', 's', 0,
-  /* 498 */ 'a', 't', 0,
-  /* 501 */ 'D', 'S', 'P', 'S', 'C', 'o', 'u', 'n', 't', 0,
-  /* 511 */ 'D', 'S', 'P', 'C', 'a', 'r', 'r', 'y', 0,
+  /* 55 */ 'm', 'p', 'l', '0', 0,
+  /* 60 */ 'p', '0', 0,
+  /* 63 */ 's', '0', 0,
+  /* 66 */ 't', '0', 0,
+  /* 69 */ 'v', '0', 0,
+  /* 72 */ 'w', '0', 0,
+  /* 75 */ 'f', '1', '1', 0,
+  /* 79 */ 'w', '1', '1', 0,
+  /* 83 */ 'f', '2', '1', 0,
+  /* 87 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '1', 0,
+  /* 100 */ 'w', '2', '1', 0,
+  /* 104 */ 'f', '3', '1', 0,
+  /* 108 */ 'w', '3', '1', 0,
+  /* 112 */ 'a', '1', 0,
+  /* 115 */ 'a', 'c', '1', 0,
+  /* 119 */ 'f', 'c', 'c', '1', 0,
+  /* 124 */ 'f', '1', 0,
+  /* 127 */ 'k', '1', 0,
+  /* 130 */ 'm', 'p', 'l', '1', 0,
+  /* 135 */ 'p', '1', 0,
+  /* 138 */ 's', '1', 0,
+  /* 141 */ 't', '1', 0,
+  /* 144 */ 'v', '1', 0,
+  /* 147 */ 'w', '1', 0,
+  /* 150 */ 'f', '1', '2', 0,
+  /* 154 */ 'w', '1', '2', 0,
+  /* 158 */ 'f', '2', '2', 0,
+  /* 162 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '2', 0,
+  /* 175 */ 'w', '2', '2', 0,
+  /* 179 */ 'a', '2', 0,
+  /* 182 */ 'a', 'c', '2', 0,
+  /* 186 */ 'f', 'c', 'c', '2', 0,
+  /* 191 */ 'f', '2', 0,
+  /* 194 */ 'm', 'p', 'l', '2', 0,
+  /* 199 */ 'p', '2', 0,
+  /* 202 */ 's', '2', 0,
+  /* 205 */ 't', '2', 0,
+  /* 208 */ 'w', '2', 0,
+  /* 211 */ 'f', '1', '3', 0,
+  /* 215 */ 'w', '1', '3', 0,
+  /* 219 */ 'f', '2', '3', 0,
+  /* 223 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '3', 0,
+  /* 236 */ 'w', '2', '3', 0,
+  /* 240 */ 'a', '3', 0,
+  /* 243 */ 'a', 'c', '3', 0,
+  /* 247 */ 'f', 'c', 'c', '3', 0,
+  /* 252 */ 'f', '3', 0,
+  /* 255 */ 's', '3', 0,
+  /* 258 */ 't', '3', 0,
+  /* 261 */ 'w', '3', 0,
+  /* 264 */ 'f', '1', '4', 0,
+  /* 268 */ 'w', '1', '4', 0,
+  /* 272 */ 'f', '2', '4', 0,
+  /* 276 */ 'w', '2', '4', 0,
+  /* 280 */ 'f', 'c', 'c', '4', 0,
+  /* 285 */ 'f', '4', 0,
+  /* 288 */ 's', '4', 0,
+  /* 291 */ 't', '4', 0,
+  /* 294 */ 'w', '4', 0,
+  /* 297 */ 'f', '1', '5', 0,
+  /* 301 */ 'w', '1', '5', 0,
+  /* 305 */ 'f', '2', '5', 0,
+  /* 309 */ 'w', '2', '5', 0,
+  /* 313 */ 'f', 'c', 'c', '5', 0,
+  /* 318 */ 'f', '5', 0,
+  /* 321 */ 's', '5', 0,
+  /* 324 */ 't', '5', 0,
+  /* 327 */ 'w', '5', 0,
+  /* 330 */ 'f', '1', '6', 0,
+  /* 334 */ 'w', '1', '6', 0,
+  /* 338 */ 'f', '2', '6', 0,
+  /* 342 */ 'w', '2', '6', 0,
+  /* 346 */ 'f', 'c', 'c', '6', 0,
+  /* 351 */ 'f', '6', 0,
+  /* 354 */ 's', '6', 0,
+  /* 357 */ 't', '6', 0,
+  /* 360 */ 'w', '6', 0,
+  /* 363 */ 'f', '1', '7', 0,
+  /* 367 */ 'w', '1', '7', 0,
+  /* 371 */ 'f', '2', '7', 0,
+  /* 375 */ 'w', '2', '7', 0,
+  /* 379 */ 'f', 'c', 'c', '7', 0,
+  /* 384 */ 'f', '7', 0,
+  /* 387 */ 's', '7', 0,
+  /* 390 */ 't', '7', 0,
+  /* 393 */ 'w', '7', 0,
+  /* 396 */ 'f', '1', '8', 0,
+  /* 400 */ 'w', '1', '8', 0,
+  /* 404 */ 'f', '2', '8', 0,
+  /* 408 */ 'w', '2', '8', 0,
+  /* 412 */ 'f', '8', 0,
+  /* 415 */ 't', '8', 0,
+  /* 418 */ 'w', '8', 0,
+  /* 421 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '1', '6', '_', '1', '9', 0,
+  /* 437 */ 'f', '1', '9', 0,
+  /* 441 */ 'w', '1', '9', 0,
+  /* 445 */ 'f', '2', '9', 0,
+  /* 449 */ 'w', '2', '9', 0,
+  /* 453 */ 'f', '9', 0,
+  /* 456 */ 't', '9', 0,
+  /* 459 */ 'w', '9', 0,
+  /* 462 */ 'D', 'S', 'P', 'E', 'F', 'I', 0,
+  /* 469 */ 'r', 'a', 0,
+  /* 472 */ 'p', 'c', 0,
+  /* 475 */ 'D', 'S', 'P', 'C', 'C', 'o', 'n', 'd', 0,
+  /* 484 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', 0,
+  /* 495 */ 'h', 'i', 0,
+  /* 498 */ 'l', 'o', 0,
+  /* 501 */ 'z', 'e', 'r', 'o', 0,
+  /* 506 */ 'f', 'p', 0,
+  /* 509 */ 'g', 'p', 0,
+  /* 512 */ 's', 'p', 0,
+  /* 515 */ 'D', 'S', 'P', 'P', 'o', 's', 0,
+  /* 522 */ 'a', 't', 0,
+  /* 525 */ 'D', 'S', 'P', 'S', 'C', 'o', 'u', 'n', 't', 0,
+  /* 535 */ 'D', 'S', 'P', 'C', 'a', 'r', 'r', 'y', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    498, 451, 511, 438, 460, 491, 501, 482, 485, 136, 69, 2, 308, 242, 
-    275, 189, 341, 448, 445, 488, 477, 37, 104, 163, 216, 40, 107, 166, 
-    219, 498, 2, 69, 136, 189, 242, 275, 308, 341, 374, 411, 1, 68, 
-    135, 188, 241, 274, 307, 340, 373, 410, 9, 76, 143, 196, 249, 282, 
-    315, 348, 381, 422, 30, 97, 49, 175, 261, 327, 388, 0, 134, 240, 
-    306, 372, 8, 142, 248, 314, 380, 29, 12, 79, 146, 199, 49, 116, 
-    175, 228, 261, 294, 327, 360, 388, 429, 0, 67, 134, 187, 240, 273, 
-    306, 339, 372, 413, 8, 75, 142, 195, 248, 281, 314, 347, 380, 421, 
-    29, 96, 44, 111, 170, 223, 256, 289, 322, 355, 2, 69, 136, 189, 
-    242, 275, 308, 341, 374, 411, 1, 68, 135, 188, 241, 274, 307, 340, 
-    373, 410, 9, 76, 143, 196, 249, 282, 315, 348, 381, 422, 30, 97, 
-    482, 49, 116, 175, 228, 261, 294, 327, 360, 388, 429, 0, 67, 134, 
-    187, 240, 273, 306, 339, 372, 413, 8, 75, 142, 195, 248, 281, 314, 
-    347, 380, 421, 29, 96, 485, 40, 107, 166, 219, 422, 52, 119, 40, 
-    107, 166, 219, 445, 55, 122, 178, 231, 264, 297, 330, 363, 488, 58, 
-    125, 181, 234, 267, 300, 333, 366, 391, 432, 61, 128, 64, 131, 184, 
-    237, 270, 303, 336, 369, 394, 435, 4, 71, 138, 191, 244, 277, 310, 
-    343, 376, 417, 25, 92, 159, 212, 252, 285, 318, 351, 384, 425, 33, 
-    100, 477, 37, 104, 163, 216, 40, 49, 116, 175, 228, 261, 294, 327, 
-    360, 388, 429, 0, 67, 134, 187, 240, 273, 306, 339, 372, 413, 8, 
-    75, 142, 195, 248, 281, 314, 347, 380, 421, 29, 96, 397, 471, 52, 
-    119, 474, 55, 122, 178, 231, 264, 297, 330, 363, 58, 125, 181, 234, 
-    267, 300, 333, 366, 391, 432, 61, 128, 
+    522, 475, 535, 462, 484, 515, 525, 506, 509, 152, 77, 2, 332, 266, 
+    299, 213, 365, 472, 469, 512, 501, 37, 112, 179, 240, 40, 115, 182, 
+    243, 522, 2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 2, 77, 
+    152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 265, 298, 
+    331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 405, 446, 
+    30, 105, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 9, 84, 
+    159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 49, 191, 285, 351, 
+    412, 0, 150, 264, 330, 396, 8, 158, 272, 338, 404, 29, 12, 87, 
+    162, 223, 49, 124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75, 
+    150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305, 
+    338, 371, 404, 445, 29, 104, 44, 119, 186, 247, 280, 313, 346, 379, 
+    2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 
+    265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 
+    405, 446, 30, 105, 506, 49, 124, 191, 252, 285, 318, 351, 384, 412, 
+    453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158, 
+    219, 272, 305, 338, 371, 404, 445, 29, 104, 509, 40, 115, 182, 243, 
+    2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 
+    265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 
+    405, 446, 30, 105, 52, 127, 40, 115, 182, 243, 55, 130, 194, 60, 
+    135, 199, 469, 63, 138, 202, 255, 288, 321, 354, 387, 512, 66, 141, 
+    205, 258, 291, 324, 357, 390, 415, 456, 69, 144, 72, 147, 208, 261, 
+    294, 327, 360, 393, 418, 459, 4, 79, 154, 215, 268, 301, 334, 367, 
+    400, 441, 25, 100, 175, 236, 276, 309, 342, 375, 408, 449, 33, 108, 
+    501, 37, 112, 179, 240, 40, 49, 124, 191, 252, 285, 318, 351, 384, 
+    412, 453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 
+    158, 219, 272, 305, 338, 371, 404, 445, 29, 104, 421, 495, 52, 127, 
+    498, 63, 138, 202, 255, 288, 321, 354, 387, 66, 141, 205, 258, 291, 
+    324, 357, 390, 415, 456, 69, 144, 
   };
 
   //int i;
@@ -4404,11 +4957,17 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
+  int OpIdx, PrintMethodIdx;
   MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
   default: return NULL;
@@ -4424,6 +4983,22 @@
       break;
     }
     return NULL;
+  case Mips_BC1F:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
+      // (BC1F FCC0, brtarget:$offset)
+      AsmString = "bc1f $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC1T:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
+      // (BC1T FCC0, brtarget:$offset)
+      AsmString = "bc1t $\x02";
+      break;
+    }
+    return NULL;
   case Mips_BREAK:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -4434,6 +5009,13 @@
       AsmString = "break";
       break;
     }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BREAK uimm10:$imm, 0)
+      AsmString = "break $\x01";
+      break;
+    }
     return NULL;
   case Mips_DADDu:
     if (MCInst_getNumOperands(MI) == 3 &&
@@ -4463,6 +5045,54 @@
       break;
     }
     return NULL;
+  case Mips_JALR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
+      // (JALR ZERO, GPR32Opnd:$rs)
+      AsmString = "jr $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_JALR64:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO_64 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 1)) {
+      // (JALR64 ZERO_64, GPR64Opnd:$rs)
+      AsmString = "jr $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_JALR_HB:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_RA &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
+      // (JALR_HB RA, GPR32Opnd:$rs)
+      AsmString = "jalr.hb $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_SDBBP:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (SDBBP 0)
+      AsmString = "sdbbp";
+      break;
+    }
+    return NULL;
+  case Mips_SDBBP_R6:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (SDBBP_R6 0)
+      AsmString = "sdbbp";
+      break;
+    }
+    return NULL;
   case Mips_SLL:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
@@ -4498,6 +5128,15 @@
       break;
     }
     return NULL;
+  case Mips_SYNC:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (SYNC 0)
+      AsmString = "sync";
+      break;
+    }
+    return NULL;
   case Mips_SYSCALL:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -4585,6 +5224,15 @@
       break;
     }
     return NULL;
+  case Mips_WAIT_MM:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (WAIT_MM 0)
+      AsmString = "wait";
+      break;
+    }
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
@@ -4600,7 +5248,14 @@
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/Mips/MipsGenDisassemblerTables.inc b/arch/Mips/MipsGenDisassemblerTables.inc
index 1dc3821..d0cf2a4 100644
--- a/arch/Mips/MipsGenDisassemblerTables.inc
+++ b/arch/Mips/MipsGenDisassemblerTables.inc
@@ -24,3829 +24,4465 @@
   return (insn & fieldMask) >> startBit; \
 }
 
+static uint8_t DecoderTableCOP3_32[] = {
+/* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
+/* 3 */       MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 15
+/* 7 */       MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 51
+/* 11 */      MCD_OPC_Decode, 160, 7, 10, // Opcode: LWC3
+/* 15 */      MCD_OPC_FilterValue, 55, 8, 0, // Skip to: 27
+/* 19 */      MCD_OPC_CheckPredicate, 2, 28, 0, // Skip to: 51
+/* 23 */      MCD_OPC_Decode, 238, 6, 10, // Opcode: LDC3
+/* 27 */      MCD_OPC_FilterValue, 59, 8, 0, // Skip to: 39
+/* 31 */      MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 51
+/* 35 */      MCD_OPC_Decode, 145, 12, 10, // Opcode: SWC3
+/* 39 */      MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 51
+/* 43 */      MCD_OPC_CheckPredicate, 2, 4, 0, // Skip to: 51
+/* 47 */      MCD_OPC_Decode, 198, 10, 10, // Opcode: SDC3
+/* 51 */      MCD_OPC_Fail,
+  0
+};
+
 static uint8_t DecoderTableMicroMips32[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 23, 3, // Skip to: 798
+/* 3 */       MCD_OPC_FilterValue, 0, 30, 3, // Skip to: 805
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 10 */      MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 65
 /* 14 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 17 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 29
-/* 21 */      MCD_OPC_CheckPredicate, 1, 5, 5, // Skip to: 1310
-/* 25 */      MCD_OPC_Decode, 220, 9, 10, // Opcode: SLL_MM
+/* 21 */      MCD_OPC_CheckPredicate, 3, 12, 5, // Skip to: 1317
+/* 25 */      MCD_OPC_Decode, 145, 11, 13, // Opcode: SLL_MM
 /* 29 */      MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 41
-/* 33 */      MCD_OPC_CheckPredicate, 1, 249, 4, // Skip to: 1310
-/* 37 */      MCD_OPC_Decode, 157, 10, 10, // Opcode: SRL_MM
+/* 33 */      MCD_OPC_CheckPredicate, 3, 0, 5, // Skip to: 1317
+/* 37 */      MCD_OPC_Decode, 212, 11, 13, // Opcode: SRL_MM
 /* 41 */      MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 53
-/* 45 */      MCD_OPC_CheckPredicate, 1, 237, 4, // Skip to: 1310
-/* 49 */      MCD_OPC_Decode, 137, 10, 10, // Opcode: SRA_MM
-/* 53 */      MCD_OPC_FilterValue, 3, 229, 4, // Skip to: 1310
-/* 57 */      MCD_OPC_CheckPredicate, 1, 225, 4, // Skip to: 1310
-/* 61 */      MCD_OPC_Decode, 255, 8, 10, // Opcode: ROTR_MM
+/* 45 */      MCD_OPC_CheckPredicate, 3, 244, 4, // Skip to: 1317
+/* 49 */      MCD_OPC_Decode, 192, 11, 13, // Opcode: SRA_MM
+/* 53 */      MCD_OPC_FilterValue, 3, 236, 4, // Skip to: 1317
+/* 57 */      MCD_OPC_CheckPredicate, 3, 232, 4, // Skip to: 1317
+/* 61 */      MCD_OPC_Decode, 162, 10, 13, // Opcode: ROTR_MM
 /* 65 */      MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 77
-/* 69 */      MCD_OPC_CheckPredicate, 1, 213, 4, // Skip to: 1310
-/* 73 */      MCD_OPC_Decode, 222, 1, 11, // Opcode: BREAK_MM
+/* 69 */      MCD_OPC_CheckPredicate, 3, 220, 4, // Skip to: 1317
+/* 73 */      MCD_OPC_Decode, 129, 2, 14, // Opcode: BREAK_MM
 /* 77 */      MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 89
-/* 81 */      MCD_OPC_CheckPredicate, 1, 201, 4, // Skip to: 1310
-/* 85 */      MCD_OPC_Decode, 222, 5, 12, // Opcode: INS_MM
+/* 81 */      MCD_OPC_CheckPredicate, 3, 208, 4, // Skip to: 1317
+/* 85 */      MCD_OPC_Decode, 199, 6, 15, // Opcode: INS_MM
 /* 89 */      MCD_OPC_FilterValue, 16, 180, 0, // Skip to: 273
 /* 93 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 96 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 108
-/* 100 */     MCD_OPC_CheckPredicate, 1, 182, 4, // Skip to: 1310
-/* 104 */     MCD_OPC_Decode, 216, 9, 13, // Opcode: SLLV_MM
+/* 100 */     MCD_OPC_CheckPredicate, 3, 189, 4, // Skip to: 1317
+/* 104 */     MCD_OPC_Decode, 141, 11, 16, // Opcode: SLLV_MM
 /* 108 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 120
-/* 112 */     MCD_OPC_CheckPredicate, 1, 170, 4, // Skip to: 1310
-/* 116 */     MCD_OPC_Decode, 153, 10, 13, // Opcode: SRLV_MM
+/* 112 */     MCD_OPC_CheckPredicate, 3, 177, 4, // Skip to: 1317
+/* 116 */     MCD_OPC_Decode, 208, 11, 16, // Opcode: SRLV_MM
 /* 120 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 132
-/* 124 */     MCD_OPC_CheckPredicate, 1, 158, 4, // Skip to: 1310
-/* 128 */     MCD_OPC_Decode, 133, 10, 13, // Opcode: SRAV_MM
+/* 124 */     MCD_OPC_CheckPredicate, 3, 165, 4, // Skip to: 1317
+/* 128 */     MCD_OPC_Decode, 188, 11, 16, // Opcode: SRAV_MM
 /* 132 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 144
-/* 136 */     MCD_OPC_CheckPredicate, 1, 146, 4, // Skip to: 1310
-/* 140 */     MCD_OPC_Decode, 254, 8, 13, // Opcode: ROTRV_MM
+/* 136 */     MCD_OPC_CheckPredicate, 3, 153, 4, // Skip to: 1317
+/* 140 */     MCD_OPC_Decode, 161, 10, 16, // Opcode: ROTRV_MM
 /* 144 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 155
-/* 148 */     MCD_OPC_CheckPredicate, 1, 134, 4, // Skip to: 1310
-/* 152 */     MCD_OPC_Decode, 62, 14, // Opcode: ADD_MM
+/* 148 */     MCD_OPC_CheckPredicate, 3, 141, 4, // Skip to: 1317
+/* 152 */     MCD_OPC_Decode, 64, 17, // Opcode: ADD_MM
 /* 155 */     MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 166
-/* 159 */     MCD_OPC_CheckPredicate, 1, 123, 4, // Skip to: 1310
-/* 163 */     MCD_OPC_Decode, 68, 14, // Opcode: ADDu_MM
+/* 159 */     MCD_OPC_CheckPredicate, 3, 130, 4, // Skip to: 1317
+/* 163 */     MCD_OPC_Decode, 70, 17, // Opcode: ADDu_MM
 /* 166 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 178
-/* 170 */     MCD_OPC_CheckPredicate, 1, 112, 4, // Skip to: 1310
-/* 174 */     MCD_OPC_Decode, 205, 10, 14, // Opcode: SUB_MM
+/* 170 */     MCD_OPC_CheckPredicate, 3, 119, 4, // Skip to: 1317
+/* 174 */     MCD_OPC_Decode, 133, 12, 17, // Opcode: SUB_MM
 /* 178 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 190
-/* 182 */     MCD_OPC_CheckPredicate, 1, 100, 4, // Skip to: 1310
-/* 186 */     MCD_OPC_Decode, 207, 10, 14, // Opcode: SUBu_MM
+/* 182 */     MCD_OPC_CheckPredicate, 3, 107, 4, // Skip to: 1317
+/* 186 */     MCD_OPC_Decode, 135, 12, 17, // Opcode: SUBu_MM
 /* 190 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 202
-/* 194 */     MCD_OPC_CheckPredicate, 1, 88, 4, // Skip to: 1310
-/* 198 */     MCD_OPC_Decode, 251, 7, 14, // Opcode: MUL_MM
+/* 194 */     MCD_OPC_CheckPredicate, 3, 95, 4, // Skip to: 1317
+/* 198 */     MCD_OPC_Decode, 149, 9, 17, // Opcode: MUL_MM
 /* 202 */     MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 213
-/* 206 */     MCD_OPC_CheckPredicate, 1, 76, 4, // Skip to: 1310
-/* 210 */     MCD_OPC_Decode, 74, 14, // Opcode: AND_MM
+/* 206 */     MCD_OPC_CheckPredicate, 3, 83, 4, // Skip to: 1317
+/* 210 */     MCD_OPC_Decode, 78, 17, // Opcode: AND_MM
 /* 213 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 225
-/* 217 */     MCD_OPC_CheckPredicate, 1, 65, 4, // Skip to: 1310
-/* 221 */     MCD_OPC_Decode, 168, 8, 14, // Opcode: OR_MM
+/* 217 */     MCD_OPC_CheckPredicate, 3, 72, 4, // Skip to: 1317
+/* 221 */     MCD_OPC_Decode, 195, 9, 17, // Opcode: OR_MM
 /* 225 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 237
-/* 229 */     MCD_OPC_CheckPredicate, 1, 53, 4, // Skip to: 1310
-/* 233 */     MCD_OPC_Decode, 158, 8, 14, // Opcode: NOR_MM
+/* 229 */     MCD_OPC_CheckPredicate, 3, 60, 4, // Skip to: 1317
+/* 233 */     MCD_OPC_Decode, 185, 9, 17, // Opcode: NOR_MM
 /* 237 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 249
-/* 241 */     MCD_OPC_CheckPredicate, 1, 41, 4, // Skip to: 1310
-/* 245 */     MCD_OPC_Decode, 195, 11, 14, // Opcode: XOR_MM
+/* 241 */     MCD_OPC_CheckPredicate, 3, 48, 4, // Skip to: 1317
+/* 245 */     MCD_OPC_Decode, 132, 13, 17, // Opcode: XOR_MM
 /* 249 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 261
-/* 253 */     MCD_OPC_CheckPredicate, 1, 29, 4, // Skip to: 1310
-/* 257 */     MCD_OPC_Decode, 224, 9, 14, // Opcode: SLT_MM
-/* 261 */     MCD_OPC_FilterValue, 14, 21, 4, // Skip to: 1310
-/* 265 */     MCD_OPC_CheckPredicate, 1, 17, 4, // Skip to: 1310
-/* 269 */     MCD_OPC_Decode, 233, 9, 14, // Opcode: SLTu_MM
+/* 253 */     MCD_OPC_CheckPredicate, 3, 36, 4, // Skip to: 1317
+/* 257 */     MCD_OPC_Decode, 149, 11, 17, // Opcode: SLT_MM
+/* 261 */     MCD_OPC_FilterValue, 14, 28, 4, // Skip to: 1317
+/* 265 */     MCD_OPC_CheckPredicate, 3, 24, 4, // Skip to: 1317
+/* 269 */     MCD_OPC_Decode, 158, 11, 17, // Opcode: SLTu_MM
 /* 273 */     MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 304
 /* 277 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 280 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 292
-/* 284 */     MCD_OPC_CheckPredicate, 1, 254, 3, // Skip to: 1310
-/* 288 */     MCD_OPC_Decode, 171, 7, 15, // Opcode: MOVN_I_MM
-/* 292 */     MCD_OPC_FilterValue, 1, 246, 3, // Skip to: 1310
-/* 296 */     MCD_OPC_CheckPredicate, 1, 242, 3, // Skip to: 1310
-/* 300 */     MCD_OPC_Decode, 191, 7, 15, // Opcode: MOVZ_I_MM
+/* 284 */     MCD_OPC_CheckPredicate, 3, 5, 4, // Skip to: 1317
+/* 288 */     MCD_OPC_Decode, 185, 8, 18, // Opcode: MOVN_I_MM
+/* 292 */     MCD_OPC_FilterValue, 1, 253, 3, // Skip to: 1317
+/* 296 */     MCD_OPC_CheckPredicate, 3, 249, 3, // Skip to: 1317
+/* 300 */     MCD_OPC_Decode, 205, 8, 18, // Opcode: MOVZ_I_MM
 /* 304 */     MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 316
-/* 308 */     MCD_OPC_CheckPredicate, 1, 230, 3, // Skip to: 1310
-/* 312 */     MCD_OPC_Decode, 142, 4, 16, // Opcode: EXT_MM
-/* 316 */     MCD_OPC_FilterValue, 60, 222, 3, // Skip to: 1310
+/* 308 */     MCD_OPC_CheckPredicate, 3, 237, 3, // Skip to: 1317
+/* 312 */     MCD_OPC_Decode, 241, 4, 19, // Opcode: EXT_MM
+/* 316 */     MCD_OPC_FilterValue, 60, 229, 3, // Skip to: 1317
 /* 320 */     MCD_OPC_ExtractField, 6, 6,  // Inst{11-6} ...
 /* 323 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 335
-/* 327 */     MCD_OPC_CheckPredicate, 1, 211, 3, // Skip to: 1310
-/* 331 */     MCD_OPC_Decode, 152, 11, 17, // Opcode: TEQ_MM
+/* 327 */     MCD_OPC_CheckPredicate, 3, 218, 3, // Skip to: 1317
+/* 331 */     MCD_OPC_Decode, 210, 12, 20, // Opcode: TEQ_MM
 /* 335 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 347
-/* 339 */     MCD_OPC_CheckPredicate, 1, 199, 3, // Skip to: 1310
-/* 343 */     MCD_OPC_Decode, 160, 11, 17, // Opcode: TGE_MM
-/* 347 */     MCD_OPC_FilterValue, 13, 39, 0, // Skip to: 390
-/* 351 */     MCD_OPC_ExtractField, 12, 14,  // Inst{25-12} ...
+/* 339 */     MCD_OPC_CheckPredicate, 3, 206, 3, // Skip to: 1317
+/* 343 */     MCD_OPC_Decode, 218, 12, 20, // Opcode: TGE_MM
+/* 347 */     MCD_OPC_FilterValue, 13, 51, 0, // Skip to: 402
+/* 351 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
 /* 354 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 366
-/* 358 */     MCD_OPC_CheckPredicate, 1, 180, 3, // Skip to: 1310
-/* 362 */     MCD_OPC_Decode, 188, 11, 0, // Opcode: WAIT_MM
-/* 366 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 378
-/* 370 */     MCD_OPC_CheckPredicate, 1, 168, 3, // Skip to: 1310
-/* 374 */     MCD_OPC_Decode, 173, 3, 0, // Opcode: DERET_MM
-/* 378 */     MCD_OPC_FilterValue, 15, 160, 3, // Skip to: 1310
-/* 382 */     MCD_OPC_CheckPredicate, 1, 156, 3, // Skip to: 1310
-/* 386 */     MCD_OPC_Decode, 128, 4, 0, // Opcode: ERET_MM
-/* 390 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 402
-/* 394 */     MCD_OPC_CheckPredicate, 1, 144, 3, // Skip to: 1310
-/* 398 */     MCD_OPC_Decode, 159, 11, 17, // Opcode: TGEU_MM
-/* 402 */     MCD_OPC_FilterValue, 29, 39, 0, // Skip to: 445
-/* 406 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 409 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 427
-/* 413 */     MCD_OPC_CheckPredicate, 1, 125, 3, // Skip to: 1310
-/* 417 */     MCD_OPC_CheckField, 21, 5, 0, 119, 3, // Skip to: 1310
-/* 423 */     MCD_OPC_Decode, 189, 3, 18, // Opcode: DI_MM
-/* 427 */     MCD_OPC_FilterValue, 5, 111, 3, // Skip to: 1310
-/* 431 */     MCD_OPC_CheckPredicate, 1, 107, 3, // Skip to: 1310
-/* 435 */     MCD_OPC_CheckField, 21, 5, 0, 101, 3, // Skip to: 1310
-/* 441 */     MCD_OPC_Decode, 254, 3, 18, // Opcode: EI_MM
-/* 445 */     MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 457
-/* 449 */     MCD_OPC_CheckPredicate, 1, 89, 3, // Skip to: 1310
-/* 453 */     MCD_OPC_Decode, 167, 11, 17, // Opcode: TLT_MM
-/* 457 */     MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 469
-/* 461 */     MCD_OPC_CheckPredicate, 1, 77, 3, // Skip to: 1310
-/* 465 */     MCD_OPC_Decode, 166, 11, 17, // Opcode: TLTU_MM
-/* 469 */     MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 487
-/* 473 */     MCD_OPC_CheckPredicate, 1, 65, 3, // Skip to: 1310
-/* 477 */     MCD_OPC_CheckField, 12, 4, 8, 59, 3, // Skip to: 1310
-/* 483 */     MCD_OPC_Decode, 228, 10, 19, // Opcode: SYSCALL_MM
-/* 487 */     MCD_OPC_FilterValue, 44, 159, 0, // Skip to: 650
-/* 491 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 494 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 506
-/* 498 */     MCD_OPC_CheckPredicate, 1, 40, 3, // Skip to: 1310
-/* 502 */     MCD_OPC_Decode, 166, 9, 20, // Opcode: SEB_MM
-/* 506 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 518
-/* 510 */     MCD_OPC_CheckPredicate, 1, 28, 3, // Skip to: 1310
-/* 514 */     MCD_OPC_Decode, 169, 9, 20, // Opcode: SEH_MM
-/* 518 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 530
-/* 522 */     MCD_OPC_CheckPredicate, 1, 16, 3, // Skip to: 1310
-/* 526 */     MCD_OPC_Decode, 175, 2, 20, // Opcode: CLO_MM
-/* 530 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 542
-/* 534 */     MCD_OPC_CheckPredicate, 1, 4, 3, // Skip to: 1310
-/* 538 */     MCD_OPC_Decode, 193, 2, 20, // Opcode: CLZ_MM
-/* 542 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 554
-/* 546 */     MCD_OPC_CheckPredicate, 1, 248, 2, // Skip to: 1310
-/* 550 */     MCD_OPC_Decode, 191, 11, 20, // Opcode: WSBH_MM
-/* 554 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 566
-/* 558 */     MCD_OPC_CheckPredicate, 1, 236, 2, // Skip to: 1310
-/* 562 */     MCD_OPC_Decode, 244, 7, 21, // Opcode: MULT_MM
-/* 566 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 578
-/* 570 */     MCD_OPC_CheckPredicate, 1, 224, 2, // Skip to: 1310
-/* 574 */     MCD_OPC_Decode, 246, 7, 21, // Opcode: MULTu_MM
-/* 578 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 590
-/* 582 */     MCD_OPC_CheckPredicate, 1, 212, 2, // Skip to: 1310
-/* 586 */     MCD_OPC_Decode, 159, 9, 21, // Opcode: SDIV_MM
-/* 590 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 602
-/* 594 */     MCD_OPC_CheckPredicate, 1, 200, 2, // Skip to: 1310
-/* 598 */     MCD_OPC_Decode, 182, 11, 21, // Opcode: UDIV_MM
-/* 602 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 614
-/* 606 */     MCD_OPC_CheckPredicate, 1, 188, 2, // Skip to: 1310
-/* 610 */     MCD_OPC_Decode, 207, 6, 21, // Opcode: MADD_MM
-/* 614 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 626
-/* 618 */     MCD_OPC_CheckPredicate, 1, 176, 2, // Skip to: 1310
-/* 622 */     MCD_OPC_Decode, 198, 6, 21, // Opcode: MADDU_MM
-/* 626 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 638
-/* 630 */     MCD_OPC_CheckPredicate, 1, 164, 2, // Skip to: 1310
-/* 634 */     MCD_OPC_Decode, 208, 7, 21, // Opcode: MSUB_MM
-/* 638 */     MCD_OPC_FilterValue, 15, 156, 2, // Skip to: 1310
-/* 642 */     MCD_OPC_CheckPredicate, 1, 152, 2, // Skip to: 1310
-/* 646 */     MCD_OPC_Decode, 199, 7, 21, // Opcode: MSUBU_MM
-/* 650 */     MCD_OPC_FilterValue, 45, 20, 0, // Skip to: 674
-/* 654 */     MCD_OPC_CheckPredicate, 1, 140, 2, // Skip to: 1310
-/* 658 */     MCD_OPC_CheckField, 21, 5, 0, 134, 2, // Skip to: 1310
-/* 664 */     MCD_OPC_CheckField, 12, 4, 6, 128, 2, // Skip to: 1310
-/* 670 */     MCD_OPC_Decode, 226, 10, 22, // Opcode: SYNC_MM
-/* 674 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 686
-/* 678 */     MCD_OPC_CheckPredicate, 1, 116, 2, // Skip to: 1310
-/* 682 */     MCD_OPC_Decode, 171, 11, 17, // Opcode: TNE_MM
-/* 686 */     MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 765
-/* 690 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 693 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 711
-/* 697 */     MCD_OPC_CheckPredicate, 1, 97, 2, // Skip to: 1310
-/* 701 */     MCD_OPC_CheckField, 21, 5, 0, 91, 2, // Skip to: 1310
-/* 707 */     MCD_OPC_Decode, 245, 6, 18, // Opcode: MFHI_MM
-/* 711 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 729
-/* 715 */     MCD_OPC_CheckPredicate, 1, 79, 2, // Skip to: 1310
-/* 719 */     MCD_OPC_CheckField, 21, 5, 0, 73, 2, // Skip to: 1310
-/* 725 */     MCD_OPC_Decode, 249, 6, 18, // Opcode: MFLO_MM
-/* 729 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 747
-/* 733 */     MCD_OPC_CheckPredicate, 1, 61, 2, // Skip to: 1310
-/* 737 */     MCD_OPC_CheckField, 21, 5, 0, 55, 2, // Skip to: 1310
-/* 743 */     MCD_OPC_Decode, 222, 7, 18, // Opcode: MTHI_MM
-/* 747 */     MCD_OPC_FilterValue, 3, 47, 2, // Skip to: 1310
-/* 751 */     MCD_OPC_CheckPredicate, 1, 43, 2, // Skip to: 1310
-/* 755 */     MCD_OPC_CheckField, 21, 5, 0, 37, 2, // Skip to: 1310
-/* 761 */     MCD_OPC_Decode, 227, 7, 18, // Opcode: MTLO_MM
-/* 765 */     MCD_OPC_FilterValue, 60, 29, 2, // Skip to: 1310
-/* 769 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 772 */     MCD_OPC_FilterValue, 0, 22, 2, // Skip to: 1310
-/* 776 */     MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 790
-/* 780 */     MCD_OPC_CheckField, 21, 5, 0, 4, 0, // Skip to: 790
-/* 786 */     MCD_OPC_Decode, 233, 5, 18, // Opcode: JR_MM
-/* 790 */     MCD_OPC_CheckPredicate, 1, 4, 2, // Skip to: 1310
-/* 794 */     MCD_OPC_Decode, 229, 5, 20, // Opcode: JALR_MM
-/* 798 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 809
-/* 802 */     MCD_OPC_CheckPredicate, 1, 248, 1, // Skip to: 1310
-/* 806 */     MCD_OPC_Decode, 64, 23, // Opcode: ADDi_MM
-/* 809 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 821
-/* 813 */     MCD_OPC_CheckPredicate, 1, 237, 1, // Skip to: 1310
-/* 817 */     MCD_OPC_Decode, 247, 5, 24, // Opcode: LBu_MM
-/* 821 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 833
-/* 825 */     MCD_OPC_CheckPredicate, 1, 225, 1, // Skip to: 1310
-/* 829 */     MCD_OPC_Decode, 149, 9, 24, // Opcode: SB_MM
-/* 833 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 845
-/* 837 */     MCD_OPC_CheckPredicate, 1, 213, 1, // Skip to: 1310
-/* 841 */     MCD_OPC_Decode, 244, 5, 24, // Opcode: LB_MM
-/* 845 */     MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 856
-/* 849 */     MCD_OPC_CheckPredicate, 1, 201, 1, // Skip to: 1310
-/* 853 */     MCD_OPC_Decode, 66, 23, // Opcode: ADDiu_MM
-/* 856 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 868
-/* 860 */     MCD_OPC_CheckPredicate, 1, 190, 1, // Skip to: 1310
-/* 864 */     MCD_OPC_Decode, 146, 6, 24, // Opcode: LHu_MM
-/* 868 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 880
-/* 872 */     MCD_OPC_CheckPredicate, 1, 178, 1, // Skip to: 1310
-/* 876 */     MCD_OPC_Decode, 199, 9, 24, // Opcode: SH_MM
-/* 880 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 892
-/* 884 */     MCD_OPC_CheckPredicate, 1, 166, 1, // Skip to: 1310
-/* 888 */     MCD_OPC_Decode, 143, 6, 24, // Opcode: LH_MM
-/* 892 */     MCD_OPC_FilterValue, 16, 159, 0, // Skip to: 1055
-/* 896 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 899 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 911
-/* 903 */     MCD_OPC_CheckPredicate, 1, 147, 1, // Skip to: 1310
-/* 907 */     MCD_OPC_Decode, 198, 1, 25, // Opcode: BLTZ_MM
-/* 911 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 923
-/* 915 */     MCD_OPC_CheckPredicate, 1, 135, 1, // Skip to: 1310
-/* 919 */     MCD_OPC_Decode, 197, 1, 25, // Opcode: BLTZAL_MM
-/* 923 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 935
-/* 927 */     MCD_OPC_CheckPredicate, 1, 123, 1, // Skip to: 1310
-/* 931 */     MCD_OPC_Decode, 170, 1, 25, // Opcode: BGEZ_MM
-/* 935 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 947
-/* 939 */     MCD_OPC_CheckPredicate, 1, 111, 1, // Skip to: 1310
-/* 943 */     MCD_OPC_Decode, 169, 1, 25, // Opcode: BGEZAL_MM
-/* 947 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 959
-/* 951 */     MCD_OPC_CheckPredicate, 1, 99, 1, // Skip to: 1310
-/* 955 */     MCD_OPC_Decode, 193, 1, 25, // Opcode: BLEZ_MM
-/* 959 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 971
-/* 963 */     MCD_OPC_CheckPredicate, 1, 87, 1, // Skip to: 1310
-/* 967 */     MCD_OPC_Decode, 173, 1, 25, // Opcode: BGTZ_MM
-/* 971 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 983
-/* 975 */     MCD_OPC_CheckPredicate, 1, 75, 1, // Skip to: 1310
-/* 979 */     MCD_OPC_Decode, 164, 11, 26, // Opcode: TLTI_MM
-/* 983 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 995
-/* 987 */     MCD_OPC_CheckPredicate, 1, 63, 1, // Skip to: 1310
-/* 991 */     MCD_OPC_Decode, 157, 11, 26, // Opcode: TGEI_MM
-/* 995 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1007
-/* 999 */     MCD_OPC_CheckPredicate, 1, 51, 1, // Skip to: 1310
-/* 1003 */    MCD_OPC_Decode, 163, 11, 26, // Opcode: TLTIU_MM
-/* 1007 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1019
-/* 1011 */    MCD_OPC_CheckPredicate, 1, 39, 1, // Skip to: 1310
-/* 1015 */    MCD_OPC_Decode, 156, 11, 26, // Opcode: TGEIU_MM
-/* 1019 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1031
-/* 1023 */    MCD_OPC_CheckPredicate, 1, 27, 1, // Skip to: 1310
-/* 1027 */    MCD_OPC_Decode, 170, 11, 26, // Opcode: TNEI_MM
-/* 1031 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1043
-/* 1035 */    MCD_OPC_CheckPredicate, 1, 15, 1, // Skip to: 1310
-/* 1039 */    MCD_OPC_Decode, 160, 6, 26, // Opcode: LUi_MM
-/* 1043 */    MCD_OPC_FilterValue, 14, 7, 1, // Skip to: 1310
-/* 1047 */    MCD_OPC_CheckPredicate, 1, 3, 1, // Skip to: 1310
-/* 1051 */    MCD_OPC_Decode, 151, 11, 26, // Opcode: TEQI_MM
-/* 1055 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 1067
-/* 1059 */    MCD_OPC_CheckPredicate, 1, 247, 0, // Skip to: 1310
-/* 1063 */    MCD_OPC_Decode, 175, 8, 27, // Opcode: ORi_MM
-/* 1067 */    MCD_OPC_FilterValue, 21, 29, 0, // Skip to: 1100
-/* 1071 */    MCD_OPC_ExtractField, 0, 13,  // Inst{12-0} ...
-/* 1074 */    MCD_OPC_FilterValue, 251, 2, 8, 0, // Skip to: 1087
-/* 1079 */    MCD_OPC_CheckPredicate, 1, 227, 0, // Skip to: 1310
-/* 1083 */    MCD_OPC_Decode, 159, 7, 28, // Opcode: MOVF_I_MM
-/* 1087 */    MCD_OPC_FilterValue, 251, 18, 218, 0, // Skip to: 1310
-/* 1092 */    MCD_OPC_CheckPredicate, 1, 214, 0, // Skip to: 1310
-/* 1096 */    MCD_OPC_Decode, 179, 7, 28, // Opcode: MOVT_I_MM
-/* 1100 */    MCD_OPC_FilterValue, 24, 87, 0, // Skip to: 1191
-/* 1104 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 1107 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1119
-/* 1111 */    MCD_OPC_CheckPredicate, 1, 195, 0, // Skip to: 1310
-/* 1115 */    MCD_OPC_Decode, 168, 6, 29, // Opcode: LWL_MM
-/* 1119 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1131
-/* 1123 */    MCD_OPC_CheckPredicate, 1, 183, 0, // Skip to: 1310
-/* 1127 */    MCD_OPC_Decode, 171, 6, 29, // Opcode: LWR_MM
-/* 1131 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1143
-/* 1135 */    MCD_OPC_CheckPredicate, 1, 171, 0, // Skip to: 1310
-/* 1139 */    MCD_OPC_Decode, 149, 6, 29, // Opcode: LL_MM
-/* 1143 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1155
-/* 1147 */    MCD_OPC_CheckPredicate, 1, 159, 0, // Skip to: 1310
-/* 1151 */    MCD_OPC_Decode, 218, 10, 29, // Opcode: SWL_MM
-/* 1155 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1167
-/* 1159 */    MCD_OPC_CheckPredicate, 1, 147, 0, // Skip to: 1310
-/* 1163 */    MCD_OPC_Decode, 221, 10, 29, // Opcode: SWR_MM
-/* 1167 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1179
-/* 1171 */    MCD_OPC_CheckPredicate, 1, 135, 0, // Skip to: 1310
-/* 1175 */    MCD_OPC_Decode, 152, 9, 29, // Opcode: SC_MM
-/* 1179 */    MCD_OPC_FilterValue, 14, 127, 0, // Skip to: 1310
-/* 1183 */    MCD_OPC_CheckPredicate, 1, 123, 0, // Skip to: 1310
-/* 1187 */    MCD_OPC_Decode, 172, 6, 29, // Opcode: LWU_MM
-/* 1191 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1203
-/* 1195 */    MCD_OPC_CheckPredicate, 1, 111, 0, // Skip to: 1310
-/* 1199 */    MCD_OPC_Decode, 202, 11, 27, // Opcode: XORi_MM
-/* 1203 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 1215
-/* 1207 */    MCD_OPC_CheckPredicate, 1, 99, 0, // Skip to: 1310
-/* 1211 */    MCD_OPC_Decode, 227, 9, 23, // Opcode: SLTi_MM
-/* 1215 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 1227
-/* 1219 */    MCD_OPC_CheckPredicate, 1, 87, 0, // Skip to: 1310
-/* 1223 */    MCD_OPC_Decode, 165, 1, 30, // Opcode: BEQ_MM
-/* 1227 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 1239
-/* 1231 */    MCD_OPC_CheckPredicate, 1, 75, 0, // Skip to: 1310
-/* 1235 */    MCD_OPC_Decode, 230, 9, 23, // Opcode: SLTiu_MM
-/* 1239 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 1251
-/* 1243 */    MCD_OPC_CheckPredicate, 1, 63, 0, // Skip to: 1310
-/* 1247 */    MCD_OPC_Decode, 213, 1, 30, // Opcode: BNE_MM
-/* 1251 */    MCD_OPC_FilterValue, 52, 7, 0, // Skip to: 1262
-/* 1255 */    MCD_OPC_CheckPredicate, 1, 51, 0, // Skip to: 1310
-/* 1259 */    MCD_OPC_Decode, 81, 27, // Opcode: ANDi_MM
-/* 1262 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 1274
-/* 1266 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 1310
-/* 1270 */    MCD_OPC_Decode, 234, 5, 31, // Opcode: J_MM
-/* 1274 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 1286
-/* 1278 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 1310
-/* 1282 */    MCD_OPC_Decode, 230, 5, 31, // Opcode: JAL_MM
-/* 1286 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 1298
-/* 1290 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 1310
-/* 1294 */    MCD_OPC_Decode, 224, 10, 24, // Opcode: SW_MM
-/* 1298 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 1310
-/* 1302 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 1310
-/* 1306 */    MCD_OPC_Decode, 176, 6, 24, // Opcode: LW_MM
-/* 1310 */    MCD_OPC_Fail,
+/* 358 */     MCD_OPC_CheckPredicate, 3, 187, 3, // Skip to: 1317
+/* 362 */     MCD_OPC_Decode, 253, 12, 21, // Opcode: WAIT_MM
+/* 366 */     MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 384
+/* 370 */     MCD_OPC_CheckPredicate, 3, 175, 3, // Skip to: 1317
+/* 374 */     MCD_OPC_CheckField, 16, 10, 0, 169, 3, // Skip to: 1317
+/* 380 */     MCD_OPC_Decode, 129, 4, 0, // Opcode: DERET_MM
+/* 384 */     MCD_OPC_FilterValue, 15, 161, 3, // Skip to: 1317
+/* 388 */     MCD_OPC_CheckPredicate, 3, 157, 3, // Skip to: 1317
+/* 392 */     MCD_OPC_CheckField, 16, 10, 0, 151, 3, // Skip to: 1317
+/* 398 */     MCD_OPC_Decode, 225, 4, 0, // Opcode: ERET_MM
+/* 402 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 414
+/* 406 */     MCD_OPC_CheckPredicate, 3, 139, 3, // Skip to: 1317
+/* 410 */     MCD_OPC_Decode, 217, 12, 20, // Opcode: TGEU_MM
+/* 414 */     MCD_OPC_FilterValue, 29, 39, 0, // Skip to: 457
+/* 418 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 421 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 439
+/* 425 */     MCD_OPC_CheckPredicate, 3, 120, 3, // Skip to: 1317
+/* 429 */     MCD_OPC_CheckField, 21, 5, 0, 114, 3, // Skip to: 1317
+/* 435 */     MCD_OPC_Decode, 147, 4, 22, // Opcode: DI_MM
+/* 439 */     MCD_OPC_FilterValue, 5, 106, 3, // Skip to: 1317
+/* 443 */     MCD_OPC_CheckPredicate, 3, 102, 3, // Skip to: 1317
+/* 447 */     MCD_OPC_CheckField, 21, 5, 0, 96, 3, // Skip to: 1317
+/* 453 */     MCD_OPC_Decode, 223, 4, 22, // Opcode: EI_MM
+/* 457 */     MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 469
+/* 461 */     MCD_OPC_CheckPredicate, 3, 84, 3, // Skip to: 1317
+/* 465 */     MCD_OPC_Decode, 229, 12, 20, // Opcode: TLT_MM
+/* 469 */     MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 481
+/* 473 */     MCD_OPC_CheckPredicate, 3, 72, 3, // Skip to: 1317
+/* 477 */     MCD_OPC_Decode, 228, 12, 20, // Opcode: TLTU_MM
+/* 481 */     MCD_OPC_FilterValue, 44, 159, 0, // Skip to: 644
+/* 485 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 488 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 500
+/* 492 */     MCD_OPC_CheckPredicate, 3, 53, 3, // Skip to: 1317
+/* 496 */     MCD_OPC_Decode, 207, 10, 23, // Opcode: SEB_MM
+/* 500 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 512
+/* 504 */     MCD_OPC_CheckPredicate, 3, 41, 3, // Skip to: 1317
+/* 508 */     MCD_OPC_Decode, 210, 10, 23, // Opcode: SEH_MM
+/* 512 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 524
+/* 516 */     MCD_OPC_CheckPredicate, 3, 29, 3, // Skip to: 1317
+/* 520 */     MCD_OPC_Decode, 216, 2, 23, // Opcode: CLO_MM
+/* 524 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 536
+/* 528 */     MCD_OPC_CheckPredicate, 3, 17, 3, // Skip to: 1317
+/* 532 */     MCD_OPC_Decode, 235, 2, 23, // Opcode: CLZ_MM
+/* 536 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 548
+/* 540 */     MCD_OPC_CheckPredicate, 3, 5, 3, // Skip to: 1317
+/* 544 */     MCD_OPC_Decode, 128, 13, 23, // Opcode: WSBH_MM
+/* 548 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 560
+/* 552 */     MCD_OPC_CheckPredicate, 3, 249, 2, // Skip to: 1317
+/* 556 */     MCD_OPC_Decode, 141, 9, 24, // Opcode: MULT_MM
+/* 560 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 572
+/* 564 */     MCD_OPC_CheckPredicate, 3, 237, 2, // Skip to: 1317
+/* 568 */     MCD_OPC_Decode, 143, 9, 24, // Opcode: MULTu_MM
+/* 572 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 584
+/* 576 */     MCD_OPC_CheckPredicate, 3, 225, 2, // Skip to: 1317
+/* 580 */     MCD_OPC_Decode, 200, 10, 24, // Opcode: SDIV_MM
+/* 584 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 596
+/* 588 */     MCD_OPC_CheckPredicate, 3, 213, 2, // Skip to: 1317
+/* 592 */     MCD_OPC_Decode, 244, 12, 24, // Opcode: UDIV_MM
+/* 596 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 608
+/* 600 */     MCD_OPC_CheckPredicate, 3, 201, 2, // Skip to: 1317
+/* 604 */     MCD_OPC_Decode, 207, 7, 24, // Opcode: MADD_MM
+/* 608 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 620
+/* 612 */     MCD_OPC_CheckPredicate, 3, 189, 2, // Skip to: 1317
+/* 616 */     MCD_OPC_Decode, 198, 7, 24, // Opcode: MADDU_MM
+/* 620 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 632
+/* 624 */     MCD_OPC_CheckPredicate, 3, 177, 2, // Skip to: 1317
+/* 628 */     MCD_OPC_Decode, 224, 8, 24, // Opcode: MSUB_MM
+/* 632 */     MCD_OPC_FilterValue, 15, 169, 2, // Skip to: 1317
+/* 636 */     MCD_OPC_CheckPredicate, 3, 165, 2, // Skip to: 1317
+/* 640 */     MCD_OPC_Decode, 215, 8, 24, // Opcode: MSUBU_MM
+/* 644 */     MCD_OPC_FilterValue, 45, 33, 0, // Skip to: 681
+/* 648 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 651 */     MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 669
+/* 655 */     MCD_OPC_CheckPredicate, 3, 146, 2, // Skip to: 1317
+/* 659 */     MCD_OPC_CheckField, 21, 5, 0, 140, 2, // Skip to: 1317
+/* 665 */     MCD_OPC_Decode, 156, 12, 25, // Opcode: SYNC_MM
+/* 669 */     MCD_OPC_FilterValue, 8, 132, 2, // Skip to: 1317
+/* 673 */     MCD_OPC_CheckPredicate, 3, 128, 2, // Skip to: 1317
+/* 677 */     MCD_OPC_Decode, 158, 12, 21, // Opcode: SYSCALL_MM
+/* 681 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 693
+/* 685 */     MCD_OPC_CheckPredicate, 3, 116, 2, // Skip to: 1317
+/* 689 */     MCD_OPC_Decode, 233, 12, 20, // Opcode: TNE_MM
+/* 693 */     MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 772
+/* 697 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 700 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 718
+/* 704 */     MCD_OPC_CheckPredicate, 3, 97, 2, // Skip to: 1317
+/* 708 */     MCD_OPC_CheckField, 21, 5, 0, 91, 2, // Skip to: 1317
+/* 714 */     MCD_OPC_Decode, 251, 7, 22, // Opcode: MFHI_MM
+/* 718 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 736
+/* 722 */     MCD_OPC_CheckPredicate, 3, 79, 2, // Skip to: 1317
+/* 726 */     MCD_OPC_CheckField, 21, 5, 0, 73, 2, // Skip to: 1317
+/* 732 */     MCD_OPC_Decode, 128, 8, 22, // Opcode: MFLO_MM
+/* 736 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 754
+/* 740 */     MCD_OPC_CheckPredicate, 3, 61, 2, // Skip to: 1317
+/* 744 */     MCD_OPC_CheckField, 21, 5, 0, 55, 2, // Skip to: 1317
+/* 750 */     MCD_OPC_Decode, 239, 8, 22, // Opcode: MTHI_MM
+/* 754 */     MCD_OPC_FilterValue, 3, 47, 2, // Skip to: 1317
+/* 758 */     MCD_OPC_CheckPredicate, 3, 43, 2, // Skip to: 1317
+/* 762 */     MCD_OPC_CheckField, 21, 5, 0, 37, 2, // Skip to: 1317
+/* 768 */     MCD_OPC_Decode, 244, 8, 22, // Opcode: MTLO_MM
+/* 772 */     MCD_OPC_FilterValue, 60, 29, 2, // Skip to: 1317
+/* 776 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 779 */     MCD_OPC_FilterValue, 0, 22, 2, // Skip to: 1317
+/* 783 */     MCD_OPC_CheckPredicate, 3, 10, 0, // Skip to: 797
+/* 787 */     MCD_OPC_CheckField, 21, 5, 0, 4, 0, // Skip to: 797
+/* 793 */     MCD_OPC_Decode, 217, 6, 22, // Opcode: JR_MM
+/* 797 */     MCD_OPC_CheckPredicate, 3, 4, 2, // Skip to: 1317
+/* 801 */     MCD_OPC_Decode, 208, 6, 23, // Opcode: JALR_MM
+/* 805 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 816
+/* 809 */     MCD_OPC_CheckPredicate, 3, 248, 1, // Skip to: 1317
+/* 813 */     MCD_OPC_Decode, 66, 26, // Opcode: ADDi_MM
+/* 816 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 828
+/* 820 */     MCD_OPC_CheckPredicate, 3, 237, 1, // Skip to: 1317
+/* 824 */     MCD_OPC_Decode, 231, 6, 27, // Opcode: LBu_MM
+/* 828 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 840
+/* 832 */     MCD_OPC_CheckPredicate, 3, 225, 1, // Skip to: 1317
+/* 836 */     MCD_OPC_Decode, 184, 10, 27, // Opcode: SB_MM
+/* 840 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 852
+/* 844 */     MCD_OPC_CheckPredicate, 3, 213, 1, // Skip to: 1317
+/* 848 */     MCD_OPC_Decode, 228, 6, 27, // Opcode: LB_MM
+/* 852 */     MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 863
+/* 856 */     MCD_OPC_CheckPredicate, 3, 201, 1, // Skip to: 1317
+/* 860 */     MCD_OPC_Decode, 68, 26, // Opcode: ADDiu_MM
+/* 863 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 875
+/* 867 */     MCD_OPC_CheckPredicate, 3, 190, 1, // Skip to: 1317
+/* 871 */     MCD_OPC_Decode, 133, 7, 27, // Opcode: LHu_MM
+/* 875 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 887
+/* 879 */     MCD_OPC_CheckPredicate, 3, 178, 1, // Skip to: 1317
+/* 883 */     MCD_OPC_Decode, 252, 10, 27, // Opcode: SH_MM
+/* 887 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 899
+/* 891 */     MCD_OPC_CheckPredicate, 3, 166, 1, // Skip to: 1317
+/* 895 */     MCD_OPC_Decode, 130, 7, 27, // Opcode: LH_MM
+/* 899 */     MCD_OPC_FilterValue, 16, 159, 0, // Skip to: 1062
+/* 903 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 906 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 918
+/* 910 */     MCD_OPC_CheckPredicate, 3, 147, 1, // Skip to: 1317
+/* 914 */     MCD_OPC_Decode, 228, 1, 28, // Opcode: BLTZ_MM
+/* 918 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 930
+/* 922 */     MCD_OPC_CheckPredicate, 3, 135, 1, // Skip to: 1317
+/* 926 */     MCD_OPC_Decode, 226, 1, 28, // Opcode: BLTZAL_MM
+/* 930 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 942
+/* 934 */     MCD_OPC_CheckPredicate, 3, 123, 1, // Skip to: 1317
+/* 938 */     MCD_OPC_Decode, 191, 1, 28, // Opcode: BGEZ_MM
+/* 942 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 954
+/* 946 */     MCD_OPC_CheckPredicate, 3, 111, 1, // Skip to: 1317
+/* 950 */     MCD_OPC_Decode, 189, 1, 28, // Opcode: BGEZAL_MM
+/* 954 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 966
+/* 958 */     MCD_OPC_CheckPredicate, 3, 99, 1, // Skip to: 1317
+/* 962 */     MCD_OPC_Decode, 219, 1, 28, // Opcode: BLEZ_MM
+/* 966 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 978
+/* 970 */     MCD_OPC_CheckPredicate, 3, 87, 1, // Skip to: 1317
+/* 974 */     MCD_OPC_Decode, 196, 1, 28, // Opcode: BGTZ_MM
+/* 978 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 990
+/* 982 */     MCD_OPC_CheckPredicate, 3, 75, 1, // Skip to: 1317
+/* 986 */     MCD_OPC_Decode, 226, 12, 29, // Opcode: TLTI_MM
+/* 990 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1002
+/* 994 */     MCD_OPC_CheckPredicate, 3, 63, 1, // Skip to: 1317
+/* 998 */     MCD_OPC_Decode, 215, 12, 29, // Opcode: TGEI_MM
+/* 1002 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1014
+/* 1006 */    MCD_OPC_CheckPredicate, 3, 51, 1, // Skip to: 1317
+/* 1010 */    MCD_OPC_Decode, 225, 12, 29, // Opcode: TLTIU_MM
+/* 1014 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1026
+/* 1018 */    MCD_OPC_CheckPredicate, 3, 39, 1, // Skip to: 1317
+/* 1022 */    MCD_OPC_Decode, 214, 12, 29, // Opcode: TGEIU_MM
+/* 1026 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1038
+/* 1030 */    MCD_OPC_CheckPredicate, 3, 27, 1, // Skip to: 1317
+/* 1034 */    MCD_OPC_Decode, 232, 12, 29, // Opcode: TNEI_MM
+/* 1038 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1050
+/* 1042 */    MCD_OPC_CheckPredicate, 3, 15, 1, // Skip to: 1317
+/* 1046 */    MCD_OPC_Decode, 153, 7, 29, // Opcode: LUi_MM
+/* 1050 */    MCD_OPC_FilterValue, 14, 7, 1, // Skip to: 1317
+/* 1054 */    MCD_OPC_CheckPredicate, 3, 3, 1, // Skip to: 1317
+/* 1058 */    MCD_OPC_Decode, 209, 12, 29, // Opcode: TEQI_MM
+/* 1062 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 1074
+/* 1066 */    MCD_OPC_CheckPredicate, 3, 247, 0, // Skip to: 1317
+/* 1070 */    MCD_OPC_Decode, 202, 9, 30, // Opcode: ORi_MM
+/* 1074 */    MCD_OPC_FilterValue, 21, 29, 0, // Skip to: 1107
+/* 1078 */    MCD_OPC_ExtractField, 0, 13,  // Inst{12-0} ...
+/* 1081 */    MCD_OPC_FilterValue, 251, 2, 8, 0, // Skip to: 1094
+/* 1086 */    MCD_OPC_CheckPredicate, 3, 227, 0, // Skip to: 1317
+/* 1090 */    MCD_OPC_Decode, 173, 8, 31, // Opcode: MOVF_I_MM
+/* 1094 */    MCD_OPC_FilterValue, 251, 18, 218, 0, // Skip to: 1317
+/* 1099 */    MCD_OPC_CheckPredicate, 3, 214, 0, // Skip to: 1317
+/* 1103 */    MCD_OPC_Decode, 193, 8, 31, // Opcode: MOVT_I_MM
+/* 1107 */    MCD_OPC_FilterValue, 24, 87, 0, // Skip to: 1198
+/* 1111 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 1114 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1126
+/* 1118 */    MCD_OPC_CheckPredicate, 3, 195, 0, // Skip to: 1317
+/* 1122 */    MCD_OPC_Decode, 163, 7, 32, // Opcode: LWL_MM
+/* 1126 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1138
+/* 1130 */    MCD_OPC_CheckPredicate, 3, 183, 0, // Skip to: 1317
+/* 1134 */    MCD_OPC_Decode, 167, 7, 32, // Opcode: LWR_MM
+/* 1138 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1150
+/* 1142 */    MCD_OPC_CheckPredicate, 3, 171, 0, // Skip to: 1317
+/* 1146 */    MCD_OPC_Decode, 137, 7, 32, // Opcode: LL_MM
+/* 1150 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1162
+/* 1154 */    MCD_OPC_CheckPredicate, 3, 159, 0, // Skip to: 1317
+/* 1158 */    MCD_OPC_Decode, 148, 12, 32, // Opcode: SWL_MM
+/* 1162 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1174
+/* 1166 */    MCD_OPC_CheckPredicate, 3, 147, 0, // Skip to: 1317
+/* 1170 */    MCD_OPC_Decode, 151, 12, 32, // Opcode: SWR_MM
+/* 1174 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1186
+/* 1178 */    MCD_OPC_CheckPredicate, 3, 135, 0, // Skip to: 1317
+/* 1182 */    MCD_OPC_Decode, 188, 10, 32, // Opcode: SC_MM
+/* 1186 */    MCD_OPC_FilterValue, 14, 127, 0, // Skip to: 1317
+/* 1190 */    MCD_OPC_CheckPredicate, 3, 123, 0, // Skip to: 1317
+/* 1194 */    MCD_OPC_Decode, 169, 7, 32, // Opcode: LWU_MM
+/* 1198 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1210
+/* 1202 */    MCD_OPC_CheckPredicate, 3, 111, 0, // Skip to: 1317
+/* 1206 */    MCD_OPC_Decode, 139, 13, 30, // Opcode: XORi_MM
+/* 1210 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 1222
+/* 1214 */    MCD_OPC_CheckPredicate, 3, 99, 0, // Skip to: 1317
+/* 1218 */    MCD_OPC_Decode, 152, 11, 26, // Opcode: SLTi_MM
+/* 1222 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 1234
+/* 1226 */    MCD_OPC_CheckPredicate, 3, 87, 0, // Skip to: 1317
+/* 1230 */    MCD_OPC_Decode, 182, 1, 33, // Opcode: BEQ_MM
+/* 1234 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 1246
+/* 1238 */    MCD_OPC_CheckPredicate, 3, 75, 0, // Skip to: 1317
+/* 1242 */    MCD_OPC_Decode, 155, 11, 26, // Opcode: SLTiu_MM
+/* 1246 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 1258
+/* 1250 */    MCD_OPC_CheckPredicate, 3, 63, 0, // Skip to: 1317
+/* 1254 */    MCD_OPC_Decode, 246, 1, 33, // Opcode: BNE_MM
+/* 1258 */    MCD_OPC_FilterValue, 52, 7, 0, // Skip to: 1269
+/* 1262 */    MCD_OPC_CheckPredicate, 3, 51, 0, // Skip to: 1317
+/* 1266 */    MCD_OPC_Decode, 85, 30, // Opcode: ANDi_MM
+/* 1269 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 1281
+/* 1273 */    MCD_OPC_CheckPredicate, 3, 40, 0, // Skip to: 1317
+/* 1277 */    MCD_OPC_Decode, 218, 6, 34, // Opcode: J_MM
+/* 1281 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 1293
+/* 1285 */    MCD_OPC_CheckPredicate, 3, 28, 0, // Skip to: 1317
+/* 1289 */    MCD_OPC_Decode, 210, 6, 34, // Opcode: JAL_MM
+/* 1293 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 1305
+/* 1297 */    MCD_OPC_CheckPredicate, 3, 16, 0, // Skip to: 1317
+/* 1301 */    MCD_OPC_Decode, 154, 12, 27, // Opcode: SW_MM
+/* 1305 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 1317
+/* 1309 */    MCD_OPC_CheckPredicate, 3, 4, 0, // Skip to: 1317
+/* 1313 */    MCD_OPC_Decode, 173, 7, 27, // Opcode: LW_MM
+/* 1317 */    MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableMips32[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 101, 3, // Skip to: 876
+/* 3 */       MCD_OPC_FilterValue, 0, 173, 3, // Skip to: 948
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 10 */      MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 28
-/* 14 */      MCD_OPC_CheckPredicate, 2, 11, 50, // Skip to: 12829
-/* 18 */      MCD_OPC_CheckField, 21, 5, 0, 5, 50, // Skip to: 12829
-/* 24 */      MCD_OPC_Decode, 208, 9, 32, // Opcode: SLL
-/* 28 */      MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 71
-/* 32 */      MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 35 */      MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 53
-/* 39 */      MCD_OPC_CheckPredicate, 2, 242, 49, // Skip to: 12829
-/* 43 */      MCD_OPC_CheckField, 6, 5, 0, 236, 49, // Skip to: 12829
-/* 49 */      MCD_OPC_Decode, 157, 7, 33, // Opcode: MOVF_I
-/* 53 */      MCD_OPC_FilterValue, 1, 228, 49, // Skip to: 12829
-/* 57 */      MCD_OPC_CheckPredicate, 2, 224, 49, // Skip to: 12829
-/* 61 */      MCD_OPC_CheckField, 6, 5, 0, 218, 49, // Skip to: 12829
-/* 67 */      MCD_OPC_Decode, 177, 7, 33, // Opcode: MOVT_I
-/* 71 */      MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 102
-/* 75 */      MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 78 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 90
-/* 82 */      MCD_OPC_CheckPredicate, 2, 199, 49, // Skip to: 12829
-/* 86 */      MCD_OPC_Decode, 139, 10, 32, // Opcode: SRL
-/* 90 */      MCD_OPC_FilterValue, 1, 191, 49, // Skip to: 12829
-/* 94 */      MCD_OPC_CheckPredicate, 3, 187, 49, // Skip to: 12829
-/* 98 */      MCD_OPC_Decode, 252, 8, 32, // Opcode: ROTR
-/* 102 */     MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 120
-/* 106 */     MCD_OPC_CheckPredicate, 2, 175, 49, // Skip to: 12829
-/* 110 */     MCD_OPC_CheckField, 21, 5, 0, 169, 49, // Skip to: 12829
-/* 116 */     MCD_OPC_Decode, 247, 9, 32, // Opcode: SRA
-/* 120 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 138
-/* 124 */     MCD_OPC_CheckPredicate, 2, 157, 49, // Skip to: 12829
-/* 128 */     MCD_OPC_CheckField, 6, 5, 0, 151, 49, // Skip to: 12829
-/* 134 */     MCD_OPC_Decode, 215, 9, 14, // Opcode: SLLV
-/* 138 */     MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 156
-/* 142 */     MCD_OPC_CheckPredicate, 4, 139, 49, // Skip to: 12829
-/* 146 */     MCD_OPC_CheckField, 8, 3, 0, 133, 49, // Skip to: 12829
-/* 152 */     MCD_OPC_Decode, 154, 6, 34, // Opcode: LSA
-/* 156 */     MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 187
-/* 160 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 163 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 175
-/* 167 */     MCD_OPC_CheckPredicate, 2, 114, 49, // Skip to: 12829
-/* 171 */     MCD_OPC_Decode, 152, 10, 14, // Opcode: SRLV
-/* 175 */     MCD_OPC_FilterValue, 1, 106, 49, // Skip to: 12829
-/* 179 */     MCD_OPC_CheckPredicate, 3, 102, 49, // Skip to: 12829
-/* 183 */     MCD_OPC_Decode, 253, 8, 14, // Opcode: ROTRV
-/* 187 */     MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 205
-/* 191 */     MCD_OPC_CheckPredicate, 2, 90, 49, // Skip to: 12829
-/* 195 */     MCD_OPC_CheckField, 6, 5, 0, 84, 49, // Skip to: 12829
-/* 201 */     MCD_OPC_Decode, 132, 10, 14, // Opcode: SRAV
-/* 205 */     MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 223
-/* 209 */     MCD_OPC_CheckPredicate, 2, 72, 49, // Skip to: 12829
-/* 213 */     MCD_OPC_CheckField, 6, 15, 0, 66, 49, // Skip to: 12829
-/* 219 */     MCD_OPC_Decode, 231, 5, 35, // Opcode: JR
-/* 223 */     MCD_OPC_FilterValue, 9, 20, 0, // Skip to: 247
-/* 227 */     MCD_OPC_CheckPredicate, 2, 54, 49, // Skip to: 12829
-/* 231 */     MCD_OPC_CheckField, 16, 5, 0, 48, 49, // Skip to: 12829
-/* 237 */     MCD_OPC_CheckField, 6, 5, 0, 42, 49, // Skip to: 12829
-/* 243 */     MCD_OPC_Decode, 225, 5, 36, // Opcode: JALR
-/* 247 */     MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 265
-/* 251 */     MCD_OPC_CheckPredicate, 2, 30, 49, // Skip to: 12829
-/* 255 */     MCD_OPC_CheckField, 6, 5, 0, 24, 49, // Skip to: 12829
-/* 261 */     MCD_OPC_Decode, 189, 7, 37, // Opcode: MOVZ_I_I
-/* 265 */     MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 283
-/* 269 */     MCD_OPC_CheckPredicate, 2, 12, 49, // Skip to: 12829
-/* 273 */     MCD_OPC_CheckField, 6, 5, 0, 6, 49, // Skip to: 12829
-/* 279 */     MCD_OPC_Decode, 169, 7, 37, // Opcode: MOVN_I_I
-/* 283 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 295
-/* 287 */     MCD_OPC_CheckPredicate, 2, 250, 48, // Skip to: 12829
-/* 291 */     MCD_OPC_Decode, 227, 10, 38, // Opcode: SYSCALL
-/* 295 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 307
-/* 299 */     MCD_OPC_CheckPredicate, 2, 238, 48, // Skip to: 12829
-/* 303 */     MCD_OPC_Decode, 221, 1, 11, // Opcode: BREAK
-/* 307 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 319
-/* 311 */     MCD_OPC_CheckPredicate, 2, 226, 48, // Skip to: 12829
-/* 315 */     MCD_OPC_Decode, 225, 10, 39, // Opcode: SYNC
-/* 319 */     MCD_OPC_FilterValue, 16, 43, 0, // Skip to: 366
-/* 323 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 326 */     MCD_OPC_FilterValue, 0, 211, 48, // Skip to: 12829
-/* 330 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 333 */     MCD_OPC_FilterValue, 0, 204, 48, // Skip to: 12829
-/* 337 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
-/* 340 */     MCD_OPC_FilterValue, 0, 197, 48, // Skip to: 12829
-/* 344 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 358
-/* 348 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 358
-/* 354 */     MCD_OPC_Decode, 242, 6, 40, // Opcode: MFHI
-/* 358 */     MCD_OPC_CheckPredicate, 5, 179, 48, // Skip to: 12829
-/* 362 */     MCD_OPC_Decode, 244, 6, 41, // Opcode: MFHI_DSP
-/* 366 */     MCD_OPC_FilterValue, 17, 36, 0, // Skip to: 406
+/* 10 */      MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 68
+/* 14 */      MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 17 */      MCD_OPC_FilterValue, 0, 51, 51, // Skip to: 13128
+/* 21 */      MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
+/* 24 */      MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 36
+/* 28 */      MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 60
+/* 32 */      MCD_OPC_Decode, 214, 11, 0, // Opcode: SSNOP
+/* 36 */      MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 48
+/* 40 */      MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 60
+/* 44 */      MCD_OPC_Decode, 221, 4, 0, // Opcode: EHB
+/* 48 */      MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 60
+/* 52 */      MCD_OPC_CheckPredicate, 4, 4, 0, // Skip to: 60
+/* 56 */      MCD_OPC_Decode, 205, 9, 0, // Opcode: PAUSE
+/* 60 */      MCD_OPC_CheckPredicate, 1, 8, 51, // Skip to: 13128
+/* 64 */      MCD_OPC_Decode, 133, 11, 35, // Opcode: SLL
+/* 68 */      MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 111
+/* 72 */      MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 75 */      MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 93
+/* 79 */      MCD_OPC_CheckPredicate, 5, 245, 50, // Skip to: 13128
+/* 83 */      MCD_OPC_CheckField, 6, 5, 0, 239, 50, // Skip to: 13128
+/* 89 */      MCD_OPC_Decode, 171, 8, 36, // Opcode: MOVF_I
+/* 93 */      MCD_OPC_FilterValue, 1, 231, 50, // Skip to: 13128
+/* 97 */      MCD_OPC_CheckPredicate, 5, 227, 50, // Skip to: 13128
+/* 101 */     MCD_OPC_CheckField, 6, 5, 0, 221, 50, // Skip to: 13128
+/* 107 */     MCD_OPC_Decode, 191, 8, 36, // Opcode: MOVT_I
+/* 111 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 142
+/* 115 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 118 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 130
+/* 122 */     MCD_OPC_CheckPredicate, 1, 202, 50, // Skip to: 13128
+/* 126 */     MCD_OPC_Decode, 194, 11, 35, // Opcode: SRL
+/* 130 */     MCD_OPC_FilterValue, 1, 194, 50, // Skip to: 13128
+/* 134 */     MCD_OPC_CheckPredicate, 4, 190, 50, // Skip to: 13128
+/* 138 */     MCD_OPC_Decode, 159, 10, 35, // Opcode: ROTR
+/* 142 */     MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 160
+/* 146 */     MCD_OPC_CheckPredicate, 1, 178, 50, // Skip to: 13128
+/* 150 */     MCD_OPC_CheckField, 21, 5, 0, 172, 50, // Skip to: 13128
+/* 156 */     MCD_OPC_Decode, 174, 11, 35, // Opcode: SRA
+/* 160 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 178
+/* 164 */     MCD_OPC_CheckPredicate, 1, 160, 50, // Skip to: 13128
+/* 168 */     MCD_OPC_CheckField, 6, 5, 0, 154, 50, // Skip to: 13128
+/* 174 */     MCD_OPC_Decode, 140, 11, 17, // Opcode: SLLV
+/* 178 */     MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 196
+/* 182 */     MCD_OPC_CheckPredicate, 6, 142, 50, // Skip to: 13128
+/* 186 */     MCD_OPC_CheckField, 8, 3, 0, 136, 50, // Skip to: 13128
+/* 192 */     MCD_OPC_Decode, 146, 7, 37, // Opcode: LSA
+/* 196 */     MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 227
+/* 200 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 203 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 215
+/* 207 */     MCD_OPC_CheckPredicate, 1, 117, 50, // Skip to: 13128
+/* 211 */     MCD_OPC_Decode, 207, 11, 17, // Opcode: SRLV
+/* 215 */     MCD_OPC_FilterValue, 1, 109, 50, // Skip to: 13128
+/* 219 */     MCD_OPC_CheckPredicate, 4, 105, 50, // Skip to: 13128
+/* 223 */     MCD_OPC_Decode, 160, 10, 17, // Opcode: ROTRV
+/* 227 */     MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 245
+/* 231 */     MCD_OPC_CheckPredicate, 1, 93, 50, // Skip to: 13128
+/* 235 */     MCD_OPC_CheckField, 6, 5, 0, 87, 50, // Skip to: 13128
+/* 241 */     MCD_OPC_Decode, 187, 11, 17, // Opcode: SRAV
+/* 245 */     MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 276
+/* 249 */     MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
+/* 252 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 264
+/* 256 */     MCD_OPC_CheckPredicate, 1, 68, 50, // Skip to: 13128
+/* 260 */     MCD_OPC_Decode, 213, 6, 38, // Opcode: JR
+/* 264 */     MCD_OPC_FilterValue, 16, 60, 50, // Skip to: 13128
+/* 268 */     MCD_OPC_CheckPredicate, 7, 56, 50, // Skip to: 13128
+/* 272 */     MCD_OPC_Decode, 215, 6, 38, // Opcode: JR_HB
+/* 276 */     MCD_OPC_FilterValue, 9, 39, 0, // Skip to: 319
+/* 280 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 283 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 301
+/* 287 */     MCD_OPC_CheckPredicate, 8, 37, 50, // Skip to: 13128
+/* 291 */     MCD_OPC_CheckField, 16, 5, 0, 31, 50, // Skip to: 13128
+/* 297 */     MCD_OPC_Decode, 202, 6, 39, // Opcode: JALR
+/* 301 */     MCD_OPC_FilterValue, 16, 23, 50, // Skip to: 13128
+/* 305 */     MCD_OPC_CheckPredicate, 9, 19, 50, // Skip to: 13128
+/* 309 */     MCD_OPC_CheckField, 16, 5, 0, 13, 50, // Skip to: 13128
+/* 315 */     MCD_OPC_Decode, 207, 6, 39, // Opcode: JALR_HB
+/* 319 */     MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 337
+/* 323 */     MCD_OPC_CheckPredicate, 5, 1, 50, // Skip to: 13128
+/* 327 */     MCD_OPC_CheckField, 6, 5, 0, 251, 49, // Skip to: 13128
+/* 333 */     MCD_OPC_Decode, 203, 8, 40, // Opcode: MOVZ_I_I
+/* 337 */     MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 355
+/* 341 */     MCD_OPC_CheckPredicate, 5, 239, 49, // Skip to: 13128
+/* 345 */     MCD_OPC_CheckField, 6, 5, 0, 233, 49, // Skip to: 13128
+/* 351 */     MCD_OPC_Decode, 183, 8, 40, // Opcode: MOVN_I_I
+/* 355 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 367
+/* 359 */     MCD_OPC_CheckPredicate, 1, 221, 49, // Skip to: 13128
+/* 363 */     MCD_OPC_Decode, 157, 12, 41, // Opcode: SYSCALL
+/* 367 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 379
+/* 371 */     MCD_OPC_CheckPredicate, 1, 209, 49, // Skip to: 13128
+/* 375 */     MCD_OPC_Decode, 128, 2, 14, // Opcode: BREAK
+/* 379 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 391
+/* 383 */     MCD_OPC_CheckPredicate, 9, 197, 49, // Skip to: 13128
+/* 387 */     MCD_OPC_Decode, 155, 12, 42, // Opcode: SYNC
+/* 391 */     MCD_OPC_FilterValue, 16, 43, 0, // Skip to: 438
+/* 395 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 398 */     MCD_OPC_FilterValue, 0, 182, 49, // Skip to: 13128
+/* 402 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 405 */     MCD_OPC_FilterValue, 0, 175, 49, // Skip to: 13128
+/* 409 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
+/* 412 */     MCD_OPC_FilterValue, 0, 168, 49, // Skip to: 13128
+/* 416 */     MCD_OPC_CheckPredicate, 10, 10, 0, // Skip to: 430
+/* 420 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 430
+/* 426 */     MCD_OPC_Decode, 247, 7, 43, // Opcode: MFHI
+/* 430 */     MCD_OPC_CheckPredicate, 11, 150, 49, // Skip to: 13128
+/* 434 */     MCD_OPC_Decode, 250, 7, 44, // Opcode: MFHI_DSP
+/* 438 */     MCD_OPC_FilterValue, 17, 36, 0, // Skip to: 478
+/* 442 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 445 */     MCD_OPC_FilterValue, 0, 135, 49, // Skip to: 13128
+/* 449 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
+/* 452 */     MCD_OPC_FilterValue, 0, 128, 49, // Skip to: 13128
+/* 456 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 470
+/* 460 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 470
+/* 466 */     MCD_OPC_Decode, 236, 8, 38, // Opcode: MTHI
+/* 470 */     MCD_OPC_CheckPredicate, 11, 110, 49, // Skip to: 13128
+/* 474 */     MCD_OPC_Decode, 238, 8, 45, // Opcode: MTHI_DSP
+/* 478 */     MCD_OPC_FilterValue, 18, 43, 0, // Skip to: 525
+/* 482 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 485 */     MCD_OPC_FilterValue, 0, 95, 49, // Skip to: 13128
+/* 489 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 492 */     MCD_OPC_FilterValue, 0, 88, 49, // Skip to: 13128
+/* 496 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
+/* 499 */     MCD_OPC_FilterValue, 0, 81, 49, // Skip to: 13128
+/* 503 */     MCD_OPC_CheckPredicate, 10, 10, 0, // Skip to: 517
+/* 507 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 517
+/* 513 */     MCD_OPC_Decode, 252, 7, 43, // Opcode: MFLO
+/* 517 */     MCD_OPC_CheckPredicate, 11, 63, 49, // Skip to: 13128
+/* 521 */     MCD_OPC_Decode, 255, 7, 44, // Opcode: MFLO_DSP
+/* 525 */     MCD_OPC_FilterValue, 19, 36, 0, // Skip to: 565
+/* 529 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 532 */     MCD_OPC_FilterValue, 0, 48, 49, // Skip to: 13128
+/* 536 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
+/* 539 */     MCD_OPC_FilterValue, 0, 41, 49, // Skip to: 13128
+/* 543 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 557
+/* 547 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 557
+/* 553 */     MCD_OPC_Decode, 241, 8, 38, // Opcode: MTLO
+/* 557 */     MCD_OPC_CheckPredicate, 11, 23, 49, // Skip to: 13128
+/* 561 */     MCD_OPC_Decode, 243, 8, 46, // Opcode: MTLO_DSP
+/* 565 */     MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 583
+/* 569 */     MCD_OPC_CheckPredicate, 13, 11, 49, // Skip to: 13128
+/* 573 */     MCD_OPC_CheckField, 8, 3, 0, 5, 49, // Skip to: 13128
+/* 579 */     MCD_OPC_Decode, 148, 4, 47, // Opcode: DLSA
+/* 583 */     MCD_OPC_FilterValue, 24, 36, 0, // Skip to: 623
+/* 587 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 590 */     MCD_OPC_FilterValue, 0, 246, 48, // Skip to: 13128
+/* 594 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 597 */     MCD_OPC_FilterValue, 0, 239, 48, // Skip to: 13128
+/* 601 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 615
+/* 605 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 615
+/* 611 */     MCD_OPC_Decode, 138, 9, 23, // Opcode: MULT
+/* 615 */     MCD_OPC_CheckPredicate, 11, 221, 48, // Skip to: 13128
+/* 619 */     MCD_OPC_Decode, 140, 9, 48, // Opcode: MULT_DSP
+/* 623 */     MCD_OPC_FilterValue, 25, 36, 0, // Skip to: 663
+/* 627 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 630 */     MCD_OPC_FilterValue, 0, 206, 48, // Skip to: 13128
+/* 634 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 637 */     MCD_OPC_FilterValue, 0, 199, 48, // Skip to: 13128
+/* 641 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 655
+/* 645 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 655
+/* 651 */     MCD_OPC_Decode, 142, 9, 23, // Opcode: MULTu
+/* 655 */     MCD_OPC_CheckPredicate, 11, 181, 48, // Skip to: 13128
+/* 659 */     MCD_OPC_Decode, 139, 9, 48, // Opcode: MULTU_DSP
+/* 663 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 681
+/* 667 */     MCD_OPC_CheckPredicate, 12, 169, 48, // Skip to: 13128
+/* 671 */     MCD_OPC_CheckField, 6, 10, 0, 163, 48, // Skip to: 13128
+/* 677 */     MCD_OPC_Decode, 199, 10, 23, // Opcode: SDIV
+/* 681 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 699
+/* 685 */     MCD_OPC_CheckPredicate, 12, 151, 48, // Skip to: 13128
+/* 689 */     MCD_OPC_CheckField, 6, 10, 0, 145, 48, // Skip to: 13128
+/* 695 */     MCD_OPC_Decode, 243, 12, 23, // Opcode: UDIV
+/* 699 */     MCD_OPC_FilterValue, 32, 13, 0, // Skip to: 716
+/* 703 */     MCD_OPC_CheckPredicate, 1, 133, 48, // Skip to: 13128
+/* 707 */     MCD_OPC_CheckField, 6, 5, 0, 127, 48, // Skip to: 13128
+/* 713 */     MCD_OPC_Decode, 23, 16, // Opcode: ADD
+/* 716 */     MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 733
+/* 720 */     MCD_OPC_CheckPredicate, 1, 116, 48, // Skip to: 13128
+/* 724 */     MCD_OPC_CheckField, 6, 5, 0, 110, 48, // Skip to: 13128
+/* 730 */     MCD_OPC_Decode, 69, 16, // Opcode: ADDu
+/* 733 */     MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 751
+/* 737 */     MCD_OPC_CheckPredicate, 1, 99, 48, // Skip to: 13128
+/* 741 */     MCD_OPC_CheckField, 6, 5, 0, 93, 48, // Skip to: 13128
+/* 747 */     MCD_OPC_Decode, 223, 11, 16, // Opcode: SUB
+/* 751 */     MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 769
+/* 755 */     MCD_OPC_CheckPredicate, 1, 81, 48, // Skip to: 13128
+/* 759 */     MCD_OPC_CheckField, 6, 5, 0, 75, 48, // Skip to: 13128
+/* 765 */     MCD_OPC_Decode, 134, 12, 16, // Opcode: SUBu
+/* 769 */     MCD_OPC_FilterValue, 36, 13, 0, // Skip to: 786
+/* 773 */     MCD_OPC_CheckPredicate, 1, 63, 48, // Skip to: 13128
+/* 777 */     MCD_OPC_CheckField, 6, 5, 0, 57, 48, // Skip to: 13128
+/* 783 */     MCD_OPC_Decode, 75, 16, // Opcode: AND
+/* 786 */     MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 804
+/* 790 */     MCD_OPC_CheckPredicate, 1, 46, 48, // Skip to: 13128
+/* 794 */     MCD_OPC_CheckField, 6, 5, 0, 40, 48, // Skip to: 13128
+/* 800 */     MCD_OPC_Decode, 192, 9, 16, // Opcode: OR
+/* 804 */     MCD_OPC_FilterValue, 38, 14, 0, // Skip to: 822
+/* 808 */     MCD_OPC_CheckPredicate, 1, 28, 48, // Skip to: 13128
+/* 812 */     MCD_OPC_CheckField, 6, 5, 0, 22, 48, // Skip to: 13128
+/* 818 */     MCD_OPC_Decode, 129, 13, 16, // Opcode: XOR
+/* 822 */     MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 840
+/* 826 */     MCD_OPC_CheckPredicate, 1, 10, 48, // Skip to: 13128
+/* 830 */     MCD_OPC_CheckField, 6, 5, 0, 4, 48, // Skip to: 13128
+/* 836 */     MCD_OPC_Decode, 182, 9, 16, // Opcode: NOR
+/* 840 */     MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 858
+/* 844 */     MCD_OPC_CheckPredicate, 1, 248, 47, // Skip to: 13128
+/* 848 */     MCD_OPC_CheckField, 6, 5, 0, 242, 47, // Skip to: 13128
+/* 854 */     MCD_OPC_Decode, 147, 11, 16, // Opcode: SLT
+/* 858 */     MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 876
+/* 862 */     MCD_OPC_CheckPredicate, 1, 230, 47, // Skip to: 13128
+/* 866 */     MCD_OPC_CheckField, 6, 5, 0, 224, 47, // Skip to: 13128
+/* 872 */     MCD_OPC_Decode, 156, 11, 16, // Opcode: SLTu
+/* 876 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 888
+/* 880 */     MCD_OPC_CheckPredicate, 1, 212, 47, // Skip to: 13128
+/* 884 */     MCD_OPC_Decode, 211, 12, 49, // Opcode: TGE
+/* 888 */     MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 900
+/* 892 */     MCD_OPC_CheckPredicate, 1, 200, 47, // Skip to: 13128
+/* 896 */     MCD_OPC_Decode, 216, 12, 49, // Opcode: TGEU
+/* 900 */     MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 912
+/* 904 */     MCD_OPC_CheckPredicate, 1, 188, 47, // Skip to: 13128
+/* 908 */     MCD_OPC_Decode, 223, 12, 49, // Opcode: TLT
+/* 912 */     MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 924
+/* 916 */     MCD_OPC_CheckPredicate, 1, 176, 47, // Skip to: 13128
+/* 920 */     MCD_OPC_Decode, 227, 12, 49, // Opcode: TLTU
+/* 924 */     MCD_OPC_FilterValue, 52, 8, 0, // Skip to: 936
+/* 928 */     MCD_OPC_CheckPredicate, 1, 164, 47, // Skip to: 13128
+/* 932 */     MCD_OPC_Decode, 207, 12, 49, // Opcode: TEQ
+/* 936 */     MCD_OPC_FilterValue, 54, 156, 47, // Skip to: 13128
+/* 940 */     MCD_OPC_CheckPredicate, 1, 152, 47, // Skip to: 13128
+/* 944 */     MCD_OPC_Decode, 230, 12, 49, // Opcode: TNE
+/* 948 */     MCD_OPC_FilterValue, 1, 141, 0, // Skip to: 1093
+/* 952 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 955 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 967
+/* 959 */     MCD_OPC_CheckPredicate, 1, 133, 47, // Skip to: 13128
+/* 963 */     MCD_OPC_Decode, 222, 1, 50, // Opcode: BLTZ
+/* 967 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 979
+/* 971 */     MCD_OPC_CheckPredicate, 1, 121, 47, // Skip to: 13128
+/* 975 */     MCD_OPC_Decode, 185, 1, 50, // Opcode: BGEZ
+/* 979 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 991
+/* 983 */     MCD_OPC_CheckPredicate, 14, 109, 47, // Skip to: 13128
+/* 987 */     MCD_OPC_Decode, 212, 12, 51, // Opcode: TGEI
+/* 991 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1003
+/* 995 */     MCD_OPC_CheckPredicate, 14, 97, 47, // Skip to: 13128
+/* 999 */     MCD_OPC_Decode, 213, 12, 51, // Opcode: TGEIU
+/* 1003 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1015
+/* 1007 */    MCD_OPC_CheckPredicate, 14, 85, 47, // Skip to: 13128
+/* 1011 */    MCD_OPC_Decode, 224, 12, 51, // Opcode: TLTI
+/* 1015 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1027
+/* 1019 */    MCD_OPC_CheckPredicate, 14, 73, 47, // Skip to: 13128
+/* 1023 */    MCD_OPC_Decode, 242, 12, 51, // Opcode: TTLTIU
+/* 1027 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1039
+/* 1031 */    MCD_OPC_CheckPredicate, 14, 61, 47, // Skip to: 13128
+/* 1035 */    MCD_OPC_Decode, 208, 12, 51, // Opcode: TEQI
+/* 1039 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1051
+/* 1043 */    MCD_OPC_CheckPredicate, 14, 49, 47, // Skip to: 13128
+/* 1047 */    MCD_OPC_Decode, 231, 12, 51, // Opcode: TNEI
+/* 1051 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 1063
+/* 1055 */    MCD_OPC_CheckPredicate, 12, 37, 47, // Skip to: 13128
+/* 1059 */    MCD_OPC_Decode, 224, 1, 50, // Opcode: BLTZAL
+/* 1063 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1075
+/* 1067 */    MCD_OPC_CheckPredicate, 12, 25, 47, // Skip to: 13128
+/* 1071 */    MCD_OPC_Decode, 187, 1, 50, // Opcode: BGEZAL
+/* 1075 */    MCD_OPC_FilterValue, 28, 17, 47, // Skip to: 13128
+/* 1079 */    MCD_OPC_CheckPredicate, 11, 13, 47, // Skip to: 13128
+/* 1083 */    MCD_OPC_CheckField, 21, 5, 0, 7, 47, // Skip to: 13128
+/* 1089 */    MCD_OPC_Decode, 254, 1, 52, // Opcode: BPOSGE32
+/* 1093 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1105
+/* 1097 */    MCD_OPC_CheckPredicate, 9, 251, 46, // Skip to: 13128
+/* 1101 */    MCD_OPC_Decode, 200, 6, 53, // Opcode: J
+/* 1105 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1117
+/* 1109 */    MCD_OPC_CheckPredicate, 1, 239, 46, // Skip to: 13128
+/* 1113 */    MCD_OPC_Decode, 201, 6, 53, // Opcode: JAL
+/* 1117 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1129
+/* 1121 */    MCD_OPC_CheckPredicate, 1, 227, 46, // Skip to: 13128
+/* 1125 */    MCD_OPC_Decode, 177, 1, 54, // Opcode: BEQ
+/* 1129 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1141
+/* 1133 */    MCD_OPC_CheckPredicate, 1, 215, 46, // Skip to: 13128
+/* 1137 */    MCD_OPC_Decode, 233, 1, 54, // Opcode: BNE
+/* 1141 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1159
+/* 1145 */    MCD_OPC_CheckPredicate, 1, 203, 46, // Skip to: 13128
+/* 1149 */    MCD_OPC_CheckField, 16, 5, 0, 197, 46, // Skip to: 13128
+/* 1155 */    MCD_OPC_Decode, 215, 1, 50, // Opcode: BLEZ
+/* 1159 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1177
+/* 1163 */    MCD_OPC_CheckPredicate, 1, 185, 46, // Skip to: 13128
+/* 1167 */    MCD_OPC_CheckField, 16, 5, 0, 179, 46, // Skip to: 13128
+/* 1173 */    MCD_OPC_Decode, 192, 1, 50, // Opcode: BGTZ
+/* 1177 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 1188
+/* 1181 */    MCD_OPC_CheckPredicate, 12, 167, 46, // Skip to: 13128
+/* 1185 */    MCD_OPC_Decode, 65, 55, // Opcode: ADDi
+/* 1188 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 1199
+/* 1192 */    MCD_OPC_CheckPredicate, 1, 156, 46, // Skip to: 13128
+/* 1196 */    MCD_OPC_Decode, 67, 55, // Opcode: ADDiu
+/* 1199 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1211
+/* 1203 */    MCD_OPC_CheckPredicate, 1, 145, 46, // Skip to: 13128
+/* 1207 */    MCD_OPC_Decode, 150, 11, 55, // Opcode: SLTi
+/* 1211 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1223
+/* 1215 */    MCD_OPC_CheckPredicate, 1, 133, 46, // Skip to: 13128
+/* 1219 */    MCD_OPC_Decode, 153, 11, 55, // Opcode: SLTiu
+/* 1223 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 1234
+/* 1227 */    MCD_OPC_CheckPredicate, 1, 121, 46, // Skip to: 13128
+/* 1231 */    MCD_OPC_Decode, 83, 56, // Opcode: ANDi
+/* 1234 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1246
+/* 1238 */    MCD_OPC_CheckPredicate, 1, 110, 46, // Skip to: 13128
+/* 1242 */    MCD_OPC_Decode, 200, 9, 56, // Opcode: ORi
+/* 1246 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1258
+/* 1250 */    MCD_OPC_CheckPredicate, 1, 98, 46, // Skip to: 13128
+/* 1254 */    MCD_OPC_Decode, 137, 13, 56, // Opcode: XORi
+/* 1258 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1276
+/* 1262 */    MCD_OPC_CheckPredicate, 1, 86, 46, // Skip to: 13128
+/* 1266 */    MCD_OPC_CheckField, 21, 5, 0, 80, 46, // Skip to: 13128
+/* 1272 */    MCD_OPC_Decode, 151, 7, 29, // Opcode: LUi
+/* 1276 */    MCD_OPC_FilterValue, 16, 248, 0, // Skip to: 1528
+/* 1280 */    MCD_OPC_ExtractField, 3, 8,  // Inst{10-3} ...
+/* 1283 */    MCD_OPC_FilterValue, 0, 112, 0, // Skip to: 1399
+/* 1287 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1290 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1302
+/* 1294 */    MCD_OPC_CheckPredicate, 9, 54, 46, // Skip to: 13128
+/* 1298 */    MCD_OPC_Decode, 240, 7, 57, // Opcode: MFC0
+/* 1302 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1314
+/* 1306 */    MCD_OPC_CheckPredicate, 9, 42, 46, // Skip to: 13128
+/* 1310 */    MCD_OPC_Decode, 229, 8, 57, // Opcode: MTC0
+/* 1314 */    MCD_OPC_FilterValue, 11, 20, 0, // Skip to: 1338
+/* 1318 */    MCD_OPC_CheckPredicate, 4, 30, 46, // Skip to: 13128
+/* 1322 */    MCD_OPC_CheckField, 11, 5, 12, 24, 46, // Skip to: 13128
+/* 1328 */    MCD_OPC_CheckField, 0, 3, 0, 18, 46, // Skip to: 13128
+/* 1334 */    MCD_OPC_Decode, 133, 4, 22, // Opcode: DI
+/* 1338 */    MCD_OPC_FilterValue, 16, 10, 46, // Skip to: 13128
+/* 1342 */    MCD_OPC_ExtractField, 0, 3,  // Inst{2-0} ...
+/* 1345 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1363
+/* 1349 */    MCD_OPC_CheckPredicate, 1, 255, 45, // Skip to: 13128
+/* 1353 */    MCD_OPC_CheckField, 11, 10, 0, 249, 45, // Skip to: 13128
+/* 1359 */    MCD_OPC_Decode, 220, 12, 0, // Opcode: TLBR
+/* 1363 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1381
+/* 1367 */    MCD_OPC_CheckPredicate, 1, 237, 45, // Skip to: 13128
+/* 1371 */    MCD_OPC_CheckField, 11, 10, 0, 231, 45, // Skip to: 13128
+/* 1377 */    MCD_OPC_Decode, 221, 12, 0, // Opcode: TLBWI
+/* 1381 */    MCD_OPC_FilterValue, 6, 223, 45, // Skip to: 13128
+/* 1385 */    MCD_OPC_CheckPredicate, 1, 219, 45, // Skip to: 13128
+/* 1389 */    MCD_OPC_CheckField, 11, 10, 0, 213, 45, // Skip to: 13128
+/* 1395 */    MCD_OPC_Decode, 222, 12, 0, // Opcode: TLBWR
+/* 1399 */    MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 1425
+/* 1403 */    MCD_OPC_CheckPredicate, 1, 201, 45, // Skip to: 13128
+/* 1407 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 193, 45, // Skip to: 13128
+/* 1415 */    MCD_OPC_CheckField, 0, 3, 0, 187, 45, // Skip to: 13128
+/* 1421 */    MCD_OPC_Decode, 219, 12, 0, // Opcode: TLBP
+/* 1425 */    MCD_OPC_FilterValue, 3, 43, 0, // Skip to: 1472
+/* 1429 */    MCD_OPC_ExtractField, 0, 3,  // Inst{2-0} ...
+/* 1432 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 1452
+/* 1436 */    MCD_OPC_CheckPredicate, 15, 168, 45, // Skip to: 13128
+/* 1440 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 160, 45, // Skip to: 13128
+/* 1448 */    MCD_OPC_Decode, 224, 4, 0, // Opcode: ERET
+/* 1452 */    MCD_OPC_FilterValue, 7, 152, 45, // Skip to: 13128
+/* 1456 */    MCD_OPC_CheckPredicate, 9, 148, 45, // Skip to: 13128
+/* 1460 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 140, 45, // Skip to: 13128
+/* 1468 */    MCD_OPC_Decode, 128, 4, 0, // Opcode: DERET
+/* 1472 */    MCD_OPC_FilterValue, 4, 132, 45, // Skip to: 13128
+/* 1476 */    MCD_OPC_ExtractField, 11, 5,  // Inst{15-11} ...
+/* 1479 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1504
+/* 1483 */    MCD_OPC_CheckPredicate, 16, 121, 45, // Skip to: 13128
+/* 1487 */    MCD_OPC_CheckField, 16, 10, 128, 4, 114, 45, // Skip to: 13128
+/* 1494 */    MCD_OPC_CheckField, 0, 3, 0, 108, 45, // Skip to: 13128
+/* 1500 */    MCD_OPC_Decode, 252, 12, 0, // Opcode: WAIT
+/* 1504 */    MCD_OPC_FilterValue, 12, 100, 45, // Skip to: 13128
+/* 1508 */    MCD_OPC_CheckPredicate, 4, 96, 45, // Skip to: 13128
+/* 1512 */    MCD_OPC_CheckField, 21, 5, 11, 90, 45, // Skip to: 13128
+/* 1518 */    MCD_OPC_CheckField, 0, 3, 0, 84, 45, // Skip to: 13128
+/* 1524 */    MCD_OPC_Decode, 222, 4, 22, // Opcode: EI
+/* 1528 */    MCD_OPC_FilterValue, 17, 253, 5, // Skip to: 3065
+/* 1532 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1535 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1553
+/* 1539 */    MCD_OPC_CheckPredicate, 1, 65, 45, // Skip to: 13128
+/* 1543 */    MCD_OPC_CheckField, 0, 11, 0, 59, 45, // Skip to: 13128
+/* 1549 */    MCD_OPC_Decode, 241, 7, 58, // Opcode: MFC1
+/* 1553 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1571
+/* 1557 */    MCD_OPC_CheckPredicate, 17, 47, 45, // Skip to: 13128
+/* 1561 */    MCD_OPC_CheckField, 0, 11, 0, 41, 45, // Skip to: 13128
+/* 1567 */    MCD_OPC_Decode, 151, 4, 59, // Opcode: DMFC1
+/* 1571 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1589
+/* 1575 */    MCD_OPC_CheckPredicate, 1, 29, 45, // Skip to: 13128
+/* 1579 */    MCD_OPC_CheckField, 0, 11, 0, 23, 45, // Skip to: 13128
+/* 1585 */    MCD_OPC_Decode, 192, 2, 60, // Opcode: CFC1
+/* 1589 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 1607
+/* 1593 */    MCD_OPC_CheckPredicate, 18, 11, 45, // Skip to: 13128
+/* 1597 */    MCD_OPC_CheckField, 0, 11, 0, 5, 45, // Skip to: 13128
+/* 1603 */    MCD_OPC_Decode, 244, 7, 61, // Opcode: MFHC1_D32
+/* 1607 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1625
+/* 1611 */    MCD_OPC_CheckPredicate, 1, 249, 44, // Skip to: 13128
+/* 1615 */    MCD_OPC_CheckField, 0, 11, 0, 243, 44, // Skip to: 13128
+/* 1621 */    MCD_OPC_Decode, 230, 8, 62, // Opcode: MTC1
+/* 1625 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1643
+/* 1629 */    MCD_OPC_CheckPredicate, 17, 231, 44, // Skip to: 13128
+/* 1633 */    MCD_OPC_CheckField, 0, 11, 0, 225, 44, // Skip to: 13128
+/* 1639 */    MCD_OPC_Decode, 156, 4, 63, // Opcode: DMTC1
+/* 1643 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1661
+/* 1647 */    MCD_OPC_CheckPredicate, 1, 213, 44, // Skip to: 13128
+/* 1651 */    MCD_OPC_CheckField, 0, 11, 0, 207, 44, // Skip to: 13128
+/* 1657 */    MCD_OPC_Decode, 164, 3, 64, // Opcode: CTC1
+/* 1661 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1679
+/* 1665 */    MCD_OPC_CheckPredicate, 18, 195, 44, // Skip to: 13128
+/* 1669 */    MCD_OPC_CheckField, 0, 11, 0, 189, 44, // Skip to: 13128
+/* 1675 */    MCD_OPC_Decode, 233, 8, 65, // Opcode: MTHC1_D32
+/* 1679 */    MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 1710
+/* 1683 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1686 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1698
+/* 1690 */    MCD_OPC_CheckPredicate, 12, 170, 44, // Skip to: 13128
+/* 1694 */    MCD_OPC_Decode, 162, 1, 66, // Opcode: BC1F
+/* 1698 */    MCD_OPC_FilterValue, 1, 162, 44, // Skip to: 13128
+/* 1702 */    MCD_OPC_CheckPredicate, 12, 158, 44, // Skip to: 13128
+/* 1706 */    MCD_OPC_Decode, 165, 1, 66, // Opcode: BC1T
+/* 1710 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1722
+/* 1714 */    MCD_OPC_CheckPredicate, 6, 146, 44, // Skip to: 13128
+/* 1718 */    MCD_OPC_Decode, 148, 2, 67, // Opcode: BZ_V
+/* 1722 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 1734
+/* 1726 */    MCD_OPC_CheckPredicate, 6, 134, 44, // Skip to: 13128
+/* 1730 */    MCD_OPC_Decode, 251, 1, 67, // Opcode: BNZ_V
+/* 1734 */    MCD_OPC_FilterValue, 16, 80, 2, // Skip to: 2330
+/* 1738 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1741 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1753
+/* 1745 */    MCD_OPC_CheckPredicate, 1, 115, 44, // Skip to: 13128
+/* 1749 */    MCD_OPC_Decode, 255, 4, 68, // Opcode: FADD_S
+/* 1753 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1765
+/* 1757 */    MCD_OPC_CheckPredicate, 1, 103, 44, // Skip to: 13128
+/* 1761 */    MCD_OPC_Decode, 129, 6, 68, // Opcode: FSUB_S
+/* 1765 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1777
+/* 1769 */    MCD_OPC_CheckPredicate, 1, 91, 44, // Skip to: 13128
+/* 1773 */    MCD_OPC_Decode, 220, 5, 68, // Opcode: FMUL_S
+/* 1777 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1789
+/* 1781 */    MCD_OPC_CheckPredicate, 1, 79, 44, // Skip to: 13128
+/* 1785 */    MCD_OPC_Decode, 163, 5, 68, // Opcode: FDIV_S
+/* 1789 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1807
+/* 1793 */    MCD_OPC_CheckPredicate, 2, 67, 44, // Skip to: 13128
+/* 1797 */    MCD_OPC_CheckField, 16, 5, 0, 61, 44, // Skip to: 13128
+/* 1803 */    MCD_OPC_Decode, 250, 5, 69, // Opcode: FSQRT_S
+/* 1807 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1825
+/* 1811 */    MCD_OPC_CheckPredicate, 1, 49, 44, // Skip to: 13128
+/* 1815 */    MCD_OPC_CheckField, 16, 5, 0, 43, 44, // Skip to: 13128
+/* 1821 */    MCD_OPC_Decode, 248, 4, 69, // Opcode: FABS_S
+/* 1825 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1843
+/* 1829 */    MCD_OPC_CheckPredicate, 1, 31, 44, // Skip to: 13128
+/* 1833 */    MCD_OPC_CheckField, 16, 5, 0, 25, 44, // Skip to: 13128
+/* 1839 */    MCD_OPC_Decode, 212, 5, 69, // Opcode: FMOV_S
+/* 1843 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1861
+/* 1847 */    MCD_OPC_CheckPredicate, 1, 13, 44, // Skip to: 13128
+/* 1851 */    MCD_OPC_CheckField, 16, 5, 0, 7, 44, // Skip to: 13128
+/* 1857 */    MCD_OPC_Decode, 226, 5, 69, // Opcode: FNEG_S
+/* 1861 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 1879
+/* 1865 */    MCD_OPC_CheckPredicate, 2, 251, 43, // Skip to: 13128
+/* 1869 */    MCD_OPC_CheckField, 16, 5, 0, 245, 43, // Skip to: 13128
+/* 1875 */    MCD_OPC_Decode, 168, 10, 69, // Opcode: ROUND_W_S
+/* 1879 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 1897
+/* 1883 */    MCD_OPC_CheckPredicate, 2, 233, 43, // Skip to: 13128
+/* 1887 */    MCD_OPC_CheckField, 16, 5, 0, 227, 43, // Skip to: 13128
+/* 1893 */    MCD_OPC_Decode, 240, 12, 69, // Opcode: TRUNC_W_S
+/* 1897 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 1915
+/* 1901 */    MCD_OPC_CheckPredicate, 2, 215, 43, // Skip to: 13128
+/* 1905 */    MCD_OPC_CheckField, 16, 5, 0, 209, 43, // Skip to: 13128
+/* 1911 */    MCD_OPC_Decode, 182, 2, 69, // Opcode: CEIL_W_S
+/* 1915 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1933
+/* 1919 */    MCD_OPC_CheckPredicate, 2, 197, 43, // Skip to: 13128
+/* 1923 */    MCD_OPC_CheckField, 16, 5, 0, 191, 43, // Skip to: 13128
+/* 1929 */    MCD_OPC_Decode, 197, 5, 69, // Opcode: FLOOR_W_S
+/* 1933 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 1964
+/* 1937 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1940 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1952
+/* 1944 */    MCD_OPC_CheckPredicate, 5, 172, 43, // Skip to: 13128
+/* 1948 */    MCD_OPC_Decode, 174, 8, 70, // Opcode: MOVF_S
+/* 1952 */    MCD_OPC_FilterValue, 1, 164, 43, // Skip to: 13128
+/* 1956 */    MCD_OPC_CheckPredicate, 5, 160, 43, // Skip to: 13128
+/* 1960 */    MCD_OPC_Decode, 194, 8, 70, // Opcode: MOVT_S
+/* 1964 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1976
+/* 1968 */    MCD_OPC_CheckPredicate, 5, 148, 43, // Skip to: 13128
+/* 1972 */    MCD_OPC_Decode, 206, 8, 71, // Opcode: MOVZ_I_S
+/* 1976 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 1988
+/* 1980 */    MCD_OPC_CheckPredicate, 5, 136, 43, // Skip to: 13128
+/* 1984 */    MCD_OPC_Decode, 186, 8, 71, // Opcode: MOVN_I_S
+/* 1988 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 2006
+/* 1992 */    MCD_OPC_CheckPredicate, 19, 124, 43, // Skip to: 13128
+/* 1996 */    MCD_OPC_CheckField, 16, 5, 0, 118, 43, // Skip to: 13128
+/* 2002 */    MCD_OPC_Decode, 167, 3, 72, // Opcode: CVT_D32_S
+/* 2006 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2024
+/* 2010 */    MCD_OPC_CheckPredicate, 1, 106, 43, // Skip to: 13128
+/* 2014 */    MCD_OPC_CheckField, 16, 5, 0, 100, 43, // Skip to: 13128
+/* 2020 */    MCD_OPC_Decode, 187, 3, 69, // Opcode: CVT_W_S
+/* 2024 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2042
+/* 2028 */    MCD_OPC_CheckPredicate, 20, 88, 43, // Skip to: 13128
+/* 2032 */    MCD_OPC_CheckField, 16, 5, 0, 82, 43, // Skip to: 13128
+/* 2038 */    MCD_OPC_Decode, 176, 3, 73, // Opcode: CVT_L_S
+/* 2042 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2060
+/* 2046 */    MCD_OPC_CheckPredicate, 12, 70, 43, // Skip to: 13128
+/* 2050 */    MCD_OPC_CheckField, 6, 5, 0, 64, 43, // Skip to: 13128
+/* 2056 */    MCD_OPC_Decode, 194, 3, 74, // Opcode: C_F_S
+/* 2060 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2078
+/* 2064 */    MCD_OPC_CheckPredicate, 12, 52, 43, // Skip to: 13128
+/* 2068 */    MCD_OPC_CheckField, 6, 5, 0, 46, 43, // Skip to: 13128
+/* 2074 */    MCD_OPC_Decode, 236, 3, 74, // Opcode: C_UN_S
+/* 2078 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2096
+/* 2082 */    MCD_OPC_CheckPredicate, 12, 34, 43, // Skip to: 13128
+/* 2086 */    MCD_OPC_CheckField, 6, 5, 0, 28, 43, // Skip to: 13128
+/* 2092 */    MCD_OPC_Decode, 191, 3, 74, // Opcode: C_EQ_S
+/* 2096 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2114
+/* 2100 */    MCD_OPC_CheckPredicate, 12, 16, 43, // Skip to: 13128
+/* 2104 */    MCD_OPC_CheckField, 6, 5, 0, 10, 43, // Skip to: 13128
+/* 2110 */    MCD_OPC_Decode, 227, 3, 74, // Opcode: C_UEQ_S
+/* 2114 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2132
+/* 2118 */    MCD_OPC_CheckPredicate, 12, 254, 42, // Skip to: 13128
+/* 2122 */    MCD_OPC_CheckField, 6, 5, 0, 248, 42, // Skip to: 13128
+/* 2128 */    MCD_OPC_Decode, 218, 3, 74, // Opcode: C_OLT_S
+/* 2132 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2150
+/* 2136 */    MCD_OPC_CheckPredicate, 12, 236, 42, // Skip to: 13128
+/* 2140 */    MCD_OPC_CheckField, 6, 5, 0, 230, 42, // Skip to: 13128
+/* 2146 */    MCD_OPC_Decode, 233, 3, 74, // Opcode: C_ULT_S
+/* 2150 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2168
+/* 2154 */    MCD_OPC_CheckPredicate, 12, 218, 42, // Skip to: 13128
+/* 2158 */    MCD_OPC_CheckField, 6, 5, 0, 212, 42, // Skip to: 13128
+/* 2164 */    MCD_OPC_Decode, 215, 3, 74, // Opcode: C_OLE_S
+/* 2168 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2186
+/* 2172 */    MCD_OPC_CheckPredicate, 12, 200, 42, // Skip to: 13128
+/* 2176 */    MCD_OPC_CheckField, 6, 5, 0, 194, 42, // Skip to: 13128
+/* 2182 */    MCD_OPC_Decode, 230, 3, 74, // Opcode: C_ULE_S
+/* 2186 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2204
+/* 2190 */    MCD_OPC_CheckPredicate, 12, 182, 42, // Skip to: 13128
+/* 2194 */    MCD_OPC_CheckField, 6, 5, 0, 176, 42, // Skip to: 13128
+/* 2200 */    MCD_OPC_Decode, 224, 3, 74, // Opcode: C_SF_S
+/* 2204 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2222
+/* 2208 */    MCD_OPC_CheckPredicate, 12, 164, 42, // Skip to: 13128
+/* 2212 */    MCD_OPC_CheckField, 6, 5, 0, 158, 42, // Skip to: 13128
+/* 2218 */    MCD_OPC_Decode, 206, 3, 74, // Opcode: C_NGLE_S
+/* 2222 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2240
+/* 2226 */    MCD_OPC_CheckPredicate, 12, 146, 42, // Skip to: 13128
+/* 2230 */    MCD_OPC_CheckField, 6, 5, 0, 140, 42, // Skip to: 13128
+/* 2236 */    MCD_OPC_Decode, 221, 3, 74, // Opcode: C_SEQ_S
+/* 2240 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2258
+/* 2244 */    MCD_OPC_CheckPredicate, 12, 128, 42, // Skip to: 13128
+/* 2248 */    MCD_OPC_CheckField, 6, 5, 0, 122, 42, // Skip to: 13128
+/* 2254 */    MCD_OPC_Decode, 209, 3, 74, // Opcode: C_NGL_S
+/* 2258 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2276
+/* 2262 */    MCD_OPC_CheckPredicate, 12, 110, 42, // Skip to: 13128
+/* 2266 */    MCD_OPC_CheckField, 6, 5, 0, 104, 42, // Skip to: 13128
+/* 2272 */    MCD_OPC_Decode, 200, 3, 74, // Opcode: C_LT_S
+/* 2276 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2294
+/* 2280 */    MCD_OPC_CheckPredicate, 12, 92, 42, // Skip to: 13128
+/* 2284 */    MCD_OPC_CheckField, 6, 5, 0, 86, 42, // Skip to: 13128
+/* 2290 */    MCD_OPC_Decode, 203, 3, 74, // Opcode: C_NGE_S
+/* 2294 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2312
+/* 2298 */    MCD_OPC_CheckPredicate, 12, 74, 42, // Skip to: 13128
+/* 2302 */    MCD_OPC_CheckField, 6, 5, 0, 68, 42, // Skip to: 13128
+/* 2308 */    MCD_OPC_Decode, 197, 3, 74, // Opcode: C_LE_S
+/* 2312 */    MCD_OPC_FilterValue, 63, 60, 42, // Skip to: 13128
+/* 2316 */    MCD_OPC_CheckPredicate, 12, 56, 42, // Skip to: 13128
+/* 2320 */    MCD_OPC_CheckField, 6, 5, 0, 50, 42, // Skip to: 13128
+/* 2326 */    MCD_OPC_Decode, 212, 3, 74, // Opcode: C_NGT_S
+/* 2330 */    MCD_OPC_FilterValue, 17, 80, 2, // Skip to: 2926
+/* 2334 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2337 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2349
+/* 2341 */    MCD_OPC_CheckPredicate, 19, 31, 42, // Skip to: 13128
+/* 2345 */    MCD_OPC_Decode, 252, 4, 75, // Opcode: FADD_D32
+/* 2349 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2361
+/* 2353 */    MCD_OPC_CheckPredicate, 19, 19, 42, // Skip to: 13128
+/* 2357 */    MCD_OPC_Decode, 254, 5, 75, // Opcode: FSUB_D32
+/* 2361 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2373
+/* 2365 */    MCD_OPC_CheckPredicate, 19, 7, 42, // Skip to: 13128
+/* 2369 */    MCD_OPC_Decode, 217, 5, 75, // Opcode: FMUL_D32
+/* 2373 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2385
+/* 2377 */    MCD_OPC_CheckPredicate, 19, 251, 41, // Skip to: 13128
+/* 2381 */    MCD_OPC_Decode, 160, 5, 75, // Opcode: FDIV_D32
+/* 2385 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2403
+/* 2389 */    MCD_OPC_CheckPredicate, 21, 239, 41, // Skip to: 13128
+/* 2393 */    MCD_OPC_CheckField, 16, 5, 0, 233, 41, // Skip to: 13128
+/* 2399 */    MCD_OPC_Decode, 247, 5, 76, // Opcode: FSQRT_D32
+/* 2403 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2421
+/* 2407 */    MCD_OPC_CheckPredicate, 19, 221, 41, // Skip to: 13128
+/* 2411 */    MCD_OPC_CheckField, 16, 5, 0, 215, 41, // Skip to: 13128
+/* 2417 */    MCD_OPC_Decode, 245, 4, 76, // Opcode: FABS_D32
+/* 2421 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2439
+/* 2425 */    MCD_OPC_CheckPredicate, 19, 203, 41, // Skip to: 13128
+/* 2429 */    MCD_OPC_CheckField, 16, 5, 0, 197, 41, // Skip to: 13128
+/* 2435 */    MCD_OPC_Decode, 209, 5, 76, // Opcode: FMOV_D32
+/* 2439 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 2457
+/* 2443 */    MCD_OPC_CheckPredicate, 19, 185, 41, // Skip to: 13128
+/* 2447 */    MCD_OPC_CheckField, 16, 5, 0, 179, 41, // Skip to: 13128
+/* 2453 */    MCD_OPC_Decode, 223, 5, 76, // Opcode: FNEG_D32
+/* 2457 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 2475
+/* 2461 */    MCD_OPC_CheckPredicate, 21, 167, 41, // Skip to: 13128
+/* 2465 */    MCD_OPC_CheckField, 16, 5, 0, 161, 41, // Skip to: 13128
+/* 2471 */    MCD_OPC_Decode, 165, 10, 77, // Opcode: ROUND_W_D32
+/* 2475 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 2493
+/* 2479 */    MCD_OPC_CheckPredicate, 21, 149, 41, // Skip to: 13128
+/* 2483 */    MCD_OPC_CheckField, 16, 5, 0, 143, 41, // Skip to: 13128
+/* 2489 */    MCD_OPC_Decode, 237, 12, 77, // Opcode: TRUNC_W_D32
+/* 2493 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 2511
+/* 2497 */    MCD_OPC_CheckPredicate, 21, 131, 41, // Skip to: 13128
+/* 2501 */    MCD_OPC_CheckField, 16, 5, 0, 125, 41, // Skip to: 13128
+/* 2507 */    MCD_OPC_Decode, 179, 2, 77, // Opcode: CEIL_W_D32
+/* 2511 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 2529
+/* 2515 */    MCD_OPC_CheckPredicate, 21, 113, 41, // Skip to: 13128
+/* 2519 */    MCD_OPC_CheckField, 16, 5, 0, 107, 41, // Skip to: 13128
+/* 2525 */    MCD_OPC_Decode, 194, 5, 77, // Opcode: FLOOR_W_D32
+/* 2529 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 2560
+/* 2533 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 2536 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2548
+/* 2540 */    MCD_OPC_CheckPredicate, 22, 88, 41, // Skip to: 13128
+/* 2544 */    MCD_OPC_Decode, 168, 8, 78, // Opcode: MOVF_D32
+/* 2548 */    MCD_OPC_FilterValue, 1, 80, 41, // Skip to: 13128
+/* 2552 */    MCD_OPC_CheckPredicate, 22, 76, 41, // Skip to: 13128
+/* 2556 */    MCD_OPC_Decode, 188, 8, 78, // Opcode: MOVT_D32
+/* 2560 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2572
+/* 2564 */    MCD_OPC_CheckPredicate, 22, 64, 41, // Skip to: 13128
+/* 2568 */    MCD_OPC_Decode, 200, 8, 79, // Opcode: MOVZ_I_D32
+/* 2572 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2584
+/* 2576 */    MCD_OPC_CheckPredicate, 22, 52, 41, // Skip to: 13128
+/* 2580 */    MCD_OPC_Decode, 180, 8, 79, // Opcode: MOVN_I_D32
+/* 2584 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2602
+/* 2588 */    MCD_OPC_CheckPredicate, 19, 40, 41, // Skip to: 13128
+/* 2592 */    MCD_OPC_CheckField, 16, 5, 0, 34, 41, // Skip to: 13128
+/* 2598 */    MCD_OPC_Decode, 178, 3, 77, // Opcode: CVT_S_D32
+/* 2602 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2620
+/* 2606 */    MCD_OPC_CheckPredicate, 19, 22, 41, // Skip to: 13128
+/* 2610 */    MCD_OPC_CheckField, 16, 5, 0, 16, 41, // Skip to: 13128
+/* 2616 */    MCD_OPC_Decode, 184, 3, 77, // Opcode: CVT_W_D32
+/* 2620 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2638
+/* 2624 */    MCD_OPC_CheckPredicate, 20, 4, 41, // Skip to: 13128
+/* 2628 */    MCD_OPC_CheckField, 16, 5, 0, 254, 40, // Skip to: 13128
+/* 2634 */    MCD_OPC_Decode, 174, 3, 80, // Opcode: CVT_L_D64
+/* 2638 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2656
+/* 2642 */    MCD_OPC_CheckPredicate, 23, 242, 40, // Skip to: 13128
+/* 2646 */    MCD_OPC_CheckField, 6, 5, 0, 236, 40, // Skip to: 13128
+/* 2652 */    MCD_OPC_Decode, 192, 3, 81, // Opcode: C_F_D32
+/* 2656 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2674
+/* 2660 */    MCD_OPC_CheckPredicate, 23, 224, 40, // Skip to: 13128
+/* 2664 */    MCD_OPC_CheckField, 6, 5, 0, 218, 40, // Skip to: 13128
+/* 2670 */    MCD_OPC_Decode, 234, 3, 81, // Opcode: C_UN_D32
+/* 2674 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2692
+/* 2678 */    MCD_OPC_CheckPredicate, 23, 206, 40, // Skip to: 13128
+/* 2682 */    MCD_OPC_CheckField, 6, 5, 0, 200, 40, // Skip to: 13128
+/* 2688 */    MCD_OPC_Decode, 189, 3, 81, // Opcode: C_EQ_D32
+/* 2692 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2710
+/* 2696 */    MCD_OPC_CheckPredicate, 23, 188, 40, // Skip to: 13128
+/* 2700 */    MCD_OPC_CheckField, 6, 5, 0, 182, 40, // Skip to: 13128
+/* 2706 */    MCD_OPC_Decode, 225, 3, 81, // Opcode: C_UEQ_D32
+/* 2710 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2728
+/* 2714 */    MCD_OPC_CheckPredicate, 23, 170, 40, // Skip to: 13128
+/* 2718 */    MCD_OPC_CheckField, 6, 5, 0, 164, 40, // Skip to: 13128
+/* 2724 */    MCD_OPC_Decode, 216, 3, 81, // Opcode: C_OLT_D32
+/* 2728 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2746
+/* 2732 */    MCD_OPC_CheckPredicate, 23, 152, 40, // Skip to: 13128
+/* 2736 */    MCD_OPC_CheckField, 6, 5, 0, 146, 40, // Skip to: 13128
+/* 2742 */    MCD_OPC_Decode, 231, 3, 81, // Opcode: C_ULT_D32
+/* 2746 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2764
+/* 2750 */    MCD_OPC_CheckPredicate, 23, 134, 40, // Skip to: 13128
+/* 2754 */    MCD_OPC_CheckField, 6, 5, 0, 128, 40, // Skip to: 13128
+/* 2760 */    MCD_OPC_Decode, 213, 3, 81, // Opcode: C_OLE_D32
+/* 2764 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2782
+/* 2768 */    MCD_OPC_CheckPredicate, 23, 116, 40, // Skip to: 13128
+/* 2772 */    MCD_OPC_CheckField, 6, 5, 0, 110, 40, // Skip to: 13128
+/* 2778 */    MCD_OPC_Decode, 228, 3, 81, // Opcode: C_ULE_D32
+/* 2782 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2800
+/* 2786 */    MCD_OPC_CheckPredicate, 23, 98, 40, // Skip to: 13128
+/* 2790 */    MCD_OPC_CheckField, 6, 5, 0, 92, 40, // Skip to: 13128
+/* 2796 */    MCD_OPC_Decode, 222, 3, 81, // Opcode: C_SF_D32
+/* 2800 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2818
+/* 2804 */    MCD_OPC_CheckPredicate, 23, 80, 40, // Skip to: 13128
+/* 2808 */    MCD_OPC_CheckField, 6, 5, 0, 74, 40, // Skip to: 13128
+/* 2814 */    MCD_OPC_Decode, 204, 3, 81, // Opcode: C_NGLE_D32
+/* 2818 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2836
+/* 2822 */    MCD_OPC_CheckPredicate, 23, 62, 40, // Skip to: 13128
+/* 2826 */    MCD_OPC_CheckField, 6, 5, 0, 56, 40, // Skip to: 13128
+/* 2832 */    MCD_OPC_Decode, 219, 3, 81, // Opcode: C_SEQ_D32
+/* 2836 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2854
+/* 2840 */    MCD_OPC_CheckPredicate, 23, 44, 40, // Skip to: 13128
+/* 2844 */    MCD_OPC_CheckField, 6, 5, 0, 38, 40, // Skip to: 13128
+/* 2850 */    MCD_OPC_Decode, 207, 3, 81, // Opcode: C_NGL_D32
+/* 2854 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2872
+/* 2858 */    MCD_OPC_CheckPredicate, 23, 26, 40, // Skip to: 13128
+/* 2862 */    MCD_OPC_CheckField, 6, 5, 0, 20, 40, // Skip to: 13128
+/* 2868 */    MCD_OPC_Decode, 198, 3, 81, // Opcode: C_LT_D32
+/* 2872 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2890
+/* 2876 */    MCD_OPC_CheckPredicate, 23, 8, 40, // Skip to: 13128
+/* 2880 */    MCD_OPC_CheckField, 6, 5, 0, 2, 40, // Skip to: 13128
+/* 2886 */    MCD_OPC_Decode, 201, 3, 81, // Opcode: C_NGE_D32
+/* 2890 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2908
+/* 2894 */    MCD_OPC_CheckPredicate, 23, 246, 39, // Skip to: 13128
+/* 2898 */    MCD_OPC_CheckField, 6, 5, 0, 240, 39, // Skip to: 13128
+/* 2904 */    MCD_OPC_Decode, 195, 3, 81, // Opcode: C_LE_D32
+/* 2908 */    MCD_OPC_FilterValue, 63, 232, 39, // Skip to: 13128
+/* 2912 */    MCD_OPC_CheckPredicate, 23, 228, 39, // Skip to: 13128
+/* 2916 */    MCD_OPC_CheckField, 6, 5, 0, 222, 39, // Skip to: 13128
+/* 2922 */    MCD_OPC_Decode, 210, 3, 81, // Opcode: C_NGT_D32
+/* 2926 */    MCD_OPC_FilterValue, 20, 39, 0, // Skip to: 2969
+/* 2930 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2933 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2951
+/* 2937 */    MCD_OPC_CheckPredicate, 1, 203, 39, // Skip to: 13128
+/* 2941 */    MCD_OPC_CheckField, 16, 5, 0, 197, 39, // Skip to: 13128
+/* 2947 */    MCD_OPC_Decode, 182, 3, 69, // Opcode: CVT_S_W
+/* 2951 */    MCD_OPC_FilterValue, 33, 189, 39, // Skip to: 13128
+/* 2955 */    MCD_OPC_CheckPredicate, 19, 185, 39, // Skip to: 13128
+/* 2959 */    MCD_OPC_CheckField, 16, 5, 0, 179, 39, // Skip to: 13128
+/* 2965 */    MCD_OPC_Decode, 168, 3, 72, // Opcode: CVT_D32_W
+/* 2969 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 2981
+/* 2973 */    MCD_OPC_CheckPredicate, 6, 167, 39, // Skip to: 13128
+/* 2977 */    MCD_OPC_Decode, 145, 2, 67, // Opcode: BZ_B
+/* 2981 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 2993
+/* 2985 */    MCD_OPC_CheckPredicate, 6, 155, 39, // Skip to: 13128
+/* 2989 */    MCD_OPC_Decode, 147, 2, 82, // Opcode: BZ_H
+/* 2993 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 3005
+/* 2997 */    MCD_OPC_CheckPredicate, 6, 143, 39, // Skip to: 13128
+/* 3001 */    MCD_OPC_Decode, 149, 2, 83, // Opcode: BZ_W
+/* 3005 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 3017
+/* 3009 */    MCD_OPC_CheckPredicate, 6, 131, 39, // Skip to: 13128
+/* 3013 */    MCD_OPC_Decode, 146, 2, 84, // Opcode: BZ_D
+/* 3017 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 3029
+/* 3021 */    MCD_OPC_CheckPredicate, 6, 119, 39, // Skip to: 13128
+/* 3025 */    MCD_OPC_Decode, 248, 1, 67, // Opcode: BNZ_B
+/* 3029 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3041
+/* 3033 */    MCD_OPC_CheckPredicate, 6, 107, 39, // Skip to: 13128
+/* 3037 */    MCD_OPC_Decode, 250, 1, 82, // Opcode: BNZ_H
+/* 3041 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 3053
+/* 3045 */    MCD_OPC_CheckPredicate, 6, 95, 39, // Skip to: 13128
+/* 3049 */    MCD_OPC_Decode, 252, 1, 83, // Opcode: BNZ_W
+/* 3053 */    MCD_OPC_FilterValue, 31, 87, 39, // Skip to: 13128
+/* 3057 */    MCD_OPC_CheckPredicate, 6, 83, 39, // Skip to: 13128
+/* 3061 */    MCD_OPC_Decode, 249, 1, 84, // Opcode: BNZ_D
+/* 3065 */    MCD_OPC_FilterValue, 18, 39, 0, // Skip to: 3108
+/* 3069 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 3072 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3090
+/* 3076 */    MCD_OPC_CheckPredicate, 1, 64, 39, // Skip to: 13128
+/* 3080 */    MCD_OPC_CheckField, 3, 8, 0, 58, 39, // Skip to: 13128
+/* 3086 */    MCD_OPC_Decode, 243, 7, 57, // Opcode: MFC2
+/* 3090 */    MCD_OPC_FilterValue, 4, 50, 39, // Skip to: 13128
+/* 3094 */    MCD_OPC_CheckPredicate, 1, 46, 39, // Skip to: 13128
+/* 3098 */    MCD_OPC_CheckField, 3, 8, 0, 40, 39, // Skip to: 13128
+/* 3104 */    MCD_OPC_Decode, 232, 8, 57, // Opcode: MTC2
+/* 3108 */    MCD_OPC_FilterValue, 19, 207, 0, // Skip to: 3319
+/* 3112 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3115 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3133
+/* 3119 */    MCD_OPC_CheckPredicate, 24, 21, 39, // Skip to: 13128
+/* 3123 */    MCD_OPC_CheckField, 11, 5, 0, 15, 39, // Skip to: 13128
+/* 3129 */    MCD_OPC_Decode, 171, 7, 85, // Opcode: LWXC1
+/* 3133 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3151
+/* 3137 */    MCD_OPC_CheckPredicate, 25, 3, 39, // Skip to: 13128
+/* 3141 */    MCD_OPC_CheckField, 11, 5, 0, 253, 38, // Skip to: 13128
+/* 3147 */    MCD_OPC_Decode, 246, 6, 86, // Opcode: LDXC1
+/* 3151 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 3169
+/* 3155 */    MCD_OPC_CheckPredicate, 26, 241, 38, // Skip to: 13128
+/* 3159 */    MCD_OPC_CheckField, 11, 5, 0, 235, 38, // Skip to: 13128
+/* 3165 */    MCD_OPC_Decode, 148, 7, 86, // Opcode: LUXC1
+/* 3169 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 3187
+/* 3173 */    MCD_OPC_CheckPredicate, 24, 223, 38, // Skip to: 13128
+/* 3177 */    MCD_OPC_CheckField, 6, 5, 0, 217, 38, // Skip to: 13128
+/* 3183 */    MCD_OPC_Decode, 152, 12, 87, // Opcode: SWXC1
+/* 3187 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3205
+/* 3191 */    MCD_OPC_CheckPredicate, 25, 205, 38, // Skip to: 13128
+/* 3195 */    MCD_OPC_CheckField, 6, 5, 0, 199, 38, // Skip to: 13128
+/* 3201 */    MCD_OPC_Decode, 203, 10, 88, // Opcode: SDXC1
+/* 3205 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 3223
+/* 3209 */    MCD_OPC_CheckPredicate, 26, 187, 38, // Skip to: 13128
+/* 3213 */    MCD_OPC_CheckField, 6, 5, 0, 181, 38, // Skip to: 13128
+/* 3219 */    MCD_OPC_Decode, 136, 12, 88, // Opcode: SUXC1
+/* 3223 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3235
+/* 3227 */    MCD_OPC_CheckPredicate, 27, 169, 38, // Skip to: 13128
+/* 3231 */    MCD_OPC_Decode, 210, 7, 89, // Opcode: MADD_S
+/* 3235 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3247
+/* 3239 */    MCD_OPC_CheckPredicate, 28, 157, 38, // Skip to: 13128
+/* 3243 */    MCD_OPC_Decode, 203, 7, 90, // Opcode: MADD_D32
+/* 3247 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3259
+/* 3251 */    MCD_OPC_CheckPredicate, 27, 145, 38, // Skip to: 13128
+/* 3255 */    MCD_OPC_Decode, 227, 8, 89, // Opcode: MSUB_S
+/* 3259 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3271
+/* 3263 */    MCD_OPC_CheckPredicate, 28, 133, 38, // Skip to: 13128
+/* 3267 */    MCD_OPC_Decode, 220, 8, 90, // Opcode: MSUB_D32
+/* 3271 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 3283
+/* 3275 */    MCD_OPC_CheckPredicate, 27, 121, 38, // Skip to: 13128
+/* 3279 */    MCD_OPC_Decode, 174, 9, 89, // Opcode: NMADD_S
+/* 3283 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3295
+/* 3287 */    MCD_OPC_CheckPredicate, 28, 109, 38, // Skip to: 13128
+/* 3291 */    MCD_OPC_Decode, 171, 9, 90, // Opcode: NMADD_D32
+/* 3295 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 3307
+/* 3299 */    MCD_OPC_CheckPredicate, 27, 97, 38, // Skip to: 13128
+/* 3303 */    MCD_OPC_Decode, 179, 9, 89, // Opcode: NMSUB_S
+/* 3307 */    MCD_OPC_FilterValue, 57, 89, 38, // Skip to: 13128
+/* 3311 */    MCD_OPC_CheckPredicate, 28, 85, 38, // Skip to: 13128
+/* 3315 */    MCD_OPC_Decode, 176, 9, 90, // Opcode: NMSUB_D32
+/* 3319 */    MCD_OPC_FilterValue, 28, 229, 0, // Skip to: 3552
+/* 3323 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3326 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 3366
+/* 3330 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3333 */    MCD_OPC_FilterValue, 0, 63, 38, // Skip to: 13128
+/* 3337 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3340 */    MCD_OPC_FilterValue, 0, 56, 38, // Skip to: 13128
+/* 3344 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3358
+/* 3348 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3358
+/* 3354 */    MCD_OPC_Decode, 191, 7, 23, // Opcode: MADD
+/* 3358 */    MCD_OPC_CheckPredicate, 11, 38, 38, // Skip to: 13128
+/* 3362 */    MCD_OPC_Decode, 206, 7, 91, // Opcode: MADD_DSP
+/* 3366 */    MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 3406
+/* 3370 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3373 */    MCD_OPC_FilterValue, 0, 23, 38, // Skip to: 13128
+/* 3377 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3380 */    MCD_OPC_FilterValue, 0, 16, 38, // Skip to: 13128
+/* 3384 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3398
+/* 3388 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3398
+/* 3394 */    MCD_OPC_Decode, 196, 7, 23, // Opcode: MADDU
+/* 3398 */    MCD_OPC_CheckPredicate, 11, 254, 37, // Skip to: 13128
+/* 3402 */    MCD_OPC_Decode, 197, 7, 91, // Opcode: MADDU_DSP
+/* 3406 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 3424
+/* 3410 */    MCD_OPC_CheckPredicate, 7, 242, 37, // Skip to: 13128
+/* 3414 */    MCD_OPC_CheckField, 6, 5, 0, 236, 37, // Skip to: 13128
+/* 3420 */    MCD_OPC_Decode, 253, 8, 16, // Opcode: MUL
+/* 3424 */    MCD_OPC_FilterValue, 4, 36, 0, // Skip to: 3464
+/* 3428 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3431 */    MCD_OPC_FilterValue, 0, 221, 37, // Skip to: 13128
+/* 3435 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3438 */    MCD_OPC_FilterValue, 0, 214, 37, // Skip to: 13128
+/* 3442 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3456
+/* 3446 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3456
+/* 3452 */    MCD_OPC_Decode, 208, 8, 23, // Opcode: MSUB
+/* 3456 */    MCD_OPC_CheckPredicate, 11, 196, 37, // Skip to: 13128
+/* 3460 */    MCD_OPC_Decode, 223, 8, 91, // Opcode: MSUB_DSP
+/* 3464 */    MCD_OPC_FilterValue, 5, 36, 0, // Skip to: 3504
+/* 3468 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3471 */    MCD_OPC_FilterValue, 0, 181, 37, // Skip to: 13128
+/* 3475 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3478 */    MCD_OPC_FilterValue, 0, 174, 37, // Skip to: 13128
+/* 3482 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3496
+/* 3486 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3496
+/* 3492 */    MCD_OPC_Decode, 213, 8, 23, // Opcode: MSUBU
+/* 3496 */    MCD_OPC_CheckPredicate, 11, 156, 37, // Skip to: 13128
+/* 3500 */    MCD_OPC_Decode, 214, 8, 91, // Opcode: MSUBU_DSP
+/* 3504 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 3522
+/* 3508 */    MCD_OPC_CheckPredicate, 7, 144, 37, // Skip to: 13128
+/* 3512 */    MCD_OPC_CheckField, 6, 5, 0, 138, 37, // Skip to: 13128
+/* 3518 */    MCD_OPC_Decode, 234, 2, 92, // Opcode: CLZ
+/* 3522 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 3540
+/* 3526 */    MCD_OPC_CheckPredicate, 7, 126, 37, // Skip to: 13128
+/* 3530 */    MCD_OPC_CheckField, 6, 5, 0, 120, 37, // Skip to: 13128
+/* 3536 */    MCD_OPC_Decode, 215, 2, 92, // Opcode: CLO
+/* 3540 */    MCD_OPC_FilterValue, 63, 112, 37, // Skip to: 13128
+/* 3544 */    MCD_OPC_CheckPredicate, 7, 108, 37, // Skip to: 13128
+/* 3548 */    MCD_OPC_Decode, 191, 10, 41, // Opcode: SDBBP
+/* 3552 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3564
+/* 3556 */    MCD_OPC_CheckPredicate, 7, 96, 37, // Skip to: 13128
+/* 3560 */    MCD_OPC_Decode, 209, 6, 53, // Opcode: JALX
+/* 3564 */    MCD_OPC_FilterValue, 30, 179, 26, // Skip to: 10403
+/* 3568 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3571 */    MCD_OPC_FilterValue, 0, 50, 0, // Skip to: 3625
+/* 3575 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3578 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3589
+/* 3582 */    MCD_OPC_CheckPredicate, 6, 70, 37, // Skip to: 13128
+/* 3586 */    MCD_OPC_Decode, 77, 93, // Opcode: ANDI_B
+/* 3589 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3601
+/* 3593 */    MCD_OPC_CheckPredicate, 6, 59, 37, // Skip to: 13128
+/* 3597 */    MCD_OPC_Decode, 194, 9, 93, // Opcode: ORI_B
+/* 3601 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3613
+/* 3605 */    MCD_OPC_CheckPredicate, 6, 47, 37, // Skip to: 13128
+/* 3609 */    MCD_OPC_Decode, 184, 9, 93, // Opcode: NORI_B
+/* 3613 */    MCD_OPC_FilterValue, 3, 39, 37, // Skip to: 13128
+/* 3617 */    MCD_OPC_CheckPredicate, 6, 35, 37, // Skip to: 13128
+/* 3621 */    MCD_OPC_Decode, 131, 13, 93, // Opcode: XORI_B
+/* 3625 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 3668
+/* 3629 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3632 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3644
+/* 3636 */    MCD_OPC_CheckPredicate, 6, 16, 37, // Skip to: 13128
+/* 3640 */    MCD_OPC_Decode, 229, 1, 94, // Opcode: BMNZI_B
+/* 3644 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3656
+/* 3648 */    MCD_OPC_CheckPredicate, 6, 4, 37, // Skip to: 13128
+/* 3652 */    MCD_OPC_Decode, 231, 1, 94, // Opcode: BMZI_B
+/* 3656 */    MCD_OPC_FilterValue, 2, 252, 36, // Skip to: 13128
+/* 3660 */    MCD_OPC_CheckPredicate, 6, 248, 36, // Skip to: 13128
+/* 3664 */    MCD_OPC_Decode, 130, 2, 94, // Opcode: BSELI_B
+/* 3668 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 3711
+/* 3672 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3675 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3687
+/* 3679 */    MCD_OPC_CheckPredicate, 6, 229, 36, // Skip to: 13128
+/* 3683 */    MCD_OPC_Decode, 225, 10, 93, // Opcode: SHF_B
+/* 3687 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3699
+/* 3691 */    MCD_OPC_CheckPredicate, 6, 217, 36, // Skip to: 13128
+/* 3695 */    MCD_OPC_Decode, 226, 10, 95, // Opcode: SHF_H
+/* 3699 */    MCD_OPC_FilterValue, 2, 209, 36, // Skip to: 13128
+/* 3703 */    MCD_OPC_CheckPredicate, 6, 205, 36, // Skip to: 13128
+/* 3707 */    MCD_OPC_Decode, 227, 10, 96, // Opcode: SHF_W
+/* 3711 */    MCD_OPC_FilterValue, 6, 31, 1, // Skip to: 4002
+/* 3715 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 3718 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3729
+/* 3722 */    MCD_OPC_CheckPredicate, 6, 186, 36, // Skip to: 13128
+/* 3726 */    MCD_OPC_Decode, 51, 97, // Opcode: ADDVI_B
+/* 3729 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 3740
+/* 3733 */    MCD_OPC_CheckPredicate, 6, 175, 36, // Skip to: 13128
+/* 3737 */    MCD_OPC_Decode, 53, 98, // Opcode: ADDVI_H
+/* 3740 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3751
+/* 3744 */    MCD_OPC_CheckPredicate, 6, 164, 36, // Skip to: 13128
+/* 3748 */    MCD_OPC_Decode, 54, 99, // Opcode: ADDVI_W
+/* 3751 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 3762
+/* 3755 */    MCD_OPC_CheckPredicate, 6, 153, 36, // Skip to: 13128
+/* 3759 */    MCD_OPC_Decode, 52, 100, // Opcode: ADDVI_D
+/* 3762 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 3774
+/* 3766 */    MCD_OPC_CheckPredicate, 6, 142, 36, // Skip to: 13128
+/* 3770 */    MCD_OPC_Decode, 253, 11, 97, // Opcode: SUBVI_B
+/* 3774 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 3786
+/* 3778 */    MCD_OPC_CheckPredicate, 6, 130, 36, // Skip to: 13128
+/* 3782 */    MCD_OPC_Decode, 255, 11, 98, // Opcode: SUBVI_H
+/* 3786 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 3798
+/* 3790 */    MCD_OPC_CheckPredicate, 6, 118, 36, // Skip to: 13128
+/* 3794 */    MCD_OPC_Decode, 128, 12, 99, // Opcode: SUBVI_W
+/* 3798 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 3810
+/* 3802 */    MCD_OPC_CheckPredicate, 6, 106, 36, // Skip to: 13128
+/* 3806 */    MCD_OPC_Decode, 254, 11, 100, // Opcode: SUBVI_D
+/* 3810 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3822
+/* 3814 */    MCD_OPC_CheckPredicate, 6, 94, 36, // Skip to: 13128
+/* 3818 */    MCD_OPC_Decode, 218, 7, 97, // Opcode: MAXI_S_B
+/* 3822 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3834
+/* 3826 */    MCD_OPC_CheckPredicate, 6, 82, 36, // Skip to: 13128
+/* 3830 */    MCD_OPC_Decode, 220, 7, 98, // Opcode: MAXI_S_H
+/* 3834 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3846
+/* 3838 */    MCD_OPC_CheckPredicate, 6, 70, 36, // Skip to: 13128
+/* 3842 */    MCD_OPC_Decode, 221, 7, 99, // Opcode: MAXI_S_W
+/* 3846 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3858
+/* 3850 */    MCD_OPC_CheckPredicate, 6, 58, 36, // Skip to: 13128
+/* 3854 */    MCD_OPC_Decode, 219, 7, 100, // Opcode: MAXI_S_D
+/* 3858 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3870
+/* 3862 */    MCD_OPC_CheckPredicate, 6, 46, 36, // Skip to: 13128
+/* 3866 */    MCD_OPC_Decode, 222, 7, 97, // Opcode: MAXI_U_B
+/* 3870 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3882
+/* 3874 */    MCD_OPC_CheckPredicate, 6, 34, 36, // Skip to: 13128
+/* 3878 */    MCD_OPC_Decode, 224, 7, 98, // Opcode: MAXI_U_H
+/* 3882 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3894
+/* 3886 */    MCD_OPC_CheckPredicate, 6, 22, 36, // Skip to: 13128
+/* 3890 */    MCD_OPC_Decode, 225, 7, 99, // Opcode: MAXI_U_W
+/* 3894 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 3906
+/* 3898 */    MCD_OPC_CheckPredicate, 6, 10, 36, // Skip to: 13128
+/* 3902 */    MCD_OPC_Decode, 223, 7, 100, // Opcode: MAXI_U_D
+/* 3906 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3918
+/* 3910 */    MCD_OPC_CheckPredicate, 6, 254, 35, // Skip to: 13128
+/* 3914 */    MCD_OPC_Decode, 131, 8, 97, // Opcode: MINI_S_B
+/* 3918 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3930
+/* 3922 */    MCD_OPC_CheckPredicate, 6, 242, 35, // Skip to: 13128
+/* 3926 */    MCD_OPC_Decode, 133, 8, 98, // Opcode: MINI_S_H
+/* 3930 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3942
+/* 3934 */    MCD_OPC_CheckPredicate, 6, 230, 35, // Skip to: 13128
+/* 3938 */    MCD_OPC_Decode, 134, 8, 99, // Opcode: MINI_S_W
+/* 3942 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3954
+/* 3946 */    MCD_OPC_CheckPredicate, 6, 218, 35, // Skip to: 13128
+/* 3950 */    MCD_OPC_Decode, 132, 8, 100, // Opcode: MINI_S_D
+/* 3954 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3966
+/* 3958 */    MCD_OPC_CheckPredicate, 6, 206, 35, // Skip to: 13128
+/* 3962 */    MCD_OPC_Decode, 135, 8, 97, // Opcode: MINI_U_B
+/* 3966 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3978
+/* 3970 */    MCD_OPC_CheckPredicate, 6, 194, 35, // Skip to: 13128
+/* 3974 */    MCD_OPC_Decode, 137, 8, 98, // Opcode: MINI_U_H
+/* 3978 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3990
+/* 3982 */    MCD_OPC_CheckPredicate, 6, 182, 35, // Skip to: 13128
+/* 3986 */    MCD_OPC_Decode, 138, 8, 99, // Opcode: MINI_U_W
+/* 3990 */    MCD_OPC_FilterValue, 23, 174, 35, // Skip to: 13128
+/* 3994 */    MCD_OPC_CheckPredicate, 6, 170, 35, // Skip to: 13128
+/* 3998 */    MCD_OPC_Decode, 136, 8, 100, // Opcode: MINI_U_D
+/* 4002 */    MCD_OPC_FilterValue, 7, 35, 1, // Skip to: 4297
+/* 4006 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 4009 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4021
+/* 4013 */    MCD_OPC_CheckPredicate, 6, 151, 35, // Skip to: 13128
+/* 4017 */    MCD_OPC_Decode, 184, 2, 97, // Opcode: CEQI_B
+/* 4021 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4033
+/* 4025 */    MCD_OPC_CheckPredicate, 6, 139, 35, // Skip to: 13128
+/* 4029 */    MCD_OPC_Decode, 186, 2, 98, // Opcode: CEQI_H
+/* 4033 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4045
+/* 4037 */    MCD_OPC_CheckPredicate, 6, 127, 35, // Skip to: 13128
+/* 4041 */    MCD_OPC_Decode, 187, 2, 99, // Opcode: CEQI_W
+/* 4045 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4057
+/* 4049 */    MCD_OPC_CheckPredicate, 6, 115, 35, // Skip to: 13128
+/* 4053 */    MCD_OPC_Decode, 185, 2, 100, // Opcode: CEQI_D
+/* 4057 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4069
+/* 4061 */    MCD_OPC_CheckPredicate, 6, 103, 35, // Skip to: 13128
+/* 4065 */    MCD_OPC_Decode, 218, 2, 97, // Opcode: CLTI_S_B
+/* 4069 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4081
+/* 4073 */    MCD_OPC_CheckPredicate, 6, 91, 35, // Skip to: 13128
+/* 4077 */    MCD_OPC_Decode, 220, 2, 98, // Opcode: CLTI_S_H
+/* 4081 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4093
+/* 4085 */    MCD_OPC_CheckPredicate, 6, 79, 35, // Skip to: 13128
+/* 4089 */    MCD_OPC_Decode, 221, 2, 99, // Opcode: CLTI_S_W
+/* 4093 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 4105
+/* 4097 */    MCD_OPC_CheckPredicate, 6, 67, 35, // Skip to: 13128
+/* 4101 */    MCD_OPC_Decode, 219, 2, 100, // Opcode: CLTI_S_D
+/* 4105 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4117
+/* 4109 */    MCD_OPC_CheckPredicate, 6, 55, 35, // Skip to: 13128
+/* 4113 */    MCD_OPC_Decode, 222, 2, 97, // Opcode: CLTI_U_B
+/* 4117 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 4129
+/* 4121 */    MCD_OPC_CheckPredicate, 6, 43, 35, // Skip to: 13128
+/* 4125 */    MCD_OPC_Decode, 224, 2, 98, // Opcode: CLTI_U_H
+/* 4129 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4141
+/* 4133 */    MCD_OPC_CheckPredicate, 6, 31, 35, // Skip to: 13128
+/* 4137 */    MCD_OPC_Decode, 225, 2, 99, // Opcode: CLTI_U_W
+/* 4141 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 4153
+/* 4145 */    MCD_OPC_CheckPredicate, 6, 19, 35, // Skip to: 13128
+/* 4149 */    MCD_OPC_Decode, 223, 2, 100, // Opcode: CLTI_U_D
+/* 4153 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 4165
+/* 4157 */    MCD_OPC_CheckPredicate, 6, 7, 35, // Skip to: 13128
+/* 4161 */    MCD_OPC_Decode, 199, 2, 97, // Opcode: CLEI_S_B
+/* 4165 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 4177
+/* 4169 */    MCD_OPC_CheckPredicate, 6, 251, 34, // Skip to: 13128
+/* 4173 */    MCD_OPC_Decode, 201, 2, 98, // Opcode: CLEI_S_H
+/* 4177 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 4189
+/* 4181 */    MCD_OPC_CheckPredicate, 6, 239, 34, // Skip to: 13128
+/* 4185 */    MCD_OPC_Decode, 202, 2, 99, // Opcode: CLEI_S_W
+/* 4189 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 4201
+/* 4193 */    MCD_OPC_CheckPredicate, 6, 227, 34, // Skip to: 13128
+/* 4197 */    MCD_OPC_Decode, 200, 2, 100, // Opcode: CLEI_S_D
+/* 4201 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 4213
+/* 4205 */    MCD_OPC_CheckPredicate, 6, 215, 34, // Skip to: 13128
+/* 4209 */    MCD_OPC_Decode, 203, 2, 97, // Opcode: CLEI_U_B
+/* 4213 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 4225
+/* 4217 */    MCD_OPC_CheckPredicate, 6, 203, 34, // Skip to: 13128
+/* 4221 */    MCD_OPC_Decode, 205, 2, 98, // Opcode: CLEI_U_H
+/* 4225 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 4237
+/* 4229 */    MCD_OPC_CheckPredicate, 6, 191, 34, // Skip to: 13128
+/* 4233 */    MCD_OPC_Decode, 206, 2, 99, // Opcode: CLEI_U_W
+/* 4237 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 4249
+/* 4241 */    MCD_OPC_CheckPredicate, 6, 179, 34, // Skip to: 13128
+/* 4245 */    MCD_OPC_Decode, 204, 2, 100, // Opcode: CLEI_U_D
+/* 4249 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 4261
+/* 4253 */    MCD_OPC_CheckPredicate, 6, 167, 34, // Skip to: 13128
+/* 4257 */    MCD_OPC_Decode, 239, 6, 101, // Opcode: LDI_B
+/* 4261 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 4273
+/* 4265 */    MCD_OPC_CheckPredicate, 6, 155, 34, // Skip to: 13128
+/* 4269 */    MCD_OPC_Decode, 241, 6, 102, // Opcode: LDI_H
+/* 4273 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 4285
+/* 4277 */    MCD_OPC_CheckPredicate, 6, 143, 34, // Skip to: 13128
+/* 4281 */    MCD_OPC_Decode, 242, 6, 103, // Opcode: LDI_W
+/* 4285 */    MCD_OPC_FilterValue, 27, 135, 34, // Skip to: 13128
+/* 4289 */    MCD_OPC_CheckPredicate, 6, 131, 34, // Skip to: 13128
+/* 4293 */    MCD_OPC_Decode, 240, 6, 104, // Opcode: LDI_D
+/* 4297 */    MCD_OPC_FilterValue, 9, 35, 2, // Skip to: 4848
+/* 4301 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 4304 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4316
+/* 4308 */    MCD_OPC_CheckPredicate, 6, 112, 34, // Skip to: 13128
+/* 4312 */    MCD_OPC_Decode, 137, 11, 105, // Opcode: SLLI_D
+/* 4316 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4372
+/* 4320 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4323 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4335
+/* 4327 */    MCD_OPC_CheckPredicate, 6, 93, 34, // Skip to: 13128
+/* 4331 */    MCD_OPC_Decode, 139, 11, 99, // Opcode: SLLI_W
+/* 4335 */    MCD_OPC_FilterValue, 1, 85, 34, // Skip to: 13128
+/* 4339 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4342 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4354
+/* 4346 */    MCD_OPC_CheckPredicate, 6, 74, 34, // Skip to: 13128
+/* 4350 */    MCD_OPC_Decode, 138, 11, 106, // Opcode: SLLI_H
+/* 4354 */    MCD_OPC_FilterValue, 1, 66, 34, // Skip to: 13128
+/* 4358 */    MCD_OPC_CheckPredicate, 6, 62, 34, // Skip to: 13128
+/* 4362 */    MCD_OPC_CheckField, 19, 1, 0, 56, 34, // Skip to: 13128
+/* 4368 */    MCD_OPC_Decode, 136, 11, 107, // Opcode: SLLI_B
+/* 4372 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4384
+/* 4376 */    MCD_OPC_CheckPredicate, 6, 44, 34, // Skip to: 13128
+/* 4380 */    MCD_OPC_Decode, 176, 11, 105, // Opcode: SRAI_D
+/* 4384 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4440
+/* 4388 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4391 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4403
+/* 4395 */    MCD_OPC_CheckPredicate, 6, 25, 34, // Skip to: 13128
+/* 4399 */    MCD_OPC_Decode, 178, 11, 99, // Opcode: SRAI_W
+/* 4403 */    MCD_OPC_FilterValue, 1, 17, 34, // Skip to: 13128
+/* 4407 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4410 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4422
+/* 4414 */    MCD_OPC_CheckPredicate, 6, 6, 34, // Skip to: 13128
+/* 4418 */    MCD_OPC_Decode, 177, 11, 106, // Opcode: SRAI_H
+/* 4422 */    MCD_OPC_FilterValue, 1, 254, 33, // Skip to: 13128
+/* 4426 */    MCD_OPC_CheckPredicate, 6, 250, 33, // Skip to: 13128
+/* 4430 */    MCD_OPC_CheckField, 19, 1, 0, 244, 33, // Skip to: 13128
+/* 4436 */    MCD_OPC_Decode, 175, 11, 107, // Opcode: SRAI_B
+/* 4440 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4452
+/* 4444 */    MCD_OPC_CheckPredicate, 6, 232, 33, // Skip to: 13128
+/* 4448 */    MCD_OPC_Decode, 196, 11, 105, // Opcode: SRLI_D
+/* 4452 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4508
+/* 4456 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4459 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4471
+/* 4463 */    MCD_OPC_CheckPredicate, 6, 213, 33, // Skip to: 13128
+/* 4467 */    MCD_OPC_Decode, 198, 11, 99, // Opcode: SRLI_W
+/* 4471 */    MCD_OPC_FilterValue, 1, 205, 33, // Skip to: 13128
+/* 4475 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4478 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4490
+/* 4482 */    MCD_OPC_CheckPredicate, 6, 194, 33, // Skip to: 13128
+/* 4486 */    MCD_OPC_Decode, 197, 11, 106, // Opcode: SRLI_H
+/* 4490 */    MCD_OPC_FilterValue, 1, 186, 33, // Skip to: 13128
+/* 4494 */    MCD_OPC_CheckPredicate, 6, 182, 33, // Skip to: 13128
+/* 4498 */    MCD_OPC_CheckField, 19, 1, 0, 176, 33, // Skip to: 13128
+/* 4504 */    MCD_OPC_Decode, 195, 11, 107, // Opcode: SRLI_B
+/* 4508 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4520
+/* 4512 */    MCD_OPC_CheckPredicate, 6, 164, 33, // Skip to: 13128
+/* 4516 */    MCD_OPC_Decode, 170, 1, 105, // Opcode: BCLRI_D
+/* 4520 */    MCD_OPC_FilterValue, 7, 52, 0, // Skip to: 4576
+/* 4524 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4527 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4539
+/* 4531 */    MCD_OPC_CheckPredicate, 6, 145, 33, // Skip to: 13128
+/* 4535 */    MCD_OPC_Decode, 172, 1, 99, // Opcode: BCLRI_W
+/* 4539 */    MCD_OPC_FilterValue, 1, 137, 33, // Skip to: 13128
+/* 4543 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4546 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4558
+/* 4550 */    MCD_OPC_CheckPredicate, 6, 126, 33, // Skip to: 13128
+/* 4554 */    MCD_OPC_Decode, 171, 1, 106, // Opcode: BCLRI_H
+/* 4558 */    MCD_OPC_FilterValue, 1, 118, 33, // Skip to: 13128
+/* 4562 */    MCD_OPC_CheckPredicate, 6, 114, 33, // Skip to: 13128
+/* 4566 */    MCD_OPC_CheckField, 19, 1, 0, 108, 33, // Skip to: 13128
+/* 4572 */    MCD_OPC_Decode, 169, 1, 107, // Opcode: BCLRI_B
+/* 4576 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4588
+/* 4580 */    MCD_OPC_CheckPredicate, 6, 96, 33, // Skip to: 13128
+/* 4584 */    MCD_OPC_Decode, 138, 2, 105, // Opcode: BSETI_D
+/* 4588 */    MCD_OPC_FilterValue, 9, 52, 0, // Skip to: 4644
+/* 4592 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4595 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4607
+/* 4599 */    MCD_OPC_CheckPredicate, 6, 77, 33, // Skip to: 13128
+/* 4603 */    MCD_OPC_Decode, 140, 2, 99, // Opcode: BSETI_W
+/* 4607 */    MCD_OPC_FilterValue, 1, 69, 33, // Skip to: 13128
+/* 4611 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4614 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4626
+/* 4618 */    MCD_OPC_CheckPredicate, 6, 58, 33, // Skip to: 13128
+/* 4622 */    MCD_OPC_Decode, 139, 2, 106, // Opcode: BSETI_H
+/* 4626 */    MCD_OPC_FilterValue, 1, 50, 33, // Skip to: 13128
+/* 4630 */    MCD_OPC_CheckPredicate, 6, 46, 33, // Skip to: 13128
+/* 4634 */    MCD_OPC_CheckField, 19, 1, 0, 40, 33, // Skip to: 13128
+/* 4640 */    MCD_OPC_Decode, 137, 2, 107, // Opcode: BSETI_B
+/* 4644 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4656
+/* 4648 */    MCD_OPC_CheckPredicate, 6, 28, 33, // Skip to: 13128
+/* 4652 */    MCD_OPC_Decode, 237, 1, 105, // Opcode: BNEGI_D
+/* 4656 */    MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 4712
+/* 4660 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4663 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4675
+/* 4667 */    MCD_OPC_CheckPredicate, 6, 9, 33, // Skip to: 13128
+/* 4671 */    MCD_OPC_Decode, 239, 1, 99, // Opcode: BNEGI_W
+/* 4675 */    MCD_OPC_FilterValue, 1, 1, 33, // Skip to: 13128
+/* 4679 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4682 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4694
+/* 4686 */    MCD_OPC_CheckPredicate, 6, 246, 32, // Skip to: 13128
+/* 4690 */    MCD_OPC_Decode, 238, 1, 106, // Opcode: BNEGI_H
+/* 4694 */    MCD_OPC_FilterValue, 1, 238, 32, // Skip to: 13128
+/* 4698 */    MCD_OPC_CheckPredicate, 6, 234, 32, // Skip to: 13128
+/* 4702 */    MCD_OPC_CheckField, 19, 1, 0, 228, 32, // Skip to: 13128
+/* 4708 */    MCD_OPC_Decode, 236, 1, 107, // Opcode: BNEGI_B
+/* 4712 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4724
+/* 4716 */    MCD_OPC_CheckPredicate, 6, 216, 32, // Skip to: 13128
+/* 4720 */    MCD_OPC_Decode, 198, 1, 108, // Opcode: BINSLI_D
+/* 4724 */    MCD_OPC_FilterValue, 13, 52, 0, // Skip to: 4780
+/* 4728 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4731 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4743
+/* 4735 */    MCD_OPC_CheckPredicate, 6, 197, 32, // Skip to: 13128
+/* 4739 */    MCD_OPC_Decode, 200, 1, 109, // Opcode: BINSLI_W
+/* 4743 */    MCD_OPC_FilterValue, 1, 189, 32, // Skip to: 13128
+/* 4747 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4750 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4762
+/* 4754 */    MCD_OPC_CheckPredicate, 6, 178, 32, // Skip to: 13128
+/* 4758 */    MCD_OPC_Decode, 199, 1, 110, // Opcode: BINSLI_H
+/* 4762 */    MCD_OPC_FilterValue, 1, 170, 32, // Skip to: 13128
+/* 4766 */    MCD_OPC_CheckPredicate, 6, 166, 32, // Skip to: 13128
+/* 4770 */    MCD_OPC_CheckField, 19, 1, 0, 160, 32, // Skip to: 13128
+/* 4776 */    MCD_OPC_Decode, 197, 1, 111, // Opcode: BINSLI_B
+/* 4780 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4792
+/* 4784 */    MCD_OPC_CheckPredicate, 6, 148, 32, // Skip to: 13128
+/* 4788 */    MCD_OPC_Decode, 206, 1, 108, // Opcode: BINSRI_D
+/* 4792 */    MCD_OPC_FilterValue, 15, 140, 32, // Skip to: 13128
+/* 4796 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4799 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4811
+/* 4803 */    MCD_OPC_CheckPredicate, 6, 129, 32, // Skip to: 13128
+/* 4807 */    MCD_OPC_Decode, 208, 1, 109, // Opcode: BINSRI_W
+/* 4811 */    MCD_OPC_FilterValue, 1, 121, 32, // Skip to: 13128
+/* 4815 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4818 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4830
+/* 4822 */    MCD_OPC_CheckPredicate, 6, 110, 32, // Skip to: 13128
+/* 4826 */    MCD_OPC_Decode, 207, 1, 110, // Opcode: BINSRI_H
+/* 4830 */    MCD_OPC_FilterValue, 1, 102, 32, // Skip to: 13128
+/* 4834 */    MCD_OPC_CheckPredicate, 6, 98, 32, // Skip to: 13128
+/* 4838 */    MCD_OPC_CheckField, 19, 1, 0, 92, 32, // Skip to: 13128
+/* 4844 */    MCD_OPC_Decode, 205, 1, 111, // Opcode: BINSRI_B
+/* 4848 */    MCD_OPC_FilterValue, 10, 19, 1, // Skip to: 5127
+/* 4852 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 4855 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4867
+/* 4859 */    MCD_OPC_CheckPredicate, 6, 73, 32, // Skip to: 13128
+/* 4863 */    MCD_OPC_Decode, 175, 10, 105, // Opcode: SAT_S_D
+/* 4867 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4923
+/* 4871 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4874 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4886
+/* 4878 */    MCD_OPC_CheckPredicate, 6, 54, 32, // Skip to: 13128
+/* 4882 */    MCD_OPC_Decode, 177, 10, 99, // Opcode: SAT_S_W
+/* 4886 */    MCD_OPC_FilterValue, 1, 46, 32, // Skip to: 13128
+/* 4890 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4893 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4905
+/* 4897 */    MCD_OPC_CheckPredicate, 6, 35, 32, // Skip to: 13128
+/* 4901 */    MCD_OPC_Decode, 176, 10, 106, // Opcode: SAT_S_H
+/* 4905 */    MCD_OPC_FilterValue, 1, 27, 32, // Skip to: 13128
+/* 4909 */    MCD_OPC_CheckPredicate, 6, 23, 32, // Skip to: 13128
+/* 4913 */    MCD_OPC_CheckField, 19, 1, 0, 17, 32, // Skip to: 13128
+/* 4919 */    MCD_OPC_Decode, 174, 10, 107, // Opcode: SAT_S_B
+/* 4923 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4935
+/* 4927 */    MCD_OPC_CheckPredicate, 6, 5, 32, // Skip to: 13128
+/* 4931 */    MCD_OPC_Decode, 179, 10, 105, // Opcode: SAT_U_D
+/* 4935 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4991
+/* 4939 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4942 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4954
+/* 4946 */    MCD_OPC_CheckPredicate, 6, 242, 31, // Skip to: 13128
+/* 4950 */    MCD_OPC_Decode, 181, 10, 99, // Opcode: SAT_U_W
+/* 4954 */    MCD_OPC_FilterValue, 1, 234, 31, // Skip to: 13128
+/* 4958 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4961 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4973
+/* 4965 */    MCD_OPC_CheckPredicate, 6, 223, 31, // Skip to: 13128
+/* 4969 */    MCD_OPC_Decode, 180, 10, 106, // Opcode: SAT_U_H
+/* 4973 */    MCD_OPC_FilterValue, 1, 215, 31, // Skip to: 13128
+/* 4977 */    MCD_OPC_CheckPredicate, 6, 211, 31, // Skip to: 13128
+/* 4981 */    MCD_OPC_CheckField, 19, 1, 0, 205, 31, // Skip to: 13128
+/* 4987 */    MCD_OPC_Decode, 178, 10, 107, // Opcode: SAT_U_B
+/* 4991 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5003
+/* 4995 */    MCD_OPC_CheckPredicate, 6, 193, 31, // Skip to: 13128
+/* 4999 */    MCD_OPC_Decode, 180, 11, 105, // Opcode: SRARI_D
+/* 5003 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 5059
+/* 5007 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5010 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5022
+/* 5014 */    MCD_OPC_CheckPredicate, 6, 174, 31, // Skip to: 13128
+/* 5018 */    MCD_OPC_Decode, 182, 11, 99, // Opcode: SRARI_W
+/* 5022 */    MCD_OPC_FilterValue, 1, 166, 31, // Skip to: 13128
+/* 5026 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5029 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5041
+/* 5033 */    MCD_OPC_CheckPredicate, 6, 155, 31, // Skip to: 13128
+/* 5037 */    MCD_OPC_Decode, 181, 11, 106, // Opcode: SRARI_H
+/* 5041 */    MCD_OPC_FilterValue, 1, 147, 31, // Skip to: 13128
+/* 5045 */    MCD_OPC_CheckPredicate, 6, 143, 31, // Skip to: 13128
+/* 5049 */    MCD_OPC_CheckField, 19, 1, 0, 137, 31, // Skip to: 13128
+/* 5055 */    MCD_OPC_Decode, 179, 11, 107, // Opcode: SRARI_B
+/* 5059 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5071
+/* 5063 */    MCD_OPC_CheckPredicate, 6, 125, 31, // Skip to: 13128
+/* 5067 */    MCD_OPC_Decode, 200, 11, 105, // Opcode: SRLRI_D
+/* 5071 */    MCD_OPC_FilterValue, 7, 117, 31, // Skip to: 13128
+/* 5075 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5078 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5090
+/* 5082 */    MCD_OPC_CheckPredicate, 6, 106, 31, // Skip to: 13128
+/* 5086 */    MCD_OPC_Decode, 202, 11, 99, // Opcode: SRLRI_W
+/* 5090 */    MCD_OPC_FilterValue, 1, 98, 31, // Skip to: 13128
+/* 5094 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5097 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5109
+/* 5101 */    MCD_OPC_CheckPredicate, 6, 87, 31, // Skip to: 13128
+/* 5105 */    MCD_OPC_Decode, 201, 11, 106, // Opcode: SRLRI_H
+/* 5109 */    MCD_OPC_FilterValue, 1, 79, 31, // Skip to: 13128
+/* 5113 */    MCD_OPC_CheckPredicate, 6, 75, 31, // Skip to: 13128
+/* 5117 */    MCD_OPC_CheckField, 19, 1, 0, 69, 31, // Skip to: 13128
+/* 5123 */    MCD_OPC_Decode, 199, 11, 107, // Opcode: SRLRI_B
+/* 5127 */    MCD_OPC_FilterValue, 13, 131, 1, // Skip to: 5518
+/* 5131 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5134 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5146
+/* 5138 */    MCD_OPC_CheckPredicate, 6, 50, 31, // Skip to: 13128
+/* 5142 */    MCD_OPC_Decode, 142, 11, 112, // Opcode: SLL_B
+/* 5146 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5158
+/* 5150 */    MCD_OPC_CheckPredicate, 6, 38, 31, // Skip to: 13128
+/* 5154 */    MCD_OPC_Decode, 144, 11, 113, // Opcode: SLL_H
+/* 5158 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5170
+/* 5162 */    MCD_OPC_CheckPredicate, 6, 26, 31, // Skip to: 13128
+/* 5166 */    MCD_OPC_Decode, 146, 11, 114, // Opcode: SLL_W
+/* 5170 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5182
+/* 5174 */    MCD_OPC_CheckPredicate, 6, 14, 31, // Skip to: 13128
+/* 5178 */    MCD_OPC_Decode, 143, 11, 115, // Opcode: SLL_D
+/* 5182 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5194
+/* 5186 */    MCD_OPC_CheckPredicate, 6, 2, 31, // Skip to: 13128
+/* 5190 */    MCD_OPC_Decode, 189, 11, 112, // Opcode: SRA_B
+/* 5194 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5206
+/* 5198 */    MCD_OPC_CheckPredicate, 6, 246, 30, // Skip to: 13128
+/* 5202 */    MCD_OPC_Decode, 191, 11, 113, // Opcode: SRA_H
+/* 5206 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5218
+/* 5210 */    MCD_OPC_CheckPredicate, 6, 234, 30, // Skip to: 13128
+/* 5214 */    MCD_OPC_Decode, 193, 11, 114, // Opcode: SRA_W
+/* 5218 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5230
+/* 5222 */    MCD_OPC_CheckPredicate, 6, 222, 30, // Skip to: 13128
+/* 5226 */    MCD_OPC_Decode, 190, 11, 115, // Opcode: SRA_D
+/* 5230 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5242
+/* 5234 */    MCD_OPC_CheckPredicate, 6, 210, 30, // Skip to: 13128
+/* 5238 */    MCD_OPC_Decode, 209, 11, 112, // Opcode: SRL_B
+/* 5242 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5254
+/* 5246 */    MCD_OPC_CheckPredicate, 6, 198, 30, // Skip to: 13128
+/* 5250 */    MCD_OPC_Decode, 211, 11, 113, // Opcode: SRL_H
+/* 5254 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5266
+/* 5258 */    MCD_OPC_CheckPredicate, 6, 186, 30, // Skip to: 13128
+/* 5262 */    MCD_OPC_Decode, 213, 11, 114, // Opcode: SRL_W
+/* 5266 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5278
+/* 5270 */    MCD_OPC_CheckPredicate, 6, 174, 30, // Skip to: 13128
+/* 5274 */    MCD_OPC_Decode, 210, 11, 115, // Opcode: SRL_D
+/* 5278 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5290
+/* 5282 */    MCD_OPC_CheckPredicate, 6, 162, 30, // Skip to: 13128
+/* 5286 */    MCD_OPC_Decode, 173, 1, 112, // Opcode: BCLR_B
+/* 5290 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5302
+/* 5294 */    MCD_OPC_CheckPredicate, 6, 150, 30, // Skip to: 13128
+/* 5298 */    MCD_OPC_Decode, 175, 1, 113, // Opcode: BCLR_H
+/* 5302 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5314
+/* 5306 */    MCD_OPC_CheckPredicate, 6, 138, 30, // Skip to: 13128
+/* 5310 */    MCD_OPC_Decode, 176, 1, 114, // Opcode: BCLR_W
+/* 5314 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5326
+/* 5318 */    MCD_OPC_CheckPredicate, 6, 126, 30, // Skip to: 13128
+/* 5322 */    MCD_OPC_Decode, 174, 1, 115, // Opcode: BCLR_D
+/* 5326 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5338
+/* 5330 */    MCD_OPC_CheckPredicate, 6, 114, 30, // Skip to: 13128
+/* 5334 */    MCD_OPC_Decode, 141, 2, 112, // Opcode: BSET_B
+/* 5338 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5350
+/* 5342 */    MCD_OPC_CheckPredicate, 6, 102, 30, // Skip to: 13128
+/* 5346 */    MCD_OPC_Decode, 143, 2, 113, // Opcode: BSET_H
+/* 5350 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5362
+/* 5354 */    MCD_OPC_CheckPredicate, 6, 90, 30, // Skip to: 13128
+/* 5358 */    MCD_OPC_Decode, 144, 2, 114, // Opcode: BSET_W
+/* 5362 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5374
+/* 5366 */    MCD_OPC_CheckPredicate, 6, 78, 30, // Skip to: 13128
+/* 5370 */    MCD_OPC_Decode, 142, 2, 115, // Opcode: BSET_D
+/* 5374 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5386
+/* 5378 */    MCD_OPC_CheckPredicate, 6, 66, 30, // Skip to: 13128
+/* 5382 */    MCD_OPC_Decode, 240, 1, 112, // Opcode: BNEG_B
+/* 5386 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5398
+/* 5390 */    MCD_OPC_CheckPredicate, 6, 54, 30, // Skip to: 13128
+/* 5394 */    MCD_OPC_Decode, 242, 1, 113, // Opcode: BNEG_H
+/* 5398 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5410
+/* 5402 */    MCD_OPC_CheckPredicate, 6, 42, 30, // Skip to: 13128
+/* 5406 */    MCD_OPC_Decode, 243, 1, 114, // Opcode: BNEG_W
+/* 5410 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5422
+/* 5414 */    MCD_OPC_CheckPredicate, 6, 30, 30, // Skip to: 13128
+/* 5418 */    MCD_OPC_Decode, 241, 1, 115, // Opcode: BNEG_D
+/* 5422 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5434
+/* 5426 */    MCD_OPC_CheckPredicate, 6, 18, 30, // Skip to: 13128
+/* 5430 */    MCD_OPC_Decode, 201, 1, 116, // Opcode: BINSL_B
+/* 5434 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5446
+/* 5438 */    MCD_OPC_CheckPredicate, 6, 6, 30, // Skip to: 13128
+/* 5442 */    MCD_OPC_Decode, 203, 1, 117, // Opcode: BINSL_H
+/* 5446 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5458
+/* 5450 */    MCD_OPC_CheckPredicate, 6, 250, 29, // Skip to: 13128
+/* 5454 */    MCD_OPC_Decode, 204, 1, 118, // Opcode: BINSL_W
+/* 5458 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5470
+/* 5462 */    MCD_OPC_CheckPredicate, 6, 238, 29, // Skip to: 13128
+/* 5466 */    MCD_OPC_Decode, 202, 1, 119, // Opcode: BINSL_D
+/* 5470 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5482
+/* 5474 */    MCD_OPC_CheckPredicate, 6, 226, 29, // Skip to: 13128
+/* 5478 */    MCD_OPC_Decode, 209, 1, 116, // Opcode: BINSR_B
+/* 5482 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5494
+/* 5486 */    MCD_OPC_CheckPredicate, 6, 214, 29, // Skip to: 13128
+/* 5490 */    MCD_OPC_Decode, 211, 1, 117, // Opcode: BINSR_H
+/* 5494 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5506
+/* 5498 */    MCD_OPC_CheckPredicate, 6, 202, 29, // Skip to: 13128
+/* 5502 */    MCD_OPC_Decode, 212, 1, 118, // Opcode: BINSR_W
+/* 5506 */    MCD_OPC_FilterValue, 31, 194, 29, // Skip to: 13128
+/* 5510 */    MCD_OPC_CheckPredicate, 6, 190, 29, // Skip to: 13128
+/* 5514 */    MCD_OPC_Decode, 210, 1, 119, // Opcode: BINSR_D
+/* 5518 */    MCD_OPC_FilterValue, 14, 127, 1, // Skip to: 5905
+/* 5522 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5525 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5536
+/* 5529 */    MCD_OPC_CheckPredicate, 6, 171, 29, // Skip to: 13128
+/* 5533 */    MCD_OPC_Decode, 55, 112, // Opcode: ADDV_B
+/* 5536 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5547
+/* 5540 */    MCD_OPC_CheckPredicate, 6, 160, 29, // Skip to: 13128
+/* 5544 */    MCD_OPC_Decode, 57, 113, // Opcode: ADDV_H
+/* 5547 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5558
+/* 5551 */    MCD_OPC_CheckPredicate, 6, 149, 29, // Skip to: 13128
+/* 5555 */    MCD_OPC_Decode, 58, 114, // Opcode: ADDV_W
+/* 5558 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5569
+/* 5562 */    MCD_OPC_CheckPredicate, 6, 138, 29, // Skip to: 13128
+/* 5566 */    MCD_OPC_Decode, 56, 115, // Opcode: ADDV_D
+/* 5569 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5581
+/* 5573 */    MCD_OPC_CheckPredicate, 6, 127, 29, // Skip to: 13128
+/* 5577 */    MCD_OPC_Decode, 129, 12, 112, // Opcode: SUBV_B
+/* 5581 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5593
+/* 5585 */    MCD_OPC_CheckPredicate, 6, 115, 29, // Skip to: 13128
+/* 5589 */    MCD_OPC_Decode, 131, 12, 113, // Opcode: SUBV_H
+/* 5593 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5605
+/* 5597 */    MCD_OPC_CheckPredicate, 6, 103, 29, // Skip to: 13128
+/* 5601 */    MCD_OPC_Decode, 132, 12, 114, // Opcode: SUBV_W
+/* 5605 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5617
+/* 5609 */    MCD_OPC_CheckPredicate, 6, 91, 29, // Skip to: 13128
+/* 5613 */    MCD_OPC_Decode, 130, 12, 115, // Opcode: SUBV_D
+/* 5617 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5629
+/* 5621 */    MCD_OPC_CheckPredicate, 6, 79, 29, // Skip to: 13128
+/* 5625 */    MCD_OPC_Decode, 232, 7, 112, // Opcode: MAX_S_B
+/* 5629 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5641
+/* 5633 */    MCD_OPC_CheckPredicate, 6, 67, 29, // Skip to: 13128
+/* 5637 */    MCD_OPC_Decode, 234, 7, 113, // Opcode: MAX_S_H
+/* 5641 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5653
+/* 5645 */    MCD_OPC_CheckPredicate, 6, 55, 29, // Skip to: 13128
+/* 5649 */    MCD_OPC_Decode, 235, 7, 114, // Opcode: MAX_S_W
+/* 5653 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5665
+/* 5657 */    MCD_OPC_CheckPredicate, 6, 43, 29, // Skip to: 13128
+/* 5661 */    MCD_OPC_Decode, 233, 7, 115, // Opcode: MAX_S_D
+/* 5665 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5677
+/* 5669 */    MCD_OPC_CheckPredicate, 6, 31, 29, // Skip to: 13128
+/* 5673 */    MCD_OPC_Decode, 236, 7, 112, // Opcode: MAX_U_B
+/* 5677 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5689
+/* 5681 */    MCD_OPC_CheckPredicate, 6, 19, 29, // Skip to: 13128
+/* 5685 */    MCD_OPC_Decode, 238, 7, 113, // Opcode: MAX_U_H
+/* 5689 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5701
+/* 5693 */    MCD_OPC_CheckPredicate, 6, 7, 29, // Skip to: 13128
+/* 5697 */    MCD_OPC_Decode, 239, 7, 114, // Opcode: MAX_U_W
+/* 5701 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5713
+/* 5705 */    MCD_OPC_CheckPredicate, 6, 251, 28, // Skip to: 13128
+/* 5709 */    MCD_OPC_Decode, 237, 7, 115, // Opcode: MAX_U_D
+/* 5713 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5725
+/* 5717 */    MCD_OPC_CheckPredicate, 6, 239, 28, // Skip to: 13128
+/* 5721 */    MCD_OPC_Decode, 145, 8, 112, // Opcode: MIN_S_B
+/* 5725 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5737
+/* 5729 */    MCD_OPC_CheckPredicate, 6, 227, 28, // Skip to: 13128
+/* 5733 */    MCD_OPC_Decode, 147, 8, 113, // Opcode: MIN_S_H
+/* 5737 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5749
+/* 5741 */    MCD_OPC_CheckPredicate, 6, 215, 28, // Skip to: 13128
+/* 5745 */    MCD_OPC_Decode, 148, 8, 114, // Opcode: MIN_S_W
+/* 5749 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5761
+/* 5753 */    MCD_OPC_CheckPredicate, 6, 203, 28, // Skip to: 13128
+/* 5757 */    MCD_OPC_Decode, 146, 8, 115, // Opcode: MIN_S_D
+/* 5761 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5773
+/* 5765 */    MCD_OPC_CheckPredicate, 6, 191, 28, // Skip to: 13128
+/* 5769 */    MCD_OPC_Decode, 149, 8, 112, // Opcode: MIN_U_B
+/* 5773 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5785
+/* 5777 */    MCD_OPC_CheckPredicate, 6, 179, 28, // Skip to: 13128
+/* 5781 */    MCD_OPC_Decode, 151, 8, 113, // Opcode: MIN_U_H
+/* 5785 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5797
+/* 5789 */    MCD_OPC_CheckPredicate, 6, 167, 28, // Skip to: 13128
+/* 5793 */    MCD_OPC_Decode, 152, 8, 114, // Opcode: MIN_U_W
+/* 5797 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5809
+/* 5801 */    MCD_OPC_CheckPredicate, 6, 155, 28, // Skip to: 13128
+/* 5805 */    MCD_OPC_Decode, 150, 8, 115, // Opcode: MIN_U_D
+/* 5809 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5821
+/* 5813 */    MCD_OPC_CheckPredicate, 6, 143, 28, // Skip to: 13128
+/* 5817 */    MCD_OPC_Decode, 226, 7, 112, // Opcode: MAX_A_B
+/* 5821 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5833
+/* 5825 */    MCD_OPC_CheckPredicate, 6, 131, 28, // Skip to: 13128
+/* 5829 */    MCD_OPC_Decode, 228, 7, 113, // Opcode: MAX_A_H
+/* 5833 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5845
+/* 5837 */    MCD_OPC_CheckPredicate, 6, 119, 28, // Skip to: 13128
+/* 5841 */    MCD_OPC_Decode, 229, 7, 114, // Opcode: MAX_A_W
+/* 5845 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5857
+/* 5849 */    MCD_OPC_CheckPredicate, 6, 107, 28, // Skip to: 13128
+/* 5853 */    MCD_OPC_Decode, 227, 7, 115, // Opcode: MAX_A_D
+/* 5857 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5869
+/* 5861 */    MCD_OPC_CheckPredicate, 6, 95, 28, // Skip to: 13128
+/* 5865 */    MCD_OPC_Decode, 139, 8, 112, // Opcode: MIN_A_B
+/* 5869 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5881
+/* 5873 */    MCD_OPC_CheckPredicate, 6, 83, 28, // Skip to: 13128
+/* 5877 */    MCD_OPC_Decode, 141, 8, 113, // Opcode: MIN_A_H
+/* 5881 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5893
+/* 5885 */    MCD_OPC_CheckPredicate, 6, 71, 28, // Skip to: 13128
+/* 5889 */    MCD_OPC_Decode, 142, 8, 114, // Opcode: MIN_A_W
+/* 5893 */    MCD_OPC_FilterValue, 31, 63, 28, // Skip to: 13128
+/* 5897 */    MCD_OPC_CheckPredicate, 6, 59, 28, // Skip to: 13128
+/* 5901 */    MCD_OPC_Decode, 140, 8, 115, // Opcode: MIN_A_D
+/* 5905 */    MCD_OPC_FilterValue, 15, 243, 0, // Skip to: 6152
+/* 5909 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5912 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5924
+/* 5916 */    MCD_OPC_CheckPredicate, 6, 40, 28, // Skip to: 13128
+/* 5920 */    MCD_OPC_Decode, 188, 2, 112, // Opcode: CEQ_B
+/* 5924 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5936
+/* 5928 */    MCD_OPC_CheckPredicate, 6, 28, 28, // Skip to: 13128
+/* 5932 */    MCD_OPC_Decode, 190, 2, 113, // Opcode: CEQ_H
+/* 5936 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5948
+/* 5940 */    MCD_OPC_CheckPredicate, 6, 16, 28, // Skip to: 13128
+/* 5944 */    MCD_OPC_Decode, 191, 2, 114, // Opcode: CEQ_W
+/* 5948 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5960
+/* 5952 */    MCD_OPC_CheckPredicate, 6, 4, 28, // Skip to: 13128
+/* 5956 */    MCD_OPC_Decode, 189, 2, 115, // Opcode: CEQ_D
+/* 5960 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5972
+/* 5964 */    MCD_OPC_CheckPredicate, 6, 248, 27, // Skip to: 13128
+/* 5968 */    MCD_OPC_Decode, 226, 2, 112, // Opcode: CLT_S_B
+/* 5972 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5984
+/* 5976 */    MCD_OPC_CheckPredicate, 6, 236, 27, // Skip to: 13128
+/* 5980 */    MCD_OPC_Decode, 228, 2, 113, // Opcode: CLT_S_H
+/* 5984 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5996
+/* 5988 */    MCD_OPC_CheckPredicate, 6, 224, 27, // Skip to: 13128
+/* 5992 */    MCD_OPC_Decode, 229, 2, 114, // Opcode: CLT_S_W
+/* 5996 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6008
+/* 6000 */    MCD_OPC_CheckPredicate, 6, 212, 27, // Skip to: 13128
+/* 6004 */    MCD_OPC_Decode, 227, 2, 115, // Opcode: CLT_S_D
+/* 6008 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6020
+/* 6012 */    MCD_OPC_CheckPredicate, 6, 200, 27, // Skip to: 13128
+/* 6016 */    MCD_OPC_Decode, 230, 2, 112, // Opcode: CLT_U_B
+/* 6020 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6032
+/* 6024 */    MCD_OPC_CheckPredicate, 6, 188, 27, // Skip to: 13128
+/* 6028 */    MCD_OPC_Decode, 232, 2, 113, // Opcode: CLT_U_H
+/* 6032 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6044
+/* 6036 */    MCD_OPC_CheckPredicate, 6, 176, 27, // Skip to: 13128
+/* 6040 */    MCD_OPC_Decode, 233, 2, 114, // Opcode: CLT_U_W
+/* 6044 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6056
+/* 6048 */    MCD_OPC_CheckPredicate, 6, 164, 27, // Skip to: 13128
+/* 6052 */    MCD_OPC_Decode, 231, 2, 115, // Opcode: CLT_U_D
+/* 6056 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6068
+/* 6060 */    MCD_OPC_CheckPredicate, 6, 152, 27, // Skip to: 13128
+/* 6064 */    MCD_OPC_Decode, 207, 2, 112, // Opcode: CLE_S_B
+/* 6068 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6080
+/* 6072 */    MCD_OPC_CheckPredicate, 6, 140, 27, // Skip to: 13128
+/* 6076 */    MCD_OPC_Decode, 209, 2, 113, // Opcode: CLE_S_H
+/* 6080 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6092
+/* 6084 */    MCD_OPC_CheckPredicate, 6, 128, 27, // Skip to: 13128
+/* 6088 */    MCD_OPC_Decode, 210, 2, 114, // Opcode: CLE_S_W
+/* 6092 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6104
+/* 6096 */    MCD_OPC_CheckPredicate, 6, 116, 27, // Skip to: 13128
+/* 6100 */    MCD_OPC_Decode, 208, 2, 115, // Opcode: CLE_S_D
+/* 6104 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6116
+/* 6108 */    MCD_OPC_CheckPredicate, 6, 104, 27, // Skip to: 13128
+/* 6112 */    MCD_OPC_Decode, 211, 2, 112, // Opcode: CLE_U_B
+/* 6116 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6128
+/* 6120 */    MCD_OPC_CheckPredicate, 6, 92, 27, // Skip to: 13128
+/* 6124 */    MCD_OPC_Decode, 213, 2, 113, // Opcode: CLE_U_H
+/* 6128 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6140
+/* 6132 */    MCD_OPC_CheckPredicate, 6, 80, 27, // Skip to: 13128
+/* 6136 */    MCD_OPC_Decode, 214, 2, 114, // Opcode: CLE_U_W
+/* 6140 */    MCD_OPC_FilterValue, 23, 72, 27, // Skip to: 13128
+/* 6144 */    MCD_OPC_CheckPredicate, 6, 68, 27, // Skip to: 13128
+/* 6148 */    MCD_OPC_Decode, 212, 2, 115, // Opcode: CLE_U_D
+/* 6152 */    MCD_OPC_FilterValue, 16, 115, 1, // Skip to: 6527
+/* 6156 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6159 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 6170
+/* 6163 */    MCD_OPC_CheckPredicate, 6, 49, 27, // Skip to: 13128
+/* 6167 */    MCD_OPC_Decode, 60, 112, // Opcode: ADD_A_B
+/* 6170 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 6181
+/* 6174 */    MCD_OPC_CheckPredicate, 6, 38, 27, // Skip to: 13128
+/* 6178 */    MCD_OPC_Decode, 62, 113, // Opcode: ADD_A_H
+/* 6181 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 6192
+/* 6185 */    MCD_OPC_CheckPredicate, 6, 27, 27, // Skip to: 13128
+/* 6189 */    MCD_OPC_Decode, 63, 114, // Opcode: ADD_A_W
+/* 6192 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 6203
+/* 6196 */    MCD_OPC_CheckPredicate, 6, 16, 27, // Skip to: 13128
+/* 6200 */    MCD_OPC_Decode, 61, 115, // Opcode: ADD_A_D
+/* 6203 */    MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 6214
+/* 6207 */    MCD_OPC_CheckPredicate, 6, 5, 27, // Skip to: 13128
+/* 6211 */    MCD_OPC_Decode, 33, 112, // Opcode: ADDS_A_B
+/* 6214 */    MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 6225
+/* 6218 */    MCD_OPC_CheckPredicate, 6, 250, 26, // Skip to: 13128
+/* 6222 */    MCD_OPC_Decode, 35, 113, // Opcode: ADDS_A_H
+/* 6225 */    MCD_OPC_FilterValue, 6, 7, 0, // Skip to: 6236
+/* 6229 */    MCD_OPC_CheckPredicate, 6, 239, 26, // Skip to: 13128
+/* 6233 */    MCD_OPC_Decode, 36, 114, // Opcode: ADDS_A_W
+/* 6236 */    MCD_OPC_FilterValue, 7, 7, 0, // Skip to: 6247
+/* 6240 */    MCD_OPC_CheckPredicate, 6, 228, 26, // Skip to: 13128
+/* 6244 */    MCD_OPC_Decode, 34, 115, // Opcode: ADDS_A_D
+/* 6247 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 6258
+/* 6251 */    MCD_OPC_CheckPredicate, 6, 217, 26, // Skip to: 13128
+/* 6255 */    MCD_OPC_Decode, 37, 112, // Opcode: ADDS_S_B
+/* 6258 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 6269
+/* 6262 */    MCD_OPC_CheckPredicate, 6, 206, 26, // Skip to: 13128
+/* 6266 */    MCD_OPC_Decode, 39, 113, // Opcode: ADDS_S_H
+/* 6269 */    MCD_OPC_FilterValue, 10, 7, 0, // Skip to: 6280
+/* 6273 */    MCD_OPC_CheckPredicate, 6, 195, 26, // Skip to: 13128
+/* 6277 */    MCD_OPC_Decode, 40, 114, // Opcode: ADDS_S_W
+/* 6280 */    MCD_OPC_FilterValue, 11, 7, 0, // Skip to: 6291
+/* 6284 */    MCD_OPC_CheckPredicate, 6, 184, 26, // Skip to: 13128
+/* 6288 */    MCD_OPC_Decode, 38, 115, // Opcode: ADDS_S_D
+/* 6291 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 6302
+/* 6295 */    MCD_OPC_CheckPredicate, 6, 173, 26, // Skip to: 13128
+/* 6299 */    MCD_OPC_Decode, 41, 112, // Opcode: ADDS_U_B
+/* 6302 */    MCD_OPC_FilterValue, 13, 7, 0, // Skip to: 6313
+/* 6306 */    MCD_OPC_CheckPredicate, 6, 162, 26, // Skip to: 13128
+/* 6310 */    MCD_OPC_Decode, 43, 113, // Opcode: ADDS_U_H
+/* 6313 */    MCD_OPC_FilterValue, 14, 7, 0, // Skip to: 6324
+/* 6317 */    MCD_OPC_CheckPredicate, 6, 151, 26, // Skip to: 13128
+/* 6321 */    MCD_OPC_Decode, 44, 114, // Opcode: ADDS_U_W
+/* 6324 */    MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 6335
+/* 6328 */    MCD_OPC_CheckPredicate, 6, 140, 26, // Skip to: 13128
+/* 6332 */    MCD_OPC_Decode, 42, 115, // Opcode: ADDS_U_D
+/* 6335 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6347
+/* 6339 */    MCD_OPC_CheckPredicate, 6, 129, 26, // Skip to: 13128
+/* 6343 */    MCD_OPC_Decode, 137, 1, 112, // Opcode: AVE_S_B
+/* 6347 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6359
+/* 6351 */    MCD_OPC_CheckPredicate, 6, 117, 26, // Skip to: 13128
+/* 6355 */    MCD_OPC_Decode, 139, 1, 113, // Opcode: AVE_S_H
+/* 6359 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6371
+/* 6363 */    MCD_OPC_CheckPredicate, 6, 105, 26, // Skip to: 13128
+/* 6367 */    MCD_OPC_Decode, 140, 1, 114, // Opcode: AVE_S_W
+/* 6371 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6383
+/* 6375 */    MCD_OPC_CheckPredicate, 6, 93, 26, // Skip to: 13128
+/* 6379 */    MCD_OPC_Decode, 138, 1, 115, // Opcode: AVE_S_D
+/* 6383 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6395
+/* 6387 */    MCD_OPC_CheckPredicate, 6, 81, 26, // Skip to: 13128
+/* 6391 */    MCD_OPC_Decode, 141, 1, 112, // Opcode: AVE_U_B
+/* 6395 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6407
+/* 6399 */    MCD_OPC_CheckPredicate, 6, 69, 26, // Skip to: 13128
+/* 6403 */    MCD_OPC_Decode, 143, 1, 113, // Opcode: AVE_U_H
+/* 6407 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6419
+/* 6411 */    MCD_OPC_CheckPredicate, 6, 57, 26, // Skip to: 13128
+/* 6415 */    MCD_OPC_Decode, 144, 1, 114, // Opcode: AVE_U_W
+/* 6419 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6431
+/* 6423 */    MCD_OPC_CheckPredicate, 6, 45, 26, // Skip to: 13128
+/* 6427 */    MCD_OPC_Decode, 142, 1, 115, // Opcode: AVE_U_D
+/* 6431 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6443
+/* 6435 */    MCD_OPC_CheckPredicate, 6, 33, 26, // Skip to: 13128
+/* 6439 */    MCD_OPC_Decode, 129, 1, 112, // Opcode: AVER_S_B
+/* 6443 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 6455
+/* 6447 */    MCD_OPC_CheckPredicate, 6, 21, 26, // Skip to: 13128
+/* 6451 */    MCD_OPC_Decode, 131, 1, 113, // Opcode: AVER_S_H
+/* 6455 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6467
+/* 6459 */    MCD_OPC_CheckPredicate, 6, 9, 26, // Skip to: 13128
+/* 6463 */    MCD_OPC_Decode, 132, 1, 114, // Opcode: AVER_S_W
+/* 6467 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 6479
+/* 6471 */    MCD_OPC_CheckPredicate, 6, 253, 25, // Skip to: 13128
+/* 6475 */    MCD_OPC_Decode, 130, 1, 115, // Opcode: AVER_S_D
+/* 6479 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 6491
+/* 6483 */    MCD_OPC_CheckPredicate, 6, 241, 25, // Skip to: 13128
+/* 6487 */    MCD_OPC_Decode, 133, 1, 112, // Opcode: AVER_U_B
+/* 6491 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6503
+/* 6495 */    MCD_OPC_CheckPredicate, 6, 229, 25, // Skip to: 13128
+/* 6499 */    MCD_OPC_Decode, 135, 1, 113, // Opcode: AVER_U_H
+/* 6503 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6515
+/* 6507 */    MCD_OPC_CheckPredicate, 6, 217, 25, // Skip to: 13128
+/* 6511 */    MCD_OPC_Decode, 136, 1, 114, // Opcode: AVER_U_W
+/* 6515 */    MCD_OPC_FilterValue, 31, 209, 25, // Skip to: 13128
+/* 6519 */    MCD_OPC_CheckPredicate, 6, 205, 25, // Skip to: 13128
+/* 6523 */    MCD_OPC_Decode, 134, 1, 115, // Opcode: AVER_U_D
+/* 6527 */    MCD_OPC_FilterValue, 17, 27, 1, // Skip to: 6814
+/* 6531 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6534 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6546
+/* 6538 */    MCD_OPC_CheckPredicate, 6, 186, 25, // Skip to: 13128
+/* 6542 */    MCD_OPC_Decode, 239, 11, 112, // Opcode: SUBS_S_B
+/* 6546 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6558
+/* 6550 */    MCD_OPC_CheckPredicate, 6, 174, 25, // Skip to: 13128
+/* 6554 */    MCD_OPC_Decode, 241, 11, 113, // Opcode: SUBS_S_H
+/* 6558 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6570
+/* 6562 */    MCD_OPC_CheckPredicate, 6, 162, 25, // Skip to: 13128
+/* 6566 */    MCD_OPC_Decode, 242, 11, 114, // Opcode: SUBS_S_W
+/* 6570 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6582
+/* 6574 */    MCD_OPC_CheckPredicate, 6, 150, 25, // Skip to: 13128
+/* 6578 */    MCD_OPC_Decode, 240, 11, 115, // Opcode: SUBS_S_D
+/* 6582 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6594
+/* 6586 */    MCD_OPC_CheckPredicate, 6, 138, 25, // Skip to: 13128
+/* 6590 */    MCD_OPC_Decode, 243, 11, 112, // Opcode: SUBS_U_B
+/* 6594 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6606
+/* 6598 */    MCD_OPC_CheckPredicate, 6, 126, 25, // Skip to: 13128
+/* 6602 */    MCD_OPC_Decode, 245, 11, 113, // Opcode: SUBS_U_H
+/* 6606 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6618
+/* 6610 */    MCD_OPC_CheckPredicate, 6, 114, 25, // Skip to: 13128
+/* 6614 */    MCD_OPC_Decode, 246, 11, 114, // Opcode: SUBS_U_W
+/* 6618 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6630
+/* 6622 */    MCD_OPC_CheckPredicate, 6, 102, 25, // Skip to: 13128
+/* 6626 */    MCD_OPC_Decode, 244, 11, 115, // Opcode: SUBS_U_D
+/* 6630 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6642
+/* 6634 */    MCD_OPC_CheckPredicate, 6, 90, 25, // Skip to: 13128
+/* 6638 */    MCD_OPC_Decode, 231, 11, 112, // Opcode: SUBSUS_U_B
+/* 6642 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6654
+/* 6646 */    MCD_OPC_CheckPredicate, 6, 78, 25, // Skip to: 13128
+/* 6650 */    MCD_OPC_Decode, 233, 11, 113, // Opcode: SUBSUS_U_H
+/* 6654 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6666
+/* 6658 */    MCD_OPC_CheckPredicate, 6, 66, 25, // Skip to: 13128
+/* 6662 */    MCD_OPC_Decode, 234, 11, 114, // Opcode: SUBSUS_U_W
+/* 6666 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6678
+/* 6670 */    MCD_OPC_CheckPredicate, 6, 54, 25, // Skip to: 13128
+/* 6674 */    MCD_OPC_Decode, 232, 11, 115, // Opcode: SUBSUS_U_D
+/* 6678 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6690
+/* 6682 */    MCD_OPC_CheckPredicate, 6, 42, 25, // Skip to: 13128
+/* 6686 */    MCD_OPC_Decode, 235, 11, 112, // Opcode: SUBSUU_S_B
+/* 6690 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6702
+/* 6694 */    MCD_OPC_CheckPredicate, 6, 30, 25, // Skip to: 13128
+/* 6698 */    MCD_OPC_Decode, 237, 11, 113, // Opcode: SUBSUU_S_H
+/* 6702 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6714
+/* 6706 */    MCD_OPC_CheckPredicate, 6, 18, 25, // Skip to: 13128
+/* 6710 */    MCD_OPC_Decode, 238, 11, 114, // Opcode: SUBSUU_S_W
+/* 6714 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6726
+/* 6718 */    MCD_OPC_CheckPredicate, 6, 6, 25, // Skip to: 13128
+/* 6722 */    MCD_OPC_Decode, 236, 11, 115, // Opcode: SUBSUU_S_D
+/* 6726 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 6737
+/* 6730 */    MCD_OPC_CheckPredicate, 6, 250, 24, // Skip to: 13128
+/* 6734 */    MCD_OPC_Decode, 87, 112, // Opcode: ASUB_S_B
+/* 6737 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 6748
+/* 6741 */    MCD_OPC_CheckPredicate, 6, 239, 24, // Skip to: 13128
+/* 6745 */    MCD_OPC_Decode, 89, 113, // Opcode: ASUB_S_H
+/* 6748 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 6759
+/* 6752 */    MCD_OPC_CheckPredicate, 6, 228, 24, // Skip to: 13128
+/* 6756 */    MCD_OPC_Decode, 90, 114, // Opcode: ASUB_S_W
+/* 6759 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 6770
+/* 6763 */    MCD_OPC_CheckPredicate, 6, 217, 24, // Skip to: 13128
+/* 6767 */    MCD_OPC_Decode, 88, 115, // Opcode: ASUB_S_D
+/* 6770 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 6781
+/* 6774 */    MCD_OPC_CheckPredicate, 6, 206, 24, // Skip to: 13128
+/* 6778 */    MCD_OPC_Decode, 91, 112, // Opcode: ASUB_U_B
+/* 6781 */    MCD_OPC_FilterValue, 21, 7, 0, // Skip to: 6792
+/* 6785 */    MCD_OPC_CheckPredicate, 6, 195, 24, // Skip to: 13128
+/* 6789 */    MCD_OPC_Decode, 93, 113, // Opcode: ASUB_U_H
+/* 6792 */    MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 6803
+/* 6796 */    MCD_OPC_CheckPredicate, 6, 184, 24, // Skip to: 13128
+/* 6800 */    MCD_OPC_Decode, 94, 114, // Opcode: ASUB_U_W
+/* 6803 */    MCD_OPC_FilterValue, 23, 177, 24, // Skip to: 13128
+/* 6807 */    MCD_OPC_CheckPredicate, 6, 173, 24, // Skip to: 13128
+/* 6811 */    MCD_OPC_Decode, 92, 115, // Opcode: ASUB_U_D
+/* 6814 */    MCD_OPC_FilterValue, 18, 83, 1, // Skip to: 7157
+/* 6818 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6821 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6833
+/* 6825 */    MCD_OPC_CheckPredicate, 6, 155, 24, // Skip to: 13128
+/* 6829 */    MCD_OPC_Decode, 145, 9, 112, // Opcode: MULV_B
+/* 6833 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6845
+/* 6837 */    MCD_OPC_CheckPredicate, 6, 143, 24, // Skip to: 13128
+/* 6841 */    MCD_OPC_Decode, 147, 9, 113, // Opcode: MULV_H
+/* 6845 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6857
+/* 6849 */    MCD_OPC_CheckPredicate, 6, 131, 24, // Skip to: 13128
+/* 6853 */    MCD_OPC_Decode, 148, 9, 114, // Opcode: MULV_W
+/* 6857 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6869
+/* 6861 */    MCD_OPC_CheckPredicate, 6, 119, 24, // Skip to: 13128
+/* 6865 */    MCD_OPC_Decode, 146, 9, 115, // Opcode: MULV_D
+/* 6869 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6881
+/* 6873 */    MCD_OPC_CheckPredicate, 6, 107, 24, // Skip to: 13128
+/* 6877 */    MCD_OPC_Decode, 199, 7, 116, // Opcode: MADDV_B
+/* 6881 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6893
+/* 6885 */    MCD_OPC_CheckPredicate, 6, 95, 24, // Skip to: 13128
+/* 6889 */    MCD_OPC_Decode, 201, 7, 117, // Opcode: MADDV_H
+/* 6893 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6905
+/* 6897 */    MCD_OPC_CheckPredicate, 6, 83, 24, // Skip to: 13128
+/* 6901 */    MCD_OPC_Decode, 202, 7, 118, // Opcode: MADDV_W
+/* 6905 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6917
+/* 6909 */    MCD_OPC_CheckPredicate, 6, 71, 24, // Skip to: 13128
+/* 6913 */    MCD_OPC_Decode, 200, 7, 119, // Opcode: MADDV_D
+/* 6917 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6929
+/* 6921 */    MCD_OPC_CheckPredicate, 6, 59, 24, // Skip to: 13128
+/* 6925 */    MCD_OPC_Decode, 216, 8, 116, // Opcode: MSUBV_B
+/* 6929 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6941
+/* 6933 */    MCD_OPC_CheckPredicate, 6, 47, 24, // Skip to: 13128
+/* 6937 */    MCD_OPC_Decode, 218, 8, 117, // Opcode: MSUBV_H
+/* 6941 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6953
+/* 6945 */    MCD_OPC_CheckPredicate, 6, 35, 24, // Skip to: 13128
+/* 6949 */    MCD_OPC_Decode, 219, 8, 118, // Opcode: MSUBV_W
+/* 6953 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6965
+/* 6957 */    MCD_OPC_CheckPredicate, 6, 23, 24, // Skip to: 13128
+/* 6961 */    MCD_OPC_Decode, 217, 8, 119, // Opcode: MSUBV_D
+/* 6965 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6977
+/* 6969 */    MCD_OPC_CheckPredicate, 6, 11, 24, // Skip to: 13128
+/* 6973 */    MCD_OPC_Decode, 139, 4, 112, // Opcode: DIV_S_B
+/* 6977 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6989
+/* 6981 */    MCD_OPC_CheckPredicate, 6, 255, 23, // Skip to: 13128
+/* 6985 */    MCD_OPC_Decode, 141, 4, 113, // Opcode: DIV_S_H
+/* 6989 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7001
+/* 6993 */    MCD_OPC_CheckPredicate, 6, 243, 23, // Skip to: 13128
+/* 6997 */    MCD_OPC_Decode, 142, 4, 114, // Opcode: DIV_S_W
+/* 7001 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7013
+/* 7005 */    MCD_OPC_CheckPredicate, 6, 231, 23, // Skip to: 13128
+/* 7009 */    MCD_OPC_Decode, 140, 4, 115, // Opcode: DIV_S_D
+/* 7013 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7025
+/* 7017 */    MCD_OPC_CheckPredicate, 6, 219, 23, // Skip to: 13128
+/* 7021 */    MCD_OPC_Decode, 143, 4, 112, // Opcode: DIV_U_B
+/* 7025 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7037
+/* 7029 */    MCD_OPC_CheckPredicate, 6, 207, 23, // Skip to: 13128
+/* 7033 */    MCD_OPC_Decode, 145, 4, 113, // Opcode: DIV_U_H
+/* 7037 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7049
+/* 7041 */    MCD_OPC_CheckPredicate, 6, 195, 23, // Skip to: 13128
+/* 7045 */    MCD_OPC_Decode, 146, 4, 114, // Opcode: DIV_U_W
+/* 7049 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7061
+/* 7053 */    MCD_OPC_CheckPredicate, 6, 183, 23, // Skip to: 13128
+/* 7057 */    MCD_OPC_Decode, 144, 4, 115, // Opcode: DIV_U_D
+/* 7061 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7073
+/* 7065 */    MCD_OPC_CheckPredicate, 6, 171, 23, // Skip to: 13128
+/* 7069 */    MCD_OPC_Decode, 158, 8, 112, // Opcode: MOD_S_B
+/* 7073 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7085
+/* 7077 */    MCD_OPC_CheckPredicate, 6, 159, 23, // Skip to: 13128
+/* 7081 */    MCD_OPC_Decode, 160, 8, 113, // Opcode: MOD_S_H
+/* 7085 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7097
+/* 7089 */    MCD_OPC_CheckPredicate, 6, 147, 23, // Skip to: 13128
+/* 7093 */    MCD_OPC_Decode, 161, 8, 114, // Opcode: MOD_S_W
+/* 7097 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7109
+/* 7101 */    MCD_OPC_CheckPredicate, 6, 135, 23, // Skip to: 13128
+/* 7105 */    MCD_OPC_Decode, 159, 8, 115, // Opcode: MOD_S_D
+/* 7109 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7121
+/* 7113 */    MCD_OPC_CheckPredicate, 6, 123, 23, // Skip to: 13128
+/* 7117 */    MCD_OPC_Decode, 162, 8, 112, // Opcode: MOD_U_B
+/* 7121 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7133
+/* 7125 */    MCD_OPC_CheckPredicate, 6, 111, 23, // Skip to: 13128
+/* 7129 */    MCD_OPC_Decode, 164, 8, 113, // Opcode: MOD_U_H
+/* 7133 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7145
+/* 7137 */    MCD_OPC_CheckPredicate, 6, 99, 23, // Skip to: 13128
+/* 7141 */    MCD_OPC_Decode, 165, 8, 114, // Opcode: MOD_U_W
+/* 7145 */    MCD_OPC_FilterValue, 31, 91, 23, // Skip to: 13128
+/* 7149 */    MCD_OPC_CheckPredicate, 6, 87, 23, // Skip to: 13128
+/* 7153 */    MCD_OPC_Decode, 163, 8, 115, // Opcode: MOD_U_D
+/* 7157 */    MCD_OPC_FilterValue, 19, 219, 0, // Skip to: 7380
+/* 7161 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7164 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7176
+/* 7168 */    MCD_OPC_CheckPredicate, 6, 68, 23, // Skip to: 13128
+/* 7172 */    MCD_OPC_Decode, 166, 4, 120, // Opcode: DOTP_S_H
+/* 7176 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7188
+/* 7180 */    MCD_OPC_CheckPredicate, 6, 56, 23, // Skip to: 13128
+/* 7184 */    MCD_OPC_Decode, 167, 4, 121, // Opcode: DOTP_S_W
+/* 7188 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7200
+/* 7192 */    MCD_OPC_CheckPredicate, 6, 44, 23, // Skip to: 13128
+/* 7196 */    MCD_OPC_Decode, 165, 4, 122, // Opcode: DOTP_S_D
+/* 7200 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7212
+/* 7204 */    MCD_OPC_CheckPredicate, 6, 32, 23, // Skip to: 13128
+/* 7208 */    MCD_OPC_Decode, 169, 4, 120, // Opcode: DOTP_U_H
+/* 7212 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7224
+/* 7216 */    MCD_OPC_CheckPredicate, 6, 20, 23, // Skip to: 13128
+/* 7220 */    MCD_OPC_Decode, 170, 4, 121, // Opcode: DOTP_U_W
+/* 7224 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7236
+/* 7228 */    MCD_OPC_CheckPredicate, 6, 8, 23, // Skip to: 13128
+/* 7232 */    MCD_OPC_Decode, 168, 4, 122, // Opcode: DOTP_U_D
+/* 7236 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7248
+/* 7240 */    MCD_OPC_CheckPredicate, 6, 252, 22, // Skip to: 13128
+/* 7244 */    MCD_OPC_Decode, 172, 4, 123, // Opcode: DPADD_S_H
+/* 7248 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7260
+/* 7252 */    MCD_OPC_CheckPredicate, 6, 240, 22, // Skip to: 13128
+/* 7256 */    MCD_OPC_Decode, 173, 4, 124, // Opcode: DPADD_S_W
+/* 7260 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7272
+/* 7264 */    MCD_OPC_CheckPredicate, 6, 228, 22, // Skip to: 13128
+/* 7268 */    MCD_OPC_Decode, 171, 4, 125, // Opcode: DPADD_S_D
+/* 7272 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7284
+/* 7276 */    MCD_OPC_CheckPredicate, 6, 216, 22, // Skip to: 13128
+/* 7280 */    MCD_OPC_Decode, 175, 4, 123, // Opcode: DPADD_U_H
+/* 7284 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7296
+/* 7288 */    MCD_OPC_CheckPredicate, 6, 204, 22, // Skip to: 13128
+/* 7292 */    MCD_OPC_Decode, 176, 4, 124, // Opcode: DPADD_U_W
+/* 7296 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7308
+/* 7300 */    MCD_OPC_CheckPredicate, 6, 192, 22, // Skip to: 13128
+/* 7304 */    MCD_OPC_Decode, 174, 4, 125, // Opcode: DPADD_U_D
+/* 7308 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7320
+/* 7312 */    MCD_OPC_CheckPredicate, 6, 180, 22, // Skip to: 13128
+/* 7316 */    MCD_OPC_Decode, 191, 4, 123, // Opcode: DPSUB_S_H
+/* 7320 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7332
+/* 7324 */    MCD_OPC_CheckPredicate, 6, 168, 22, // Skip to: 13128
+/* 7328 */    MCD_OPC_Decode, 192, 4, 124, // Opcode: DPSUB_S_W
+/* 7332 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7344
+/* 7336 */    MCD_OPC_CheckPredicate, 6, 156, 22, // Skip to: 13128
+/* 7340 */    MCD_OPC_Decode, 190, 4, 125, // Opcode: DPSUB_S_D
+/* 7344 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7356
+/* 7348 */    MCD_OPC_CheckPredicate, 6, 144, 22, // Skip to: 13128
+/* 7352 */    MCD_OPC_Decode, 194, 4, 123, // Opcode: DPSUB_U_H
+/* 7356 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7368
+/* 7360 */    MCD_OPC_CheckPredicate, 6, 132, 22, // Skip to: 13128
+/* 7364 */    MCD_OPC_Decode, 195, 4, 124, // Opcode: DPSUB_U_W
+/* 7368 */    MCD_OPC_FilterValue, 23, 124, 22, // Skip to: 13128
+/* 7372 */    MCD_OPC_CheckPredicate, 6, 120, 22, // Skip to: 13128
+/* 7376 */    MCD_OPC_Decode, 193, 4, 125, // Opcode: DPSUB_U_D
+/* 7380 */    MCD_OPC_FilterValue, 20, 137, 1, // Skip to: 7777
+/* 7384 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7387 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7399
+/* 7391 */    MCD_OPC_CheckPredicate, 6, 101, 22, // Skip to: 13128
+/* 7395 */    MCD_OPC_Decode, 129, 11, 126, // Opcode: SLD_B
+/* 7399 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7411
+/* 7403 */    MCD_OPC_CheckPredicate, 6, 89, 22, // Skip to: 13128
+/* 7407 */    MCD_OPC_Decode, 131, 11, 127, // Opcode: SLD_H
+/* 7411 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7424
+/* 7415 */    MCD_OPC_CheckPredicate, 6, 77, 22, // Skip to: 13128
+/* 7419 */    MCD_OPC_Decode, 132, 11, 128, 1, // Opcode: SLD_W
+/* 7424 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 7437
+/* 7428 */    MCD_OPC_CheckPredicate, 6, 64, 22, // Skip to: 13128
+/* 7432 */    MCD_OPC_Decode, 130, 11, 129, 1, // Opcode: SLD_D
+/* 7437 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 7450
+/* 7441 */    MCD_OPC_CheckPredicate, 6, 51, 22, // Skip to: 13128
+/* 7445 */    MCD_OPC_Decode, 170, 11, 130, 1, // Opcode: SPLAT_B
+/* 7450 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 7463
+/* 7454 */    MCD_OPC_CheckPredicate, 6, 38, 22, // Skip to: 13128
+/* 7458 */    MCD_OPC_Decode, 172, 11, 131, 1, // Opcode: SPLAT_H
+/* 7463 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 7476
+/* 7467 */    MCD_OPC_CheckPredicate, 6, 25, 22, // Skip to: 13128
+/* 7471 */    MCD_OPC_Decode, 173, 11, 132, 1, // Opcode: SPLAT_W
+/* 7476 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 7489
+/* 7480 */    MCD_OPC_CheckPredicate, 6, 12, 22, // Skip to: 13128
+/* 7484 */    MCD_OPC_Decode, 171, 11, 133, 1, // Opcode: SPLAT_D
+/* 7489 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7501
+/* 7493 */    MCD_OPC_CheckPredicate, 6, 255, 21, // Skip to: 13128
+/* 7497 */    MCD_OPC_Decode, 206, 9, 112, // Opcode: PCKEV_B
+/* 7501 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7513
+/* 7505 */    MCD_OPC_CheckPredicate, 6, 243, 21, // Skip to: 13128
+/* 7509 */    MCD_OPC_Decode, 208, 9, 113, // Opcode: PCKEV_H
+/* 7513 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7525
+/* 7517 */    MCD_OPC_CheckPredicate, 6, 231, 21, // Skip to: 13128
+/* 7521 */    MCD_OPC_Decode, 209, 9, 114, // Opcode: PCKEV_W
+/* 7525 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7537
+/* 7529 */    MCD_OPC_CheckPredicate, 6, 219, 21, // Skip to: 13128
+/* 7533 */    MCD_OPC_Decode, 207, 9, 115, // Opcode: PCKEV_D
+/* 7537 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 7549
+/* 7541 */    MCD_OPC_CheckPredicate, 6, 207, 21, // Skip to: 13128
+/* 7545 */    MCD_OPC_Decode, 210, 9, 112, // Opcode: PCKOD_B
+/* 7549 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7561
+/* 7553 */    MCD_OPC_CheckPredicate, 6, 195, 21, // Skip to: 13128
+/* 7557 */    MCD_OPC_Decode, 212, 9, 113, // Opcode: PCKOD_H
+/* 7561 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7573
+/* 7565 */    MCD_OPC_CheckPredicate, 6, 183, 21, // Skip to: 13128
+/* 7569 */    MCD_OPC_Decode, 213, 9, 114, // Opcode: PCKOD_W
+/* 7573 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7585
+/* 7577 */    MCD_OPC_CheckPredicate, 6, 171, 21, // Skip to: 13128
+/* 7581 */    MCD_OPC_Decode, 211, 9, 115, // Opcode: PCKOD_D
+/* 7585 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 7597
+/* 7589 */    MCD_OPC_CheckPredicate, 6, 159, 21, // Skip to: 13128
+/* 7593 */    MCD_OPC_Decode, 169, 6, 112, // Opcode: ILVL_B
+/* 7597 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7609
+/* 7601 */    MCD_OPC_CheckPredicate, 6, 147, 21, // Skip to: 13128
+/* 7605 */    MCD_OPC_Decode, 171, 6, 113, // Opcode: ILVL_H
+/* 7609 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7621
+/* 7613 */    MCD_OPC_CheckPredicate, 6, 135, 21, // Skip to: 13128
+/* 7617 */    MCD_OPC_Decode, 172, 6, 114, // Opcode: ILVL_W
+/* 7621 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7633
+/* 7625 */    MCD_OPC_CheckPredicate, 6, 123, 21, // Skip to: 13128
+/* 7629 */    MCD_OPC_Decode, 170, 6, 115, // Opcode: ILVL_D
+/* 7633 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7645
+/* 7637 */    MCD_OPC_CheckPredicate, 6, 111, 21, // Skip to: 13128
+/* 7641 */    MCD_OPC_Decode, 177, 6, 112, // Opcode: ILVR_B
+/* 7645 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7657
+/* 7649 */    MCD_OPC_CheckPredicate, 6, 99, 21, // Skip to: 13128
+/* 7653 */    MCD_OPC_Decode, 179, 6, 113, // Opcode: ILVR_H
+/* 7657 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7669
+/* 7661 */    MCD_OPC_CheckPredicate, 6, 87, 21, // Skip to: 13128
+/* 7665 */    MCD_OPC_Decode, 180, 6, 114, // Opcode: ILVR_W
+/* 7669 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7681
+/* 7673 */    MCD_OPC_CheckPredicate, 6, 75, 21, // Skip to: 13128
+/* 7677 */    MCD_OPC_Decode, 178, 6, 115, // Opcode: ILVR_D
+/* 7681 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7693
+/* 7685 */    MCD_OPC_CheckPredicate, 6, 63, 21, // Skip to: 13128
+/* 7689 */    MCD_OPC_Decode, 165, 6, 112, // Opcode: ILVEV_B
+/* 7693 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7705
+/* 7697 */    MCD_OPC_CheckPredicate, 6, 51, 21, // Skip to: 13128
+/* 7701 */    MCD_OPC_Decode, 167, 6, 113, // Opcode: ILVEV_H
+/* 7705 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7717
+/* 7709 */    MCD_OPC_CheckPredicate, 6, 39, 21, // Skip to: 13128
+/* 7713 */    MCD_OPC_Decode, 168, 6, 114, // Opcode: ILVEV_W
+/* 7717 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7729
+/* 7721 */    MCD_OPC_CheckPredicate, 6, 27, 21, // Skip to: 13128
+/* 7725 */    MCD_OPC_Decode, 166, 6, 115, // Opcode: ILVEV_D
+/* 7729 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7741
+/* 7733 */    MCD_OPC_CheckPredicate, 6, 15, 21, // Skip to: 13128
+/* 7737 */    MCD_OPC_Decode, 173, 6, 112, // Opcode: ILVOD_B
+/* 7741 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7753
+/* 7745 */    MCD_OPC_CheckPredicate, 6, 3, 21, // Skip to: 13128
+/* 7749 */    MCD_OPC_Decode, 175, 6, 113, // Opcode: ILVOD_H
+/* 7753 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7765
+/* 7757 */    MCD_OPC_CheckPredicate, 6, 247, 20, // Skip to: 13128
+/* 7761 */    MCD_OPC_Decode, 176, 6, 114, // Opcode: ILVOD_W
+/* 7765 */    MCD_OPC_FilterValue, 31, 239, 20, // Skip to: 13128
+/* 7769 */    MCD_OPC_CheckPredicate, 6, 235, 20, // Skip to: 13128
+/* 7773 */    MCD_OPC_Decode, 174, 6, 115, // Opcode: ILVOD_D
+/* 7777 */    MCD_OPC_FilterValue, 21, 35, 1, // Skip to: 8072
+/* 7781 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7784 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7796
+/* 7788 */    MCD_OPC_CheckPredicate, 6, 216, 20, // Skip to: 13128
+/* 7792 */    MCD_OPC_Decode, 248, 12, 116, // Opcode: VSHF_B
+/* 7796 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7808
+/* 7800 */    MCD_OPC_CheckPredicate, 6, 204, 20, // Skip to: 13128
+/* 7804 */    MCD_OPC_Decode, 250, 12, 117, // Opcode: VSHF_H
+/* 7808 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7820
+/* 7812 */    MCD_OPC_CheckPredicate, 6, 192, 20, // Skip to: 13128
+/* 7816 */    MCD_OPC_Decode, 251, 12, 118, // Opcode: VSHF_W
+/* 7820 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7832
+/* 7824 */    MCD_OPC_CheckPredicate, 6, 180, 20, // Skip to: 13128
+/* 7828 */    MCD_OPC_Decode, 249, 12, 119, // Opcode: VSHF_D
+/* 7832 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7844
+/* 7836 */    MCD_OPC_CheckPredicate, 6, 168, 20, // Skip to: 13128
+/* 7840 */    MCD_OPC_Decode, 183, 11, 112, // Opcode: SRAR_B
+/* 7844 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7856
+/* 7848 */    MCD_OPC_CheckPredicate, 6, 156, 20, // Skip to: 13128
+/* 7852 */    MCD_OPC_Decode, 185, 11, 113, // Opcode: SRAR_H
+/* 7856 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7868
+/* 7860 */    MCD_OPC_CheckPredicate, 6, 144, 20, // Skip to: 13128
+/* 7864 */    MCD_OPC_Decode, 186, 11, 114, // Opcode: SRAR_W
+/* 7868 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7880
+/* 7872 */    MCD_OPC_CheckPredicate, 6, 132, 20, // Skip to: 13128
+/* 7876 */    MCD_OPC_Decode, 184, 11, 115, // Opcode: SRAR_D
+/* 7880 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7892
+/* 7884 */    MCD_OPC_CheckPredicate, 6, 120, 20, // Skip to: 13128
+/* 7888 */    MCD_OPC_Decode, 203, 11, 112, // Opcode: SRLR_B
+/* 7892 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7904
+/* 7896 */    MCD_OPC_CheckPredicate, 6, 108, 20, // Skip to: 13128
+/* 7900 */    MCD_OPC_Decode, 205, 11, 113, // Opcode: SRLR_H
+/* 7904 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7916
+/* 7908 */    MCD_OPC_CheckPredicate, 6, 96, 20, // Skip to: 13128
+/* 7912 */    MCD_OPC_Decode, 206, 11, 114, // Opcode: SRLR_W
+/* 7916 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7928
+/* 7920 */    MCD_OPC_CheckPredicate, 6, 84, 20, // Skip to: 13128
+/* 7924 */    MCD_OPC_Decode, 204, 11, 115, // Opcode: SRLR_D
+/* 7928 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7940
+/* 7932 */    MCD_OPC_CheckPredicate, 6, 72, 20, // Skip to: 13128
+/* 7936 */    MCD_OPC_Decode, 154, 6, 120, // Opcode: HADD_S_H
+/* 7940 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7952
+/* 7944 */    MCD_OPC_CheckPredicate, 6, 60, 20, // Skip to: 13128
+/* 7948 */    MCD_OPC_Decode, 155, 6, 121, // Opcode: HADD_S_W
+/* 7952 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7964
+/* 7956 */    MCD_OPC_CheckPredicate, 6, 48, 20, // Skip to: 13128
+/* 7960 */    MCD_OPC_Decode, 153, 6, 122, // Opcode: HADD_S_D
+/* 7964 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7976
+/* 7968 */    MCD_OPC_CheckPredicate, 6, 36, 20, // Skip to: 13128
+/* 7972 */    MCD_OPC_Decode, 157, 6, 120, // Opcode: HADD_U_H
+/* 7976 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7988
+/* 7980 */    MCD_OPC_CheckPredicate, 6, 24, 20, // Skip to: 13128
+/* 7984 */    MCD_OPC_Decode, 158, 6, 121, // Opcode: HADD_U_W
+/* 7988 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8000
+/* 7992 */    MCD_OPC_CheckPredicate, 6, 12, 20, // Skip to: 13128
+/* 7996 */    MCD_OPC_Decode, 156, 6, 122, // Opcode: HADD_U_D
+/* 8000 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8012
+/* 8004 */    MCD_OPC_CheckPredicate, 6, 0, 20, // Skip to: 13128
+/* 8008 */    MCD_OPC_Decode, 160, 6, 120, // Opcode: HSUB_S_H
+/* 8012 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8024
+/* 8016 */    MCD_OPC_CheckPredicate, 6, 244, 19, // Skip to: 13128
+/* 8020 */    MCD_OPC_Decode, 161, 6, 121, // Opcode: HSUB_S_W
+/* 8024 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8036
+/* 8028 */    MCD_OPC_CheckPredicate, 6, 232, 19, // Skip to: 13128
+/* 8032 */    MCD_OPC_Decode, 159, 6, 122, // Opcode: HSUB_S_D
+/* 8036 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8048
+/* 8040 */    MCD_OPC_CheckPredicate, 6, 220, 19, // Skip to: 13128
+/* 8044 */    MCD_OPC_Decode, 163, 6, 120, // Opcode: HSUB_U_H
+/* 8048 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8060
+/* 8052 */    MCD_OPC_CheckPredicate, 6, 208, 19, // Skip to: 13128
+/* 8056 */    MCD_OPC_Decode, 164, 6, 121, // Opcode: HSUB_U_W
+/* 8060 */    MCD_OPC_FilterValue, 31, 200, 19, // Skip to: 13128
+/* 8064 */    MCD_OPC_CheckPredicate, 6, 196, 19, // Skip to: 13128
+/* 8068 */    MCD_OPC_Decode, 162, 6, 122, // Opcode: HSUB_U_D
+/* 8072 */    MCD_OPC_FilterValue, 25, 230, 1, // Skip to: 8562
+/* 8076 */    MCD_OPC_ExtractField, 20, 6,  // Inst{25-20} ...
+/* 8079 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8092
+/* 8083 */    MCD_OPC_CheckPredicate, 6, 177, 19, // Skip to: 13128
+/* 8087 */    MCD_OPC_Decode, 253, 10, 134, 1, // Opcode: SLDI_B
+/* 8092 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8111
+/* 8096 */    MCD_OPC_CheckPredicate, 6, 164, 19, // Skip to: 13128
+/* 8100 */    MCD_OPC_CheckField, 19, 1, 0, 158, 19, // Skip to: 13128
+/* 8106 */    MCD_OPC_Decode, 255, 10, 135, 1, // Opcode: SLDI_H
+/* 8111 */    MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 8169
+/* 8115 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8118 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8131
+/* 8122 */    MCD_OPC_CheckPredicate, 6, 138, 19, // Skip to: 13128
+/* 8126 */    MCD_OPC_Decode, 128, 11, 136, 1, // Opcode: SLDI_W
+/* 8131 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8150
+/* 8135 */    MCD_OPC_CheckPredicate, 6, 125, 19, // Skip to: 13128
+/* 8139 */    MCD_OPC_CheckField, 17, 1, 0, 119, 19, // Skip to: 13128
+/* 8145 */    MCD_OPC_Decode, 254, 10, 137, 1, // Opcode: SLDI_D
+/* 8150 */    MCD_OPC_FilterValue, 3, 110, 19, // Skip to: 13128
+/* 8154 */    MCD_OPC_CheckPredicate, 6, 106, 19, // Skip to: 13128
+/* 8158 */    MCD_OPC_CheckField, 16, 2, 2, 100, 19, // Skip to: 13128
+/* 8164 */    MCD_OPC_Decode, 166, 3, 138, 1, // Opcode: CTCMSA
+/* 8169 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 8182
+/* 8173 */    MCD_OPC_CheckPredicate, 6, 87, 19, // Skip to: 13128
+/* 8177 */    MCD_OPC_Decode, 166, 11, 139, 1, // Opcode: SPLATI_B
+/* 8182 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 8201
+/* 8186 */    MCD_OPC_CheckPredicate, 6, 74, 19, // Skip to: 13128
+/* 8190 */    MCD_OPC_CheckField, 19, 1, 0, 68, 19, // Skip to: 13128
+/* 8196 */    MCD_OPC_Decode, 168, 11, 140, 1, // Opcode: SPLATI_H
+/* 8201 */    MCD_OPC_FilterValue, 7, 54, 0, // Skip to: 8259
+/* 8205 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8208 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8221
+/* 8212 */    MCD_OPC_CheckPredicate, 6, 48, 19, // Skip to: 13128
+/* 8216 */    MCD_OPC_Decode, 169, 11, 141, 1, // Opcode: SPLATI_W
+/* 8221 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8240
+/* 8225 */    MCD_OPC_CheckPredicate, 6, 35, 19, // Skip to: 13128
+/* 8229 */    MCD_OPC_CheckField, 17, 1, 0, 29, 19, // Skip to: 13128
+/* 8235 */    MCD_OPC_Decode, 167, 11, 142, 1, // Opcode: SPLATI_D
+/* 8240 */    MCD_OPC_FilterValue, 3, 20, 19, // Skip to: 13128
+/* 8244 */    MCD_OPC_CheckPredicate, 6, 16, 19, // Skip to: 13128
+/* 8248 */    MCD_OPC_CheckField, 16, 2, 2, 10, 19, // Skip to: 13128
+/* 8254 */    MCD_OPC_Decode, 194, 2, 143, 1, // Opcode: CFCMSA
+/* 8259 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 8272
+/* 8263 */    MCD_OPC_CheckPredicate, 6, 253, 18, // Skip to: 13128
+/* 8267 */    MCD_OPC_Decode, 156, 3, 144, 1, // Opcode: COPY_S_B
+/* 8272 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 8291
+/* 8276 */    MCD_OPC_CheckPredicate, 6, 240, 18, // Skip to: 13128
+/* 8280 */    MCD_OPC_CheckField, 19, 1, 0, 234, 18, // Skip to: 13128
+/* 8286 */    MCD_OPC_Decode, 158, 3, 145, 1, // Opcode: COPY_S_H
+/* 8291 */    MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 8349
+/* 8295 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8298 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8311
+/* 8302 */    MCD_OPC_CheckPredicate, 6, 214, 18, // Skip to: 13128
+/* 8306 */    MCD_OPC_Decode, 159, 3, 146, 1, // Opcode: COPY_S_W
+/* 8311 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8330
+/* 8315 */    MCD_OPC_CheckPredicate, 13, 201, 18, // Skip to: 13128
+/* 8319 */    MCD_OPC_CheckField, 17, 1, 0, 195, 18, // Skip to: 13128
+/* 8325 */    MCD_OPC_Decode, 157, 3, 147, 1, // Opcode: COPY_S_D
+/* 8330 */    MCD_OPC_FilterValue, 3, 186, 18, // Skip to: 13128
+/* 8334 */    MCD_OPC_CheckPredicate, 6, 182, 18, // Skip to: 13128
+/* 8338 */    MCD_OPC_CheckField, 16, 2, 2, 176, 18, // Skip to: 13128
+/* 8344 */    MCD_OPC_Decode, 167, 8, 148, 1, // Opcode: MOVE_V
+/* 8349 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 8362
+/* 8353 */    MCD_OPC_CheckPredicate, 6, 163, 18, // Skip to: 13128
+/* 8357 */    MCD_OPC_Decode, 160, 3, 144, 1, // Opcode: COPY_U_B
+/* 8362 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 8381
+/* 8366 */    MCD_OPC_CheckPredicate, 6, 150, 18, // Skip to: 13128
+/* 8370 */    MCD_OPC_CheckField, 19, 1, 0, 144, 18, // Skip to: 13128
+/* 8376 */    MCD_OPC_Decode, 162, 3, 145, 1, // Opcode: COPY_U_H
+/* 8381 */    MCD_OPC_FilterValue, 15, 35, 0, // Skip to: 8420
+/* 8385 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8388 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8401
+/* 8392 */    MCD_OPC_CheckPredicate, 6, 124, 18, // Skip to: 13128
+/* 8396 */    MCD_OPC_Decode, 163, 3, 146, 1, // Opcode: COPY_U_W
+/* 8401 */    MCD_OPC_FilterValue, 2, 115, 18, // Skip to: 13128
+/* 8405 */    MCD_OPC_CheckPredicate, 13, 111, 18, // Skip to: 13128
+/* 8409 */    MCD_OPC_CheckField, 17, 1, 0, 105, 18, // Skip to: 13128
+/* 8415 */    MCD_OPC_Decode, 161, 3, 147, 1, // Opcode: COPY_U_D
+/* 8420 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8433
+/* 8424 */    MCD_OPC_CheckPredicate, 6, 92, 18, // Skip to: 13128
+/* 8428 */    MCD_OPC_Decode, 182, 6, 149, 1, // Opcode: INSERT_B
+/* 8433 */    MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 8452
+/* 8437 */    MCD_OPC_CheckPredicate, 6, 79, 18, // Skip to: 13128
+/* 8441 */    MCD_OPC_CheckField, 19, 1, 0, 73, 18, // Skip to: 13128
+/* 8447 */    MCD_OPC_Decode, 190, 6, 150, 1, // Opcode: INSERT_H
+/* 8452 */    MCD_OPC_FilterValue, 19, 35, 0, // Skip to: 8491
+/* 8456 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8459 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8472
+/* 8463 */    MCD_OPC_CheckPredicate, 6, 53, 18, // Skip to: 13128
+/* 8467 */    MCD_OPC_Decode, 192, 6, 151, 1, // Opcode: INSERT_W
+/* 8472 */    MCD_OPC_FilterValue, 2, 44, 18, // Skip to: 13128
+/* 8476 */    MCD_OPC_CheckPredicate, 13, 40, 18, // Skip to: 13128
+/* 8480 */    MCD_OPC_CheckField, 17, 1, 0, 34, 18, // Skip to: 13128
+/* 8486 */    MCD_OPC_Decode, 184, 6, 152, 1, // Opcode: INSERT_D
+/* 8491 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8504
+/* 8495 */    MCD_OPC_CheckPredicate, 6, 21, 18, // Skip to: 13128
+/* 8499 */    MCD_OPC_Decode, 195, 6, 153, 1, // Opcode: INSVE_B
+/* 8504 */    MCD_OPC_FilterValue, 22, 15, 0, // Skip to: 8523
+/* 8508 */    MCD_OPC_CheckPredicate, 6, 8, 18, // Skip to: 13128
+/* 8512 */    MCD_OPC_CheckField, 19, 1, 0, 2, 18, // Skip to: 13128
+/* 8518 */    MCD_OPC_Decode, 197, 6, 153, 1, // Opcode: INSVE_H
+/* 8523 */    MCD_OPC_FilterValue, 23, 249, 17, // Skip to: 13128
+/* 8527 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8530 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8543
+/* 8534 */    MCD_OPC_CheckPredicate, 6, 238, 17, // Skip to: 13128
+/* 8538 */    MCD_OPC_Decode, 198, 6, 153, 1, // Opcode: INSVE_W
+/* 8543 */    MCD_OPC_FilterValue, 2, 229, 17, // Skip to: 13128
+/* 8547 */    MCD_OPC_CheckPredicate, 6, 225, 17, // Skip to: 13128
+/* 8551 */    MCD_OPC_CheckField, 17, 1, 0, 219, 17, // Skip to: 13128
+/* 8557 */    MCD_OPC_Decode, 196, 6, 153, 1, // Opcode: INSVE_D
+/* 8562 */    MCD_OPC_FilterValue, 26, 131, 1, // Skip to: 8953
+/* 8566 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 8569 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8581
+/* 8573 */    MCD_OPC_CheckPredicate, 6, 199, 17, // Skip to: 13128
+/* 8577 */    MCD_OPC_Decode, 131, 5, 114, // Opcode: FCAF_W
+/* 8581 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8593
+/* 8585 */    MCD_OPC_CheckPredicate, 6, 187, 17, // Skip to: 13128
+/* 8589 */    MCD_OPC_Decode, 130, 5, 115, // Opcode: FCAF_D
+/* 8593 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8605
+/* 8597 */    MCD_OPC_CheckPredicate, 6, 175, 17, // Skip to: 13128
+/* 8601 */    MCD_OPC_Decode, 158, 5, 114, // Opcode: FCUN_W
+/* 8605 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8617
+/* 8609 */    MCD_OPC_CheckPredicate, 6, 163, 17, // Skip to: 13128
+/* 8613 */    MCD_OPC_Decode, 157, 5, 115, // Opcode: FCUN_D
+/* 8617 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8629
+/* 8621 */    MCD_OPC_CheckPredicate, 6, 151, 17, // Skip to: 13128
+/* 8625 */    MCD_OPC_Decode, 133, 5, 114, // Opcode: FCEQ_W
+/* 8629 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8641
+/* 8633 */    MCD_OPC_CheckPredicate, 6, 139, 17, // Skip to: 13128
+/* 8637 */    MCD_OPC_Decode, 132, 5, 115, // Opcode: FCEQ_D
+/* 8641 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8653
+/* 8645 */    MCD_OPC_CheckPredicate, 6, 127, 17, // Skip to: 13128
+/* 8649 */    MCD_OPC_Decode, 150, 5, 114, // Opcode: FCUEQ_W
+/* 8653 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8665
+/* 8657 */    MCD_OPC_CheckPredicate, 6, 115, 17, // Skip to: 13128
+/* 8661 */    MCD_OPC_Decode, 149, 5, 115, // Opcode: FCUEQ_D
+/* 8665 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8677
+/* 8669 */    MCD_OPC_CheckPredicate, 6, 103, 17, // Skip to: 13128
+/* 8673 */    MCD_OPC_Decode, 139, 5, 114, // Opcode: FCLT_W
+/* 8677 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8689
+/* 8681 */    MCD_OPC_CheckPredicate, 6, 91, 17, // Skip to: 13128
+/* 8685 */    MCD_OPC_Decode, 138, 5, 115, // Opcode: FCLT_D
+/* 8689 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8701
+/* 8693 */    MCD_OPC_CheckPredicate, 6, 79, 17, // Skip to: 13128
+/* 8697 */    MCD_OPC_Decode, 154, 5, 114, // Opcode: FCULT_W
+/* 8701 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8713
+/* 8705 */    MCD_OPC_CheckPredicate, 6, 67, 17, // Skip to: 13128
+/* 8709 */    MCD_OPC_Decode, 153, 5, 115, // Opcode: FCULT_D
+/* 8713 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 8725
+/* 8717 */    MCD_OPC_CheckPredicate, 6, 55, 17, // Skip to: 13128
+/* 8721 */    MCD_OPC_Decode, 137, 5, 114, // Opcode: FCLE_W
+/* 8725 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 8737
+/* 8729 */    MCD_OPC_CheckPredicate, 6, 43, 17, // Skip to: 13128
+/* 8733 */    MCD_OPC_Decode, 136, 5, 115, // Opcode: FCLE_D
+/* 8737 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8749
+/* 8741 */    MCD_OPC_CheckPredicate, 6, 31, 17, // Skip to: 13128
+/* 8745 */    MCD_OPC_Decode, 152, 5, 114, // Opcode: FCULE_W
+/* 8749 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8761
+/* 8753 */    MCD_OPC_CheckPredicate, 6, 19, 17, // Skip to: 13128
+/* 8757 */    MCD_OPC_Decode, 151, 5, 115, // Opcode: FCULE_D
+/* 8761 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 8773
+/* 8765 */    MCD_OPC_CheckPredicate, 6, 7, 17, // Skip to: 13128
+/* 8769 */    MCD_OPC_Decode, 235, 5, 114, // Opcode: FSAF_W
+/* 8773 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 8785
+/* 8777 */    MCD_OPC_CheckPredicate, 6, 251, 16, // Skip to: 13128
+/* 8781 */    MCD_OPC_Decode, 234, 5, 115, // Opcode: FSAF_D
+/* 8785 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 8797
+/* 8789 */    MCD_OPC_CheckPredicate, 6, 239, 16, // Skip to: 13128
+/* 8793 */    MCD_OPC_Decode, 141, 6, 114, // Opcode: FSUN_W
+/* 8797 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 8809
+/* 8801 */    MCD_OPC_CheckPredicate, 6, 227, 16, // Skip to: 13128
+/* 8805 */    MCD_OPC_Decode, 140, 6, 115, // Opcode: FSUN_D
+/* 8809 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 8821
+/* 8813 */    MCD_OPC_CheckPredicate, 6, 215, 16, // Skip to: 13128
+/* 8817 */    MCD_OPC_Decode, 237, 5, 114, // Opcode: FSEQ_W
+/* 8821 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 8833
+/* 8825 */    MCD_OPC_CheckPredicate, 6, 203, 16, // Skip to: 13128
+/* 8829 */    MCD_OPC_Decode, 236, 5, 115, // Opcode: FSEQ_D
+/* 8833 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 8845
+/* 8837 */    MCD_OPC_CheckPredicate, 6, 191, 16, // Skip to: 13128
+/* 8841 */    MCD_OPC_Decode, 133, 6, 114, // Opcode: FSUEQ_W
+/* 8845 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8857
+/* 8849 */    MCD_OPC_CheckPredicate, 6, 179, 16, // Skip to: 13128
+/* 8853 */    MCD_OPC_Decode, 132, 6, 115, // Opcode: FSUEQ_D
+/* 8857 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 8869
+/* 8861 */    MCD_OPC_CheckPredicate, 6, 167, 16, // Skip to: 13128
+/* 8865 */    MCD_OPC_Decode, 241, 5, 114, // Opcode: FSLT_W
+/* 8869 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8881
+/* 8873 */    MCD_OPC_CheckPredicate, 6, 155, 16, // Skip to: 13128
+/* 8877 */    MCD_OPC_Decode, 240, 5, 115, // Opcode: FSLT_D
+/* 8881 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8893
+/* 8885 */    MCD_OPC_CheckPredicate, 6, 143, 16, // Skip to: 13128
+/* 8889 */    MCD_OPC_Decode, 137, 6, 114, // Opcode: FSULT_W
+/* 8893 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8905
+/* 8897 */    MCD_OPC_CheckPredicate, 6, 131, 16, // Skip to: 13128
+/* 8901 */    MCD_OPC_Decode, 136, 6, 115, // Opcode: FSULT_D
+/* 8905 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 8917
+/* 8909 */    MCD_OPC_CheckPredicate, 6, 119, 16, // Skip to: 13128
+/* 8913 */    MCD_OPC_Decode, 239, 5, 114, // Opcode: FSLE_W
+/* 8917 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8929
+/* 8921 */    MCD_OPC_CheckPredicate, 6, 107, 16, // Skip to: 13128
+/* 8925 */    MCD_OPC_Decode, 238, 5, 115, // Opcode: FSLE_D
+/* 8929 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8941
+/* 8933 */    MCD_OPC_CheckPredicate, 6, 95, 16, // Skip to: 13128
+/* 8937 */    MCD_OPC_Decode, 135, 6, 114, // Opcode: FSULE_W
+/* 8941 */    MCD_OPC_FilterValue, 31, 87, 16, // Skip to: 13128
+/* 8945 */    MCD_OPC_CheckPredicate, 6, 83, 16, // Skip to: 13128
+/* 8949 */    MCD_OPC_Decode, 134, 6, 115, // Opcode: FSULE_D
+/* 8953 */    MCD_OPC_FilterValue, 27, 63, 1, // Skip to: 9276
+/* 8957 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 8960 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8972
+/* 8964 */    MCD_OPC_CheckPredicate, 6, 64, 16, // Skip to: 13128
+/* 8968 */    MCD_OPC_Decode, 129, 5, 114, // Opcode: FADD_W
+/* 8972 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8984
+/* 8976 */    MCD_OPC_CheckPredicate, 6, 52, 16, // Skip to: 13128
+/* 8980 */    MCD_OPC_Decode, 251, 4, 115, // Opcode: FADD_D
+/* 8984 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8996
+/* 8988 */    MCD_OPC_CheckPredicate, 6, 40, 16, // Skip to: 13128
+/* 8992 */    MCD_OPC_Decode, 131, 6, 114, // Opcode: FSUB_W
+/* 8996 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9008
+/* 9000 */    MCD_OPC_CheckPredicate, 6, 28, 16, // Skip to: 13128
+/* 9004 */    MCD_OPC_Decode, 253, 5, 115, // Opcode: FSUB_D
+/* 9008 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9020
+/* 9012 */    MCD_OPC_CheckPredicate, 6, 16, 16, // Skip to: 13128
+/* 9016 */    MCD_OPC_Decode, 222, 5, 114, // Opcode: FMUL_W
+/* 9020 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9032
+/* 9024 */    MCD_OPC_CheckPredicate, 6, 4, 16, // Skip to: 13128
+/* 9028 */    MCD_OPC_Decode, 216, 5, 115, // Opcode: FMUL_D
+/* 9032 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9044
+/* 9036 */    MCD_OPC_CheckPredicate, 6, 248, 15, // Skip to: 13128
+/* 9040 */    MCD_OPC_Decode, 165, 5, 114, // Opcode: FDIV_W
+/* 9044 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9056
+/* 9048 */    MCD_OPC_CheckPredicate, 6, 236, 15, // Skip to: 13128
+/* 9052 */    MCD_OPC_Decode, 159, 5, 115, // Opcode: FDIV_D
+/* 9056 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9068
+/* 9060 */    MCD_OPC_CheckPredicate, 6, 224, 15, // Skip to: 13128
+/* 9064 */    MCD_OPC_Decode, 200, 5, 118, // Opcode: FMADD_W
+/* 9068 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9080
+/* 9072 */    MCD_OPC_CheckPredicate, 6, 212, 15, // Skip to: 13128
+/* 9076 */    MCD_OPC_Decode, 199, 5, 119, // Opcode: FMADD_D
+/* 9080 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9092
+/* 9084 */    MCD_OPC_CheckPredicate, 6, 200, 15, // Skip to: 13128
+/* 9088 */    MCD_OPC_Decode, 215, 5, 118, // Opcode: FMSUB_W
+/* 9092 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9104
+/* 9096 */    MCD_OPC_CheckPredicate, 6, 188, 15, // Skip to: 13128
+/* 9100 */    MCD_OPC_Decode, 214, 5, 119, // Opcode: FMSUB_D
+/* 9104 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 9116
+/* 9108 */    MCD_OPC_CheckPredicate, 6, 176, 15, // Skip to: 13128
+/* 9112 */    MCD_OPC_Decode, 170, 5, 114, // Opcode: FEXP2_W
+/* 9116 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 9128
+/* 9120 */    MCD_OPC_CheckPredicate, 6, 164, 15, // Skip to: 13128
+/* 9124 */    MCD_OPC_Decode, 168, 5, 115, // Opcode: FEXP2_D
+/* 9128 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 9141
+/* 9132 */    MCD_OPC_CheckPredicate, 6, 152, 15, // Skip to: 13128
+/* 9136 */    MCD_OPC_Decode, 166, 5, 154, 1, // Opcode: FEXDO_H
+/* 9141 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 9154
+/* 9145 */    MCD_OPC_CheckPredicate, 6, 139, 15, // Skip to: 13128
+/* 9149 */    MCD_OPC_Decode, 167, 5, 155, 1, // Opcode: FEXDO_W
+/* 9154 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 9167
+/* 9158 */    MCD_OPC_CheckPredicate, 6, 126, 15, // Skip to: 13128
+/* 9162 */    MCD_OPC_Decode, 146, 6, 154, 1, // Opcode: FTQ_H
+/* 9167 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 9180
+/* 9171 */    MCD_OPC_CheckPredicate, 6, 113, 15, // Skip to: 13128
+/* 9175 */    MCD_OPC_Decode, 147, 6, 155, 1, // Opcode: FTQ_W
+/* 9180 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9192
+/* 9184 */    MCD_OPC_CheckPredicate, 6, 100, 15, // Skip to: 13128
+/* 9188 */    MCD_OPC_Decode, 208, 5, 114, // Opcode: FMIN_W
+/* 9192 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9204
+/* 9196 */    MCD_OPC_CheckPredicate, 6, 88, 15, // Skip to: 13128
+/* 9200 */    MCD_OPC_Decode, 207, 5, 115, // Opcode: FMIN_D
+/* 9204 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9216
+/* 9208 */    MCD_OPC_CheckPredicate, 6, 76, 15, // Skip to: 13128
+/* 9212 */    MCD_OPC_Decode, 206, 5, 114, // Opcode: FMIN_A_W
+/* 9216 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9228
+/* 9220 */    MCD_OPC_CheckPredicate, 6, 64, 15, // Skip to: 13128
+/* 9224 */    MCD_OPC_Decode, 205, 5, 115, // Opcode: FMIN_A_D
+/* 9228 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9240
+/* 9232 */    MCD_OPC_CheckPredicate, 6, 52, 15, // Skip to: 13128
+/* 9236 */    MCD_OPC_Decode, 204, 5, 114, // Opcode: FMAX_W
+/* 9240 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 9252
+/* 9244 */    MCD_OPC_CheckPredicate, 6, 40, 15, // Skip to: 13128
+/* 9248 */    MCD_OPC_Decode, 203, 5, 115, // Opcode: FMAX_D
+/* 9252 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 9264
+/* 9256 */    MCD_OPC_CheckPredicate, 6, 28, 15, // Skip to: 13128
+/* 9260 */    MCD_OPC_Decode, 202, 5, 114, // Opcode: FMAX_A_W
+/* 9264 */    MCD_OPC_FilterValue, 31, 20, 15, // Skip to: 13128
+/* 9268 */    MCD_OPC_CheckPredicate, 6, 16, 15, // Skip to: 13128
+/* 9272 */    MCD_OPC_Decode, 201, 5, 115, // Opcode: FMAX_A_D
+/* 9276 */    MCD_OPC_FilterValue, 28, 35, 1, // Skip to: 9571
+/* 9280 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 9283 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9295
+/* 9287 */    MCD_OPC_CheckPredicate, 6, 253, 14, // Skip to: 13128
+/* 9291 */    MCD_OPC_Decode, 148, 5, 114, // Opcode: FCOR_W
+/* 9295 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9307
+/* 9299 */    MCD_OPC_CheckPredicate, 6, 241, 14, // Skip to: 13128
+/* 9303 */    MCD_OPC_Decode, 147, 5, 115, // Opcode: FCOR_D
+/* 9307 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9319
+/* 9311 */    MCD_OPC_CheckPredicate, 6, 229, 14, // Skip to: 13128
+/* 9315 */    MCD_OPC_Decode, 156, 5, 114, // Opcode: FCUNE_W
+/* 9319 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9331
+/* 9323 */    MCD_OPC_CheckPredicate, 6, 217, 14, // Skip to: 13128
+/* 9327 */    MCD_OPC_Decode, 155, 5, 115, // Opcode: FCUNE_D
+/* 9331 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9343
+/* 9335 */    MCD_OPC_CheckPredicate, 6, 205, 14, // Skip to: 13128
+/* 9339 */    MCD_OPC_Decode, 146, 5, 114, // Opcode: FCNE_W
+/* 9343 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9355
+/* 9347 */    MCD_OPC_CheckPredicate, 6, 193, 14, // Skip to: 13128
+/* 9351 */    MCD_OPC_Decode, 145, 5, 115, // Opcode: FCNE_D
+/* 9355 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9367
+/* 9359 */    MCD_OPC_CheckPredicate, 6, 181, 14, // Skip to: 13128
+/* 9363 */    MCD_OPC_Decode, 151, 9, 113, // Opcode: MUL_Q_H
+/* 9367 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9379
+/* 9371 */    MCD_OPC_CheckPredicate, 6, 169, 14, // Skip to: 13128
+/* 9375 */    MCD_OPC_Decode, 152, 9, 114, // Opcode: MUL_Q_W
+/* 9379 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9391
+/* 9383 */    MCD_OPC_CheckPredicate, 6, 157, 14, // Skip to: 13128
+/* 9387 */    MCD_OPC_Decode, 208, 7, 117, // Opcode: MADD_Q_H
+/* 9391 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9403
+/* 9395 */    MCD_OPC_CheckPredicate, 6, 145, 14, // Skip to: 13128
+/* 9399 */    MCD_OPC_Decode, 209, 7, 118, // Opcode: MADD_Q_W
+/* 9403 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 9415
+/* 9407 */    MCD_OPC_CheckPredicate, 6, 133, 14, // Skip to: 13128
+/* 9411 */    MCD_OPC_Decode, 225, 8, 117, // Opcode: MSUB_Q_H
+/* 9415 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 9427
+/* 9419 */    MCD_OPC_CheckPredicate, 6, 121, 14, // Skip to: 13128
+/* 9423 */    MCD_OPC_Decode, 226, 8, 118, // Opcode: MSUB_Q_W
+/* 9427 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 9439
+/* 9431 */    MCD_OPC_CheckPredicate, 6, 109, 14, // Skip to: 13128
+/* 9435 */    MCD_OPC_Decode, 245, 5, 114, // Opcode: FSOR_W
+/* 9439 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 9451
+/* 9443 */    MCD_OPC_CheckPredicate, 6, 97, 14, // Skip to: 13128
+/* 9447 */    MCD_OPC_Decode, 244, 5, 115, // Opcode: FSOR_D
+/* 9451 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 9463
+/* 9455 */    MCD_OPC_CheckPredicate, 6, 85, 14, // Skip to: 13128
+/* 9459 */    MCD_OPC_Decode, 139, 6, 114, // Opcode: FSUNE_W
+/* 9463 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 9475
+/* 9467 */    MCD_OPC_CheckPredicate, 6, 73, 14, // Skip to: 13128
+/* 9471 */    MCD_OPC_Decode, 138, 6, 115, // Opcode: FSUNE_D
+/* 9475 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 9487
+/* 9479 */    MCD_OPC_CheckPredicate, 6, 61, 14, // Skip to: 13128
+/* 9483 */    MCD_OPC_Decode, 243, 5, 114, // Opcode: FSNE_W
+/* 9487 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 9499
+/* 9491 */    MCD_OPC_CheckPredicate, 6, 49, 14, // Skip to: 13128
+/* 9495 */    MCD_OPC_Decode, 242, 5, 115, // Opcode: FSNE_D
+/* 9499 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9511
+/* 9503 */    MCD_OPC_CheckPredicate, 6, 37, 14, // Skip to: 13128
+/* 9507 */    MCD_OPC_Decode, 134, 9, 113, // Opcode: MULR_Q_H
+/* 9511 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9523
+/* 9515 */    MCD_OPC_CheckPredicate, 6, 25, 14, // Skip to: 13128
+/* 9519 */    MCD_OPC_Decode, 135, 9, 114, // Opcode: MULR_Q_W
+/* 9523 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9535
+/* 9527 */    MCD_OPC_CheckPredicate, 6, 13, 14, // Skip to: 13128
+/* 9531 */    MCD_OPC_Decode, 194, 7, 117, // Opcode: MADDR_Q_H
+/* 9535 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9547
+/* 9539 */    MCD_OPC_CheckPredicate, 6, 1, 14, // Skip to: 13128
+/* 9543 */    MCD_OPC_Decode, 195, 7, 118, // Opcode: MADDR_Q_W
+/* 9547 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9559
+/* 9551 */    MCD_OPC_CheckPredicate, 6, 245, 13, // Skip to: 13128
+/* 9555 */    MCD_OPC_Decode, 211, 8, 117, // Opcode: MSUBR_Q_H
+/* 9559 */    MCD_OPC_FilterValue, 29, 237, 13, // Skip to: 13128
+/* 9563 */    MCD_OPC_CheckPredicate, 6, 233, 13, // Skip to: 13128
+/* 9567 */    MCD_OPC_Decode, 212, 8, 118, // Opcode: MSUBR_Q_W
+/* 9571 */    MCD_OPC_FilterValue, 30, 212, 2, // Skip to: 10299
+/* 9575 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 9578 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 9589
+/* 9582 */    MCD_OPC_CheckPredicate, 6, 214, 13, // Skip to: 13128
+/* 9586 */    MCD_OPC_Decode, 79, 112, // Opcode: AND_V
+/* 9589 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9601
+/* 9593 */    MCD_OPC_CheckPredicate, 6, 203, 13, // Skip to: 13128
+/* 9597 */    MCD_OPC_Decode, 196, 9, 112, // Opcode: OR_V
+/* 9601 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9613
+/* 9605 */    MCD_OPC_CheckPredicate, 6, 191, 13, // Skip to: 13128
+/* 9609 */    MCD_OPC_Decode, 186, 9, 112, // Opcode: NOR_V
+/* 9613 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9625
+/* 9617 */    MCD_OPC_CheckPredicate, 6, 179, 13, // Skip to: 13128
+/* 9621 */    MCD_OPC_Decode, 133, 13, 112, // Opcode: XOR_V
+/* 9625 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9637
+/* 9629 */    MCD_OPC_CheckPredicate, 6, 167, 13, // Skip to: 13128
+/* 9633 */    MCD_OPC_Decode, 230, 1, 116, // Opcode: BMNZ_V
+/* 9637 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9649
+/* 9641 */    MCD_OPC_CheckPredicate, 6, 155, 13, // Skip to: 13128
+/* 9645 */    MCD_OPC_Decode, 232, 1, 116, // Opcode: BMZ_V
+/* 9649 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9661
+/* 9653 */    MCD_OPC_CheckPredicate, 6, 143, 13, // Skip to: 13128
+/* 9657 */    MCD_OPC_Decode, 135, 2, 116, // Opcode: BSEL_V
+/* 9661 */    MCD_OPC_FilterValue, 24, 211, 0, // Skip to: 9876
+/* 9665 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 9668 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9681
+/* 9672 */    MCD_OPC_CheckPredicate, 6, 124, 13, // Skip to: 13128
+/* 9676 */    MCD_OPC_Decode, 184, 5, 156, 1, // Opcode: FILL_B
+/* 9681 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9694
+/* 9685 */    MCD_OPC_CheckPredicate, 6, 111, 13, // Skip to: 13128
+/* 9689 */    MCD_OPC_Decode, 188, 5, 157, 1, // Opcode: FILL_H
+/* 9694 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9707
+/* 9698 */    MCD_OPC_CheckPredicate, 6, 98, 13, // Skip to: 13128
+/* 9702 */    MCD_OPC_Decode, 189, 5, 158, 1, // Opcode: FILL_W
+/* 9707 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9720
+/* 9711 */    MCD_OPC_CheckPredicate, 13, 85, 13, // Skip to: 13128
+/* 9715 */    MCD_OPC_Decode, 185, 5, 159, 1, // Opcode: FILL_D
+/* 9720 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9733
+/* 9724 */    MCD_OPC_CheckPredicate, 6, 72, 13, // Skip to: 13128
+/* 9728 */    MCD_OPC_Decode, 214, 9, 148, 1, // Opcode: PCNT_B
+/* 9733 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9746
+/* 9737 */    MCD_OPC_CheckPredicate, 6, 59, 13, // Skip to: 13128
+/* 9741 */    MCD_OPC_Decode, 216, 9, 160, 1, // Opcode: PCNT_H
+/* 9746 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9759
+/* 9750 */    MCD_OPC_CheckPredicate, 6, 46, 13, // Skip to: 13128
+/* 9754 */    MCD_OPC_Decode, 217, 9, 161, 1, // Opcode: PCNT_W
+/* 9759 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9772
+/* 9763 */    MCD_OPC_CheckPredicate, 6, 33, 13, // Skip to: 13128
+/* 9767 */    MCD_OPC_Decode, 215, 9, 162, 1, // Opcode: PCNT_D
+/* 9772 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 9785
+/* 9776 */    MCD_OPC_CheckPredicate, 6, 20, 13, // Skip to: 13128
+/* 9780 */    MCD_OPC_Decode, 163, 9, 148, 1, // Opcode: NLOC_B
+/* 9785 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 9798
+/* 9789 */    MCD_OPC_CheckPredicate, 6, 7, 13, // Skip to: 13128
+/* 9793 */    MCD_OPC_Decode, 165, 9, 160, 1, // Opcode: NLOC_H
+/* 9798 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 9811
+/* 9802 */    MCD_OPC_CheckPredicate, 6, 250, 12, // Skip to: 13128
+/* 9806 */    MCD_OPC_Decode, 166, 9, 161, 1, // Opcode: NLOC_W
+/* 9811 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 9824
+/* 9815 */    MCD_OPC_CheckPredicate, 6, 237, 12, // Skip to: 13128
+/* 9819 */    MCD_OPC_Decode, 164, 9, 162, 1, // Opcode: NLOC_D
+/* 9824 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 9837
+/* 9828 */    MCD_OPC_CheckPredicate, 6, 224, 12, // Skip to: 13128
+/* 9832 */    MCD_OPC_Decode, 167, 9, 148, 1, // Opcode: NLZC_B
+/* 9837 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 9850
+/* 9841 */    MCD_OPC_CheckPredicate, 6, 211, 12, // Skip to: 13128
+/* 9845 */    MCD_OPC_Decode, 169, 9, 160, 1, // Opcode: NLZC_H
+/* 9850 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 9863
+/* 9854 */    MCD_OPC_CheckPredicate, 6, 198, 12, // Skip to: 13128
+/* 9858 */    MCD_OPC_Decode, 170, 9, 161, 1, // Opcode: NLZC_W
+/* 9863 */    MCD_OPC_FilterValue, 15, 189, 12, // Skip to: 13128
+/* 9867 */    MCD_OPC_CheckPredicate, 6, 185, 12, // Skip to: 13128
+/* 9871 */    MCD_OPC_Decode, 168, 9, 162, 1, // Opcode: NLZC_D
+/* 9876 */    MCD_OPC_FilterValue, 25, 176, 12, // Skip to: 13128
+/* 9880 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 9883 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9896
+/* 9887 */    MCD_OPC_CheckPredicate, 6, 165, 12, // Skip to: 13128
+/* 9891 */    MCD_OPC_Decode, 135, 5, 161, 1, // Opcode: FCLASS_W
+/* 9896 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9909
+/* 9900 */    MCD_OPC_CheckPredicate, 6, 152, 12, // Skip to: 13128
+/* 9904 */    MCD_OPC_Decode, 134, 5, 162, 1, // Opcode: FCLASS_D
+/* 9909 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9922
+/* 9913 */    MCD_OPC_CheckPredicate, 6, 139, 12, // Skip to: 13128
+/* 9917 */    MCD_OPC_Decode, 149, 6, 161, 1, // Opcode: FTRUNC_S_W
+/* 9922 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9935
+/* 9926 */    MCD_OPC_CheckPredicate, 6, 126, 12, // Skip to: 13128
+/* 9930 */    MCD_OPC_Decode, 148, 6, 162, 1, // Opcode: FTRUNC_S_D
+/* 9935 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9948
+/* 9939 */    MCD_OPC_CheckPredicate, 6, 113, 12, // Skip to: 13128
+/* 9943 */    MCD_OPC_Decode, 151, 6, 161, 1, // Opcode: FTRUNC_U_W
+/* 9948 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9961
+/* 9952 */    MCD_OPC_CheckPredicate, 6, 100, 12, // Skip to: 13128
+/* 9956 */    MCD_OPC_Decode, 150, 6, 162, 1, // Opcode: FTRUNC_U_D
+/* 9961 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9974
+/* 9965 */    MCD_OPC_CheckPredicate, 6, 87, 12, // Skip to: 13128
+/* 9969 */    MCD_OPC_Decode, 252, 5, 161, 1, // Opcode: FSQRT_W
+/* 9974 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9987
+/* 9978 */    MCD_OPC_CheckPredicate, 6, 74, 12, // Skip to: 13128
+/* 9982 */    MCD_OPC_Decode, 246, 5, 162, 1, // Opcode: FSQRT_D
+/* 9987 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 10000
+/* 9991 */    MCD_OPC_CheckPredicate, 6, 61, 12, // Skip to: 13128
+/* 9995 */    MCD_OPC_Decode, 233, 5, 161, 1, // Opcode: FRSQRT_W
+/* 10000 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10013
+/* 10004 */   MCD_OPC_CheckPredicate, 6, 48, 12, // Skip to: 13128
+/* 10008 */   MCD_OPC_Decode, 232, 5, 162, 1, // Opcode: FRSQRT_D
+/* 10013 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 10026
+/* 10017 */   MCD_OPC_CheckPredicate, 6, 35, 12, // Skip to: 13128
+/* 10021 */   MCD_OPC_Decode, 229, 5, 161, 1, // Opcode: FRCP_W
+/* 10026 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10039
+/* 10030 */   MCD_OPC_CheckPredicate, 6, 22, 12, // Skip to: 13128
+/* 10034 */   MCD_OPC_Decode, 228, 5, 162, 1, // Opcode: FRCP_D
+/* 10039 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 10052
+/* 10043 */   MCD_OPC_CheckPredicate, 6, 9, 12, // Skip to: 13128
+/* 10047 */   MCD_OPC_Decode, 231, 5, 161, 1, // Opcode: FRINT_W
+/* 10052 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10065
+/* 10056 */   MCD_OPC_CheckPredicate, 6, 252, 11, // Skip to: 13128
+/* 10060 */   MCD_OPC_Decode, 230, 5, 162, 1, // Opcode: FRINT_D
+/* 10065 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 10078
+/* 10069 */   MCD_OPC_CheckPredicate, 6, 239, 11, // Skip to: 13128
+/* 10073 */   MCD_OPC_Decode, 191, 5, 161, 1, // Opcode: FLOG2_W
+/* 10078 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10091
+/* 10082 */   MCD_OPC_CheckPredicate, 6, 226, 11, // Skip to: 13128
+/* 10086 */   MCD_OPC_Decode, 190, 5, 162, 1, // Opcode: FLOG2_D
+/* 10091 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 10104
+/* 10095 */   MCD_OPC_CheckPredicate, 6, 213, 11, // Skip to: 13128
+/* 10099 */   MCD_OPC_Decode, 173, 5, 163, 1, // Opcode: FEXUPL_W
+/* 10104 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 10117
+/* 10108 */   MCD_OPC_CheckPredicate, 6, 200, 11, // Skip to: 13128
+/* 10112 */   MCD_OPC_Decode, 172, 5, 164, 1, // Opcode: FEXUPL_D
+/* 10117 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 10130
+/* 10121 */   MCD_OPC_CheckPredicate, 6, 187, 11, // Skip to: 13128
+/* 10125 */   MCD_OPC_Decode, 175, 5, 163, 1, // Opcode: FEXUPR_W
+/* 10130 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 10143
+/* 10134 */   MCD_OPC_CheckPredicate, 6, 174, 11, // Skip to: 13128
+/* 10138 */   MCD_OPC_Decode, 174, 5, 164, 1, // Opcode: FEXUPR_D
+/* 10143 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 10156
+/* 10147 */   MCD_OPC_CheckPredicate, 6, 161, 11, // Skip to: 13128
+/* 10151 */   MCD_OPC_Decode, 181, 5, 163, 1, // Opcode: FFQL_W
+/* 10156 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 10169
+/* 10160 */   MCD_OPC_CheckPredicate, 6, 148, 11, // Skip to: 13128
+/* 10164 */   MCD_OPC_Decode, 180, 5, 164, 1, // Opcode: FFQL_D
+/* 10169 */   MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 10182
+/* 10173 */   MCD_OPC_CheckPredicate, 6, 135, 11, // Skip to: 13128
+/* 10177 */   MCD_OPC_Decode, 183, 5, 163, 1, // Opcode: FFQR_W
+/* 10182 */   MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 10195
+/* 10186 */   MCD_OPC_CheckPredicate, 6, 122, 11, // Skip to: 13128
+/* 10190 */   MCD_OPC_Decode, 182, 5, 164, 1, // Opcode: FFQR_D
+/* 10195 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 10208
+/* 10199 */   MCD_OPC_CheckPredicate, 6, 109, 11, // Skip to: 13128
+/* 10203 */   MCD_OPC_Decode, 143, 6, 161, 1, // Opcode: FTINT_S_W
+/* 10208 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 10221
+/* 10212 */   MCD_OPC_CheckPredicate, 6, 96, 11, // Skip to: 13128
+/* 10216 */   MCD_OPC_Decode, 142, 6, 162, 1, // Opcode: FTINT_S_D
+/* 10221 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 10234
+/* 10225 */   MCD_OPC_CheckPredicate, 6, 83, 11, // Skip to: 13128
+/* 10229 */   MCD_OPC_Decode, 145, 6, 161, 1, // Opcode: FTINT_U_W
+/* 10234 */   MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 10247
+/* 10238 */   MCD_OPC_CheckPredicate, 6, 70, 11, // Skip to: 13128
+/* 10242 */   MCD_OPC_Decode, 144, 6, 162, 1, // Opcode: FTINT_U_D
+/* 10247 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10260
+/* 10251 */   MCD_OPC_CheckPredicate, 6, 57, 11, // Skip to: 13128
+/* 10255 */   MCD_OPC_Decode, 177, 5, 161, 1, // Opcode: FFINT_S_W
+/* 10260 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10273
+/* 10264 */   MCD_OPC_CheckPredicate, 6, 44, 11, // Skip to: 13128
+/* 10268 */   MCD_OPC_Decode, 176, 5, 162, 1, // Opcode: FFINT_S_D
+/* 10273 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10286
+/* 10277 */   MCD_OPC_CheckPredicate, 6, 31, 11, // Skip to: 13128
+/* 10281 */   MCD_OPC_Decode, 179, 5, 161, 1, // Opcode: FFINT_U_W
+/* 10286 */   MCD_OPC_FilterValue, 31, 22, 11, // Skip to: 13128
+/* 10290 */   MCD_OPC_CheckPredicate, 6, 18, 11, // Skip to: 13128
+/* 10294 */   MCD_OPC_Decode, 178, 5, 162, 1, // Opcode: FFINT_U_D
+/* 10299 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 10312
+/* 10303 */   MCD_OPC_CheckPredicate, 6, 5, 11, // Skip to: 13128
+/* 10307 */   MCD_OPC_Decode, 248, 6, 165, 1, // Opcode: LD_B
+/* 10312 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 10325
+/* 10316 */   MCD_OPC_CheckPredicate, 6, 248, 10, // Skip to: 13128
+/* 10320 */   MCD_OPC_Decode, 250, 6, 165, 1, // Opcode: LD_H
+/* 10325 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 10338
+/* 10329 */   MCD_OPC_CheckPredicate, 6, 235, 10, // Skip to: 13128
+/* 10333 */   MCD_OPC_Decode, 251, 6, 165, 1, // Opcode: LD_W
+/* 10338 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 10351
+/* 10342 */   MCD_OPC_CheckPredicate, 6, 222, 10, // Skip to: 13128
+/* 10346 */   MCD_OPC_Decode, 249, 6, 165, 1, // Opcode: LD_D
+/* 10351 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 10364
+/* 10355 */   MCD_OPC_CheckPredicate, 6, 209, 10, // Skip to: 13128
+/* 10359 */   MCD_OPC_Decode, 219, 11, 165, 1, // Opcode: ST_B
+/* 10364 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 10377
+/* 10368 */   MCD_OPC_CheckPredicate, 6, 196, 10, // Skip to: 13128
+/* 10372 */   MCD_OPC_Decode, 221, 11, 165, 1, // Opcode: ST_H
+/* 10377 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 10390
+/* 10381 */   MCD_OPC_CheckPredicate, 6, 183, 10, // Skip to: 13128
+/* 10385 */   MCD_OPC_Decode, 222, 11, 165, 1, // Opcode: ST_W
+/* 10390 */   MCD_OPC_FilterValue, 39, 174, 10, // Skip to: 13128
+/* 10394 */   MCD_OPC_CheckPredicate, 6, 170, 10, // Skip to: 13128
+/* 10398 */   MCD_OPC_Decode, 220, 11, 165, 1, // Opcode: ST_D
+/* 10403 */   MCD_OPC_FilterValue, 31, 113, 9, // Skip to: 12824
+/* 10407 */   MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 10410 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10423
+/* 10414 */   MCD_OPC_CheckPredicate, 4, 150, 10, // Skip to: 13128
+/* 10418 */   MCD_OPC_Decode, 226, 4, 166, 1, // Opcode: EXT
+/* 10423 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10436
+/* 10427 */   MCD_OPC_CheckPredicate, 4, 137, 10, // Skip to: 13128
+/* 10431 */   MCD_OPC_Decode, 181, 6, 167, 1, // Opcode: INS
+/* 10436 */   MCD_OPC_FilterValue, 10, 42, 0, // Skip to: 10482
+/* 10440 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10443 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10456
+/* 10447 */   MCD_OPC_CheckPredicate, 11, 117, 10, // Skip to: 13128
+/* 10451 */   MCD_OPC_Decode, 170, 7, 168, 1, // Opcode: LWX
+/* 10456 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10469
+/* 10460 */   MCD_OPC_CheckPredicate, 11, 104, 10, // Skip to: 13128
+/* 10464 */   MCD_OPC_Decode, 129, 7, 168, 1, // Opcode: LHX
+/* 10469 */   MCD_OPC_FilterValue, 6, 95, 10, // Skip to: 13128
+/* 10473 */   MCD_OPC_CheckPredicate, 11, 91, 10, // Skip to: 13128
+/* 10477 */   MCD_OPC_Decode, 227, 6, 168, 1, // Opcode: LBUX
+/* 10482 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 10501
+/* 10486 */   MCD_OPC_CheckPredicate, 11, 78, 10, // Skip to: 13128
+/* 10490 */   MCD_OPC_CheckField, 6, 10, 0, 72, 10, // Skip to: 13128
+/* 10496 */   MCD_OPC_Decode, 194, 6, 169, 1, // Opcode: INSV
+/* 10501 */   MCD_OPC_FilterValue, 16, 51, 1, // Skip to: 10812
+/* 10505 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10508 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10520
+/* 10512 */   MCD_OPC_CheckPredicate, 11, 52, 10, // Skip to: 13128
+/* 10516 */   MCD_OPC_Decode, 48, 170, 1, // Opcode: ADDU_QB
+/* 10520 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10533
+/* 10524 */   MCD_OPC_CheckPredicate, 11, 40, 10, // Skip to: 13128
+/* 10528 */   MCD_OPC_Decode, 250, 11, 170, 1, // Opcode: SUBU_QB
+/* 10533 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 10545
+/* 10537 */   MCD_OPC_CheckPredicate, 11, 27, 10, // Skip to: 13128
+/* 10541 */   MCD_OPC_Decode, 50, 170, 1, // Opcode: ADDU_S_QB
+/* 10545 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10558
+/* 10549 */   MCD_OPC_CheckPredicate, 11, 15, 10, // Skip to: 13128
+/* 10553 */   MCD_OPC_Decode, 252, 11, 170, 1, // Opcode: SUBU_S_QB
+/* 10558 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10571
+/* 10562 */   MCD_OPC_CheckPredicate, 11, 2, 10, // Skip to: 13128
+/* 10566 */   MCD_OPC_Decode, 128, 9, 170, 1, // Opcode: MULEU_S_PH_QBL
+/* 10571 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 10584
+/* 10575 */   MCD_OPC_CheckPredicate, 11, 245, 9, // Skip to: 13128
+/* 10579 */   MCD_OPC_Decode, 129, 9, 170, 1, // Opcode: MULEU_S_PH_QBR
+/* 10584 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 10596
+/* 10588 */   MCD_OPC_CheckPredicate, 29, 232, 9, // Skip to: 13128
+/* 10592 */   MCD_OPC_Decode, 47, 170, 1, // Opcode: ADDU_PH
+/* 10596 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10609
+/* 10600 */   MCD_OPC_CheckPredicate, 29, 220, 9, // Skip to: 13128
+/* 10604 */   MCD_OPC_Decode, 249, 11, 170, 1, // Opcode: SUBU_PH
+/* 10609 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 10621
+/* 10613 */   MCD_OPC_CheckPredicate, 11, 207, 9, // Skip to: 13128
+/* 10617 */   MCD_OPC_Decode, 29, 170, 1, // Opcode: ADDQ_PH
+/* 10621 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10634
+/* 10625 */   MCD_OPC_CheckPredicate, 11, 195, 9, // Skip to: 13128
+/* 10629 */   MCD_OPC_Decode, 228, 11, 170, 1, // Opcode: SUBQ_PH
+/* 10634 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 10646
+/* 10638 */   MCD_OPC_CheckPredicate, 29, 182, 9, // Skip to: 13128
+/* 10642 */   MCD_OPC_Decode, 49, 170, 1, // Opcode: ADDU_S_PH
+/* 10646 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10659
+/* 10650 */   MCD_OPC_CheckPredicate, 29, 170, 9, // Skip to: 13128
+/* 10654 */   MCD_OPC_Decode, 251, 11, 170, 1, // Opcode: SUBU_S_PH
+/* 10659 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 10671
+/* 10663 */   MCD_OPC_CheckPredicate, 11, 157, 9, // Skip to: 13128
+/* 10667 */   MCD_OPC_Decode, 30, 170, 1, // Opcode: ADDQ_S_PH
+/* 10671 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10684
+/* 10675 */   MCD_OPC_CheckPredicate, 11, 145, 9, // Skip to: 13128
+/* 10679 */   MCD_OPC_Decode, 229, 11, 170, 1, // Opcode: SUBQ_S_PH
+/* 10684 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 10695
+/* 10688 */   MCD_OPC_CheckPredicate, 11, 132, 9, // Skip to: 13128
+/* 10692 */   MCD_OPC_Decode, 32, 16, // Opcode: ADDSC
+/* 10695 */   MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 10706
+/* 10699 */   MCD_OPC_CheckPredicate, 11, 121, 9, // Skip to: 13128
+/* 10703 */   MCD_OPC_Decode, 59, 16, // Opcode: ADDWC
+/* 10706 */   MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 10718
+/* 10710 */   MCD_OPC_CheckPredicate, 11, 110, 9, // Skip to: 13128
+/* 10714 */   MCD_OPC_Decode, 156, 8, 16, // Opcode: MODSUB
+/* 10718 */   MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 10737
+/* 10722 */   MCD_OPC_CheckPredicate, 11, 98, 9, // Skip to: 13128
+/* 10726 */   MCD_OPC_CheckField, 16, 5, 0, 92, 9, // Skip to: 13128
+/* 10732 */   MCD_OPC_Decode, 149, 10, 171, 1, // Opcode: RADDU_W_QB
+/* 10737 */   MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 10748
+/* 10741 */   MCD_OPC_CheckPredicate, 11, 79, 9, // Skip to: 13128
+/* 10745 */   MCD_OPC_Decode, 31, 16, // Opcode: ADDQ_S_W
+/* 10748 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 10760
+/* 10752 */   MCD_OPC_CheckPredicate, 11, 68, 9, // Skip to: 13128
+/* 10756 */   MCD_OPC_Decode, 230, 11, 16, // Opcode: SUBQ_S_W
+/* 10760 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10773
+/* 10764 */   MCD_OPC_CheckPredicate, 11, 56, 9, // Skip to: 13128
+/* 10768 */   MCD_OPC_Decode, 254, 8, 172, 1, // Opcode: MULEQ_S_W_PHL
+/* 10773 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10786
+/* 10777 */   MCD_OPC_CheckPredicate, 11, 43, 9, // Skip to: 13128
+/* 10781 */   MCD_OPC_Decode, 255, 8, 172, 1, // Opcode: MULEQ_S_W_PHR
+/* 10786 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10799
+/* 10790 */   MCD_OPC_CheckPredicate, 29, 30, 9, // Skip to: 13128
+/* 10794 */   MCD_OPC_Decode, 132, 9, 170, 1, // Opcode: MULQ_S_PH
+/* 10799 */   MCD_OPC_FilterValue, 31, 21, 9, // Skip to: 13128
+/* 10803 */   MCD_OPC_CheckPredicate, 11, 17, 9, // Skip to: 13128
+/* 10807 */   MCD_OPC_Decode, 130, 9, 170, 1, // Opcode: MULQ_RS_PH
+/* 10812 */   MCD_OPC_FilterValue, 17, 69, 1, // Skip to: 11141
+/* 10816 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10819 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10838
+/* 10823 */   MCD_OPC_CheckPredicate, 11, 253, 8, // Skip to: 13128
+/* 10827 */   MCD_OPC_CheckField, 11, 5, 0, 247, 8, // Skip to: 13128
+/* 10833 */   MCD_OPC_Decode, 243, 2, 173, 1, // Opcode: CMPU_EQ_QB
+/* 10838 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 10857
+/* 10842 */   MCD_OPC_CheckPredicate, 11, 234, 8, // Skip to: 13128
+/* 10846 */   MCD_OPC_CheckField, 11, 5, 0, 228, 8, // Skip to: 13128
+/* 10852 */   MCD_OPC_Decode, 245, 2, 173, 1, // Opcode: CMPU_LT_QB
+/* 10857 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 10876
+/* 10861 */   MCD_OPC_CheckPredicate, 11, 215, 8, // Skip to: 13128
+/* 10865 */   MCD_OPC_CheckField, 11, 5, 0, 209, 8, // Skip to: 13128
+/* 10871 */   MCD_OPC_Decode, 244, 2, 173, 1, // Opcode: CMPU_LE_QB
+/* 10876 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 10889
+/* 10880 */   MCD_OPC_CheckPredicate, 11, 196, 8, // Skip to: 13128
+/* 10884 */   MCD_OPC_Decode, 219, 9, 170, 1, // Opcode: PICK_QB
+/* 10889 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10902
+/* 10893 */   MCD_OPC_CheckPredicate, 11, 183, 8, // Skip to: 13128
+/* 10897 */   MCD_OPC_Decode, 240, 2, 172, 1, // Opcode: CMPGU_EQ_QB
+/* 10902 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10915
+/* 10906 */   MCD_OPC_CheckPredicate, 11, 170, 8, // Skip to: 13128
+/* 10910 */   MCD_OPC_Decode, 242, 2, 172, 1, // Opcode: CMPGU_LT_QB
+/* 10915 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10928
+/* 10919 */   MCD_OPC_CheckPredicate, 11, 157, 8, // Skip to: 13128
+/* 10923 */   MCD_OPC_Decode, 241, 2, 172, 1, // Opcode: CMPGU_LE_QB
+/* 10928 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 10947
+/* 10932 */   MCD_OPC_CheckPredicate, 11, 144, 8, // Skip to: 13128
+/* 10936 */   MCD_OPC_CheckField, 11, 5, 0, 138, 8, // Skip to: 13128
+/* 10942 */   MCD_OPC_Decode, 247, 2, 173, 1, // Opcode: CMP_EQ_PH
+/* 10947 */   MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 10966
+/* 10951 */   MCD_OPC_CheckPredicate, 11, 125, 8, // Skip to: 13128
+/* 10955 */   MCD_OPC_CheckField, 11, 5, 0, 119, 8, // Skip to: 13128
+/* 10961 */   MCD_OPC_Decode, 255, 2, 173, 1, // Opcode: CMP_LT_PH
+/* 10966 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 10985
+/* 10970 */   MCD_OPC_CheckPredicate, 11, 106, 8, // Skip to: 13128
+/* 10974 */   MCD_OPC_CheckField, 11, 5, 0, 100, 8, // Skip to: 13128
+/* 10980 */   MCD_OPC_Decode, 252, 2, 173, 1, // Opcode: CMP_LE_PH
+/* 10985 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10998
+/* 10989 */   MCD_OPC_CheckPredicate, 11, 87, 8, // Skip to: 13128
+/* 10993 */   MCD_OPC_Decode, 218, 9, 170, 1, // Opcode: PICK_PH
+/* 10998 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11011
+/* 11002 */   MCD_OPC_CheckPredicate, 11, 74, 8, // Skip to: 13128
+/* 11006 */   MCD_OPC_Decode, 233, 9, 170, 1, // Opcode: PRECRQ_QB_PH
+/* 11011 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11024
+/* 11015 */   MCD_OPC_CheckPredicate, 29, 61, 8, // Skip to: 13128
+/* 11019 */   MCD_OPC_Decode, 235, 9, 170, 1, // Opcode: PRECR_QB_PH
+/* 11024 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11037
+/* 11028 */   MCD_OPC_CheckPredicate, 11, 48, 8, // Skip to: 13128
+/* 11032 */   MCD_OPC_Decode, 204, 9, 170, 1, // Opcode: PACKRL_PH
+/* 11037 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11050
+/* 11041 */   MCD_OPC_CheckPredicate, 11, 35, 8, // Skip to: 13128
+/* 11045 */   MCD_OPC_Decode, 231, 9, 170, 1, // Opcode: PRECRQU_S_QB_PH
+/* 11050 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11063
+/* 11054 */   MCD_OPC_CheckPredicate, 11, 22, 8, // Skip to: 13128
+/* 11058 */   MCD_OPC_Decode, 232, 9, 174, 1, // Opcode: PRECRQ_PH_W
+/* 11063 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11076
+/* 11067 */   MCD_OPC_CheckPredicate, 11, 9, 8, // Skip to: 13128
+/* 11071 */   MCD_OPC_Decode, 234, 9, 174, 1, // Opcode: PRECRQ_RS_PH_W
+/* 11076 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 11089
+/* 11080 */   MCD_OPC_CheckPredicate, 29, 252, 7, // Skip to: 13128
+/* 11084 */   MCD_OPC_Decode, 237, 2, 172, 1, // Opcode: CMPGDU_EQ_QB
+/* 11089 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11102
+/* 11093 */   MCD_OPC_CheckPredicate, 29, 239, 7, // Skip to: 13128
+/* 11097 */   MCD_OPC_Decode, 239, 2, 172, 1, // Opcode: CMPGDU_LT_QB
+/* 11102 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 11115
+/* 11106 */   MCD_OPC_CheckPredicate, 29, 226, 7, // Skip to: 13128
+/* 11110 */   MCD_OPC_Decode, 238, 2, 172, 1, // Opcode: CMPGDU_LE_QB
+/* 11115 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 11128
+/* 11119 */   MCD_OPC_CheckPredicate, 29, 213, 7, // Skip to: 13128
+/* 11123 */   MCD_OPC_Decode, 236, 9, 175, 1, // Opcode: PRECR_SRA_PH_W
+/* 11128 */   MCD_OPC_FilterValue, 31, 204, 7, // Skip to: 13128
+/* 11132 */   MCD_OPC_CheckPredicate, 29, 200, 7, // Skip to: 13128
+/* 11136 */   MCD_OPC_Decode, 237, 9, 175, 1, // Opcode: PRECR_SRA_R_PH_W
+/* 11141 */   MCD_OPC_FilterValue, 18, 74, 1, // Skip to: 11475
+/* 11145 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11148 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 11166
+/* 11152 */   MCD_OPC_CheckPredicate, 29, 180, 7, // Skip to: 13128
+/* 11156 */   MCD_OPC_CheckField, 21, 5, 0, 174, 7, // Skip to: 13128
+/* 11162 */   MCD_OPC_Decode, 21, 176, 1, // Opcode: ABSQ_S_QB
+/* 11166 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11179
+/* 11170 */   MCD_OPC_CheckPredicate, 11, 162, 7, // Skip to: 13128
+/* 11174 */   MCD_OPC_Decode, 156, 10, 177, 1, // Opcode: REPL_QB
+/* 11179 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 11198
+/* 11183 */   MCD_OPC_CheckPredicate, 11, 149, 7, // Skip to: 13128
+/* 11187 */   MCD_OPC_CheckField, 21, 5, 0, 143, 7, // Skip to: 13128
+/* 11193 */   MCD_OPC_Decode, 154, 10, 178, 1, // Opcode: REPLV_QB
+/* 11198 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 11217
+/* 11202 */   MCD_OPC_CheckPredicate, 11, 130, 7, // Skip to: 13128
+/* 11206 */   MCD_OPC_CheckField, 21, 5, 0, 124, 7, // Skip to: 13128
+/* 11212 */   MCD_OPC_Decode, 221, 9, 176, 1, // Opcode: PRECEQU_PH_QBL
+/* 11217 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 11236
+/* 11221 */   MCD_OPC_CheckPredicate, 11, 111, 7, // Skip to: 13128
+/* 11225 */   MCD_OPC_CheckField, 21, 5, 0, 105, 7, // Skip to: 13128
+/* 11231 */   MCD_OPC_Decode, 223, 9, 176, 1, // Opcode: PRECEQU_PH_QBR
+/* 11236 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 11255
+/* 11240 */   MCD_OPC_CheckPredicate, 11, 92, 7, // Skip to: 13128
+/* 11244 */   MCD_OPC_CheckField, 21, 5, 0, 86, 7, // Skip to: 13128
+/* 11250 */   MCD_OPC_Decode, 222, 9, 176, 1, // Opcode: PRECEQU_PH_QBLA
+/* 11255 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 11274
+/* 11259 */   MCD_OPC_CheckPredicate, 11, 73, 7, // Skip to: 13128
+/* 11263 */   MCD_OPC_CheckField, 21, 5, 0, 67, 7, // Skip to: 13128
+/* 11269 */   MCD_OPC_Decode, 224, 9, 176, 1, // Opcode: PRECEQU_PH_QBRA
+/* 11274 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11292
+/* 11278 */   MCD_OPC_CheckPredicate, 11, 54, 7, // Skip to: 13128
+/* 11282 */   MCD_OPC_CheckField, 21, 5, 0, 48, 7, // Skip to: 13128
+/* 11288 */   MCD_OPC_Decode, 20, 176, 1, // Opcode: ABSQ_S_PH
+/* 11292 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11305
+/* 11296 */   MCD_OPC_CheckPredicate, 11, 36, 7, // Skip to: 13128
+/* 11300 */   MCD_OPC_Decode, 155, 10, 177, 1, // Opcode: REPL_PH
+/* 11305 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 11324
+/* 11309 */   MCD_OPC_CheckPredicate, 11, 23, 7, // Skip to: 13128
+/* 11313 */   MCD_OPC_CheckField, 21, 5, 0, 17, 7, // Skip to: 13128
+/* 11319 */   MCD_OPC_Decode, 153, 10, 178, 1, // Opcode: REPLV_PH
+/* 11324 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 11343
+/* 11328 */   MCD_OPC_CheckPredicate, 11, 4, 7, // Skip to: 13128
+/* 11332 */   MCD_OPC_CheckField, 21, 5, 0, 254, 6, // Skip to: 13128
+/* 11338 */   MCD_OPC_Decode, 225, 9, 179, 1, // Opcode: PRECEQ_W_PHL
+/* 11343 */   MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 11362
+/* 11347 */   MCD_OPC_CheckPredicate, 11, 241, 6, // Skip to: 13128
+/* 11351 */   MCD_OPC_CheckField, 21, 5, 0, 235, 6, // Skip to: 13128
+/* 11357 */   MCD_OPC_Decode, 226, 9, 179, 1, // Opcode: PRECEQ_W_PHR
+/* 11362 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 11380
+/* 11366 */   MCD_OPC_CheckPredicate, 11, 222, 6, // Skip to: 13128
+/* 11370 */   MCD_OPC_CheckField, 21, 5, 0, 216, 6, // Skip to: 13128
+/* 11376 */   MCD_OPC_Decode, 22, 180, 1, // Opcode: ABSQ_S_W
+/* 11380 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 11399
+/* 11384 */   MCD_OPC_CheckPredicate, 11, 204, 6, // Skip to: 13128
+/* 11388 */   MCD_OPC_CheckField, 21, 5, 0, 198, 6, // Skip to: 13128
+/* 11394 */   MCD_OPC_Decode, 213, 1, 180, 1, // Opcode: BITREV
+/* 11399 */   MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 11418
+/* 11403 */   MCD_OPC_CheckPredicate, 11, 185, 6, // Skip to: 13128
+/* 11407 */   MCD_OPC_CheckField, 21, 5, 0, 179, 6, // Skip to: 13128
+/* 11413 */   MCD_OPC_Decode, 227, 9, 176, 1, // Opcode: PRECEU_PH_QBL
+/* 11418 */   MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 11437
+/* 11422 */   MCD_OPC_CheckPredicate, 11, 166, 6, // Skip to: 13128
+/* 11426 */   MCD_OPC_CheckField, 21, 5, 0, 160, 6, // Skip to: 13128
+/* 11432 */   MCD_OPC_Decode, 229, 9, 176, 1, // Opcode: PRECEU_PH_QBR
+/* 11437 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 11456
+/* 11441 */   MCD_OPC_CheckPredicate, 11, 147, 6, // Skip to: 13128
+/* 11445 */   MCD_OPC_CheckField, 21, 5, 0, 141, 6, // Skip to: 13128
+/* 11451 */   MCD_OPC_Decode, 228, 9, 176, 1, // Opcode: PRECEU_PH_QBLA
+/* 11456 */   MCD_OPC_FilterValue, 31, 132, 6, // Skip to: 13128
+/* 11460 */   MCD_OPC_CheckPredicate, 11, 128, 6, // Skip to: 13128
+/* 11464 */   MCD_OPC_CheckField, 21, 5, 0, 122, 6, // Skip to: 13128
+/* 11470 */   MCD_OPC_Decode, 230, 9, 176, 1, // Opcode: PRECEU_PH_QBRA
+/* 11475 */   MCD_OPC_FilterValue, 19, 31, 1, // Skip to: 11766
+/* 11479 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11482 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11495
+/* 11486 */   MCD_OPC_CheckPredicate, 11, 102, 6, // Skip to: 13128
+/* 11490 */   MCD_OPC_Decode, 235, 10, 181, 1, // Opcode: SHLL_QB
+/* 11495 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11508
+/* 11499 */   MCD_OPC_CheckPredicate, 11, 89, 6, // Skip to: 13128
+/* 11503 */   MCD_OPC_Decode, 251, 10, 181, 1, // Opcode: SHRL_QB
+/* 11508 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11521
+/* 11512 */   MCD_OPC_CheckPredicate, 11, 76, 6, // Skip to: 13128
+/* 11516 */   MCD_OPC_Decode, 231, 10, 182, 1, // Opcode: SHLLV_QB
+/* 11521 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11534
+/* 11525 */   MCD_OPC_CheckPredicate, 11, 63, 6, // Skip to: 13128
+/* 11529 */   MCD_OPC_Decode, 249, 10, 182, 1, // Opcode: SHRLV_QB
+/* 11534 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 11547
+/* 11538 */   MCD_OPC_CheckPredicate, 29, 50, 6, // Skip to: 13128
+/* 11542 */   MCD_OPC_Decode, 244, 10, 181, 1, // Opcode: SHRA_QB
+/* 11547 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 11560
+/* 11551 */   MCD_OPC_CheckPredicate, 29, 37, 6, // Skip to: 13128
+/* 11555 */   MCD_OPC_Decode, 246, 10, 181, 1, // Opcode: SHRA_R_QB
+/* 11560 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 11573
+/* 11564 */   MCD_OPC_CheckPredicate, 29, 24, 6, // Skip to: 13128
+/* 11568 */   MCD_OPC_Decode, 239, 10, 182, 1, // Opcode: SHRAV_QB
+/* 11573 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 11586
+/* 11577 */   MCD_OPC_CheckPredicate, 29, 11, 6, // Skip to: 13128
+/* 11581 */   MCD_OPC_Decode, 241, 10, 182, 1, // Opcode: SHRAV_R_QB
+/* 11586 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 11599
+/* 11590 */   MCD_OPC_CheckPredicate, 11, 254, 5, // Skip to: 13128
+/* 11594 */   MCD_OPC_Decode, 234, 10, 181, 1, // Opcode: SHLL_PH
+/* 11599 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11612
+/* 11603 */   MCD_OPC_CheckPredicate, 11, 241, 5, // Skip to: 13128
+/* 11607 */   MCD_OPC_Decode, 243, 10, 181, 1, // Opcode: SHRA_PH
+/* 11612 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11625
+/* 11616 */   MCD_OPC_CheckPredicate, 11, 228, 5, // Skip to: 13128
+/* 11620 */   MCD_OPC_Decode, 230, 10, 182, 1, // Opcode: SHLLV_PH
+/* 11625 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11638
+/* 11629 */   MCD_OPC_CheckPredicate, 11, 215, 5, // Skip to: 13128
+/* 11633 */   MCD_OPC_Decode, 238, 10, 182, 1, // Opcode: SHRAV_PH
+/* 11638 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11651
+/* 11642 */   MCD_OPC_CheckPredicate, 11, 202, 5, // Skip to: 13128
+/* 11646 */   MCD_OPC_Decode, 236, 10, 181, 1, // Opcode: SHLL_S_PH
+/* 11651 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11664
+/* 11655 */   MCD_OPC_CheckPredicate, 11, 189, 5, // Skip to: 13128
+/* 11659 */   MCD_OPC_Decode, 245, 10, 181, 1, // Opcode: SHRA_R_PH
+/* 11664 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11677
+/* 11668 */   MCD_OPC_CheckPredicate, 11, 176, 5, // Skip to: 13128
+/* 11672 */   MCD_OPC_Decode, 232, 10, 182, 1, // Opcode: SHLLV_S_PH
+/* 11677 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11690
+/* 11681 */   MCD_OPC_CheckPredicate, 11, 163, 5, // Skip to: 13128
+/* 11685 */   MCD_OPC_Decode, 240, 10, 182, 1, // Opcode: SHRAV_R_PH
+/* 11690 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11703
+/* 11694 */   MCD_OPC_CheckPredicate, 11, 150, 5, // Skip to: 13128
+/* 11698 */   MCD_OPC_Decode, 237, 10, 183, 1, // Opcode: SHLL_S_W
+/* 11703 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11716
+/* 11707 */   MCD_OPC_CheckPredicate, 11, 137, 5, // Skip to: 13128
+/* 11711 */   MCD_OPC_Decode, 247, 10, 183, 1, // Opcode: SHRA_R_W
+/* 11716 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11728
+/* 11720 */   MCD_OPC_CheckPredicate, 11, 124, 5, // Skip to: 13128
+/* 11724 */   MCD_OPC_Decode, 233, 10, 17, // Opcode: SHLLV_S_W
+/* 11728 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 11740
+/* 11732 */   MCD_OPC_CheckPredicate, 11, 112, 5, // Skip to: 13128
+/* 11736 */   MCD_OPC_Decode, 242, 10, 17, // Opcode: SHRAV_R_W
+/* 11740 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11753
+/* 11744 */   MCD_OPC_CheckPredicate, 29, 100, 5, // Skip to: 13128
+/* 11748 */   MCD_OPC_Decode, 250, 10, 181, 1, // Opcode: SHRL_PH
+/* 11753 */   MCD_OPC_FilterValue, 27, 91, 5, // Skip to: 13128
+/* 11757 */   MCD_OPC_CheckPredicate, 29, 87, 5, // Skip to: 13128
+/* 11761 */   MCD_OPC_Decode, 248, 10, 182, 1, // Opcode: SHRLV_PH
+/* 11766 */   MCD_OPC_FilterValue, 24, 199, 0, // Skip to: 11969
+/* 11770 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11773 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11785
+/* 11777 */   MCD_OPC_CheckPredicate, 29, 67, 5, // Skip to: 13128
+/* 11781 */   MCD_OPC_Decode, 45, 170, 1, // Opcode: ADDUH_QB
+/* 11785 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11798
+/* 11789 */   MCD_OPC_CheckPredicate, 29, 55, 5, // Skip to: 13128
+/* 11793 */   MCD_OPC_Decode, 247, 11, 170, 1, // Opcode: SUBUH_QB
+/* 11798 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 11810
+/* 11802 */   MCD_OPC_CheckPredicate, 29, 42, 5, // Skip to: 13128
+/* 11806 */   MCD_OPC_Decode, 46, 170, 1, // Opcode: ADDUH_R_QB
+/* 11810 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11823
+/* 11814 */   MCD_OPC_CheckPredicate, 29, 30, 5, // Skip to: 13128
+/* 11818 */   MCD_OPC_Decode, 248, 11, 170, 1, // Opcode: SUBUH_R_QB
+/* 11823 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 11835
+/* 11827 */   MCD_OPC_CheckPredicate, 29, 17, 5, // Skip to: 13128
+/* 11831 */   MCD_OPC_Decode, 25, 170, 1, // Opcode: ADDQH_PH
+/* 11835 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11848
+/* 11839 */   MCD_OPC_CheckPredicate, 29, 5, 5, // Skip to: 13128
+/* 11843 */   MCD_OPC_Decode, 224, 11, 170, 1, // Opcode: SUBQH_PH
+/* 11848 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 11860
+/* 11852 */   MCD_OPC_CheckPredicate, 29, 248, 4, // Skip to: 13128
+/* 11856 */   MCD_OPC_Decode, 26, 170, 1, // Opcode: ADDQH_R_PH
+/* 11860 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11873
+/* 11864 */   MCD_OPC_CheckPredicate, 29, 236, 4, // Skip to: 13128
+/* 11868 */   MCD_OPC_Decode, 225, 11, 170, 1, // Opcode: SUBQH_R_PH
+/* 11873 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11886
+/* 11877 */   MCD_OPC_CheckPredicate, 29, 223, 4, // Skip to: 13128
+/* 11881 */   MCD_OPC_Decode, 150, 9, 170, 1, // Opcode: MUL_PH
+/* 11886 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11899
+/* 11890 */   MCD_OPC_CheckPredicate, 29, 210, 4, // Skip to: 13128
+/* 11894 */   MCD_OPC_Decode, 154, 9, 170, 1, // Opcode: MUL_S_PH
+/* 11899 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 11910
+/* 11903 */   MCD_OPC_CheckPredicate, 29, 197, 4, // Skip to: 13128
+/* 11907 */   MCD_OPC_Decode, 28, 16, // Opcode: ADDQH_W
+/* 11910 */   MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 11922
+/* 11914 */   MCD_OPC_CheckPredicate, 29, 186, 4, // Skip to: 13128
+/* 11918 */   MCD_OPC_Decode, 227, 11, 16, // Opcode: SUBQH_W
+/* 11922 */   MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 11933
+/* 11926 */   MCD_OPC_CheckPredicate, 29, 174, 4, // Skip to: 13128
+/* 11930 */   MCD_OPC_Decode, 27, 16, // Opcode: ADDQH_R_W
+/* 11933 */   MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 11945
+/* 11937 */   MCD_OPC_CheckPredicate, 29, 163, 4, // Skip to: 13128
+/* 11941 */   MCD_OPC_Decode, 226, 11, 16, // Opcode: SUBQH_R_W
+/* 11945 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11957
+/* 11949 */   MCD_OPC_CheckPredicate, 29, 151, 4, // Skip to: 13128
+/* 11953 */   MCD_OPC_Decode, 133, 9, 16, // Opcode: MULQ_S_W
+/* 11957 */   MCD_OPC_FilterValue, 23, 143, 4, // Skip to: 13128
+/* 11961 */   MCD_OPC_CheckPredicate, 29, 139, 4, // Skip to: 13128
+/* 11965 */   MCD_OPC_Decode, 131, 9, 16, // Opcode: MULQ_RS_W
+/* 11969 */   MCD_OPC_FilterValue, 32, 60, 0, // Skip to: 12033
+/* 11973 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11976 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 11995
+/* 11980 */   MCD_OPC_CheckPredicate, 4, 120, 4, // Skip to: 13128
+/* 11984 */   MCD_OPC_CheckField, 21, 5, 0, 114, 4, // Skip to: 13128
+/* 11990 */   MCD_OPC_Decode, 255, 12, 180, 1, // Opcode: WSBH
+/* 11995 */   MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 12014
+/* 11999 */   MCD_OPC_CheckPredicate, 4, 101, 4, // Skip to: 13128
+/* 12003 */   MCD_OPC_CheckField, 21, 5, 0, 95, 4, // Skip to: 13128
+/* 12009 */   MCD_OPC_Decode, 205, 10, 180, 1, // Opcode: SEB
+/* 12014 */   MCD_OPC_FilterValue, 24, 86, 4, // Skip to: 13128
+/* 12018 */   MCD_OPC_CheckPredicate, 4, 82, 4, // Skip to: 13128
+/* 12022 */   MCD_OPC_CheckField, 21, 5, 0, 76, 4, // Skip to: 13128
+/* 12028 */   MCD_OPC_Decode, 208, 10, 180, 1, // Opcode: SEH
+/* 12033 */   MCD_OPC_FilterValue, 48, 143, 1, // Skip to: 12436
+/* 12037 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12040 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12058
+/* 12044 */   MCD_OPC_CheckPredicate, 29, 56, 4, // Skip to: 13128
+/* 12048 */   MCD_OPC_CheckField, 13, 3, 0, 50, 4, // Skip to: 13128
+/* 12054 */   MCD_OPC_Decode, 184, 4, 91, // Opcode: DPA_W_PH
+/* 12058 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 12076
+/* 12062 */   MCD_OPC_CheckPredicate, 29, 38, 4, // Skip to: 13128
+/* 12066 */   MCD_OPC_CheckField, 13, 3, 0, 32, 4, // Skip to: 13128
+/* 12072 */   MCD_OPC_Decode, 199, 4, 91, // Opcode: DPS_W_PH
+/* 12076 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 12094
+/* 12080 */   MCD_OPC_CheckPredicate, 29, 20, 4, // Skip to: 13128
+/* 12084 */   MCD_OPC_CheckField, 13, 3, 0, 14, 4, // Skip to: 13128
+/* 12090 */   MCD_OPC_Decode, 137, 9, 91, // Opcode: MULSA_W_PH
+/* 12094 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 12112
+/* 12098 */   MCD_OPC_CheckPredicate, 11, 2, 4, // Skip to: 13128
+/* 12102 */   MCD_OPC_CheckField, 13, 3, 0, 252, 3, // Skip to: 13128
+/* 12108 */   MCD_OPC_Decode, 181, 4, 91, // Opcode: DPAU_H_QBL
+/* 12112 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 12130
+/* 12116 */   MCD_OPC_CheckPredicate, 11, 240, 3, // Skip to: 13128
+/* 12120 */   MCD_OPC_CheckField, 13, 3, 0, 234, 3, // Skip to: 13128
+/* 12126 */   MCD_OPC_Decode, 180, 4, 91, // Opcode: DPAQ_S_W_PH
+/* 12130 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 12148
+/* 12134 */   MCD_OPC_CheckPredicate, 11, 222, 3, // Skip to: 13128
+/* 12138 */   MCD_OPC_CheckField, 13, 3, 0, 216, 3, // Skip to: 13128
+/* 12144 */   MCD_OPC_Decode, 189, 4, 91, // Opcode: DPSQ_S_W_PH
+/* 12148 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 12166
+/* 12152 */   MCD_OPC_CheckPredicate, 11, 204, 3, // Skip to: 13128
+/* 12156 */   MCD_OPC_CheckField, 13, 3, 0, 198, 3, // Skip to: 13128
+/* 12162 */   MCD_OPC_Decode, 136, 9, 91, // Opcode: MULSAQ_S_W_PH
+/* 12166 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 12184
+/* 12170 */   MCD_OPC_CheckPredicate, 11, 186, 3, // Skip to: 13128
+/* 12174 */   MCD_OPC_CheckField, 13, 3, 0, 180, 3, // Skip to: 13128
+/* 12180 */   MCD_OPC_Decode, 182, 4, 91, // Opcode: DPAU_H_QBR
+/* 12184 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 12202
+/* 12188 */   MCD_OPC_CheckPredicate, 29, 168, 3, // Skip to: 13128
+/* 12192 */   MCD_OPC_CheckField, 13, 3, 0, 162, 3, // Skip to: 13128
+/* 12198 */   MCD_OPC_Decode, 183, 4, 91, // Opcode: DPAX_W_PH
+/* 12202 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 12220
+/* 12206 */   MCD_OPC_CheckPredicate, 29, 150, 3, // Skip to: 13128
+/* 12210 */   MCD_OPC_CheckField, 13, 3, 0, 144, 3, // Skip to: 13128
+/* 12216 */   MCD_OPC_Decode, 198, 4, 91, // Opcode: DPSX_W_PH
+/* 12220 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 12238
+/* 12224 */   MCD_OPC_CheckPredicate, 11, 132, 3, // Skip to: 13128
+/* 12228 */   MCD_OPC_CheckField, 13, 3, 0, 126, 3, // Skip to: 13128
+/* 12234 */   MCD_OPC_Decode, 196, 4, 91, // Opcode: DPSU_H_QBL
+/* 12238 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 12256
+/* 12242 */   MCD_OPC_CheckPredicate, 11, 114, 3, // Skip to: 13128
+/* 12246 */   MCD_OPC_CheckField, 13, 3, 0, 108, 3, // Skip to: 13128
+/* 12252 */   MCD_OPC_Decode, 179, 4, 91, // Opcode: DPAQ_SA_L_W
+/* 12256 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 12274
+/* 12260 */   MCD_OPC_CheckPredicate, 11, 96, 3, // Skip to: 13128
+/* 12264 */   MCD_OPC_CheckField, 13, 3, 0, 90, 3, // Skip to: 13128
+/* 12270 */   MCD_OPC_Decode, 188, 4, 91, // Opcode: DPSQ_SA_L_W
+/* 12274 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 12292
+/* 12278 */   MCD_OPC_CheckPredicate, 11, 78, 3, // Skip to: 13128
+/* 12282 */   MCD_OPC_CheckField, 13, 3, 0, 72, 3, // Skip to: 13128
+/* 12288 */   MCD_OPC_Decode, 197, 4, 91, // Opcode: DPSU_H_QBR
+/* 12292 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 12310
+/* 12296 */   MCD_OPC_CheckPredicate, 11, 60, 3, // Skip to: 13128
+/* 12300 */   MCD_OPC_CheckField, 13, 3, 0, 54, 3, // Skip to: 13128
+/* 12306 */   MCD_OPC_Decode, 212, 7, 91, // Opcode: MAQ_SA_W_PHL
+/* 12310 */   MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 12328
+/* 12314 */   MCD_OPC_CheckPredicate, 11, 42, 3, // Skip to: 13128
+/* 12318 */   MCD_OPC_CheckField, 13, 3, 0, 36, 3, // Skip to: 13128
+/* 12324 */   MCD_OPC_Decode, 213, 7, 91, // Opcode: MAQ_SA_W_PHR
+/* 12328 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 12346
+/* 12332 */   MCD_OPC_CheckPredicate, 11, 24, 3, // Skip to: 13128
+/* 12336 */   MCD_OPC_CheckField, 13, 3, 0, 18, 3, // Skip to: 13128
+/* 12342 */   MCD_OPC_Decode, 214, 7, 91, // Opcode: MAQ_S_W_PHL
+/* 12346 */   MCD_OPC_FilterValue, 22, 14, 0, // Skip to: 12364
+/* 12350 */   MCD_OPC_CheckPredicate, 11, 6, 3, // Skip to: 13128
+/* 12354 */   MCD_OPC_CheckField, 13, 3, 0, 0, 3, // Skip to: 13128
+/* 12360 */   MCD_OPC_Decode, 215, 7, 91, // Opcode: MAQ_S_W_PHR
+/* 12364 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 12382
+/* 12368 */   MCD_OPC_CheckPredicate, 29, 244, 2, // Skip to: 13128
+/* 12372 */   MCD_OPC_CheckField, 13, 3, 0, 238, 2, // Skip to: 13128
+/* 12378 */   MCD_OPC_Decode, 178, 4, 91, // Opcode: DPAQX_S_W_PH
+/* 12382 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 12400
+/* 12386 */   MCD_OPC_CheckPredicate, 29, 226, 2, // Skip to: 13128
+/* 12390 */   MCD_OPC_CheckField, 13, 3, 0, 220, 2, // Skip to: 13128
+/* 12396 */   MCD_OPC_Decode, 187, 4, 91, // Opcode: DPSQX_S_W_PH
+/* 12400 */   MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 12418
+/* 12404 */   MCD_OPC_CheckPredicate, 29, 208, 2, // Skip to: 13128
+/* 12408 */   MCD_OPC_CheckField, 13, 3, 0, 202, 2, // Skip to: 13128
+/* 12414 */   MCD_OPC_Decode, 177, 4, 91, // Opcode: DPAQX_SA_W_PH
+/* 12418 */   MCD_OPC_FilterValue, 27, 194, 2, // Skip to: 13128
+/* 12422 */   MCD_OPC_CheckPredicate, 29, 190, 2, // Skip to: 13128
+/* 12426 */   MCD_OPC_CheckField, 13, 3, 0, 184, 2, // Skip to: 13128
+/* 12432 */   MCD_OPC_Decode, 186, 4, 91, // Opcode: DPSQX_SA_W_PH
+/* 12436 */   MCD_OPC_FilterValue, 49, 41, 0, // Skip to: 12481
+/* 12440 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12443 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12455
+/* 12447 */   MCD_OPC_CheckPredicate, 29, 165, 2, // Skip to: 13128
+/* 12451 */   MCD_OPC_Decode, 86, 184, 1, // Opcode: APPEND
+/* 12455 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 12468
+/* 12459 */   MCD_OPC_CheckPredicate, 29, 153, 2, // Skip to: 13128
+/* 12463 */   MCD_OPC_Decode, 240, 9, 184, 1, // Opcode: PREPEND
+/* 12468 */   MCD_OPC_FilterValue, 16, 144, 2, // Skip to: 13128
+/* 12472 */   MCD_OPC_CheckPredicate, 29, 140, 2, // Skip to: 13128
+/* 12476 */   MCD_OPC_Decode, 158, 1, 184, 1, // Opcode: BALIGN
+/* 12481 */   MCD_OPC_FilterValue, 56, 58, 1, // Skip to: 12799
+/* 12485 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12488 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12507
+/* 12492 */   MCD_OPC_CheckPredicate, 11, 120, 2, // Skip to: 13128
+/* 12496 */   MCD_OPC_CheckField, 13, 3, 0, 114, 2, // Skip to: 13128
+/* 12502 */   MCD_OPC_Decode, 238, 4, 185, 1, // Opcode: EXTR_W
+/* 12507 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12526
+/* 12511 */   MCD_OPC_CheckPredicate, 11, 101, 2, // Skip to: 13128
+/* 12515 */   MCD_OPC_CheckField, 13, 3, 0, 95, 2, // Skip to: 13128
+/* 12521 */   MCD_OPC_Decode, 234, 4, 186, 1, // Opcode: EXTRV_W
+/* 12526 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 12545
+/* 12530 */   MCD_OPC_CheckPredicate, 11, 82, 2, // Skip to: 13128
+/* 12534 */   MCD_OPC_CheckField, 13, 3, 0, 76, 2, // Skip to: 13128
+/* 12540 */   MCD_OPC_Decode, 227, 4, 185, 1, // Opcode: EXTP
+/* 12545 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 12564
+/* 12549 */   MCD_OPC_CheckPredicate, 11, 63, 2, // Skip to: 13128
+/* 12553 */   MCD_OPC_CheckField, 13, 3, 0, 57, 2, // Skip to: 13128
+/* 12559 */   MCD_OPC_Decode, 230, 4, 186, 1, // Opcode: EXTPV
+/* 12564 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 12583
+/* 12568 */   MCD_OPC_CheckPredicate, 11, 44, 2, // Skip to: 13128
+/* 12572 */   MCD_OPC_CheckField, 13, 3, 0, 38, 2, // Skip to: 13128
+/* 12578 */   MCD_OPC_Decode, 236, 4, 185, 1, // Opcode: EXTR_R_W
+/* 12583 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 12602
+/* 12587 */   MCD_OPC_CheckPredicate, 11, 25, 2, // Skip to: 13128
+/* 12591 */   MCD_OPC_CheckField, 13, 3, 0, 19, 2, // Skip to: 13128
+/* 12597 */   MCD_OPC_Decode, 232, 4, 186, 1, // Opcode: EXTRV_R_W
+/* 12602 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 12621
+/* 12606 */   MCD_OPC_CheckPredicate, 11, 6, 2, // Skip to: 13128
+/* 12610 */   MCD_OPC_CheckField, 13, 3, 0, 0, 2, // Skip to: 13128
+/* 12616 */   MCD_OPC_Decode, 235, 4, 185, 1, // Opcode: EXTR_RS_W
+/* 12621 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 12640
+/* 12625 */   MCD_OPC_CheckPredicate, 11, 243, 1, // Skip to: 13128
+/* 12629 */   MCD_OPC_CheckField, 13, 3, 0, 237, 1, // Skip to: 13128
+/* 12635 */   MCD_OPC_Decode, 231, 4, 186, 1, // Opcode: EXTRV_RS_W
+/* 12640 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 12659
+/* 12644 */   MCD_OPC_CheckPredicate, 11, 224, 1, // Skip to: 13128
+/* 12648 */   MCD_OPC_CheckField, 13, 3, 0, 218, 1, // Skip to: 13128
+/* 12654 */   MCD_OPC_Decode, 228, 4, 185, 1, // Opcode: EXTPDP
+/* 12659 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 12678
+/* 12663 */   MCD_OPC_CheckPredicate, 11, 205, 1, // Skip to: 13128
+/* 12667 */   MCD_OPC_CheckField, 13, 3, 0, 199, 1, // Skip to: 13128
+/* 12673 */   MCD_OPC_Decode, 229, 4, 186, 1, // Opcode: EXTPDPV
+/* 12678 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 12697
+/* 12682 */   MCD_OPC_CheckPredicate, 11, 186, 1, // Skip to: 13128
+/* 12686 */   MCD_OPC_CheckField, 13, 3, 0, 180, 1, // Skip to: 13128
+/* 12692 */   MCD_OPC_Decode, 237, 4, 185, 1, // Opcode: EXTR_S_H
+/* 12697 */   MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 12716
+/* 12701 */   MCD_OPC_CheckPredicate, 11, 167, 1, // Skip to: 13128
+/* 12705 */   MCD_OPC_CheckField, 13, 3, 0, 161, 1, // Skip to: 13128
+/* 12711 */   MCD_OPC_Decode, 233, 4, 186, 1, // Opcode: EXTRV_S_H
+/* 12716 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 12729
+/* 12720 */   MCD_OPC_CheckPredicate, 11, 148, 1, // Skip to: 13128
+/* 12724 */   MCD_OPC_Decode, 150, 10, 187, 1, // Opcode: RDDSP
+/* 12729 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 12742
+/* 12733 */   MCD_OPC_CheckPredicate, 11, 135, 1, // Skip to: 13128
+/* 12737 */   MCD_OPC_Decode, 254, 12, 188, 1, // Opcode: WRDSP
+/* 12742 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 12761
+/* 12746 */   MCD_OPC_CheckPredicate, 11, 122, 1, // Skip to: 13128
+/* 12750 */   MCD_OPC_CheckField, 13, 7, 0, 116, 1, // Skip to: 13128
+/* 12756 */   MCD_OPC_Decode, 228, 10, 189, 1, // Opcode: SHILO
+/* 12761 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 12780
+/* 12765 */   MCD_OPC_CheckPredicate, 11, 103, 1, // Skip to: 13128
+/* 12769 */   MCD_OPC_CheckField, 13, 8, 0, 97, 1, // Skip to: 13128
+/* 12775 */   MCD_OPC_Decode, 229, 10, 190, 1, // Opcode: SHILOV
+/* 12780 */   MCD_OPC_FilterValue, 31, 88, 1, // Skip to: 13128
+/* 12784 */   MCD_OPC_CheckPredicate, 11, 84, 1, // Skip to: 13128
+/* 12788 */   MCD_OPC_CheckField, 13, 8, 0, 78, 1, // Skip to: 13128
+/* 12794 */   MCD_OPC_Decode, 240, 8, 190, 1, // Opcode: MTHLIP
+/* 12799 */   MCD_OPC_FilterValue, 59, 69, 1, // Skip to: 13128
+/* 12803 */   MCD_OPC_CheckPredicate, 1, 65, 1, // Skip to: 13128
+/* 12807 */   MCD_OPC_CheckField, 21, 5, 0, 59, 1, // Skip to: 13128
+/* 12813 */   MCD_OPC_CheckField, 6, 5, 0, 53, 1, // Skip to: 13128
+/* 12819 */   MCD_OPC_Decode, 151, 10, 191, 1, // Opcode: RDHWR
+/* 12824 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 12837
+/* 12828 */   MCD_OPC_CheckPredicate, 1, 40, 1, // Skip to: 13128
+/* 12832 */   MCD_OPC_Decode, 225, 6, 192, 1, // Opcode: LB
+/* 12837 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 12850
+/* 12841 */   MCD_OPC_CheckPredicate, 1, 27, 1, // Skip to: 13128
+/* 12845 */   MCD_OPC_Decode, 255, 6, 192, 1, // Opcode: LH
+/* 12850 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 12863
+/* 12854 */   MCD_OPC_CheckPredicate, 10, 14, 1, // Skip to: 13128
+/* 12858 */   MCD_OPC_Decode, 161, 7, 192, 1, // Opcode: LWL
+/* 12863 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 12876
+/* 12867 */   MCD_OPC_CheckPredicate, 1, 1, 1, // Skip to: 13128
+/* 12871 */   MCD_OPC_Decode, 154, 7, 192, 1, // Opcode: LW
+/* 12876 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 12889
+/* 12880 */   MCD_OPC_CheckPredicate, 1, 244, 0, // Skip to: 13128
+/* 12884 */   MCD_OPC_Decode, 229, 6, 192, 1, // Opcode: LBu
+/* 12889 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 12902
+/* 12893 */   MCD_OPC_CheckPredicate, 1, 231, 0, // Skip to: 13128
+/* 12897 */   MCD_OPC_Decode, 131, 7, 192, 1, // Opcode: LHu
+/* 12902 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 12915
+/* 12906 */   MCD_OPC_CheckPredicate, 10, 218, 0, // Skip to: 13128
+/* 12910 */   MCD_OPC_Decode, 165, 7, 192, 1, // Opcode: LWR
+/* 12915 */   MCD_OPC_FilterValue, 40, 9, 0, // Skip to: 12928
+/* 12919 */   MCD_OPC_CheckPredicate, 1, 205, 0, // Skip to: 13128
+/* 12923 */   MCD_OPC_Decode, 182, 10, 192, 1, // Opcode: SB
+/* 12928 */   MCD_OPC_FilterValue, 41, 9, 0, // Skip to: 12941
+/* 12932 */   MCD_OPC_CheckPredicate, 1, 192, 0, // Skip to: 13128
+/* 12936 */   MCD_OPC_Decode, 223, 10, 192, 1, // Opcode: SH
+/* 12941 */   MCD_OPC_FilterValue, 42, 9, 0, // Skip to: 12954
+/* 12945 */   MCD_OPC_CheckPredicate, 10, 179, 0, // Skip to: 13128
+/* 12949 */   MCD_OPC_Decode, 146, 12, 192, 1, // Opcode: SWL
+/* 12954 */   MCD_OPC_FilterValue, 43, 9, 0, // Skip to: 12967
+/* 12958 */   MCD_OPC_CheckPredicate, 1, 166, 0, // Skip to: 13128
+/* 12962 */   MCD_OPC_Decode, 139, 12, 192, 1, // Opcode: SW
+/* 12967 */   MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 12980
+/* 12971 */   MCD_OPC_CheckPredicate, 10, 153, 0, // Skip to: 13128
+/* 12975 */   MCD_OPC_Decode, 149, 12, 192, 1, // Opcode: SWR
+/* 12980 */   MCD_OPC_FilterValue, 47, 9, 0, // Skip to: 12993
+/* 12984 */   MCD_OPC_CheckPredicate, 30, 140, 0, // Skip to: 13128
+/* 12988 */   MCD_OPC_Decode, 175, 2, 193, 1, // Opcode: CACHE
+/* 12993 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 13006
+/* 12997 */   MCD_OPC_CheckPredicate, 31, 127, 0, // Skip to: 13128
+/* 13001 */   MCD_OPC_Decode, 134, 7, 192, 1, // Opcode: LL
+/* 13006 */   MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 13018
+/* 13010 */   MCD_OPC_CheckPredicate, 1, 114, 0, // Skip to: 13128
+/* 13014 */   MCD_OPC_Decode, 156, 7, 10, // Opcode: LWC1
+/* 13018 */   MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 13030
+/* 13022 */   MCD_OPC_CheckPredicate, 12, 102, 0, // Skip to: 13128
+/* 13026 */   MCD_OPC_Decode, 158, 7, 10, // Opcode: LWC2
+/* 13030 */   MCD_OPC_FilterValue, 51, 9, 0, // Skip to: 13043
+/* 13034 */   MCD_OPC_CheckPredicate, 30, 90, 0, // Skip to: 13128
+/* 13038 */   MCD_OPC_Decode, 238, 9, 193, 1, // Opcode: PREF
+/* 13043 */   MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 13055
+/* 13047 */   MCD_OPC_CheckPredicate, 32, 77, 0, // Skip to: 13128
+/* 13051 */   MCD_OPC_Decode, 233, 6, 10, // Opcode: LDC1
+/* 13055 */   MCD_OPC_FilterValue, 54, 8, 0, // Skip to: 13067
+/* 13059 */   MCD_OPC_CheckPredicate, 14, 65, 0, // Skip to: 13128
+/* 13063 */   MCD_OPC_Decode, 236, 6, 10, // Opcode: LDC2
+/* 13067 */   MCD_OPC_FilterValue, 56, 9, 0, // Skip to: 13080
+/* 13071 */   MCD_OPC_CheckPredicate, 31, 53, 0, // Skip to: 13128
+/* 13075 */   MCD_OPC_Decode, 185, 10, 192, 1, // Opcode: SC
+/* 13080 */   MCD_OPC_FilterValue, 57, 8, 0, // Skip to: 13092
+/* 13084 */   MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 13128
+/* 13088 */   MCD_OPC_Decode, 141, 12, 10, // Opcode: SWC1
+/* 13092 */   MCD_OPC_FilterValue, 58, 8, 0, // Skip to: 13104
+/* 13096 */   MCD_OPC_CheckPredicate, 12, 28, 0, // Skip to: 13128
+/* 13100 */   MCD_OPC_Decode, 143, 12, 10, // Opcode: SWC2
+/* 13104 */   MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 13116
+/* 13108 */   MCD_OPC_CheckPredicate, 32, 16, 0, // Skip to: 13128
+/* 13112 */   MCD_OPC_Decode, 193, 10, 10, // Opcode: SDC1
+/* 13116 */   MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 13128
+/* 13120 */   MCD_OPC_CheckPredicate, 14, 4, 0, // Skip to: 13128
+/* 13124 */   MCD_OPC_Decode, 196, 10, 10, // Opcode: SDC2
+/* 13128 */   MCD_OPC_Fail,
+  0
+};
+
+static uint8_t DecoderTableMips32r6_64r632[] = {
+/* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
+/* 3 */       MCD_OPC_FilterValue, 0, 205, 1, // Skip to: 468
+/* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 10 */      MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 29
+/* 14 */      MCD_OPC_CheckPredicate, 33, 38, 7, // Skip to: 1848
+/* 18 */      MCD_OPC_CheckField, 8, 3, 0, 32, 7, // Skip to: 1848
+/* 24 */      MCD_OPC_Decode, 147, 7, 194, 1, // Opcode: LSA_R6
+/* 29 */      MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 47
+/* 33 */      MCD_OPC_CheckPredicate, 33, 19, 7, // Skip to: 1848
+/* 37 */      MCD_OPC_CheckField, 6, 15, 16, 13, 7, // Skip to: 1848
+/* 43 */      MCD_OPC_Decode, 216, 6, 38, // Opcode: JR_HB_R6
+/* 47 */      MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 59
+/* 51 */      MCD_OPC_CheckPredicate, 33, 1, 7, // Skip to: 1848
+/* 55 */      MCD_OPC_Decode, 192, 10, 41, // Opcode: SDBBP_R6
+/* 59 */      MCD_OPC_FilterValue, 16, 20, 0, // Skip to: 83
+/* 63 */      MCD_OPC_CheckPredicate, 33, 245, 6, // Skip to: 1848
+/* 67 */      MCD_OPC_CheckField, 16, 5, 0, 239, 6, // Skip to: 1848
+/* 73 */      MCD_OPC_CheckField, 6, 5, 1, 233, 6, // Skip to: 1848
+/* 79 */      MCD_OPC_Decode, 236, 2, 39, // Opcode: CLZ_R6
+/* 83 */      MCD_OPC_FilterValue, 17, 20, 0, // Skip to: 107
+/* 87 */      MCD_OPC_CheckPredicate, 33, 221, 6, // Skip to: 1848
+/* 91 */      MCD_OPC_CheckField, 16, 5, 0, 215, 6, // Skip to: 1848
+/* 97 */      MCD_OPC_CheckField, 6, 5, 1, 209, 6, // Skip to: 1848
+/* 103 */     MCD_OPC_Decode, 217, 2, 39, // Opcode: CLO_R6
+/* 107 */     MCD_OPC_FilterValue, 18, 21, 0, // Skip to: 132
+/* 111 */     MCD_OPC_CheckPredicate, 34, 197, 6, // Skip to: 1848
+/* 115 */     MCD_OPC_CheckField, 16, 5, 0, 191, 6, // Skip to: 1848
+/* 121 */     MCD_OPC_CheckField, 6, 5, 1, 185, 6, // Skip to: 1848
+/* 127 */     MCD_OPC_Decode, 253, 3, 195, 1, // Opcode: DCLZ_R6
+/* 132 */     MCD_OPC_FilterValue, 19, 21, 0, // Skip to: 157
+/* 136 */     MCD_OPC_CheckPredicate, 34, 172, 6, // Skip to: 1848
+/* 140 */     MCD_OPC_CheckField, 16, 5, 0, 166, 6, // Skip to: 1848
+/* 146 */     MCD_OPC_CheckField, 6, 5, 1, 160, 6, // Skip to: 1848
+/* 152 */     MCD_OPC_Decode, 251, 3, 195, 1, // Opcode: DCLO_R6
+/* 157 */     MCD_OPC_FilterValue, 21, 15, 0, // Skip to: 176
+/* 161 */     MCD_OPC_CheckPredicate, 34, 147, 6, // Skip to: 1848
+/* 165 */     MCD_OPC_CheckField, 8, 3, 0, 141, 6, // Skip to: 1848
+/* 171 */     MCD_OPC_Decode, 149, 4, 196, 1, // Opcode: DLSA_R6
+/* 176 */     MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 207
+/* 180 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 183 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 195
+/* 187 */     MCD_OPC_CheckPredicate, 33, 121, 6, // Skip to: 1848
+/* 191 */     MCD_OPC_Decode, 153, 9, 16, // Opcode: MUL_R6
+/* 195 */     MCD_OPC_FilterValue, 3, 113, 6, // Skip to: 1848
+/* 199 */     MCD_OPC_CheckPredicate, 33, 109, 6, // Skip to: 1848
+/* 203 */     MCD_OPC_Decode, 251, 8, 16, // Opcode: MUH
+/* 207 */     MCD_OPC_FilterValue, 25, 27, 0, // Skip to: 238
+/* 211 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 214 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 226
+/* 218 */     MCD_OPC_CheckPredicate, 33, 90, 6, // Skip to: 1848
+/* 222 */     MCD_OPC_Decode, 144, 9, 16, // Opcode: MULU
+/* 226 */     MCD_OPC_FilterValue, 3, 82, 6, // Skip to: 1848
+/* 230 */     MCD_OPC_CheckPredicate, 33, 78, 6, // Skip to: 1848
+/* 234 */     MCD_OPC_Decode, 252, 8, 16, // Opcode: MUHU
+/* 238 */     MCD_OPC_FilterValue, 26, 27, 0, // Skip to: 269
+/* 242 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 245 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 257
+/* 249 */     MCD_OPC_CheckPredicate, 33, 59, 6, // Skip to: 1848
+/* 253 */     MCD_OPC_Decode, 137, 4, 16, // Opcode: DIV
+/* 257 */     MCD_OPC_FilterValue, 3, 51, 6, // Skip to: 1848
+/* 261 */     MCD_OPC_CheckPredicate, 33, 47, 6, // Skip to: 1848
+/* 265 */     MCD_OPC_Decode, 155, 8, 16, // Opcode: MOD
+/* 269 */     MCD_OPC_FilterValue, 27, 27, 0, // Skip to: 300
+/* 273 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 276 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 288
+/* 280 */     MCD_OPC_CheckPredicate, 33, 28, 6, // Skip to: 1848
+/* 284 */     MCD_OPC_Decode, 138, 4, 16, // Opcode: DIVU
+/* 288 */     MCD_OPC_FilterValue, 3, 20, 6, // Skip to: 1848
+/* 292 */     MCD_OPC_CheckPredicate, 33, 16, 6, // Skip to: 1848
+/* 296 */     MCD_OPC_Decode, 157, 8, 16, // Opcode: MODU
+/* 300 */     MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 333
+/* 304 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 307 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 320
+/* 311 */     MCD_OPC_CheckPredicate, 34, 253, 5, // Skip to: 1848
+/* 315 */     MCD_OPC_Decode, 164, 4, 197, 1, // Opcode: DMUL_R6
+/* 320 */     MCD_OPC_FilterValue, 3, 244, 5, // Skip to: 1848
+/* 324 */     MCD_OPC_CheckPredicate, 34, 240, 5, // Skip to: 1848
+/* 328 */     MCD_OPC_Decode, 158, 4, 197, 1, // Opcode: DMUH
+/* 333 */     MCD_OPC_FilterValue, 29, 29, 0, // Skip to: 366
+/* 337 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 340 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 353
+/* 344 */     MCD_OPC_CheckPredicate, 34, 220, 5, // Skip to: 1848
+/* 348 */     MCD_OPC_Decode, 163, 4, 197, 1, // Opcode: DMULU
+/* 353 */     MCD_OPC_FilterValue, 3, 211, 5, // Skip to: 1848
+/* 357 */     MCD_OPC_CheckPredicate, 34, 207, 5, // Skip to: 1848
+/* 361 */     MCD_OPC_Decode, 159, 4, 197, 1, // Opcode: DMUHU
+/* 366 */     MCD_OPC_FilterValue, 30, 29, 0, // Skip to: 399
 /* 370 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 373 */     MCD_OPC_FilterValue, 0, 164, 48, // Skip to: 12829
-/* 377 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
-/* 380 */     MCD_OPC_FilterValue, 0, 157, 48, // Skip to: 12829
-/* 384 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 398
-/* 388 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 398
-/* 394 */     MCD_OPC_Decode, 219, 7, 35, // Opcode: MTHI
-/* 398 */     MCD_OPC_CheckPredicate, 5, 139, 48, // Skip to: 12829
-/* 402 */     MCD_OPC_Decode, 221, 7, 42, // Opcode: MTHI_DSP
-/* 406 */     MCD_OPC_FilterValue, 18, 43, 0, // Skip to: 453
-/* 410 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 413 */     MCD_OPC_FilterValue, 0, 124, 48, // Skip to: 12829
-/* 417 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 420 */     MCD_OPC_FilterValue, 0, 117, 48, // Skip to: 12829
-/* 424 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
-/* 427 */     MCD_OPC_FilterValue, 0, 110, 48, // Skip to: 12829
-/* 431 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 445
-/* 435 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 445
-/* 441 */     MCD_OPC_Decode, 246, 6, 40, // Opcode: MFLO
-/* 445 */     MCD_OPC_CheckPredicate, 5, 92, 48, // Skip to: 12829
-/* 449 */     MCD_OPC_Decode, 248, 6, 41, // Opcode: MFLO_DSP
-/* 453 */     MCD_OPC_FilterValue, 19, 36, 0, // Skip to: 493
-/* 457 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 460 */     MCD_OPC_FilterValue, 0, 77, 48, // Skip to: 12829
-/* 464 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
-/* 467 */     MCD_OPC_FilterValue, 0, 70, 48, // Skip to: 12829
-/* 471 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 485
-/* 475 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 485
-/* 481 */     MCD_OPC_Decode, 224, 7, 35, // Opcode: MTLO
-/* 485 */     MCD_OPC_CheckPredicate, 5, 52, 48, // Skip to: 12829
-/* 489 */     MCD_OPC_Decode, 226, 7, 43, // Opcode: MTLO_DSP
-/* 493 */     MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 511
-/* 497 */     MCD_OPC_CheckPredicate, 6, 40, 48, // Skip to: 12829
-/* 501 */     MCD_OPC_CheckField, 8, 3, 0, 34, 48, // Skip to: 12829
-/* 507 */     MCD_OPC_Decode, 190, 3, 44, // Opcode: DLSA
-/* 511 */     MCD_OPC_FilterValue, 24, 36, 0, // Skip to: 551
-/* 515 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 518 */     MCD_OPC_FilterValue, 0, 19, 48, // Skip to: 12829
-/* 522 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 525 */     MCD_OPC_FilterValue, 0, 12, 48, // Skip to: 12829
-/* 529 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 543
-/* 533 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 543
-/* 539 */     MCD_OPC_Decode, 241, 7, 20, // Opcode: MULT
-/* 543 */     MCD_OPC_CheckPredicate, 5, 250, 47, // Skip to: 12829
-/* 547 */     MCD_OPC_Decode, 243, 7, 45, // Opcode: MULT_DSP
-/* 551 */     MCD_OPC_FilterValue, 25, 36, 0, // Skip to: 591
-/* 555 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 558 */     MCD_OPC_FilterValue, 0, 235, 47, // Skip to: 12829
-/* 562 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 565 */     MCD_OPC_FilterValue, 0, 228, 47, // Skip to: 12829
-/* 569 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 583
-/* 573 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 583
-/* 579 */     MCD_OPC_Decode, 245, 7, 20, // Opcode: MULTu
-/* 583 */     MCD_OPC_CheckPredicate, 5, 210, 47, // Skip to: 12829
-/* 587 */     MCD_OPC_Decode, 242, 7, 45, // Opcode: MULTU_DSP
-/* 591 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 609
-/* 595 */     MCD_OPC_CheckPredicate, 2, 198, 47, // Skip to: 12829
-/* 599 */     MCD_OPC_CheckField, 6, 10, 0, 192, 47, // Skip to: 12829
-/* 605 */     MCD_OPC_Decode, 158, 9, 20, // Opcode: SDIV
-/* 609 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 627
-/* 613 */     MCD_OPC_CheckPredicate, 2, 180, 47, // Skip to: 12829
-/* 617 */     MCD_OPC_CheckField, 6, 10, 0, 174, 47, // Skip to: 12829
-/* 623 */     MCD_OPC_Decode, 181, 11, 20, // Opcode: UDIV
-/* 627 */     MCD_OPC_FilterValue, 32, 13, 0, // Skip to: 644
-/* 631 */     MCD_OPC_CheckPredicate, 2, 162, 47, // Skip to: 12829
-/* 635 */     MCD_OPC_CheckField, 6, 5, 0, 156, 47, // Skip to: 12829
-/* 641 */     MCD_OPC_Decode, 22, 13, // Opcode: ADD
-/* 644 */     MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 661
-/* 648 */     MCD_OPC_CheckPredicate, 2, 145, 47, // Skip to: 12829
-/* 652 */     MCD_OPC_CheckField, 6, 5, 0, 139, 47, // Skip to: 12829
-/* 658 */     MCD_OPC_Decode, 67, 13, // Opcode: ADDu
-/* 661 */     MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 679
-/* 665 */     MCD_OPC_CheckPredicate, 2, 128, 47, // Skip to: 12829
-/* 669 */     MCD_OPC_CheckField, 6, 5, 0, 122, 47, // Skip to: 12829
-/* 675 */     MCD_OPC_Decode, 167, 10, 13, // Opcode: SUB
-/* 679 */     MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 697
-/* 683 */     MCD_OPC_CheckPredicate, 2, 110, 47, // Skip to: 12829
-/* 687 */     MCD_OPC_CheckField, 6, 5, 0, 104, 47, // Skip to: 12829
-/* 693 */     MCD_OPC_Decode, 206, 10, 13, // Opcode: SUBu
-/* 697 */     MCD_OPC_FilterValue, 36, 13, 0, // Skip to: 714
-/* 701 */     MCD_OPC_CheckPredicate, 2, 92, 47, // Skip to: 12829
-/* 705 */     MCD_OPC_CheckField, 6, 5, 0, 86, 47, // Skip to: 12829
-/* 711 */     MCD_OPC_Decode, 71, 13, // Opcode: AND
-/* 714 */     MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 732
-/* 718 */     MCD_OPC_CheckPredicate, 2, 75, 47, // Skip to: 12829
-/* 722 */     MCD_OPC_CheckField, 6, 5, 0, 69, 47, // Skip to: 12829
-/* 728 */     MCD_OPC_Decode, 165, 8, 13, // Opcode: OR
-/* 732 */     MCD_OPC_FilterValue, 38, 14, 0, // Skip to: 750
-/* 736 */     MCD_OPC_CheckPredicate, 2, 57, 47, // Skip to: 12829
-/* 740 */     MCD_OPC_CheckField, 6, 5, 0, 51, 47, // Skip to: 12829
-/* 746 */     MCD_OPC_Decode, 192, 11, 13, // Opcode: XOR
-/* 750 */     MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 768
-/* 754 */     MCD_OPC_CheckPredicate, 2, 39, 47, // Skip to: 12829
-/* 758 */     MCD_OPC_CheckField, 6, 5, 0, 33, 47, // Skip to: 12829
-/* 764 */     MCD_OPC_Decode, 155, 8, 13, // Opcode: NOR
-/* 768 */     MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 786
-/* 772 */     MCD_OPC_CheckPredicate, 2, 21, 47, // Skip to: 12829
-/* 776 */     MCD_OPC_CheckField, 6, 5, 0, 15, 47, // Skip to: 12829
-/* 782 */     MCD_OPC_Decode, 222, 9, 13, // Opcode: SLT
-/* 786 */     MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 804
-/* 790 */     MCD_OPC_CheckPredicate, 2, 3, 47, // Skip to: 12829
-/* 794 */     MCD_OPC_CheckField, 6, 5, 0, 253, 46, // Skip to: 12829
-/* 800 */     MCD_OPC_Decode, 231, 9, 13, // Opcode: SLTu
-/* 804 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 816
-/* 808 */     MCD_OPC_CheckPredicate, 2, 241, 46, // Skip to: 12829
-/* 812 */     MCD_OPC_Decode, 153, 11, 46, // Opcode: TGE
-/* 816 */     MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 828
-/* 820 */     MCD_OPC_CheckPredicate, 2, 229, 46, // Skip to: 12829
-/* 824 */     MCD_OPC_Decode, 158, 11, 46, // Opcode: TGEU
-/* 828 */     MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 840
-/* 832 */     MCD_OPC_CheckPredicate, 2, 217, 46, // Skip to: 12829
-/* 836 */     MCD_OPC_Decode, 161, 11, 46, // Opcode: TLT
-/* 840 */     MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 852
-/* 844 */     MCD_OPC_CheckPredicate, 2, 205, 46, // Skip to: 12829
-/* 848 */     MCD_OPC_Decode, 165, 11, 46, // Opcode: TLTU
-/* 852 */     MCD_OPC_FilterValue, 52, 8, 0, // Skip to: 864
-/* 856 */     MCD_OPC_CheckPredicate, 2, 193, 46, // Skip to: 12829
-/* 860 */     MCD_OPC_Decode, 149, 11, 46, // Opcode: TEQ
-/* 864 */     MCD_OPC_FilterValue, 54, 185, 46, // Skip to: 12829
-/* 868 */     MCD_OPC_CheckPredicate, 2, 181, 46, // Skip to: 12829
-/* 872 */     MCD_OPC_Decode, 168, 11, 46, // Opcode: TNE
-/* 876 */     MCD_OPC_FilterValue, 1, 141, 0, // Skip to: 1021
-/* 880 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 883 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 895
-/* 887 */     MCD_OPC_CheckPredicate, 2, 162, 46, // Skip to: 12829
-/* 891 */     MCD_OPC_Decode, 194, 1, 47, // Opcode: BLTZ
-/* 895 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 907
-/* 899 */     MCD_OPC_CheckPredicate, 2, 150, 46, // Skip to: 12829
-/* 903 */     MCD_OPC_Decode, 166, 1, 47, // Opcode: BGEZ
-/* 907 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 919
-/* 911 */     MCD_OPC_CheckPredicate, 2, 138, 46, // Skip to: 12829
-/* 915 */     MCD_OPC_Decode, 154, 11, 48, // Opcode: TGEI
-/* 919 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 931
-/* 923 */     MCD_OPC_CheckPredicate, 2, 126, 46, // Skip to: 12829
-/* 927 */     MCD_OPC_Decode, 155, 11, 48, // Opcode: TGEIU
-/* 931 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 943
-/* 935 */     MCD_OPC_CheckPredicate, 2, 114, 46, // Skip to: 12829
-/* 939 */     MCD_OPC_Decode, 162, 11, 48, // Opcode: TLTI
-/* 943 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 955
-/* 947 */     MCD_OPC_CheckPredicate, 2, 102, 46, // Skip to: 12829
-/* 951 */     MCD_OPC_Decode, 180, 11, 48, // Opcode: TTLTIU
-/* 955 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 967
-/* 959 */     MCD_OPC_CheckPredicate, 2, 90, 46, // Skip to: 12829
-/* 963 */     MCD_OPC_Decode, 150, 11, 48, // Opcode: TEQI
-/* 967 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 979
-/* 971 */     MCD_OPC_CheckPredicate, 2, 78, 46, // Skip to: 12829
-/* 975 */     MCD_OPC_Decode, 169, 11, 48, // Opcode: TNEI
-/* 979 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 991
-/* 983 */     MCD_OPC_CheckPredicate, 2, 66, 46, // Skip to: 12829
-/* 987 */     MCD_OPC_Decode, 196, 1, 47, // Opcode: BLTZAL
-/* 991 */     MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1003
-/* 995 */     MCD_OPC_CheckPredicate, 2, 54, 46, // Skip to: 12829
-/* 999 */     MCD_OPC_Decode, 168, 1, 47, // Opcode: BGEZAL
-/* 1003 */    MCD_OPC_FilterValue, 28, 46, 46, // Skip to: 12829
-/* 1007 */    MCD_OPC_CheckPredicate, 5, 42, 46, // Skip to: 12829
-/* 1011 */    MCD_OPC_CheckField, 21, 5, 0, 36, 46, // Skip to: 12829
-/* 1017 */    MCD_OPC_Decode, 219, 1, 49, // Opcode: BPOSGE32
-/* 1021 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1033
-/* 1025 */    MCD_OPC_CheckPredicate, 7, 24, 46, // Skip to: 12829
-/* 1029 */    MCD_OPC_Decode, 223, 5, 50, // Opcode: J
-/* 1033 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1045
-/* 1037 */    MCD_OPC_CheckPredicate, 2, 12, 46, // Skip to: 12829
-/* 1041 */    MCD_OPC_Decode, 224, 5, 50, // Opcode: JAL
-/* 1045 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1057
-/* 1049 */    MCD_OPC_CheckPredicate, 2, 0, 46, // Skip to: 12829
-/* 1053 */    MCD_OPC_Decode, 163, 1, 51, // Opcode: BEQ
-/* 1057 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1069
-/* 1061 */    MCD_OPC_CheckPredicate, 2, 244, 45, // Skip to: 12829
-/* 1065 */    MCD_OPC_Decode, 203, 1, 51, // Opcode: BNE
-/* 1069 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1087
-/* 1073 */    MCD_OPC_CheckPredicate, 2, 232, 45, // Skip to: 12829
-/* 1077 */    MCD_OPC_CheckField, 16, 5, 0, 226, 45, // Skip to: 12829
-/* 1083 */    MCD_OPC_Decode, 191, 1, 47, // Opcode: BLEZ
-/* 1087 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1105
-/* 1091 */    MCD_OPC_CheckPredicate, 2, 214, 45, // Skip to: 12829
-/* 1095 */    MCD_OPC_CheckField, 16, 5, 0, 208, 45, // Skip to: 12829
-/* 1101 */    MCD_OPC_Decode, 171, 1, 47, // Opcode: BGTZ
-/* 1105 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 1116
-/* 1109 */    MCD_OPC_CheckPredicate, 2, 196, 45, // Skip to: 12829
-/* 1113 */    MCD_OPC_Decode, 63, 52, // Opcode: ADDi
-/* 1116 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 1127
-/* 1120 */    MCD_OPC_CheckPredicate, 2, 185, 45, // Skip to: 12829
-/* 1124 */    MCD_OPC_Decode, 65, 52, // Opcode: ADDiu
-/* 1127 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1139
-/* 1131 */    MCD_OPC_CheckPredicate, 2, 174, 45, // Skip to: 12829
-/* 1135 */    MCD_OPC_Decode, 225, 9, 52, // Opcode: SLTi
-/* 1139 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1151
-/* 1143 */    MCD_OPC_CheckPredicate, 2, 162, 45, // Skip to: 12829
-/* 1147 */    MCD_OPC_Decode, 228, 9, 52, // Opcode: SLTiu
-/* 1151 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 1162
-/* 1155 */    MCD_OPC_CheckPredicate, 2, 150, 45, // Skip to: 12829
-/* 1159 */    MCD_OPC_Decode, 79, 53, // Opcode: ANDi
-/* 1162 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1174
-/* 1166 */    MCD_OPC_CheckPredicate, 2, 139, 45, // Skip to: 12829
-/* 1170 */    MCD_OPC_Decode, 173, 8, 53, // Opcode: ORi
-/* 1174 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1186
-/* 1178 */    MCD_OPC_CheckPredicate, 2, 127, 45, // Skip to: 12829
-/* 1182 */    MCD_OPC_Decode, 200, 11, 53, // Opcode: XORi
-/* 1186 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1204
-/* 1190 */    MCD_OPC_CheckPredicate, 2, 115, 45, // Skip to: 12829
-/* 1194 */    MCD_OPC_CheckField, 21, 5, 0, 109, 45, // Skip to: 12829
-/* 1200 */    MCD_OPC_Decode, 158, 6, 26, // Opcode: LUi
-/* 1204 */    MCD_OPC_FilterValue, 16, 117, 0, // Skip to: 1325
-/* 1208 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1211 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1229
-/* 1215 */    MCD_OPC_CheckPredicate, 2, 90, 45, // Skip to: 12829
-/* 1219 */    MCD_OPC_CheckField, 3, 8, 0, 84, 45, // Skip to: 12829
-/* 1225 */    MCD_OPC_Decode, 236, 6, 54, // Opcode: MFC0
-/* 1229 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1247
-/* 1233 */    MCD_OPC_CheckPredicate, 2, 72, 45, // Skip to: 12829
-/* 1237 */    MCD_OPC_CheckField, 3, 8, 0, 66, 45, // Skip to: 12829
-/* 1243 */    MCD_OPC_Decode, 213, 7, 54, // Opcode: MTC0
-/* 1247 */    MCD_OPC_FilterValue, 11, 31, 0, // Skip to: 1282
-/* 1251 */    MCD_OPC_ExtractField, 0, 16,  // Inst{15-0} ...
-/* 1254 */    MCD_OPC_FilterValue, 128, 192, 1, 8, 0, // Skip to: 1268
-/* 1260 */    MCD_OPC_CheckPredicate, 2, 45, 45, // Skip to: 12829
-/* 1264 */    MCD_OPC_Decode, 177, 3, 18, // Opcode: DI
-/* 1268 */    MCD_OPC_FilterValue, 160, 192, 1, 35, 45, // Skip to: 12829
-/* 1274 */    MCD_OPC_CheckPredicate, 2, 31, 45, // Skip to: 12829
-/* 1278 */    MCD_OPC_Decode, 253, 3, 18, // Opcode: EI
-/* 1282 */    MCD_OPC_FilterValue, 16, 23, 45, // Skip to: 12829
-/* 1286 */    MCD_OPC_ExtractField, 0, 21,  // Inst{20-0} ...
-/* 1289 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 1301
-/* 1293 */    MCD_OPC_CheckPredicate, 2, 12, 45, // Skip to: 12829
-/* 1297 */    MCD_OPC_Decode, 255, 3, 0, // Opcode: ERET
-/* 1301 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 1313
-/* 1305 */    MCD_OPC_CheckPredicate, 2, 0, 45, // Skip to: 12829
-/* 1309 */    MCD_OPC_Decode, 172, 3, 0, // Opcode: DERET
-/* 1313 */    MCD_OPC_FilterValue, 32, 248, 44, // Skip to: 12829
-/* 1317 */    MCD_OPC_CheckPredicate, 2, 244, 44, // Skip to: 12829
-/* 1321 */    MCD_OPC_Decode, 187, 11, 0, // Opcode: WAIT
-/* 1325 */    MCD_OPC_FilterValue, 17, 253, 5, // Skip to: 2862
-/* 1329 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1332 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1350
-/* 1336 */    MCD_OPC_CheckPredicate, 2, 225, 44, // Skip to: 12829
-/* 1340 */    MCD_OPC_CheckField, 0, 11, 0, 219, 44, // Skip to: 12829
-/* 1346 */    MCD_OPC_Decode, 237, 6, 55, // Opcode: MFC1
-/* 1350 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1368
-/* 1354 */    MCD_OPC_CheckPredicate, 2, 207, 44, // Skip to: 12829
-/* 1358 */    MCD_OPC_CheckField, 0, 11, 0, 201, 44, // Skip to: 12829
-/* 1364 */    MCD_OPC_Decode, 192, 3, 56, // Opcode: DMFC1
-/* 1368 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1386
-/* 1372 */    MCD_OPC_CheckPredicate, 2, 189, 44, // Skip to: 12829
-/* 1376 */    MCD_OPC_CheckField, 0, 11, 0, 183, 44, // Skip to: 12829
-/* 1382 */    MCD_OPC_Decode, 155, 2, 57, // Opcode: CFC1
-/* 1386 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 1404
-/* 1390 */    MCD_OPC_CheckPredicate, 2, 171, 44, // Skip to: 12829
-/* 1394 */    MCD_OPC_CheckField, 0, 11, 0, 165, 44, // Skip to: 12829
-/* 1400 */    MCD_OPC_Decode, 240, 6, 58, // Opcode: MFHC1
-/* 1404 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1422
-/* 1408 */    MCD_OPC_CheckPredicate, 2, 153, 44, // Skip to: 12829
-/* 1412 */    MCD_OPC_CheckField, 0, 11, 0, 147, 44, // Skip to: 12829
-/* 1418 */    MCD_OPC_Decode, 214, 7, 59, // Opcode: MTC1
-/* 1422 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1440
-/* 1426 */    MCD_OPC_CheckPredicate, 2, 135, 44, // Skip to: 12829
-/* 1430 */    MCD_OPC_CheckField, 0, 11, 0, 129, 44, // Skip to: 12829
-/* 1436 */    MCD_OPC_Decode, 195, 3, 60, // Opcode: DMTC1
-/* 1440 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1458
-/* 1444 */    MCD_OPC_CheckPredicate, 2, 117, 44, // Skip to: 12829
-/* 1448 */    MCD_OPC_CheckField, 0, 11, 0, 111, 44, // Skip to: 12829
-/* 1454 */    MCD_OPC_Decode, 217, 2, 61, // Opcode: CTC1
-/* 1458 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1476
-/* 1462 */    MCD_OPC_CheckPredicate, 2, 99, 44, // Skip to: 12829
-/* 1466 */    MCD_OPC_CheckField, 0, 11, 0, 93, 44, // Skip to: 12829
-/* 1472 */    MCD_OPC_Decode, 217, 7, 62, // Opcode: MTHC1
-/* 1476 */    MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 1507
-/* 1480 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 1483 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1495
-/* 1487 */    MCD_OPC_CheckPredicate, 2, 74, 44, // Skip to: 12829
-/* 1491 */    MCD_OPC_Decode, 151, 1, 63, // Opcode: BC1F
-/* 1495 */    MCD_OPC_FilterValue, 1, 66, 44, // Skip to: 12829
-/* 1499 */    MCD_OPC_CheckPredicate, 2, 62, 44, // Skip to: 12829
-/* 1503 */    MCD_OPC_Decode, 153, 1, 63, // Opcode: BC1T
-/* 1507 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1519
-/* 1511 */    MCD_OPC_CheckPredicate, 4, 50, 44, // Skip to: 12829
-/* 1515 */    MCD_OPC_Decode, 241, 1, 64, // Opcode: BZ_V
-/* 1519 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 1531
-/* 1523 */    MCD_OPC_CheckPredicate, 4, 38, 44, // Skip to: 12829
-/* 1527 */    MCD_OPC_Decode, 217, 1, 64, // Opcode: BNZ_V
-/* 1531 */    MCD_OPC_FilterValue, 16, 80, 2, // Skip to: 2127
-/* 1535 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 1538 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1550
-/* 1542 */    MCD_OPC_CheckPredicate, 2, 19, 44, // Skip to: 12829
-/* 1546 */    MCD_OPC_Decode, 156, 4, 65, // Opcode: FADD_S
-/* 1550 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1562
-/* 1554 */    MCD_OPC_CheckPredicate, 2, 7, 44, // Skip to: 12829
-/* 1558 */    MCD_OPC_Decode, 158, 5, 65, // Opcode: FSUB_S
-/* 1562 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1574
-/* 1566 */    MCD_OPC_CheckPredicate, 2, 251, 43, // Skip to: 12829
-/* 1570 */    MCD_OPC_Decode, 249, 4, 65, // Opcode: FMUL_S
-/* 1574 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1586
-/* 1578 */    MCD_OPC_CheckPredicate, 2, 239, 43, // Skip to: 12829
-/* 1582 */    MCD_OPC_Decode, 192, 4, 65, // Opcode: FDIV_S
-/* 1586 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1604
-/* 1590 */    MCD_OPC_CheckPredicate, 2, 227, 43, // Skip to: 12829
-/* 1594 */    MCD_OPC_CheckField, 16, 5, 0, 221, 43, // Skip to: 12829
-/* 1600 */    MCD_OPC_Decode, 151, 5, 66, // Opcode: FSQRT_S
-/* 1604 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1622
-/* 1608 */    MCD_OPC_CheckPredicate, 7, 209, 43, // Skip to: 12829
-/* 1612 */    MCD_OPC_CheckField, 16, 5, 0, 203, 43, // Skip to: 12829
-/* 1618 */    MCD_OPC_Decode, 149, 4, 66, // Opcode: FABS_S
-/* 1622 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1640
-/* 1626 */    MCD_OPC_CheckPredicate, 2, 191, 43, // Skip to: 12829
-/* 1630 */    MCD_OPC_CheckField, 16, 5, 0, 185, 43, // Skip to: 12829
-/* 1636 */    MCD_OPC_Decode, 241, 4, 66, // Opcode: FMOV_S
-/* 1640 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1658
-/* 1644 */    MCD_OPC_CheckPredicate, 7, 173, 43, // Skip to: 12829
-/* 1648 */    MCD_OPC_CheckField, 16, 5, 0, 167, 43, // Skip to: 12829
-/* 1654 */    MCD_OPC_Decode, 255, 4, 66, // Opcode: FNEG_S
-/* 1658 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 1676
-/* 1662 */    MCD_OPC_CheckPredicate, 2, 155, 43, // Skip to: 12829
-/* 1666 */    MCD_OPC_CheckField, 16, 5, 0, 149, 43, // Skip to: 12829
-/* 1672 */    MCD_OPC_Decode, 133, 9, 66, // Opcode: ROUND_W_S
-/* 1676 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 1694
-/* 1680 */    MCD_OPC_CheckPredicate, 2, 137, 43, // Skip to: 12829
-/* 1684 */    MCD_OPC_CheckField, 16, 5, 0, 131, 43, // Skip to: 12829
-/* 1690 */    MCD_OPC_Decode, 178, 11, 66, // Opcode: TRUNC_W_S
-/* 1694 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 1712
-/* 1698 */    MCD_OPC_CheckPredicate, 2, 119, 43, // Skip to: 12829
-/* 1702 */    MCD_OPC_CheckField, 16, 5, 0, 113, 43, // Skip to: 12829
-/* 1708 */    MCD_OPC_Decode, 145, 2, 66, // Opcode: CEIL_W_S
-/* 1712 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1730
-/* 1716 */    MCD_OPC_CheckPredicate, 2, 101, 43, // Skip to: 12829
-/* 1720 */    MCD_OPC_CheckField, 16, 5, 0, 95, 43, // Skip to: 12829
-/* 1726 */    MCD_OPC_Decode, 226, 4, 66, // Opcode: FLOOR_W_S
-/* 1730 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 1761
-/* 1734 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 1737 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1749
-/* 1741 */    MCD_OPC_CheckPredicate, 2, 76, 43, // Skip to: 12829
-/* 1745 */    MCD_OPC_Decode, 160, 7, 67, // Opcode: MOVF_S
-/* 1749 */    MCD_OPC_FilterValue, 1, 68, 43, // Skip to: 12829
-/* 1753 */    MCD_OPC_CheckPredicate, 2, 64, 43, // Skip to: 12829
-/* 1757 */    MCD_OPC_Decode, 180, 7, 67, // Opcode: MOVT_S
-/* 1761 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1773
-/* 1765 */    MCD_OPC_CheckPredicate, 2, 52, 43, // Skip to: 12829
-/* 1769 */    MCD_OPC_Decode, 192, 7, 68, // Opcode: MOVZ_I_S
-/* 1773 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 1785
-/* 1777 */    MCD_OPC_CheckPredicate, 2, 40, 43, // Skip to: 12829
-/* 1781 */    MCD_OPC_Decode, 172, 7, 68, // Opcode: MOVN_I_S
-/* 1785 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 1803
-/* 1789 */    MCD_OPC_CheckPredicate, 8, 28, 43, // Skip to: 12829
-/* 1793 */    MCD_OPC_CheckField, 16, 5, 0, 22, 43, // Skip to: 12829
-/* 1799 */    MCD_OPC_Decode, 220, 2, 69, // Opcode: CVT_D32_S
-/* 1803 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 1821
-/* 1807 */    MCD_OPC_CheckPredicate, 2, 10, 43, // Skip to: 12829
-/* 1811 */    MCD_OPC_CheckField, 16, 5, 0, 4, 43, // Skip to: 12829
-/* 1817 */    MCD_OPC_Decode, 240, 2, 66, // Opcode: CVT_W_S
-/* 1821 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 1839
-/* 1825 */    MCD_OPC_CheckPredicate, 2, 248, 42, // Skip to: 12829
-/* 1829 */    MCD_OPC_CheckField, 16, 5, 0, 242, 42, // Skip to: 12829
-/* 1835 */    MCD_OPC_Decode, 229, 2, 70, // Opcode: CVT_L_S
-/* 1839 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 1857
-/* 1843 */    MCD_OPC_CheckPredicate, 2, 230, 42, // Skip to: 12829
-/* 1847 */    MCD_OPC_CheckField, 6, 5, 0, 224, 42, // Skip to: 12829
-/* 1853 */    MCD_OPC_Decode, 247, 2, 71, // Opcode: C_F_S
-/* 1857 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 1875
-/* 1861 */    MCD_OPC_CheckPredicate, 2, 212, 42, // Skip to: 12829
-/* 1865 */    MCD_OPC_CheckField, 6, 5, 0, 206, 42, // Skip to: 12829
-/* 1871 */    MCD_OPC_Decode, 161, 3, 71, // Opcode: C_UN_S
-/* 1875 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 1893
-/* 1879 */    MCD_OPC_CheckPredicate, 2, 194, 42, // Skip to: 12829
-/* 1883 */    MCD_OPC_CheckField, 6, 5, 0, 188, 42, // Skip to: 12829
-/* 1889 */    MCD_OPC_Decode, 244, 2, 71, // Opcode: C_EQ_S
-/* 1893 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 1911
-/* 1897 */    MCD_OPC_CheckPredicate, 2, 176, 42, // Skip to: 12829
-/* 1901 */    MCD_OPC_CheckField, 6, 5, 0, 170, 42, // Skip to: 12829
-/* 1907 */    MCD_OPC_Decode, 152, 3, 71, // Opcode: C_UEQ_S
-/* 1911 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 1929
-/* 1915 */    MCD_OPC_CheckPredicate, 2, 158, 42, // Skip to: 12829
-/* 1919 */    MCD_OPC_CheckField, 6, 5, 0, 152, 42, // Skip to: 12829
-/* 1925 */    MCD_OPC_Decode, 143, 3, 71, // Opcode: C_OLT_S
-/* 1929 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 1947
-/* 1933 */    MCD_OPC_CheckPredicate, 2, 140, 42, // Skip to: 12829
-/* 1937 */    MCD_OPC_CheckField, 6, 5, 0, 134, 42, // Skip to: 12829
-/* 1943 */    MCD_OPC_Decode, 158, 3, 71, // Opcode: C_ULT_S
-/* 1947 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 1965
-/* 1951 */    MCD_OPC_CheckPredicate, 2, 122, 42, // Skip to: 12829
-/* 1955 */    MCD_OPC_CheckField, 6, 5, 0, 116, 42, // Skip to: 12829
-/* 1961 */    MCD_OPC_Decode, 140, 3, 71, // Opcode: C_OLE_S
-/* 1965 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 1983
-/* 1969 */    MCD_OPC_CheckPredicate, 2, 104, 42, // Skip to: 12829
-/* 1973 */    MCD_OPC_CheckField, 6, 5, 0, 98, 42, // Skip to: 12829
-/* 1979 */    MCD_OPC_Decode, 155, 3, 71, // Opcode: C_ULE_S
-/* 1983 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2001
-/* 1987 */    MCD_OPC_CheckPredicate, 2, 86, 42, // Skip to: 12829
-/* 1991 */    MCD_OPC_CheckField, 6, 5, 0, 80, 42, // Skip to: 12829
-/* 1997 */    MCD_OPC_Decode, 149, 3, 71, // Opcode: C_SF_S
-/* 2001 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2019
-/* 2005 */    MCD_OPC_CheckPredicate, 2, 68, 42, // Skip to: 12829
-/* 2009 */    MCD_OPC_CheckField, 6, 5, 0, 62, 42, // Skip to: 12829
-/* 2015 */    MCD_OPC_Decode, 131, 3, 71, // Opcode: C_NGLE_S
-/* 2019 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2037
-/* 2023 */    MCD_OPC_CheckPredicate, 2, 50, 42, // Skip to: 12829
-/* 2027 */    MCD_OPC_CheckField, 6, 5, 0, 44, 42, // Skip to: 12829
-/* 2033 */    MCD_OPC_Decode, 146, 3, 71, // Opcode: C_SEQ_S
-/* 2037 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2055
-/* 2041 */    MCD_OPC_CheckPredicate, 2, 32, 42, // Skip to: 12829
-/* 2045 */    MCD_OPC_CheckField, 6, 5, 0, 26, 42, // Skip to: 12829
-/* 2051 */    MCD_OPC_Decode, 134, 3, 71, // Opcode: C_NGL_S
-/* 2055 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2073
-/* 2059 */    MCD_OPC_CheckPredicate, 2, 14, 42, // Skip to: 12829
-/* 2063 */    MCD_OPC_CheckField, 6, 5, 0, 8, 42, // Skip to: 12829
-/* 2069 */    MCD_OPC_Decode, 253, 2, 71, // Opcode: C_LT_S
-/* 2073 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2091
-/* 2077 */    MCD_OPC_CheckPredicate, 2, 252, 41, // Skip to: 12829
-/* 2081 */    MCD_OPC_CheckField, 6, 5, 0, 246, 41, // Skip to: 12829
-/* 2087 */    MCD_OPC_Decode, 128, 3, 71, // Opcode: C_NGE_S
-/* 2091 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2109
-/* 2095 */    MCD_OPC_CheckPredicate, 2, 234, 41, // Skip to: 12829
-/* 2099 */    MCD_OPC_CheckField, 6, 5, 0, 228, 41, // Skip to: 12829
-/* 2105 */    MCD_OPC_Decode, 250, 2, 71, // Opcode: C_LE_S
-/* 2109 */    MCD_OPC_FilterValue, 63, 220, 41, // Skip to: 12829
-/* 2113 */    MCD_OPC_CheckPredicate, 2, 216, 41, // Skip to: 12829
-/* 2117 */    MCD_OPC_CheckField, 6, 5, 0, 210, 41, // Skip to: 12829
-/* 2123 */    MCD_OPC_Decode, 137, 3, 71, // Opcode: C_NGT_S
-/* 2127 */    MCD_OPC_FilterValue, 17, 80, 2, // Skip to: 2723
-/* 2131 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2134 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2146
-/* 2138 */    MCD_OPC_CheckPredicate, 8, 191, 41, // Skip to: 12829
-/* 2142 */    MCD_OPC_Decode, 153, 4, 72, // Opcode: FADD_D32
-/* 2146 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2158
-/* 2150 */    MCD_OPC_CheckPredicate, 8, 179, 41, // Skip to: 12829
-/* 2154 */    MCD_OPC_Decode, 155, 5, 72, // Opcode: FSUB_D32
-/* 2158 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2170
-/* 2162 */    MCD_OPC_CheckPredicate, 8, 167, 41, // Skip to: 12829
-/* 2166 */    MCD_OPC_Decode, 246, 4, 72, // Opcode: FMUL_D32
-/* 2170 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2182
-/* 2174 */    MCD_OPC_CheckPredicate, 8, 155, 41, // Skip to: 12829
-/* 2178 */    MCD_OPC_Decode, 189, 4, 72, // Opcode: FDIV_D32
-/* 2182 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2200
-/* 2186 */    MCD_OPC_CheckPredicate, 8, 143, 41, // Skip to: 12829
-/* 2190 */    MCD_OPC_CheckField, 16, 5, 0, 137, 41, // Skip to: 12829
-/* 2196 */    MCD_OPC_Decode, 148, 5, 73, // Opcode: FSQRT_D32
-/* 2200 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2218
-/* 2204 */    MCD_OPC_CheckPredicate, 7, 125, 41, // Skip to: 12829
-/* 2208 */    MCD_OPC_CheckField, 16, 5, 0, 119, 41, // Skip to: 12829
-/* 2214 */    MCD_OPC_Decode, 146, 4, 73, // Opcode: FABS_D32
-/* 2218 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2236
-/* 2222 */    MCD_OPC_CheckPredicate, 8, 107, 41, // Skip to: 12829
-/* 2226 */    MCD_OPC_CheckField, 16, 5, 0, 101, 41, // Skip to: 12829
-/* 2232 */    MCD_OPC_Decode, 238, 4, 73, // Opcode: FMOV_D32
-/* 2236 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 2254
-/* 2240 */    MCD_OPC_CheckPredicate, 7, 89, 41, // Skip to: 12829
-/* 2244 */    MCD_OPC_CheckField, 16, 5, 0, 83, 41, // Skip to: 12829
-/* 2250 */    MCD_OPC_Decode, 252, 4, 73, // Opcode: FNEG_D32
-/* 2254 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 2272
-/* 2258 */    MCD_OPC_CheckPredicate, 8, 71, 41, // Skip to: 12829
-/* 2262 */    MCD_OPC_CheckField, 16, 5, 0, 65, 41, // Skip to: 12829
-/* 2268 */    MCD_OPC_Decode, 130, 9, 74, // Opcode: ROUND_W_D32
-/* 2272 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 2290
-/* 2276 */    MCD_OPC_CheckPredicate, 8, 53, 41, // Skip to: 12829
-/* 2280 */    MCD_OPC_CheckField, 16, 5, 0, 47, 41, // Skip to: 12829
-/* 2286 */    MCD_OPC_Decode, 175, 11, 74, // Opcode: TRUNC_W_D32
-/* 2290 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 2308
-/* 2294 */    MCD_OPC_CheckPredicate, 8, 35, 41, // Skip to: 12829
-/* 2298 */    MCD_OPC_CheckField, 16, 5, 0, 29, 41, // Skip to: 12829
-/* 2304 */    MCD_OPC_Decode, 142, 2, 74, // Opcode: CEIL_W_D32
-/* 2308 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 2326
-/* 2312 */    MCD_OPC_CheckPredicate, 8, 17, 41, // Skip to: 12829
-/* 2316 */    MCD_OPC_CheckField, 16, 5, 0, 11, 41, // Skip to: 12829
-/* 2322 */    MCD_OPC_Decode, 223, 4, 74, // Opcode: FLOOR_W_D32
-/* 2326 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 2357
-/* 2330 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 2333 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2345
-/* 2337 */    MCD_OPC_CheckPredicate, 8, 248, 40, // Skip to: 12829
-/* 2341 */    MCD_OPC_Decode, 154, 7, 75, // Opcode: MOVF_D32
-/* 2345 */    MCD_OPC_FilterValue, 1, 240, 40, // Skip to: 12829
-/* 2349 */    MCD_OPC_CheckPredicate, 8, 236, 40, // Skip to: 12829
-/* 2353 */    MCD_OPC_Decode, 174, 7, 75, // Opcode: MOVT_D32
-/* 2357 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2369
-/* 2361 */    MCD_OPC_CheckPredicate, 8, 224, 40, // Skip to: 12829
-/* 2365 */    MCD_OPC_Decode, 186, 7, 76, // Opcode: MOVZ_I_D32
-/* 2369 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2381
-/* 2373 */    MCD_OPC_CheckPredicate, 8, 212, 40, // Skip to: 12829
-/* 2377 */    MCD_OPC_Decode, 166, 7, 76, // Opcode: MOVN_I_D32
-/* 2381 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2399
-/* 2385 */    MCD_OPC_CheckPredicate, 8, 200, 40, // Skip to: 12829
-/* 2389 */    MCD_OPC_CheckField, 16, 5, 0, 194, 40, // Skip to: 12829
-/* 2395 */    MCD_OPC_Decode, 231, 2, 74, // Opcode: CVT_S_D32
-/* 2399 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2417
-/* 2403 */    MCD_OPC_CheckPredicate, 8, 182, 40, // Skip to: 12829
-/* 2407 */    MCD_OPC_CheckField, 16, 5, 0, 176, 40, // Skip to: 12829
-/* 2413 */    MCD_OPC_Decode, 237, 2, 74, // Opcode: CVT_W_D32
-/* 2417 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2435
-/* 2421 */    MCD_OPC_CheckPredicate, 2, 164, 40, // Skip to: 12829
-/* 2425 */    MCD_OPC_CheckField, 16, 5, 0, 158, 40, // Skip to: 12829
-/* 2431 */    MCD_OPC_Decode, 227, 2, 77, // Opcode: CVT_L_D64
-/* 2435 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2453
-/* 2439 */    MCD_OPC_CheckPredicate, 8, 146, 40, // Skip to: 12829
-/* 2443 */    MCD_OPC_CheckField, 6, 5, 0, 140, 40, // Skip to: 12829
-/* 2449 */    MCD_OPC_Decode, 245, 2, 78, // Opcode: C_F_D32
-/* 2453 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2471
-/* 2457 */    MCD_OPC_CheckPredicate, 8, 128, 40, // Skip to: 12829
-/* 2461 */    MCD_OPC_CheckField, 6, 5, 0, 122, 40, // Skip to: 12829
-/* 2467 */    MCD_OPC_Decode, 159, 3, 78, // Opcode: C_UN_D32
-/* 2471 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2489
-/* 2475 */    MCD_OPC_CheckPredicate, 8, 110, 40, // Skip to: 12829
-/* 2479 */    MCD_OPC_CheckField, 6, 5, 0, 104, 40, // Skip to: 12829
-/* 2485 */    MCD_OPC_Decode, 242, 2, 78, // Opcode: C_EQ_D32
-/* 2489 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2507
-/* 2493 */    MCD_OPC_CheckPredicate, 8, 92, 40, // Skip to: 12829
-/* 2497 */    MCD_OPC_CheckField, 6, 5, 0, 86, 40, // Skip to: 12829
-/* 2503 */    MCD_OPC_Decode, 150, 3, 78, // Opcode: C_UEQ_D32
-/* 2507 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2525
-/* 2511 */    MCD_OPC_CheckPredicate, 8, 74, 40, // Skip to: 12829
-/* 2515 */    MCD_OPC_CheckField, 6, 5, 0, 68, 40, // Skip to: 12829
-/* 2521 */    MCD_OPC_Decode, 141, 3, 78, // Opcode: C_OLT_D32
-/* 2525 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2543
-/* 2529 */    MCD_OPC_CheckPredicate, 8, 56, 40, // Skip to: 12829
-/* 2533 */    MCD_OPC_CheckField, 6, 5, 0, 50, 40, // Skip to: 12829
-/* 2539 */    MCD_OPC_Decode, 156, 3, 78, // Opcode: C_ULT_D32
-/* 2543 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2561
-/* 2547 */    MCD_OPC_CheckPredicate, 8, 38, 40, // Skip to: 12829
-/* 2551 */    MCD_OPC_CheckField, 6, 5, 0, 32, 40, // Skip to: 12829
-/* 2557 */    MCD_OPC_Decode, 138, 3, 78, // Opcode: C_OLE_D32
-/* 2561 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2579
-/* 2565 */    MCD_OPC_CheckPredicate, 8, 20, 40, // Skip to: 12829
-/* 2569 */    MCD_OPC_CheckField, 6, 5, 0, 14, 40, // Skip to: 12829
-/* 2575 */    MCD_OPC_Decode, 153, 3, 78, // Opcode: C_ULE_D32
-/* 2579 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2597
-/* 2583 */    MCD_OPC_CheckPredicate, 8, 2, 40, // Skip to: 12829
-/* 2587 */    MCD_OPC_CheckField, 6, 5, 0, 252, 39, // Skip to: 12829
-/* 2593 */    MCD_OPC_Decode, 147, 3, 78, // Opcode: C_SF_D32
-/* 2597 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2615
-/* 2601 */    MCD_OPC_CheckPredicate, 8, 240, 39, // Skip to: 12829
-/* 2605 */    MCD_OPC_CheckField, 6, 5, 0, 234, 39, // Skip to: 12829
-/* 2611 */    MCD_OPC_Decode, 129, 3, 78, // Opcode: C_NGLE_D32
-/* 2615 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2633
-/* 2619 */    MCD_OPC_CheckPredicate, 8, 222, 39, // Skip to: 12829
-/* 2623 */    MCD_OPC_CheckField, 6, 5, 0, 216, 39, // Skip to: 12829
-/* 2629 */    MCD_OPC_Decode, 144, 3, 78, // Opcode: C_SEQ_D32
-/* 2633 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2651
-/* 2637 */    MCD_OPC_CheckPredicate, 8, 204, 39, // Skip to: 12829
-/* 2641 */    MCD_OPC_CheckField, 6, 5, 0, 198, 39, // Skip to: 12829
-/* 2647 */    MCD_OPC_Decode, 132, 3, 78, // Opcode: C_NGL_D32
-/* 2651 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2669
-/* 2655 */    MCD_OPC_CheckPredicate, 8, 186, 39, // Skip to: 12829
-/* 2659 */    MCD_OPC_CheckField, 6, 5, 0, 180, 39, // Skip to: 12829
-/* 2665 */    MCD_OPC_Decode, 251, 2, 78, // Opcode: C_LT_D32
-/* 2669 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2687
-/* 2673 */    MCD_OPC_CheckPredicate, 8, 168, 39, // Skip to: 12829
-/* 2677 */    MCD_OPC_CheckField, 6, 5, 0, 162, 39, // Skip to: 12829
-/* 2683 */    MCD_OPC_Decode, 254, 2, 78, // Opcode: C_NGE_D32
-/* 2687 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2705
-/* 2691 */    MCD_OPC_CheckPredicate, 8, 150, 39, // Skip to: 12829
-/* 2695 */    MCD_OPC_CheckField, 6, 5, 0, 144, 39, // Skip to: 12829
-/* 2701 */    MCD_OPC_Decode, 248, 2, 78, // Opcode: C_LE_D32
-/* 2705 */    MCD_OPC_FilterValue, 63, 136, 39, // Skip to: 12829
-/* 2709 */    MCD_OPC_CheckPredicate, 8, 132, 39, // Skip to: 12829
-/* 2713 */    MCD_OPC_CheckField, 6, 5, 0, 126, 39, // Skip to: 12829
-/* 2719 */    MCD_OPC_Decode, 135, 3, 78, // Opcode: C_NGT_D32
-/* 2723 */    MCD_OPC_FilterValue, 20, 39, 0, // Skip to: 2766
-/* 2727 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2730 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2748
-/* 2734 */    MCD_OPC_CheckPredicate, 2, 107, 39, // Skip to: 12829
-/* 2738 */    MCD_OPC_CheckField, 16, 5, 0, 101, 39, // Skip to: 12829
-/* 2744 */    MCD_OPC_Decode, 235, 2, 66, // Opcode: CVT_S_W
-/* 2748 */    MCD_OPC_FilterValue, 33, 93, 39, // Skip to: 12829
-/* 2752 */    MCD_OPC_CheckPredicate, 8, 89, 39, // Skip to: 12829
-/* 2756 */    MCD_OPC_CheckField, 16, 5, 0, 83, 39, // Skip to: 12829
-/* 2762 */    MCD_OPC_Decode, 221, 2, 69, // Opcode: CVT_D32_W
-/* 2766 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 2778
-/* 2770 */    MCD_OPC_CheckPredicate, 4, 71, 39, // Skip to: 12829
-/* 2774 */    MCD_OPC_Decode, 238, 1, 64, // Opcode: BZ_B
-/* 2778 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 2790
-/* 2782 */    MCD_OPC_CheckPredicate, 4, 59, 39, // Skip to: 12829
-/* 2786 */    MCD_OPC_Decode, 240, 1, 79, // Opcode: BZ_H
-/* 2790 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 2802
-/* 2794 */    MCD_OPC_CheckPredicate, 4, 47, 39, // Skip to: 12829
-/* 2798 */    MCD_OPC_Decode, 242, 1, 80, // Opcode: BZ_W
-/* 2802 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 2814
-/* 2806 */    MCD_OPC_CheckPredicate, 4, 35, 39, // Skip to: 12829
-/* 2810 */    MCD_OPC_Decode, 239, 1, 81, // Opcode: BZ_D
-/* 2814 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 2826
-/* 2818 */    MCD_OPC_CheckPredicate, 4, 23, 39, // Skip to: 12829
-/* 2822 */    MCD_OPC_Decode, 214, 1, 64, // Opcode: BNZ_B
-/* 2826 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 2838
-/* 2830 */    MCD_OPC_CheckPredicate, 4, 11, 39, // Skip to: 12829
-/* 2834 */    MCD_OPC_Decode, 216, 1, 79, // Opcode: BNZ_H
-/* 2838 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 2850
-/* 2842 */    MCD_OPC_CheckPredicate, 4, 255, 38, // Skip to: 12829
-/* 2846 */    MCD_OPC_Decode, 218, 1, 80, // Opcode: BNZ_W
-/* 2850 */    MCD_OPC_FilterValue, 31, 247, 38, // Skip to: 12829
-/* 2854 */    MCD_OPC_CheckPredicate, 4, 243, 38, // Skip to: 12829
-/* 2858 */    MCD_OPC_Decode, 215, 1, 81, // Opcode: BNZ_D
-/* 2862 */    MCD_OPC_FilterValue, 18, 39, 0, // Skip to: 2905
-/* 2866 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 2869 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2887
-/* 2873 */    MCD_OPC_CheckPredicate, 2, 224, 38, // Skip to: 12829
-/* 2877 */    MCD_OPC_CheckField, 3, 8, 0, 218, 38, // Skip to: 12829
-/* 2883 */    MCD_OPC_Decode, 239, 6, 54, // Opcode: MFC2
-/* 2887 */    MCD_OPC_FilterValue, 4, 210, 38, // Skip to: 12829
-/* 2891 */    MCD_OPC_CheckPredicate, 2, 206, 38, // Skip to: 12829
-/* 2895 */    MCD_OPC_CheckField, 3, 8, 0, 200, 38, // Skip to: 12829
-/* 2901 */    MCD_OPC_Decode, 216, 7, 54, // Opcode: MTC2
-/* 2905 */    MCD_OPC_FilterValue, 19, 207, 0, // Skip to: 3116
-/* 2909 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2912 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2930
-/* 2916 */    MCD_OPC_CheckPredicate, 9, 181, 38, // Skip to: 12829
-/* 2920 */    MCD_OPC_CheckField, 11, 5, 0, 175, 38, // Skip to: 12829
-/* 2926 */    MCD_OPC_Decode, 174, 6, 82, // Opcode: LWXC1
-/* 2930 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 2948
-/* 2934 */    MCD_OPC_CheckPredicate, 10, 163, 38, // Skip to: 12829
-/* 2938 */    MCD_OPC_CheckField, 11, 5, 0, 157, 38, // Skip to: 12829
-/* 2944 */    MCD_OPC_Decode, 131, 6, 83, // Opcode: LDXC1
-/* 2948 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2966
-/* 2952 */    MCD_OPC_CheckPredicate, 8, 145, 38, // Skip to: 12829
-/* 2956 */    MCD_OPC_CheckField, 11, 5, 0, 139, 38, // Skip to: 12829
-/* 2962 */    MCD_OPC_Decode, 155, 6, 83, // Opcode: LUXC1
-/* 2966 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 2984
-/* 2970 */    MCD_OPC_CheckPredicate, 9, 127, 38, // Skip to: 12829
-/* 2974 */    MCD_OPC_CheckField, 6, 5, 0, 121, 38, // Skip to: 12829
-/* 2980 */    MCD_OPC_Decode, 222, 10, 84, // Opcode: SWXC1
-/* 2984 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3002
-/* 2988 */    MCD_OPC_CheckPredicate, 10, 109, 38, // Skip to: 12829
-/* 2992 */    MCD_OPC_CheckField, 6, 5, 0, 103, 38, // Skip to: 12829
-/* 2998 */    MCD_OPC_Decode, 162, 9, 85, // Opcode: SDXC1
-/* 3002 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 3020
-/* 3006 */    MCD_OPC_CheckPredicate, 8, 91, 38, // Skip to: 12829
-/* 3010 */    MCD_OPC_CheckField, 6, 5, 0, 85, 38, // Skip to: 12829
-/* 3016 */    MCD_OPC_Decode, 208, 10, 85, // Opcode: SUXC1
-/* 3020 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3032
-/* 3024 */    MCD_OPC_CheckPredicate, 3, 73, 38, // Skip to: 12829
-/* 3028 */    MCD_OPC_Decode, 210, 6, 86, // Opcode: MADD_S
-/* 3032 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3044
-/* 3036 */    MCD_OPC_CheckPredicate, 11, 61, 38, // Skip to: 12829
-/* 3040 */    MCD_OPC_Decode, 203, 6, 87, // Opcode: MADD_D32
-/* 3044 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3056
-/* 3048 */    MCD_OPC_CheckPredicate, 3, 49, 38, // Skip to: 12829
-/* 3052 */    MCD_OPC_Decode, 211, 7, 86, // Opcode: MSUB_S
-/* 3056 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3068
-/* 3060 */    MCD_OPC_CheckPredicate, 11, 37, 38, // Skip to: 12829
-/* 3064 */    MCD_OPC_Decode, 204, 7, 87, // Opcode: MSUB_D32
-/* 3068 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 3080
-/* 3072 */    MCD_OPC_CheckPredicate, 12, 25, 38, // Skip to: 12829
-/* 3076 */    MCD_OPC_Decode, 147, 8, 86, // Opcode: NMADD_S
-/* 3080 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3092
-/* 3084 */    MCD_OPC_CheckPredicate, 13, 13, 38, // Skip to: 12829
-/* 3088 */    MCD_OPC_Decode, 144, 8, 87, // Opcode: NMADD_D32
-/* 3092 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 3104
-/* 3096 */    MCD_OPC_CheckPredicate, 12, 1, 38, // Skip to: 12829
-/* 3100 */    MCD_OPC_Decode, 152, 8, 86, // Opcode: NMSUB_S
-/* 3104 */    MCD_OPC_FilterValue, 57, 249, 37, // Skip to: 12829
-/* 3108 */    MCD_OPC_CheckPredicate, 13, 245, 37, // Skip to: 12829
-/* 3112 */    MCD_OPC_Decode, 149, 8, 87, // Opcode: NMSUB_D32
-/* 3116 */    MCD_OPC_FilterValue, 28, 171, 0, // Skip to: 3291
-/* 3120 */    MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
-/* 3123 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 3156
-/* 3127 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3130 */    MCD_OPC_FilterValue, 0, 223, 37, // Skip to: 12829
-/* 3134 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3148
-/* 3138 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3148
-/* 3144 */    MCD_OPC_Decode, 193, 6, 20, // Opcode: MADD
-/* 3148 */    MCD_OPC_CheckPredicate, 5, 205, 37, // Skip to: 12829
-/* 3152 */    MCD_OPC_Decode, 206, 6, 88, // Opcode: MADD_DSP
-/* 3156 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 3189
-/* 3160 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3163 */    MCD_OPC_FilterValue, 0, 190, 37, // Skip to: 12829
-/* 3167 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3181
-/* 3171 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3181
-/* 3177 */    MCD_OPC_Decode, 196, 6, 20, // Opcode: MADDU
-/* 3181 */    MCD_OPC_CheckPredicate, 5, 172, 37, // Skip to: 12829
-/* 3185 */    MCD_OPC_Decode, 197, 6, 88, // Opcode: MADDU_DSP
-/* 3189 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3201
-/* 3193 */    MCD_OPC_CheckPredicate, 2, 160, 37, // Skip to: 12829
-/* 3197 */    MCD_OPC_Decode, 228, 7, 13, // Opcode: MUL
-/* 3201 */    MCD_OPC_FilterValue, 4, 29, 0, // Skip to: 3234
-/* 3205 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3208 */    MCD_OPC_FilterValue, 0, 145, 37, // Skip to: 12829
-/* 3212 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3226
-/* 3216 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3226
-/* 3222 */    MCD_OPC_Decode, 194, 7, 20, // Opcode: MSUB
-/* 3226 */    MCD_OPC_CheckPredicate, 5, 127, 37, // Skip to: 12829
-/* 3230 */    MCD_OPC_Decode, 207, 7, 88, // Opcode: MSUB_DSP
-/* 3234 */    MCD_OPC_FilterValue, 5, 29, 0, // Skip to: 3267
-/* 3238 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3241 */    MCD_OPC_FilterValue, 0, 112, 37, // Skip to: 12829
-/* 3245 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3259
-/* 3249 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3259
-/* 3255 */    MCD_OPC_Decode, 197, 7, 20, // Opcode: MSUBU
-/* 3259 */    MCD_OPC_CheckPredicate, 5, 94, 37, // Skip to: 12829
-/* 3263 */    MCD_OPC_Decode, 198, 7, 88, // Opcode: MSUBU_DSP
-/* 3267 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3279
-/* 3271 */    MCD_OPC_CheckPredicate, 14, 82, 37, // Skip to: 12829
-/* 3275 */    MCD_OPC_Decode, 192, 2, 89, // Opcode: CLZ
-/* 3279 */    MCD_OPC_FilterValue, 33, 74, 37, // Skip to: 12829
-/* 3283 */    MCD_OPC_CheckPredicate, 14, 70, 37, // Skip to: 12829
-/* 3287 */    MCD_OPC_Decode, 174, 2, 89, // Opcode: CLO
-/* 3291 */    MCD_OPC_FilterValue, 30, 171, 26, // Skip to: 10122
-/* 3295 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 3298 */    MCD_OPC_FilterValue, 0, 50, 0, // Skip to: 3352
-/* 3302 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3305 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3316
-/* 3309 */    MCD_OPC_CheckPredicate, 4, 44, 37, // Skip to: 12829
-/* 3313 */    MCD_OPC_Decode, 73, 90, // Opcode: ANDI_B
-/* 3316 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3328
-/* 3320 */    MCD_OPC_CheckPredicate, 4, 33, 37, // Skip to: 12829
-/* 3324 */    MCD_OPC_Decode, 167, 8, 90, // Opcode: ORI_B
-/* 3328 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3340
-/* 3332 */    MCD_OPC_CheckPredicate, 4, 21, 37, // Skip to: 12829
-/* 3336 */    MCD_OPC_Decode, 157, 8, 90, // Opcode: NORI_B
-/* 3340 */    MCD_OPC_FilterValue, 3, 13, 37, // Skip to: 12829
-/* 3344 */    MCD_OPC_CheckPredicate, 4, 9, 37, // Skip to: 12829
-/* 3348 */    MCD_OPC_Decode, 194, 11, 90, // Opcode: XORI_B
-/* 3352 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 3395
-/* 3356 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3359 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3371
-/* 3363 */    MCD_OPC_CheckPredicate, 4, 246, 36, // Skip to: 12829
-/* 3367 */    MCD_OPC_Decode, 199, 1, 91, // Opcode: BMNZI_B
-/* 3371 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3383
-/* 3375 */    MCD_OPC_CheckPredicate, 4, 234, 36, // Skip to: 12829
-/* 3379 */    MCD_OPC_Decode, 201, 1, 91, // Opcode: BMZI_B
-/* 3383 */    MCD_OPC_FilterValue, 2, 226, 36, // Skip to: 12829
-/* 3387 */    MCD_OPC_CheckPredicate, 4, 222, 36, // Skip to: 12829
-/* 3391 */    MCD_OPC_Decode, 223, 1, 91, // Opcode: BSELI_B
-/* 3395 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 3438
-/* 3399 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3402 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3414
-/* 3406 */    MCD_OPC_CheckPredicate, 4, 203, 36, // Skip to: 12829
-/* 3410 */    MCD_OPC_Decode, 172, 9, 90, // Opcode: SHF_B
-/* 3414 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3426
-/* 3418 */    MCD_OPC_CheckPredicate, 4, 191, 36, // Skip to: 12829
-/* 3422 */    MCD_OPC_Decode, 173, 9, 92, // Opcode: SHF_H
-/* 3426 */    MCD_OPC_FilterValue, 2, 183, 36, // Skip to: 12829
-/* 3430 */    MCD_OPC_CheckPredicate, 4, 179, 36, // Skip to: 12829
-/* 3434 */    MCD_OPC_Decode, 174, 9, 93, // Opcode: SHF_W
-/* 3438 */    MCD_OPC_FilterValue, 6, 31, 1, // Skip to: 3729
-/* 3442 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 3445 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3456
-/* 3449 */    MCD_OPC_CheckPredicate, 4, 160, 36, // Skip to: 12829
-/* 3453 */    MCD_OPC_Decode, 49, 94, // Opcode: ADDVI_B
-/* 3456 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 3467
-/* 3460 */    MCD_OPC_CheckPredicate, 4, 149, 36, // Skip to: 12829
-/* 3464 */    MCD_OPC_Decode, 51, 95, // Opcode: ADDVI_H
-/* 3467 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3478
-/* 3471 */    MCD_OPC_CheckPredicate, 4, 138, 36, // Skip to: 12829
-/* 3475 */    MCD_OPC_Decode, 52, 96, // Opcode: ADDVI_W
-/* 3478 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 3489
-/* 3482 */    MCD_OPC_CheckPredicate, 4, 127, 36, // Skip to: 12829
-/* 3486 */    MCD_OPC_Decode, 50, 97, // Opcode: ADDVI_D
-/* 3489 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 3501
-/* 3493 */    MCD_OPC_CheckPredicate, 4, 116, 36, // Skip to: 12829
-/* 3497 */    MCD_OPC_Decode, 197, 10, 94, // Opcode: SUBVI_B
-/* 3501 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 3513
-/* 3505 */    MCD_OPC_CheckPredicate, 4, 104, 36, // Skip to: 12829
-/* 3509 */    MCD_OPC_Decode, 199, 10, 95, // Opcode: SUBVI_H
-/* 3513 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 3525
-/* 3517 */    MCD_OPC_CheckPredicate, 4, 92, 36, // Skip to: 12829
-/* 3521 */    MCD_OPC_Decode, 200, 10, 96, // Opcode: SUBVI_W
-/* 3525 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 3537
-/* 3529 */    MCD_OPC_CheckPredicate, 4, 80, 36, // Skip to: 12829
-/* 3533 */    MCD_OPC_Decode, 198, 10, 97, // Opcode: SUBVI_D
-/* 3537 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3549
-/* 3541 */    MCD_OPC_CheckPredicate, 4, 68, 36, // Skip to: 12829
-/* 3545 */    MCD_OPC_Decode, 216, 6, 94, // Opcode: MAXI_S_B
-/* 3549 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3561
-/* 3553 */    MCD_OPC_CheckPredicate, 4, 56, 36, // Skip to: 12829
-/* 3557 */    MCD_OPC_Decode, 218, 6, 95, // Opcode: MAXI_S_H
-/* 3561 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3573
-/* 3565 */    MCD_OPC_CheckPredicate, 4, 44, 36, // Skip to: 12829
-/* 3569 */    MCD_OPC_Decode, 219, 6, 96, // Opcode: MAXI_S_W
-/* 3573 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3585
-/* 3577 */    MCD_OPC_CheckPredicate, 4, 32, 36, // Skip to: 12829
-/* 3581 */    MCD_OPC_Decode, 217, 6, 97, // Opcode: MAXI_S_D
-/* 3585 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3597
-/* 3589 */    MCD_OPC_CheckPredicate, 4, 20, 36, // Skip to: 12829
-/* 3593 */    MCD_OPC_Decode, 220, 6, 94, // Opcode: MAXI_U_B
-/* 3597 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3609
-/* 3601 */    MCD_OPC_CheckPredicate, 4, 8, 36, // Skip to: 12829
-/* 3605 */    MCD_OPC_Decode, 222, 6, 95, // Opcode: MAXI_U_H
-/* 3609 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3621
-/* 3613 */    MCD_OPC_CheckPredicate, 4, 252, 35, // Skip to: 12829
-/* 3617 */    MCD_OPC_Decode, 223, 6, 96, // Opcode: MAXI_U_W
-/* 3621 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 3633
-/* 3625 */    MCD_OPC_CheckPredicate, 4, 240, 35, // Skip to: 12829
-/* 3629 */    MCD_OPC_Decode, 221, 6, 97, // Opcode: MAXI_U_D
-/* 3633 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3645
-/* 3637 */    MCD_OPC_CheckPredicate, 4, 228, 35, // Skip to: 12829
-/* 3641 */    MCD_OPC_Decode, 250, 6, 94, // Opcode: MINI_S_B
-/* 3645 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3657
-/* 3649 */    MCD_OPC_CheckPredicate, 4, 216, 35, // Skip to: 12829
-/* 3653 */    MCD_OPC_Decode, 252, 6, 95, // Opcode: MINI_S_H
-/* 3657 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3669
-/* 3661 */    MCD_OPC_CheckPredicate, 4, 204, 35, // Skip to: 12829
-/* 3665 */    MCD_OPC_Decode, 253, 6, 96, // Opcode: MINI_S_W
-/* 3669 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3681
-/* 3673 */    MCD_OPC_CheckPredicate, 4, 192, 35, // Skip to: 12829
-/* 3677 */    MCD_OPC_Decode, 251, 6, 97, // Opcode: MINI_S_D
-/* 3681 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3693
-/* 3685 */    MCD_OPC_CheckPredicate, 4, 180, 35, // Skip to: 12829
-/* 3689 */    MCD_OPC_Decode, 254, 6, 94, // Opcode: MINI_U_B
-/* 3693 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3705
-/* 3697 */    MCD_OPC_CheckPredicate, 4, 168, 35, // Skip to: 12829
-/* 3701 */    MCD_OPC_Decode, 128, 7, 95, // Opcode: MINI_U_H
-/* 3705 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3717
-/* 3709 */    MCD_OPC_CheckPredicate, 4, 156, 35, // Skip to: 12829
-/* 3713 */    MCD_OPC_Decode, 129, 7, 96, // Opcode: MINI_U_W
-/* 3717 */    MCD_OPC_FilterValue, 23, 148, 35, // Skip to: 12829
-/* 3721 */    MCD_OPC_CheckPredicate, 4, 144, 35, // Skip to: 12829
-/* 3725 */    MCD_OPC_Decode, 255, 6, 97, // Opcode: MINI_U_D
-/* 3729 */    MCD_OPC_FilterValue, 7, 35, 1, // Skip to: 4024
-/* 3733 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 3736 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3748
-/* 3740 */    MCD_OPC_CheckPredicate, 4, 125, 35, // Skip to: 12829
-/* 3744 */    MCD_OPC_Decode, 147, 2, 94, // Opcode: CEQI_B
-/* 3748 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3760
-/* 3752 */    MCD_OPC_CheckPredicate, 4, 113, 35, // Skip to: 12829
-/* 3756 */    MCD_OPC_Decode, 149, 2, 95, // Opcode: CEQI_H
-/* 3760 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3772
-/* 3764 */    MCD_OPC_CheckPredicate, 4, 101, 35, // Skip to: 12829
-/* 3768 */    MCD_OPC_Decode, 150, 2, 96, // Opcode: CEQI_W
-/* 3772 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3784
-/* 3776 */    MCD_OPC_CheckPredicate, 4, 89, 35, // Skip to: 12829
-/* 3780 */    MCD_OPC_Decode, 148, 2, 97, // Opcode: CEQI_D
-/* 3784 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3796
-/* 3788 */    MCD_OPC_CheckPredicate, 4, 77, 35, // Skip to: 12829
-/* 3792 */    MCD_OPC_Decode, 176, 2, 94, // Opcode: CLTI_S_B
-/* 3796 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3808
-/* 3800 */    MCD_OPC_CheckPredicate, 4, 65, 35, // Skip to: 12829
-/* 3804 */    MCD_OPC_Decode, 178, 2, 95, // Opcode: CLTI_S_H
-/* 3808 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3820
-/* 3812 */    MCD_OPC_CheckPredicate, 4, 53, 35, // Skip to: 12829
-/* 3816 */    MCD_OPC_Decode, 179, 2, 96, // Opcode: CLTI_S_W
-/* 3820 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3832
-/* 3824 */    MCD_OPC_CheckPredicate, 4, 41, 35, // Skip to: 12829
-/* 3828 */    MCD_OPC_Decode, 177, 2, 97, // Opcode: CLTI_S_D
-/* 3832 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3844
-/* 3836 */    MCD_OPC_CheckPredicate, 4, 29, 35, // Skip to: 12829
-/* 3840 */    MCD_OPC_Decode, 180, 2, 94, // Opcode: CLTI_U_B
-/* 3844 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3856
-/* 3848 */    MCD_OPC_CheckPredicate, 4, 17, 35, // Skip to: 12829
-/* 3852 */    MCD_OPC_Decode, 182, 2, 95, // Opcode: CLTI_U_H
-/* 3856 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3868
-/* 3860 */    MCD_OPC_CheckPredicate, 4, 5, 35, // Skip to: 12829
-/* 3864 */    MCD_OPC_Decode, 183, 2, 96, // Opcode: CLTI_U_W
-/* 3868 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 3880
-/* 3872 */    MCD_OPC_CheckPredicate, 4, 249, 34, // Skip to: 12829
-/* 3876 */    MCD_OPC_Decode, 181, 2, 97, // Opcode: CLTI_U_D
-/* 3880 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3892
-/* 3884 */    MCD_OPC_CheckPredicate, 4, 237, 34, // Skip to: 12829
-/* 3888 */    MCD_OPC_Decode, 158, 2, 94, // Opcode: CLEI_S_B
-/* 3892 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3904
-/* 3896 */    MCD_OPC_CheckPredicate, 4, 225, 34, // Skip to: 12829
-/* 3900 */    MCD_OPC_Decode, 160, 2, 95, // Opcode: CLEI_S_H
-/* 3904 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3916
-/* 3908 */    MCD_OPC_CheckPredicate, 4, 213, 34, // Skip to: 12829
-/* 3912 */    MCD_OPC_Decode, 161, 2, 96, // Opcode: CLEI_S_W
-/* 3916 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3928
-/* 3920 */    MCD_OPC_CheckPredicate, 4, 201, 34, // Skip to: 12829
-/* 3924 */    MCD_OPC_Decode, 159, 2, 97, // Opcode: CLEI_S_D
-/* 3928 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3940
-/* 3932 */    MCD_OPC_CheckPredicate, 4, 189, 34, // Skip to: 12829
-/* 3936 */    MCD_OPC_Decode, 162, 2, 94, // Opcode: CLEI_U_B
-/* 3940 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3952
-/* 3944 */    MCD_OPC_CheckPredicate, 4, 177, 34, // Skip to: 12829
-/* 3948 */    MCD_OPC_Decode, 164, 2, 95, // Opcode: CLEI_U_H
-/* 3952 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3964
-/* 3956 */    MCD_OPC_CheckPredicate, 4, 165, 34, // Skip to: 12829
-/* 3960 */    MCD_OPC_Decode, 165, 2, 96, // Opcode: CLEI_U_W
-/* 3964 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 3976
-/* 3968 */    MCD_OPC_CheckPredicate, 4, 153, 34, // Skip to: 12829
-/* 3972 */    MCD_OPC_Decode, 163, 2, 97, // Opcode: CLEI_U_D
-/* 3976 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 3988
-/* 3980 */    MCD_OPC_CheckPredicate, 4, 141, 34, // Skip to: 12829
-/* 3984 */    MCD_OPC_Decode, 253, 5, 98, // Opcode: LDI_B
-/* 3988 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 4000
-/* 3992 */    MCD_OPC_CheckPredicate, 4, 129, 34, // Skip to: 12829
-/* 3996 */    MCD_OPC_Decode, 255, 5, 99, // Opcode: LDI_H
-/* 4000 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 4012
-/* 4004 */    MCD_OPC_CheckPredicate, 4, 117, 34, // Skip to: 12829
-/* 4008 */    MCD_OPC_Decode, 128, 6, 100, // Opcode: LDI_W
-/* 4012 */    MCD_OPC_FilterValue, 27, 109, 34, // Skip to: 12829
-/* 4016 */    MCD_OPC_CheckPredicate, 4, 105, 34, // Skip to: 12829
-/* 4020 */    MCD_OPC_Decode, 254, 5, 101, // Opcode: LDI_D
-/* 4024 */    MCD_OPC_FilterValue, 9, 35, 2, // Skip to: 4575
-/* 4028 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 4031 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4043
-/* 4035 */    MCD_OPC_CheckPredicate, 4, 86, 34, // Skip to: 12829
-/* 4039 */    MCD_OPC_Decode, 212, 9, 102, // Opcode: SLLI_D
-/* 4043 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4099
-/* 4047 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4050 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4062
-/* 4054 */    MCD_OPC_CheckPredicate, 4, 67, 34, // Skip to: 12829
-/* 4058 */    MCD_OPC_Decode, 214, 9, 96, // Opcode: SLLI_W
-/* 4062 */    MCD_OPC_FilterValue, 1, 59, 34, // Skip to: 12829
-/* 4066 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4069 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4081
-/* 4073 */    MCD_OPC_CheckPredicate, 4, 48, 34, // Skip to: 12829
-/* 4077 */    MCD_OPC_Decode, 213, 9, 103, // Opcode: SLLI_H
-/* 4081 */    MCD_OPC_FilterValue, 1, 40, 34, // Skip to: 12829
-/* 4085 */    MCD_OPC_CheckPredicate, 4, 36, 34, // Skip to: 12829
-/* 4089 */    MCD_OPC_CheckField, 19, 1, 0, 30, 34, // Skip to: 12829
-/* 4095 */    MCD_OPC_Decode, 211, 9, 104, // Opcode: SLLI_B
-/* 4099 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4111
-/* 4103 */    MCD_OPC_CheckPredicate, 4, 18, 34, // Skip to: 12829
-/* 4107 */    MCD_OPC_Decode, 249, 9, 102, // Opcode: SRAI_D
-/* 4111 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4167
-/* 4115 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4118 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4130
-/* 4122 */    MCD_OPC_CheckPredicate, 4, 255, 33, // Skip to: 12829
-/* 4126 */    MCD_OPC_Decode, 251, 9, 96, // Opcode: SRAI_W
-/* 4130 */    MCD_OPC_FilterValue, 1, 247, 33, // Skip to: 12829
-/* 4134 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4137 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4149
-/* 4141 */    MCD_OPC_CheckPredicate, 4, 236, 33, // Skip to: 12829
-/* 4145 */    MCD_OPC_Decode, 250, 9, 103, // Opcode: SRAI_H
-/* 4149 */    MCD_OPC_FilterValue, 1, 228, 33, // Skip to: 12829
-/* 4153 */    MCD_OPC_CheckPredicate, 4, 224, 33, // Skip to: 12829
-/* 4157 */    MCD_OPC_CheckField, 19, 1, 0, 218, 33, // Skip to: 12829
-/* 4163 */    MCD_OPC_Decode, 248, 9, 104, // Opcode: SRAI_B
-/* 4167 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4179
-/* 4171 */    MCD_OPC_CheckPredicate, 4, 206, 33, // Skip to: 12829
-/* 4175 */    MCD_OPC_Decode, 141, 10, 102, // Opcode: SRLI_D
-/* 4179 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4235
-/* 4183 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4186 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4198
-/* 4190 */    MCD_OPC_CheckPredicate, 4, 187, 33, // Skip to: 12829
-/* 4194 */    MCD_OPC_Decode, 143, 10, 96, // Opcode: SRLI_W
-/* 4198 */    MCD_OPC_FilterValue, 1, 179, 33, // Skip to: 12829
-/* 4202 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4205 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4217
-/* 4209 */    MCD_OPC_CheckPredicate, 4, 168, 33, // Skip to: 12829
-/* 4213 */    MCD_OPC_Decode, 142, 10, 103, // Opcode: SRLI_H
-/* 4217 */    MCD_OPC_FilterValue, 1, 160, 33, // Skip to: 12829
-/* 4221 */    MCD_OPC_CheckPredicate, 4, 156, 33, // Skip to: 12829
-/* 4225 */    MCD_OPC_CheckField, 19, 1, 0, 150, 33, // Skip to: 12829
-/* 4231 */    MCD_OPC_Decode, 140, 10, 104, // Opcode: SRLI_B
-/* 4235 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4247
-/* 4239 */    MCD_OPC_CheckPredicate, 4, 138, 33, // Skip to: 12829
-/* 4243 */    MCD_OPC_Decode, 156, 1, 102, // Opcode: BCLRI_D
-/* 4247 */    MCD_OPC_FilterValue, 7, 52, 0, // Skip to: 4303
-/* 4251 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4254 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4266
-/* 4258 */    MCD_OPC_CheckPredicate, 4, 119, 33, // Skip to: 12829
-/* 4262 */    MCD_OPC_Decode, 158, 1, 96, // Opcode: BCLRI_W
-/* 4266 */    MCD_OPC_FilterValue, 1, 111, 33, // Skip to: 12829
-/* 4270 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4273 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4285
-/* 4277 */    MCD_OPC_CheckPredicate, 4, 100, 33, // Skip to: 12829
-/* 4281 */    MCD_OPC_Decode, 157, 1, 103, // Opcode: BCLRI_H
-/* 4285 */    MCD_OPC_FilterValue, 1, 92, 33, // Skip to: 12829
-/* 4289 */    MCD_OPC_CheckPredicate, 4, 88, 33, // Skip to: 12829
-/* 4293 */    MCD_OPC_CheckField, 19, 1, 0, 82, 33, // Skip to: 12829
-/* 4299 */    MCD_OPC_Decode, 155, 1, 104, // Opcode: BCLRI_B
-/* 4303 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4315
-/* 4307 */    MCD_OPC_CheckPredicate, 4, 70, 33, // Skip to: 12829
-/* 4311 */    MCD_OPC_Decode, 231, 1, 102, // Opcode: BSETI_D
-/* 4315 */    MCD_OPC_FilterValue, 9, 52, 0, // Skip to: 4371
-/* 4319 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4322 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4334
-/* 4326 */    MCD_OPC_CheckPredicate, 4, 51, 33, // Skip to: 12829
-/* 4330 */    MCD_OPC_Decode, 233, 1, 96, // Opcode: BSETI_W
-/* 4334 */    MCD_OPC_FilterValue, 1, 43, 33, // Skip to: 12829
-/* 4338 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4341 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4353
-/* 4345 */    MCD_OPC_CheckPredicate, 4, 32, 33, // Skip to: 12829
-/* 4349 */    MCD_OPC_Decode, 232, 1, 103, // Opcode: BSETI_H
-/* 4353 */    MCD_OPC_FilterValue, 1, 24, 33, // Skip to: 12829
-/* 4357 */    MCD_OPC_CheckPredicate, 4, 20, 33, // Skip to: 12829
-/* 4361 */    MCD_OPC_CheckField, 19, 1, 0, 14, 33, // Skip to: 12829
-/* 4367 */    MCD_OPC_Decode, 230, 1, 104, // Opcode: BSETI_B
-/* 4371 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4383
-/* 4375 */    MCD_OPC_CheckPredicate, 4, 2, 33, // Skip to: 12829
-/* 4379 */    MCD_OPC_Decode, 206, 1, 102, // Opcode: BNEGI_D
-/* 4383 */    MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 4439
-/* 4387 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4390 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4402
-/* 4394 */    MCD_OPC_CheckPredicate, 4, 239, 32, // Skip to: 12829
-/* 4398 */    MCD_OPC_Decode, 208, 1, 96, // Opcode: BNEGI_W
-/* 4402 */    MCD_OPC_FilterValue, 1, 231, 32, // Skip to: 12829
-/* 4406 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4409 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4421
-/* 4413 */    MCD_OPC_CheckPredicate, 4, 220, 32, // Skip to: 12829
-/* 4417 */    MCD_OPC_Decode, 207, 1, 103, // Opcode: BNEGI_H
-/* 4421 */    MCD_OPC_FilterValue, 1, 212, 32, // Skip to: 12829
-/* 4425 */    MCD_OPC_CheckPredicate, 4, 208, 32, // Skip to: 12829
-/* 4429 */    MCD_OPC_CheckField, 19, 1, 0, 202, 32, // Skip to: 12829
-/* 4435 */    MCD_OPC_Decode, 205, 1, 104, // Opcode: BNEGI_B
-/* 4439 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4451
-/* 4443 */    MCD_OPC_CheckPredicate, 4, 190, 32, // Skip to: 12829
-/* 4447 */    MCD_OPC_Decode, 175, 1, 105, // Opcode: BINSLI_D
-/* 4451 */    MCD_OPC_FilterValue, 13, 52, 0, // Skip to: 4507
-/* 4455 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4458 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4470
-/* 4462 */    MCD_OPC_CheckPredicate, 4, 171, 32, // Skip to: 12829
-/* 4466 */    MCD_OPC_Decode, 177, 1, 106, // Opcode: BINSLI_W
-/* 4470 */    MCD_OPC_FilterValue, 1, 163, 32, // Skip to: 12829
-/* 4474 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4477 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4489
-/* 4481 */    MCD_OPC_CheckPredicate, 4, 152, 32, // Skip to: 12829
-/* 4485 */    MCD_OPC_Decode, 176, 1, 107, // Opcode: BINSLI_H
-/* 4489 */    MCD_OPC_FilterValue, 1, 144, 32, // Skip to: 12829
-/* 4493 */    MCD_OPC_CheckPredicate, 4, 140, 32, // Skip to: 12829
-/* 4497 */    MCD_OPC_CheckField, 19, 1, 0, 134, 32, // Skip to: 12829
-/* 4503 */    MCD_OPC_Decode, 174, 1, 108, // Opcode: BINSLI_B
-/* 4507 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4519
-/* 4511 */    MCD_OPC_CheckPredicate, 4, 122, 32, // Skip to: 12829
-/* 4515 */    MCD_OPC_Decode, 183, 1, 105, // Opcode: BINSRI_D
-/* 4519 */    MCD_OPC_FilterValue, 15, 114, 32, // Skip to: 12829
-/* 4523 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4526 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4538
-/* 4530 */    MCD_OPC_CheckPredicate, 4, 103, 32, // Skip to: 12829
-/* 4534 */    MCD_OPC_Decode, 185, 1, 106, // Opcode: BINSRI_W
-/* 4538 */    MCD_OPC_FilterValue, 1, 95, 32, // Skip to: 12829
-/* 4542 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4545 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4557
-/* 4549 */    MCD_OPC_CheckPredicate, 4, 84, 32, // Skip to: 12829
-/* 4553 */    MCD_OPC_Decode, 184, 1, 107, // Opcode: BINSRI_H
-/* 4557 */    MCD_OPC_FilterValue, 1, 76, 32, // Skip to: 12829
-/* 4561 */    MCD_OPC_CheckPredicate, 4, 72, 32, // Skip to: 12829
-/* 4565 */    MCD_OPC_CheckField, 19, 1, 0, 66, 32, // Skip to: 12829
-/* 4571 */    MCD_OPC_Decode, 182, 1, 108, // Opcode: BINSRI_B
-/* 4575 */    MCD_OPC_FilterValue, 10, 19, 1, // Skip to: 4854
-/* 4579 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 4582 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4594
-/* 4586 */    MCD_OPC_CheckPredicate, 4, 47, 32, // Skip to: 12829
-/* 4590 */    MCD_OPC_Decode, 140, 9, 102, // Opcode: SAT_S_D
-/* 4594 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4650
-/* 4598 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4601 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4613
-/* 4605 */    MCD_OPC_CheckPredicate, 4, 28, 32, // Skip to: 12829
-/* 4609 */    MCD_OPC_Decode, 142, 9, 96, // Opcode: SAT_S_W
-/* 4613 */    MCD_OPC_FilterValue, 1, 20, 32, // Skip to: 12829
-/* 4617 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4620 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4632
-/* 4624 */    MCD_OPC_CheckPredicate, 4, 9, 32, // Skip to: 12829
-/* 4628 */    MCD_OPC_Decode, 141, 9, 103, // Opcode: SAT_S_H
-/* 4632 */    MCD_OPC_FilterValue, 1, 1, 32, // Skip to: 12829
-/* 4636 */    MCD_OPC_CheckPredicate, 4, 253, 31, // Skip to: 12829
-/* 4640 */    MCD_OPC_CheckField, 19, 1, 0, 247, 31, // Skip to: 12829
-/* 4646 */    MCD_OPC_Decode, 139, 9, 104, // Opcode: SAT_S_B
-/* 4650 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4662
-/* 4654 */    MCD_OPC_CheckPredicate, 4, 235, 31, // Skip to: 12829
-/* 4658 */    MCD_OPC_Decode, 144, 9, 102, // Opcode: SAT_U_D
-/* 4662 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4718
-/* 4666 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4669 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4681
-/* 4673 */    MCD_OPC_CheckPredicate, 4, 216, 31, // Skip to: 12829
-/* 4677 */    MCD_OPC_Decode, 146, 9, 96, // Opcode: SAT_U_W
-/* 4681 */    MCD_OPC_FilterValue, 1, 208, 31, // Skip to: 12829
-/* 4685 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4688 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4700
-/* 4692 */    MCD_OPC_CheckPredicate, 4, 197, 31, // Skip to: 12829
-/* 4696 */    MCD_OPC_Decode, 145, 9, 103, // Opcode: SAT_U_H
-/* 4700 */    MCD_OPC_FilterValue, 1, 189, 31, // Skip to: 12829
-/* 4704 */    MCD_OPC_CheckPredicate, 4, 185, 31, // Skip to: 12829
-/* 4708 */    MCD_OPC_CheckField, 19, 1, 0, 179, 31, // Skip to: 12829
-/* 4714 */    MCD_OPC_Decode, 143, 9, 104, // Opcode: SAT_U_B
-/* 4718 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4730
-/* 4722 */    MCD_OPC_CheckPredicate, 4, 167, 31, // Skip to: 12829
-/* 4726 */    MCD_OPC_Decode, 253, 9, 102, // Opcode: SRARI_D
-/* 4730 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4786
-/* 4734 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4737 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4749
-/* 4741 */    MCD_OPC_CheckPredicate, 4, 148, 31, // Skip to: 12829
-/* 4745 */    MCD_OPC_Decode, 255, 9, 96, // Opcode: SRARI_W
-/* 4749 */    MCD_OPC_FilterValue, 1, 140, 31, // Skip to: 12829
-/* 4753 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4756 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4768
-/* 4760 */    MCD_OPC_CheckPredicate, 4, 129, 31, // Skip to: 12829
-/* 4764 */    MCD_OPC_Decode, 254, 9, 103, // Opcode: SRARI_H
-/* 4768 */    MCD_OPC_FilterValue, 1, 121, 31, // Skip to: 12829
-/* 4772 */    MCD_OPC_CheckPredicate, 4, 117, 31, // Skip to: 12829
-/* 4776 */    MCD_OPC_CheckField, 19, 1, 0, 111, 31, // Skip to: 12829
-/* 4782 */    MCD_OPC_Decode, 252, 9, 104, // Opcode: SRARI_B
-/* 4786 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4798
-/* 4790 */    MCD_OPC_CheckPredicate, 4, 99, 31, // Skip to: 12829
-/* 4794 */    MCD_OPC_Decode, 145, 10, 102, // Opcode: SRLRI_D
-/* 4798 */    MCD_OPC_FilterValue, 7, 91, 31, // Skip to: 12829
-/* 4802 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4805 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4817
-/* 4809 */    MCD_OPC_CheckPredicate, 4, 80, 31, // Skip to: 12829
-/* 4813 */    MCD_OPC_Decode, 147, 10, 96, // Opcode: SRLRI_W
-/* 4817 */    MCD_OPC_FilterValue, 1, 72, 31, // Skip to: 12829
-/* 4821 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4824 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4836
-/* 4828 */    MCD_OPC_CheckPredicate, 4, 61, 31, // Skip to: 12829
-/* 4832 */    MCD_OPC_Decode, 146, 10, 103, // Opcode: SRLRI_H
-/* 4836 */    MCD_OPC_FilterValue, 1, 53, 31, // Skip to: 12829
-/* 4840 */    MCD_OPC_CheckPredicate, 4, 49, 31, // Skip to: 12829
-/* 4844 */    MCD_OPC_CheckField, 19, 1, 0, 43, 31, // Skip to: 12829
-/* 4850 */    MCD_OPC_Decode, 144, 10, 104, // Opcode: SRLRI_B
-/* 4854 */    MCD_OPC_FilterValue, 13, 131, 1, // Skip to: 5245
-/* 4858 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 4861 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4873
-/* 4865 */    MCD_OPC_CheckPredicate, 4, 24, 31, // Skip to: 12829
-/* 4869 */    MCD_OPC_Decode, 217, 9, 109, // Opcode: SLL_B
-/* 4873 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4885
-/* 4877 */    MCD_OPC_CheckPredicate, 4, 12, 31, // Skip to: 12829
-/* 4881 */    MCD_OPC_Decode, 219, 9, 110, // Opcode: SLL_H
-/* 4885 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4897
-/* 4889 */    MCD_OPC_CheckPredicate, 4, 0, 31, // Skip to: 12829
-/* 4893 */    MCD_OPC_Decode, 221, 9, 111, // Opcode: SLL_W
-/* 4897 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4909
-/* 4901 */    MCD_OPC_CheckPredicate, 4, 244, 30, // Skip to: 12829
-/* 4905 */    MCD_OPC_Decode, 218, 9, 112, // Opcode: SLL_D
-/* 4909 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4921
-/* 4913 */    MCD_OPC_CheckPredicate, 4, 232, 30, // Skip to: 12829
-/* 4917 */    MCD_OPC_Decode, 134, 10, 109, // Opcode: SRA_B
-/* 4921 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 4933
-/* 4925 */    MCD_OPC_CheckPredicate, 4, 220, 30, // Skip to: 12829
-/* 4929 */    MCD_OPC_Decode, 136, 10, 110, // Opcode: SRA_H
-/* 4933 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4945
-/* 4937 */    MCD_OPC_CheckPredicate, 4, 208, 30, // Skip to: 12829
-/* 4941 */    MCD_OPC_Decode, 138, 10, 111, // Opcode: SRA_W
-/* 4945 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 4957
-/* 4949 */    MCD_OPC_CheckPredicate, 4, 196, 30, // Skip to: 12829
-/* 4953 */    MCD_OPC_Decode, 135, 10, 112, // Opcode: SRA_D
-/* 4957 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4969
-/* 4961 */    MCD_OPC_CheckPredicate, 4, 184, 30, // Skip to: 12829
-/* 4965 */    MCD_OPC_Decode, 154, 10, 109, // Opcode: SRL_B
-/* 4969 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4981
-/* 4973 */    MCD_OPC_CheckPredicate, 4, 172, 30, // Skip to: 12829
-/* 4977 */    MCD_OPC_Decode, 156, 10, 110, // Opcode: SRL_H
-/* 4981 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4993
-/* 4985 */    MCD_OPC_CheckPredicate, 4, 160, 30, // Skip to: 12829
-/* 4989 */    MCD_OPC_Decode, 158, 10, 111, // Opcode: SRL_W
-/* 4993 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5005
-/* 4997 */    MCD_OPC_CheckPredicate, 4, 148, 30, // Skip to: 12829
-/* 5001 */    MCD_OPC_Decode, 155, 10, 112, // Opcode: SRL_D
-/* 5005 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5017
-/* 5009 */    MCD_OPC_CheckPredicate, 4, 136, 30, // Skip to: 12829
-/* 5013 */    MCD_OPC_Decode, 159, 1, 109, // Opcode: BCLR_B
-/* 5017 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5029
-/* 5021 */    MCD_OPC_CheckPredicate, 4, 124, 30, // Skip to: 12829
-/* 5025 */    MCD_OPC_Decode, 161, 1, 110, // Opcode: BCLR_H
-/* 5029 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5041
-/* 5033 */    MCD_OPC_CheckPredicate, 4, 112, 30, // Skip to: 12829
-/* 5037 */    MCD_OPC_Decode, 162, 1, 111, // Opcode: BCLR_W
-/* 5041 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5053
-/* 5045 */    MCD_OPC_CheckPredicate, 4, 100, 30, // Skip to: 12829
-/* 5049 */    MCD_OPC_Decode, 160, 1, 112, // Opcode: BCLR_D
-/* 5053 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5065
-/* 5057 */    MCD_OPC_CheckPredicate, 4, 88, 30, // Skip to: 12829
-/* 5061 */    MCD_OPC_Decode, 234, 1, 109, // Opcode: BSET_B
-/* 5065 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5077
-/* 5069 */    MCD_OPC_CheckPredicate, 4, 76, 30, // Skip to: 12829
-/* 5073 */    MCD_OPC_Decode, 236, 1, 110, // Opcode: BSET_H
-/* 5077 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5089
-/* 5081 */    MCD_OPC_CheckPredicate, 4, 64, 30, // Skip to: 12829
-/* 5085 */    MCD_OPC_Decode, 237, 1, 111, // Opcode: BSET_W
-/* 5089 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5101
-/* 5093 */    MCD_OPC_CheckPredicate, 4, 52, 30, // Skip to: 12829
-/* 5097 */    MCD_OPC_Decode, 235, 1, 112, // Opcode: BSET_D
-/* 5101 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5113
-/* 5105 */    MCD_OPC_CheckPredicate, 4, 40, 30, // Skip to: 12829
-/* 5109 */    MCD_OPC_Decode, 209, 1, 109, // Opcode: BNEG_B
-/* 5113 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5125
-/* 5117 */    MCD_OPC_CheckPredicate, 4, 28, 30, // Skip to: 12829
-/* 5121 */    MCD_OPC_Decode, 211, 1, 110, // Opcode: BNEG_H
-/* 5125 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5137
-/* 5129 */    MCD_OPC_CheckPredicate, 4, 16, 30, // Skip to: 12829
-/* 5133 */    MCD_OPC_Decode, 212, 1, 111, // Opcode: BNEG_W
-/* 5137 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5149
-/* 5141 */    MCD_OPC_CheckPredicate, 4, 4, 30, // Skip to: 12829
-/* 5145 */    MCD_OPC_Decode, 210, 1, 112, // Opcode: BNEG_D
-/* 5149 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5161
-/* 5153 */    MCD_OPC_CheckPredicate, 4, 248, 29, // Skip to: 12829
-/* 5157 */    MCD_OPC_Decode, 178, 1, 113, // Opcode: BINSL_B
-/* 5161 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5173
-/* 5165 */    MCD_OPC_CheckPredicate, 4, 236, 29, // Skip to: 12829
-/* 5169 */    MCD_OPC_Decode, 180, 1, 114, // Opcode: BINSL_H
-/* 5173 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5185
-/* 5177 */    MCD_OPC_CheckPredicate, 4, 224, 29, // Skip to: 12829
-/* 5181 */    MCD_OPC_Decode, 181, 1, 115, // Opcode: BINSL_W
-/* 5185 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5197
-/* 5189 */    MCD_OPC_CheckPredicate, 4, 212, 29, // Skip to: 12829
-/* 5193 */    MCD_OPC_Decode, 179, 1, 116, // Opcode: BINSL_D
-/* 5197 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5209
-/* 5201 */    MCD_OPC_CheckPredicate, 4, 200, 29, // Skip to: 12829
-/* 5205 */    MCD_OPC_Decode, 186, 1, 113, // Opcode: BINSR_B
-/* 5209 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5221
-/* 5213 */    MCD_OPC_CheckPredicate, 4, 188, 29, // Skip to: 12829
-/* 5217 */    MCD_OPC_Decode, 188, 1, 114, // Opcode: BINSR_H
-/* 5221 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5233
-/* 5225 */    MCD_OPC_CheckPredicate, 4, 176, 29, // Skip to: 12829
-/* 5229 */    MCD_OPC_Decode, 189, 1, 115, // Opcode: BINSR_W
-/* 5233 */    MCD_OPC_FilterValue, 31, 168, 29, // Skip to: 12829
-/* 5237 */    MCD_OPC_CheckPredicate, 4, 164, 29, // Skip to: 12829
-/* 5241 */    MCD_OPC_Decode, 187, 1, 116, // Opcode: BINSR_D
-/* 5245 */    MCD_OPC_FilterValue, 14, 127, 1, // Skip to: 5632
-/* 5249 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5252 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5263
-/* 5256 */    MCD_OPC_CheckPredicate, 4, 145, 29, // Skip to: 12829
-/* 5260 */    MCD_OPC_Decode, 53, 109, // Opcode: ADDV_B
-/* 5263 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5274
-/* 5267 */    MCD_OPC_CheckPredicate, 4, 134, 29, // Skip to: 12829
-/* 5271 */    MCD_OPC_Decode, 55, 110, // Opcode: ADDV_H
-/* 5274 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5285
-/* 5278 */    MCD_OPC_CheckPredicate, 4, 123, 29, // Skip to: 12829
-/* 5282 */    MCD_OPC_Decode, 56, 111, // Opcode: ADDV_W
-/* 5285 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5296
-/* 5289 */    MCD_OPC_CheckPredicate, 4, 112, 29, // Skip to: 12829
-/* 5293 */    MCD_OPC_Decode, 54, 112, // Opcode: ADDV_D
-/* 5296 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5308
-/* 5300 */    MCD_OPC_CheckPredicate, 4, 101, 29, // Skip to: 12829
-/* 5304 */    MCD_OPC_Decode, 201, 10, 109, // Opcode: SUBV_B
-/* 5308 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5320
-/* 5312 */    MCD_OPC_CheckPredicate, 4, 89, 29, // Skip to: 12829
-/* 5316 */    MCD_OPC_Decode, 203, 10, 110, // Opcode: SUBV_H
-/* 5320 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5332
-/* 5324 */    MCD_OPC_CheckPredicate, 4, 77, 29, // Skip to: 12829
-/* 5328 */    MCD_OPC_Decode, 204, 10, 111, // Opcode: SUBV_W
-/* 5332 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5344
-/* 5336 */    MCD_OPC_CheckPredicate, 4, 65, 29, // Skip to: 12829
-/* 5340 */    MCD_OPC_Decode, 202, 10, 112, // Opcode: SUBV_D
-/* 5344 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5356
-/* 5348 */    MCD_OPC_CheckPredicate, 4, 53, 29, // Skip to: 12829
-/* 5352 */    MCD_OPC_Decode, 228, 6, 109, // Opcode: MAX_S_B
-/* 5356 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5368
-/* 5360 */    MCD_OPC_CheckPredicate, 4, 41, 29, // Skip to: 12829
-/* 5364 */    MCD_OPC_Decode, 230, 6, 110, // Opcode: MAX_S_H
-/* 5368 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5380
-/* 5372 */    MCD_OPC_CheckPredicate, 4, 29, 29, // Skip to: 12829
-/* 5376 */    MCD_OPC_Decode, 231, 6, 111, // Opcode: MAX_S_W
-/* 5380 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5392
-/* 5384 */    MCD_OPC_CheckPredicate, 4, 17, 29, // Skip to: 12829
-/* 5388 */    MCD_OPC_Decode, 229, 6, 112, // Opcode: MAX_S_D
-/* 5392 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5404
-/* 5396 */    MCD_OPC_CheckPredicate, 4, 5, 29, // Skip to: 12829
-/* 5400 */    MCD_OPC_Decode, 232, 6, 109, // Opcode: MAX_U_B
-/* 5404 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5416
-/* 5408 */    MCD_OPC_CheckPredicate, 4, 249, 28, // Skip to: 12829
-/* 5412 */    MCD_OPC_Decode, 234, 6, 110, // Opcode: MAX_U_H
-/* 5416 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5428
-/* 5420 */    MCD_OPC_CheckPredicate, 4, 237, 28, // Skip to: 12829
-/* 5424 */    MCD_OPC_Decode, 235, 6, 111, // Opcode: MAX_U_W
-/* 5428 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5440
-/* 5432 */    MCD_OPC_CheckPredicate, 4, 225, 28, // Skip to: 12829
-/* 5436 */    MCD_OPC_Decode, 233, 6, 112, // Opcode: MAX_U_D
-/* 5440 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5452
-/* 5444 */    MCD_OPC_CheckPredicate, 4, 213, 28, // Skip to: 12829
-/* 5448 */    MCD_OPC_Decode, 134, 7, 109, // Opcode: MIN_S_B
-/* 5452 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5464
-/* 5456 */    MCD_OPC_CheckPredicate, 4, 201, 28, // Skip to: 12829
-/* 5460 */    MCD_OPC_Decode, 136, 7, 110, // Opcode: MIN_S_H
-/* 5464 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5476
-/* 5468 */    MCD_OPC_CheckPredicate, 4, 189, 28, // Skip to: 12829
-/* 5472 */    MCD_OPC_Decode, 137, 7, 111, // Opcode: MIN_S_W
-/* 5476 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5488
-/* 5480 */    MCD_OPC_CheckPredicate, 4, 177, 28, // Skip to: 12829
-/* 5484 */    MCD_OPC_Decode, 135, 7, 112, // Opcode: MIN_S_D
-/* 5488 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5500
-/* 5492 */    MCD_OPC_CheckPredicate, 4, 165, 28, // Skip to: 12829
-/* 5496 */    MCD_OPC_Decode, 138, 7, 109, // Opcode: MIN_U_B
-/* 5500 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5512
-/* 5504 */    MCD_OPC_CheckPredicate, 4, 153, 28, // Skip to: 12829
-/* 5508 */    MCD_OPC_Decode, 140, 7, 110, // Opcode: MIN_U_H
-/* 5512 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5524
-/* 5516 */    MCD_OPC_CheckPredicate, 4, 141, 28, // Skip to: 12829
-/* 5520 */    MCD_OPC_Decode, 141, 7, 111, // Opcode: MIN_U_W
-/* 5524 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5536
-/* 5528 */    MCD_OPC_CheckPredicate, 4, 129, 28, // Skip to: 12829
-/* 5532 */    MCD_OPC_Decode, 139, 7, 112, // Opcode: MIN_U_D
-/* 5536 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5548
-/* 5540 */    MCD_OPC_CheckPredicate, 4, 117, 28, // Skip to: 12829
-/* 5544 */    MCD_OPC_Decode, 224, 6, 109, // Opcode: MAX_A_B
-/* 5548 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5560
-/* 5552 */    MCD_OPC_CheckPredicate, 4, 105, 28, // Skip to: 12829
-/* 5556 */    MCD_OPC_Decode, 226, 6, 110, // Opcode: MAX_A_H
-/* 5560 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5572
-/* 5564 */    MCD_OPC_CheckPredicate, 4, 93, 28, // Skip to: 12829
-/* 5568 */    MCD_OPC_Decode, 227, 6, 111, // Opcode: MAX_A_W
-/* 5572 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5584
-/* 5576 */    MCD_OPC_CheckPredicate, 4, 81, 28, // Skip to: 12829
-/* 5580 */    MCD_OPC_Decode, 225, 6, 112, // Opcode: MAX_A_D
-/* 5584 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5596
-/* 5588 */    MCD_OPC_CheckPredicate, 4, 69, 28, // Skip to: 12829
-/* 5592 */    MCD_OPC_Decode, 130, 7, 109, // Opcode: MIN_A_B
-/* 5596 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5608
-/* 5600 */    MCD_OPC_CheckPredicate, 4, 57, 28, // Skip to: 12829
-/* 5604 */    MCD_OPC_Decode, 132, 7, 110, // Opcode: MIN_A_H
-/* 5608 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5620
-/* 5612 */    MCD_OPC_CheckPredicate, 4, 45, 28, // Skip to: 12829
-/* 5616 */    MCD_OPC_Decode, 133, 7, 111, // Opcode: MIN_A_W
-/* 5620 */    MCD_OPC_FilterValue, 31, 37, 28, // Skip to: 12829
-/* 5624 */    MCD_OPC_CheckPredicate, 4, 33, 28, // Skip to: 12829
-/* 5628 */    MCD_OPC_Decode, 131, 7, 112, // Opcode: MIN_A_D
-/* 5632 */    MCD_OPC_FilterValue, 15, 243, 0, // Skip to: 5879
-/* 5636 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5639 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5651
-/* 5643 */    MCD_OPC_CheckPredicate, 4, 14, 28, // Skip to: 12829
-/* 5647 */    MCD_OPC_Decode, 151, 2, 109, // Opcode: CEQ_B
-/* 5651 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5663
-/* 5655 */    MCD_OPC_CheckPredicate, 4, 2, 28, // Skip to: 12829
-/* 5659 */    MCD_OPC_Decode, 153, 2, 110, // Opcode: CEQ_H
-/* 5663 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5675
-/* 5667 */    MCD_OPC_CheckPredicate, 4, 246, 27, // Skip to: 12829
-/* 5671 */    MCD_OPC_Decode, 154, 2, 111, // Opcode: CEQ_W
-/* 5675 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5687
-/* 5679 */    MCD_OPC_CheckPredicate, 4, 234, 27, // Skip to: 12829
-/* 5683 */    MCD_OPC_Decode, 152, 2, 112, // Opcode: CEQ_D
-/* 5687 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5699
-/* 5691 */    MCD_OPC_CheckPredicate, 4, 222, 27, // Skip to: 12829
-/* 5695 */    MCD_OPC_Decode, 184, 2, 109, // Opcode: CLT_S_B
-/* 5699 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5711
-/* 5703 */    MCD_OPC_CheckPredicate, 4, 210, 27, // Skip to: 12829
-/* 5707 */    MCD_OPC_Decode, 186, 2, 110, // Opcode: CLT_S_H
-/* 5711 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5723
-/* 5715 */    MCD_OPC_CheckPredicate, 4, 198, 27, // Skip to: 12829
-/* 5719 */    MCD_OPC_Decode, 187, 2, 111, // Opcode: CLT_S_W
-/* 5723 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5735
-/* 5727 */    MCD_OPC_CheckPredicate, 4, 186, 27, // Skip to: 12829
-/* 5731 */    MCD_OPC_Decode, 185, 2, 112, // Opcode: CLT_S_D
-/* 5735 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5747
-/* 5739 */    MCD_OPC_CheckPredicate, 4, 174, 27, // Skip to: 12829
-/* 5743 */    MCD_OPC_Decode, 188, 2, 109, // Opcode: CLT_U_B
-/* 5747 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5759
-/* 5751 */    MCD_OPC_CheckPredicate, 4, 162, 27, // Skip to: 12829
-/* 5755 */    MCD_OPC_Decode, 190, 2, 110, // Opcode: CLT_U_H
-/* 5759 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5771
-/* 5763 */    MCD_OPC_CheckPredicate, 4, 150, 27, // Skip to: 12829
-/* 5767 */    MCD_OPC_Decode, 191, 2, 111, // Opcode: CLT_U_W
-/* 5771 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5783
-/* 5775 */    MCD_OPC_CheckPredicate, 4, 138, 27, // Skip to: 12829
-/* 5779 */    MCD_OPC_Decode, 189, 2, 112, // Opcode: CLT_U_D
-/* 5783 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5795
-/* 5787 */    MCD_OPC_CheckPredicate, 4, 126, 27, // Skip to: 12829
-/* 5791 */    MCD_OPC_Decode, 166, 2, 109, // Opcode: CLE_S_B
-/* 5795 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5807
-/* 5799 */    MCD_OPC_CheckPredicate, 4, 114, 27, // Skip to: 12829
-/* 5803 */    MCD_OPC_Decode, 168, 2, 110, // Opcode: CLE_S_H
-/* 5807 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5819
-/* 5811 */    MCD_OPC_CheckPredicate, 4, 102, 27, // Skip to: 12829
-/* 5815 */    MCD_OPC_Decode, 169, 2, 111, // Opcode: CLE_S_W
-/* 5819 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5831
-/* 5823 */    MCD_OPC_CheckPredicate, 4, 90, 27, // Skip to: 12829
-/* 5827 */    MCD_OPC_Decode, 167, 2, 112, // Opcode: CLE_S_D
-/* 5831 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5843
-/* 5835 */    MCD_OPC_CheckPredicate, 4, 78, 27, // Skip to: 12829
-/* 5839 */    MCD_OPC_Decode, 170, 2, 109, // Opcode: CLE_U_B
-/* 5843 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5855
-/* 5847 */    MCD_OPC_CheckPredicate, 4, 66, 27, // Skip to: 12829
-/* 5851 */    MCD_OPC_Decode, 172, 2, 110, // Opcode: CLE_U_H
-/* 5855 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5867
-/* 5859 */    MCD_OPC_CheckPredicate, 4, 54, 27, // Skip to: 12829
-/* 5863 */    MCD_OPC_Decode, 173, 2, 111, // Opcode: CLE_U_W
-/* 5867 */    MCD_OPC_FilterValue, 23, 46, 27, // Skip to: 12829
-/* 5871 */    MCD_OPC_CheckPredicate, 4, 42, 27, // Skip to: 12829
-/* 5875 */    MCD_OPC_Decode, 171, 2, 112, // Opcode: CLE_U_D
-/* 5879 */    MCD_OPC_FilterValue, 16, 110, 1, // Skip to: 6249
-/* 5883 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5886 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5897
-/* 5890 */    MCD_OPC_CheckPredicate, 4, 23, 27, // Skip to: 12829
-/* 5894 */    MCD_OPC_Decode, 58, 109, // Opcode: ADD_A_B
-/* 5897 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5908
-/* 5901 */    MCD_OPC_CheckPredicate, 4, 12, 27, // Skip to: 12829
-/* 5905 */    MCD_OPC_Decode, 60, 110, // Opcode: ADD_A_H
-/* 5908 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5919
-/* 5912 */    MCD_OPC_CheckPredicate, 4, 1, 27, // Skip to: 12829
-/* 5916 */    MCD_OPC_Decode, 61, 111, // Opcode: ADD_A_W
-/* 5919 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5930
-/* 5923 */    MCD_OPC_CheckPredicate, 4, 246, 26, // Skip to: 12829
-/* 5927 */    MCD_OPC_Decode, 59, 112, // Opcode: ADD_A_D
-/* 5930 */    MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 5941
-/* 5934 */    MCD_OPC_CheckPredicate, 4, 235, 26, // Skip to: 12829
-/* 5938 */    MCD_OPC_Decode, 31, 109, // Opcode: ADDS_A_B
-/* 5941 */    MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 5952
-/* 5945 */    MCD_OPC_CheckPredicate, 4, 224, 26, // Skip to: 12829
-/* 5949 */    MCD_OPC_Decode, 33, 110, // Opcode: ADDS_A_H
-/* 5952 */    MCD_OPC_FilterValue, 6, 7, 0, // Skip to: 5963
-/* 5956 */    MCD_OPC_CheckPredicate, 4, 213, 26, // Skip to: 12829
-/* 5960 */    MCD_OPC_Decode, 34, 111, // Opcode: ADDS_A_W
-/* 5963 */    MCD_OPC_FilterValue, 7, 7, 0, // Skip to: 5974
-/* 5967 */    MCD_OPC_CheckPredicate, 4, 202, 26, // Skip to: 12829
-/* 5971 */    MCD_OPC_Decode, 32, 112, // Opcode: ADDS_A_D
-/* 5974 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 5985
-/* 5978 */    MCD_OPC_CheckPredicate, 4, 191, 26, // Skip to: 12829
-/* 5982 */    MCD_OPC_Decode, 35, 109, // Opcode: ADDS_S_B
-/* 5985 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 5996
-/* 5989 */    MCD_OPC_CheckPredicate, 4, 180, 26, // Skip to: 12829
-/* 5993 */    MCD_OPC_Decode, 37, 110, // Opcode: ADDS_S_H
-/* 5996 */    MCD_OPC_FilterValue, 10, 7, 0, // Skip to: 6007
-/* 6000 */    MCD_OPC_CheckPredicate, 4, 169, 26, // Skip to: 12829
-/* 6004 */    MCD_OPC_Decode, 38, 111, // Opcode: ADDS_S_W
-/* 6007 */    MCD_OPC_FilterValue, 11, 7, 0, // Skip to: 6018
-/* 6011 */    MCD_OPC_CheckPredicate, 4, 158, 26, // Skip to: 12829
-/* 6015 */    MCD_OPC_Decode, 36, 112, // Opcode: ADDS_S_D
-/* 6018 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 6029
-/* 6022 */    MCD_OPC_CheckPredicate, 4, 147, 26, // Skip to: 12829
-/* 6026 */    MCD_OPC_Decode, 39, 109, // Opcode: ADDS_U_B
-/* 6029 */    MCD_OPC_FilterValue, 13, 7, 0, // Skip to: 6040
-/* 6033 */    MCD_OPC_CheckPredicate, 4, 136, 26, // Skip to: 12829
-/* 6037 */    MCD_OPC_Decode, 41, 110, // Opcode: ADDS_U_H
-/* 6040 */    MCD_OPC_FilterValue, 14, 7, 0, // Skip to: 6051
-/* 6044 */    MCD_OPC_CheckPredicate, 4, 125, 26, // Skip to: 12829
-/* 6048 */    MCD_OPC_Decode, 42, 111, // Opcode: ADDS_U_W
-/* 6051 */    MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 6062
-/* 6055 */    MCD_OPC_CheckPredicate, 4, 114, 26, // Skip to: 12829
-/* 6059 */    MCD_OPC_Decode, 40, 112, // Opcode: ADDS_U_D
-/* 6062 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6074
-/* 6066 */    MCD_OPC_CheckPredicate, 4, 103, 26, // Skip to: 12829
-/* 6070 */    MCD_OPC_Decode, 131, 1, 109, // Opcode: AVE_S_B
-/* 6074 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6086
-/* 6078 */    MCD_OPC_CheckPredicate, 4, 91, 26, // Skip to: 12829
-/* 6082 */    MCD_OPC_Decode, 133, 1, 110, // Opcode: AVE_S_H
-/* 6086 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6098
-/* 6090 */    MCD_OPC_CheckPredicate, 4, 79, 26, // Skip to: 12829
-/* 6094 */    MCD_OPC_Decode, 134, 1, 111, // Opcode: AVE_S_W
-/* 6098 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6110
-/* 6102 */    MCD_OPC_CheckPredicate, 4, 67, 26, // Skip to: 12829
-/* 6106 */    MCD_OPC_Decode, 132, 1, 112, // Opcode: AVE_S_D
-/* 6110 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6122
-/* 6114 */    MCD_OPC_CheckPredicate, 4, 55, 26, // Skip to: 12829
-/* 6118 */    MCD_OPC_Decode, 135, 1, 109, // Opcode: AVE_U_B
-/* 6122 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6134
-/* 6126 */    MCD_OPC_CheckPredicate, 4, 43, 26, // Skip to: 12829
-/* 6130 */    MCD_OPC_Decode, 137, 1, 110, // Opcode: AVE_U_H
-/* 6134 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6146
-/* 6138 */    MCD_OPC_CheckPredicate, 4, 31, 26, // Skip to: 12829
-/* 6142 */    MCD_OPC_Decode, 138, 1, 111, // Opcode: AVE_U_W
-/* 6146 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6158
-/* 6150 */    MCD_OPC_CheckPredicate, 4, 19, 26, // Skip to: 12829
-/* 6154 */    MCD_OPC_Decode, 136, 1, 112, // Opcode: AVE_U_D
-/* 6158 */    MCD_OPC_FilterValue, 24, 7, 0, // Skip to: 6169
-/* 6162 */    MCD_OPC_CheckPredicate, 4, 7, 26, // Skip to: 12829
-/* 6166 */    MCD_OPC_Decode, 123, 109, // Opcode: AVER_S_B
-/* 6169 */    MCD_OPC_FilterValue, 25, 7, 0, // Skip to: 6180
-/* 6173 */    MCD_OPC_CheckPredicate, 4, 252, 25, // Skip to: 12829
-/* 6177 */    MCD_OPC_Decode, 125, 110, // Opcode: AVER_S_H
-/* 6180 */    MCD_OPC_FilterValue, 26, 7, 0, // Skip to: 6191
-/* 6184 */    MCD_OPC_CheckPredicate, 4, 241, 25, // Skip to: 12829
-/* 6188 */    MCD_OPC_Decode, 126, 111, // Opcode: AVER_S_W
-/* 6191 */    MCD_OPC_FilterValue, 27, 7, 0, // Skip to: 6202
-/* 6195 */    MCD_OPC_CheckPredicate, 4, 230, 25, // Skip to: 12829
-/* 6199 */    MCD_OPC_Decode, 124, 112, // Opcode: AVER_S_D
-/* 6202 */    MCD_OPC_FilterValue, 28, 7, 0, // Skip to: 6213
-/* 6206 */    MCD_OPC_CheckPredicate, 4, 219, 25, // Skip to: 12829
-/* 6210 */    MCD_OPC_Decode, 127, 109, // Opcode: AVER_U_B
-/* 6213 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6225
-/* 6217 */    MCD_OPC_CheckPredicate, 4, 208, 25, // Skip to: 12829
-/* 6221 */    MCD_OPC_Decode, 129, 1, 110, // Opcode: AVER_U_H
-/* 6225 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6237
-/* 6229 */    MCD_OPC_CheckPredicate, 4, 196, 25, // Skip to: 12829
-/* 6233 */    MCD_OPC_Decode, 130, 1, 111, // Opcode: AVER_U_W
-/* 6237 */    MCD_OPC_FilterValue, 31, 188, 25, // Skip to: 12829
-/* 6241 */    MCD_OPC_CheckPredicate, 4, 184, 25, // Skip to: 12829
-/* 6245 */    MCD_OPC_Decode, 128, 1, 112, // Opcode: AVER_U_D
-/* 6249 */    MCD_OPC_FilterValue, 17, 27, 1, // Skip to: 6536
-/* 6253 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6256 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6268
-/* 6260 */    MCD_OPC_CheckPredicate, 4, 165, 25, // Skip to: 12829
-/* 6264 */    MCD_OPC_Decode, 183, 10, 109, // Opcode: SUBS_S_B
-/* 6268 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6280
-/* 6272 */    MCD_OPC_CheckPredicate, 4, 153, 25, // Skip to: 12829
-/* 6276 */    MCD_OPC_Decode, 185, 10, 110, // Opcode: SUBS_S_H
-/* 6280 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6292
-/* 6284 */    MCD_OPC_CheckPredicate, 4, 141, 25, // Skip to: 12829
-/* 6288 */    MCD_OPC_Decode, 186, 10, 111, // Opcode: SUBS_S_W
-/* 6292 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6304
-/* 6296 */    MCD_OPC_CheckPredicate, 4, 129, 25, // Skip to: 12829
-/* 6300 */    MCD_OPC_Decode, 184, 10, 112, // Opcode: SUBS_S_D
-/* 6304 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6316
-/* 6308 */    MCD_OPC_CheckPredicate, 4, 117, 25, // Skip to: 12829
-/* 6312 */    MCD_OPC_Decode, 187, 10, 109, // Opcode: SUBS_U_B
-/* 6316 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6328
-/* 6320 */    MCD_OPC_CheckPredicate, 4, 105, 25, // Skip to: 12829
-/* 6324 */    MCD_OPC_Decode, 189, 10, 110, // Opcode: SUBS_U_H
-/* 6328 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6340
-/* 6332 */    MCD_OPC_CheckPredicate, 4, 93, 25, // Skip to: 12829
-/* 6336 */    MCD_OPC_Decode, 190, 10, 111, // Opcode: SUBS_U_W
-/* 6340 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6352
-/* 6344 */    MCD_OPC_CheckPredicate, 4, 81, 25, // Skip to: 12829
-/* 6348 */    MCD_OPC_Decode, 188, 10, 112, // Opcode: SUBS_U_D
-/* 6352 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6364
-/* 6356 */    MCD_OPC_CheckPredicate, 4, 69, 25, // Skip to: 12829
-/* 6360 */    MCD_OPC_Decode, 175, 10, 109, // Opcode: SUBSUS_U_B
-/* 6364 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6376
-/* 6368 */    MCD_OPC_CheckPredicate, 4, 57, 25, // Skip to: 12829
-/* 6372 */    MCD_OPC_Decode, 177, 10, 110, // Opcode: SUBSUS_U_H
-/* 6376 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6388
-/* 6380 */    MCD_OPC_CheckPredicate, 4, 45, 25, // Skip to: 12829
-/* 6384 */    MCD_OPC_Decode, 178, 10, 111, // Opcode: SUBSUS_U_W
-/* 6388 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6400
-/* 6392 */    MCD_OPC_CheckPredicate, 4, 33, 25, // Skip to: 12829
-/* 6396 */    MCD_OPC_Decode, 176, 10, 112, // Opcode: SUBSUS_U_D
-/* 6400 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6412
-/* 6404 */    MCD_OPC_CheckPredicate, 4, 21, 25, // Skip to: 12829
-/* 6408 */    MCD_OPC_Decode, 179, 10, 109, // Opcode: SUBSUU_S_B
-/* 6412 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6424
-/* 6416 */    MCD_OPC_CheckPredicate, 4, 9, 25, // Skip to: 12829
-/* 6420 */    MCD_OPC_Decode, 181, 10, 110, // Opcode: SUBSUU_S_H
-/* 6424 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6436
-/* 6428 */    MCD_OPC_CheckPredicate, 4, 253, 24, // Skip to: 12829
-/* 6432 */    MCD_OPC_Decode, 182, 10, 111, // Opcode: SUBSUU_S_W
-/* 6436 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6448
-/* 6440 */    MCD_OPC_CheckPredicate, 4, 241, 24, // Skip to: 12829
-/* 6444 */    MCD_OPC_Decode, 180, 10, 112, // Opcode: SUBSUU_S_D
-/* 6448 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 6459
-/* 6452 */    MCD_OPC_CheckPredicate, 4, 229, 24, // Skip to: 12829
-/* 6456 */    MCD_OPC_Decode, 83, 109, // Opcode: ASUB_S_B
-/* 6459 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 6470
-/* 6463 */    MCD_OPC_CheckPredicate, 4, 218, 24, // Skip to: 12829
-/* 6467 */    MCD_OPC_Decode, 85, 110, // Opcode: ASUB_S_H
-/* 6470 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 6481
-/* 6474 */    MCD_OPC_CheckPredicate, 4, 207, 24, // Skip to: 12829
-/* 6478 */    MCD_OPC_Decode, 86, 111, // Opcode: ASUB_S_W
-/* 6481 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 6492
-/* 6485 */    MCD_OPC_CheckPredicate, 4, 196, 24, // Skip to: 12829
-/* 6489 */    MCD_OPC_Decode, 84, 112, // Opcode: ASUB_S_D
-/* 6492 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 6503
-/* 6496 */    MCD_OPC_CheckPredicate, 4, 185, 24, // Skip to: 12829
-/* 6500 */    MCD_OPC_Decode, 87, 109, // Opcode: ASUB_U_B
-/* 6503 */    MCD_OPC_FilterValue, 21, 7, 0, // Skip to: 6514
-/* 6507 */    MCD_OPC_CheckPredicate, 4, 174, 24, // Skip to: 12829
-/* 6511 */    MCD_OPC_Decode, 89, 110, // Opcode: ASUB_U_H
-/* 6514 */    MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 6525
-/* 6518 */    MCD_OPC_CheckPredicate, 4, 163, 24, // Skip to: 12829
-/* 6522 */    MCD_OPC_Decode, 90, 111, // Opcode: ASUB_U_W
-/* 6525 */    MCD_OPC_FilterValue, 23, 156, 24, // Skip to: 12829
-/* 6529 */    MCD_OPC_CheckPredicate, 4, 152, 24, // Skip to: 12829
-/* 6533 */    MCD_OPC_Decode, 88, 112, // Opcode: ASUB_U_D
-/* 6536 */    MCD_OPC_FilterValue, 18, 83, 1, // Skip to: 6879
-/* 6540 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6543 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6555
-/* 6547 */    MCD_OPC_CheckPredicate, 4, 134, 24, // Skip to: 12829
-/* 6551 */    MCD_OPC_Decode, 247, 7, 109, // Opcode: MULV_B
-/* 6555 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6567
-/* 6559 */    MCD_OPC_CheckPredicate, 4, 122, 24, // Skip to: 12829
-/* 6563 */    MCD_OPC_Decode, 249, 7, 110, // Opcode: MULV_H
-/* 6567 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6579
-/* 6571 */    MCD_OPC_CheckPredicate, 4, 110, 24, // Skip to: 12829
-/* 6575 */    MCD_OPC_Decode, 250, 7, 111, // Opcode: MULV_W
-/* 6579 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6591
-/* 6583 */    MCD_OPC_CheckPredicate, 4, 98, 24, // Skip to: 12829
-/* 6587 */    MCD_OPC_Decode, 248, 7, 112, // Opcode: MULV_D
-/* 6591 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6603
-/* 6595 */    MCD_OPC_CheckPredicate, 4, 86, 24, // Skip to: 12829
-/* 6599 */    MCD_OPC_Decode, 199, 6, 113, // Opcode: MADDV_B
-/* 6603 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6615
-/* 6607 */    MCD_OPC_CheckPredicate, 4, 74, 24, // Skip to: 12829
-/* 6611 */    MCD_OPC_Decode, 201, 6, 114, // Opcode: MADDV_H
-/* 6615 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6627
-/* 6619 */    MCD_OPC_CheckPredicate, 4, 62, 24, // Skip to: 12829
-/* 6623 */    MCD_OPC_Decode, 202, 6, 115, // Opcode: MADDV_W
-/* 6627 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6639
-/* 6631 */    MCD_OPC_CheckPredicate, 4, 50, 24, // Skip to: 12829
-/* 6635 */    MCD_OPC_Decode, 200, 6, 116, // Opcode: MADDV_D
-/* 6639 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6651
-/* 6643 */    MCD_OPC_CheckPredicate, 4, 38, 24, // Skip to: 12829
-/* 6647 */    MCD_OPC_Decode, 200, 7, 113, // Opcode: MSUBV_B
-/* 6651 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6663
-/* 6655 */    MCD_OPC_CheckPredicate, 4, 26, 24, // Skip to: 12829
-/* 6659 */    MCD_OPC_Decode, 202, 7, 114, // Opcode: MSUBV_H
-/* 6663 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6675
-/* 6667 */    MCD_OPC_CheckPredicate, 4, 14, 24, // Skip to: 12829
-/* 6671 */    MCD_OPC_Decode, 203, 7, 115, // Opcode: MSUBV_W
-/* 6675 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6687
-/* 6679 */    MCD_OPC_CheckPredicate, 4, 2, 24, // Skip to: 12829
-/* 6683 */    MCD_OPC_Decode, 201, 7, 116, // Opcode: MSUBV_D
-/* 6687 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6699
-/* 6691 */    MCD_OPC_CheckPredicate, 4, 246, 23, // Skip to: 12829
-/* 6695 */    MCD_OPC_Decode, 181, 3, 109, // Opcode: DIV_S_B
-/* 6699 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6711
-/* 6703 */    MCD_OPC_CheckPredicate, 4, 234, 23, // Skip to: 12829
-/* 6707 */    MCD_OPC_Decode, 183, 3, 110, // Opcode: DIV_S_H
-/* 6711 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6723
-/* 6715 */    MCD_OPC_CheckPredicate, 4, 222, 23, // Skip to: 12829
-/* 6719 */    MCD_OPC_Decode, 184, 3, 111, // Opcode: DIV_S_W
-/* 6723 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6735
-/* 6727 */    MCD_OPC_CheckPredicate, 4, 210, 23, // Skip to: 12829
-/* 6731 */    MCD_OPC_Decode, 182, 3, 112, // Opcode: DIV_S_D
-/* 6735 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6747
-/* 6739 */    MCD_OPC_CheckPredicate, 4, 198, 23, // Skip to: 12829
-/* 6743 */    MCD_OPC_Decode, 185, 3, 109, // Opcode: DIV_U_B
-/* 6747 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6759
-/* 6751 */    MCD_OPC_CheckPredicate, 4, 186, 23, // Skip to: 12829
-/* 6755 */    MCD_OPC_Decode, 187, 3, 110, // Opcode: DIV_U_H
-/* 6759 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6771
-/* 6763 */    MCD_OPC_CheckPredicate, 4, 174, 23, // Skip to: 12829
-/* 6767 */    MCD_OPC_Decode, 188, 3, 111, // Opcode: DIV_U_W
-/* 6771 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6783
-/* 6775 */    MCD_OPC_CheckPredicate, 4, 162, 23, // Skip to: 12829
-/* 6779 */    MCD_OPC_Decode, 186, 3, 112, // Opcode: DIV_U_D
-/* 6783 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6795
-/* 6787 */    MCD_OPC_CheckPredicate, 4, 150, 23, // Skip to: 12829
-/* 6791 */    MCD_OPC_Decode, 145, 7, 109, // Opcode: MOD_S_B
-/* 6795 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 6807
-/* 6799 */    MCD_OPC_CheckPredicate, 4, 138, 23, // Skip to: 12829
-/* 6803 */    MCD_OPC_Decode, 147, 7, 110, // Opcode: MOD_S_H
-/* 6807 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6819
-/* 6811 */    MCD_OPC_CheckPredicate, 4, 126, 23, // Skip to: 12829
-/* 6815 */    MCD_OPC_Decode, 148, 7, 111, // Opcode: MOD_S_W
-/* 6819 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 6831
-/* 6823 */    MCD_OPC_CheckPredicate, 4, 114, 23, // Skip to: 12829
-/* 6827 */    MCD_OPC_Decode, 146, 7, 112, // Opcode: MOD_S_D
-/* 6831 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 6843
-/* 6835 */    MCD_OPC_CheckPredicate, 4, 102, 23, // Skip to: 12829
-/* 6839 */    MCD_OPC_Decode, 149, 7, 109, // Opcode: MOD_U_B
-/* 6843 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6855
-/* 6847 */    MCD_OPC_CheckPredicate, 4, 90, 23, // Skip to: 12829
-/* 6851 */    MCD_OPC_Decode, 151, 7, 110, // Opcode: MOD_U_H
-/* 6855 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6867
-/* 6859 */    MCD_OPC_CheckPredicate, 4, 78, 23, // Skip to: 12829
-/* 6863 */    MCD_OPC_Decode, 152, 7, 111, // Opcode: MOD_U_W
-/* 6867 */    MCD_OPC_FilterValue, 31, 70, 23, // Skip to: 12829
-/* 6871 */    MCD_OPC_CheckPredicate, 4, 66, 23, // Skip to: 12829
-/* 6875 */    MCD_OPC_Decode, 150, 7, 112, // Opcode: MOD_U_D
-/* 6879 */    MCD_OPC_FilterValue, 19, 219, 0, // Skip to: 7102
-/* 6883 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6886 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6898
-/* 6890 */    MCD_OPC_CheckPredicate, 4, 47, 23, // Skip to: 12829
-/* 6894 */    MCD_OPC_Decode, 200, 3, 117, // Opcode: DOTP_S_H
-/* 6898 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6910
-/* 6902 */    MCD_OPC_CheckPredicate, 4, 35, 23, // Skip to: 12829
-/* 6906 */    MCD_OPC_Decode, 201, 3, 118, // Opcode: DOTP_S_W
-/* 6910 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6922
-/* 6914 */    MCD_OPC_CheckPredicate, 4, 23, 23, // Skip to: 12829
-/* 6918 */    MCD_OPC_Decode, 199, 3, 119, // Opcode: DOTP_S_D
-/* 6922 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6934
-/* 6926 */    MCD_OPC_CheckPredicate, 4, 11, 23, // Skip to: 12829
-/* 6930 */    MCD_OPC_Decode, 203, 3, 117, // Opcode: DOTP_U_H
-/* 6934 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6946
-/* 6938 */    MCD_OPC_CheckPredicate, 4, 255, 22, // Skip to: 12829
-/* 6942 */    MCD_OPC_Decode, 204, 3, 118, // Opcode: DOTP_U_W
-/* 6946 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6958
-/* 6950 */    MCD_OPC_CheckPredicate, 4, 243, 22, // Skip to: 12829
-/* 6954 */    MCD_OPC_Decode, 202, 3, 119, // Opcode: DOTP_U_D
-/* 6958 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6970
-/* 6962 */    MCD_OPC_CheckPredicate, 4, 231, 22, // Skip to: 12829
-/* 6966 */    MCD_OPC_Decode, 206, 3, 120, // Opcode: DPADD_S_H
-/* 6970 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6982
-/* 6974 */    MCD_OPC_CheckPredicate, 4, 219, 22, // Skip to: 12829
-/* 6978 */    MCD_OPC_Decode, 207, 3, 121, // Opcode: DPADD_S_W
-/* 6982 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6994
-/* 6986 */    MCD_OPC_CheckPredicate, 4, 207, 22, // Skip to: 12829
-/* 6990 */    MCD_OPC_Decode, 205, 3, 122, // Opcode: DPADD_S_D
-/* 6994 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7006
-/* 6998 */    MCD_OPC_CheckPredicate, 4, 195, 22, // Skip to: 12829
-/* 7002 */    MCD_OPC_Decode, 209, 3, 120, // Opcode: DPADD_U_H
-/* 7006 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7018
-/* 7010 */    MCD_OPC_CheckPredicate, 4, 183, 22, // Skip to: 12829
-/* 7014 */    MCD_OPC_Decode, 210, 3, 121, // Opcode: DPADD_U_W
-/* 7018 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7030
-/* 7022 */    MCD_OPC_CheckPredicate, 4, 171, 22, // Skip to: 12829
-/* 7026 */    MCD_OPC_Decode, 208, 3, 122, // Opcode: DPADD_U_D
-/* 7030 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7042
-/* 7034 */    MCD_OPC_CheckPredicate, 4, 159, 22, // Skip to: 12829
-/* 7038 */    MCD_OPC_Decode, 224, 3, 120, // Opcode: DPSUB_S_H
-/* 7042 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7054
-/* 7046 */    MCD_OPC_CheckPredicate, 4, 147, 22, // Skip to: 12829
-/* 7050 */    MCD_OPC_Decode, 225, 3, 121, // Opcode: DPSUB_S_W
-/* 7054 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7066
-/* 7058 */    MCD_OPC_CheckPredicate, 4, 135, 22, // Skip to: 12829
-/* 7062 */    MCD_OPC_Decode, 223, 3, 122, // Opcode: DPSUB_S_D
-/* 7066 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7078
-/* 7070 */    MCD_OPC_CheckPredicate, 4, 123, 22, // Skip to: 12829
-/* 7074 */    MCD_OPC_Decode, 227, 3, 120, // Opcode: DPSUB_U_H
-/* 7078 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7090
-/* 7082 */    MCD_OPC_CheckPredicate, 4, 111, 22, // Skip to: 12829
-/* 7086 */    MCD_OPC_Decode, 228, 3, 121, // Opcode: DPSUB_U_W
-/* 7090 */    MCD_OPC_FilterValue, 23, 103, 22, // Skip to: 12829
-/* 7094 */    MCD_OPC_CheckPredicate, 4, 99, 22, // Skip to: 12829
-/* 7098 */    MCD_OPC_Decode, 226, 3, 122, // Opcode: DPSUB_U_D
-/* 7102 */    MCD_OPC_FilterValue, 20, 134, 1, // Skip to: 7496
-/* 7106 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 7109 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7121
-/* 7113 */    MCD_OPC_CheckPredicate, 4, 80, 22, // Skip to: 12829
-/* 7117 */    MCD_OPC_Decode, 204, 9, 123, // Opcode: SLD_B
-/* 7121 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7133
-/* 7125 */    MCD_OPC_CheckPredicate, 4, 68, 22, // Skip to: 12829
-/* 7129 */    MCD_OPC_Decode, 206, 9, 124, // Opcode: SLD_H
-/* 7133 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7145
-/* 7137 */    MCD_OPC_CheckPredicate, 4, 56, 22, // Skip to: 12829
-/* 7141 */    MCD_OPC_Decode, 207, 9, 125, // Opcode: SLD_W
-/* 7145 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7157
-/* 7149 */    MCD_OPC_CheckPredicate, 4, 44, 22, // Skip to: 12829
-/* 7153 */    MCD_OPC_Decode, 205, 9, 126, // Opcode: SLD_D
-/* 7157 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7169
-/* 7161 */    MCD_OPC_CheckPredicate, 4, 32, 22, // Skip to: 12829
-/* 7165 */    MCD_OPC_Decode, 243, 9, 127, // Opcode: SPLAT_B
-/* 7169 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 7182
-/* 7173 */    MCD_OPC_CheckPredicate, 4, 20, 22, // Skip to: 12829
-/* 7177 */    MCD_OPC_Decode, 245, 9, 128, 1, // Opcode: SPLAT_H
-/* 7182 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 7195
-/* 7186 */    MCD_OPC_CheckPredicate, 4, 7, 22, // Skip to: 12829
-/* 7190 */    MCD_OPC_Decode, 246, 9, 129, 1, // Opcode: SPLAT_W
-/* 7195 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 7208
-/* 7199 */    MCD_OPC_CheckPredicate, 4, 250, 21, // Skip to: 12829
-/* 7203 */    MCD_OPC_Decode, 244, 9, 130, 1, // Opcode: SPLAT_D
-/* 7208 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7220
-/* 7212 */    MCD_OPC_CheckPredicate, 4, 237, 21, // Skip to: 12829
-/* 7216 */    MCD_OPC_Decode, 178, 8, 109, // Opcode: PCKEV_B
-/* 7220 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7232
-/* 7224 */    MCD_OPC_CheckPredicate, 4, 225, 21, // Skip to: 12829
-/* 7228 */    MCD_OPC_Decode, 180, 8, 110, // Opcode: PCKEV_H
-/* 7232 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7244
-/* 7236 */    MCD_OPC_CheckPredicate, 4, 213, 21, // Skip to: 12829
-/* 7240 */    MCD_OPC_Decode, 181, 8, 111, // Opcode: PCKEV_W
-/* 7244 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7256
-/* 7248 */    MCD_OPC_CheckPredicate, 4, 201, 21, // Skip to: 12829
-/* 7252 */    MCD_OPC_Decode, 179, 8, 112, // Opcode: PCKEV_D
-/* 7256 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 7268
-/* 7260 */    MCD_OPC_CheckPredicate, 4, 189, 21, // Skip to: 12829
-/* 7264 */    MCD_OPC_Decode, 182, 8, 109, // Opcode: PCKOD_B
-/* 7268 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7280
-/* 7272 */    MCD_OPC_CheckPredicate, 4, 177, 21, // Skip to: 12829
-/* 7276 */    MCD_OPC_Decode, 184, 8, 110, // Opcode: PCKOD_H
-/* 7280 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7292
-/* 7284 */    MCD_OPC_CheckPredicate, 4, 165, 21, // Skip to: 12829
-/* 7288 */    MCD_OPC_Decode, 185, 8, 111, // Opcode: PCKOD_W
-/* 7292 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7304
-/* 7296 */    MCD_OPC_CheckPredicate, 4, 153, 21, // Skip to: 12829
-/* 7300 */    MCD_OPC_Decode, 183, 8, 112, // Opcode: PCKOD_D
-/* 7304 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 7316
-/* 7308 */    MCD_OPC_CheckPredicate, 4, 141, 21, // Skip to: 12829
-/* 7312 */    MCD_OPC_Decode, 198, 5, 109, // Opcode: ILVL_B
-/* 7316 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7328
-/* 7320 */    MCD_OPC_CheckPredicate, 4, 129, 21, // Skip to: 12829
-/* 7324 */    MCD_OPC_Decode, 200, 5, 110, // Opcode: ILVL_H
-/* 7328 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7340
-/* 7332 */    MCD_OPC_CheckPredicate, 4, 117, 21, // Skip to: 12829
-/* 7336 */    MCD_OPC_Decode, 201, 5, 111, // Opcode: ILVL_W
-/* 7340 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7352
-/* 7344 */    MCD_OPC_CheckPredicate, 4, 105, 21, // Skip to: 12829
-/* 7348 */    MCD_OPC_Decode, 199, 5, 112, // Opcode: ILVL_D
-/* 7352 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7364
-/* 7356 */    MCD_OPC_CheckPredicate, 4, 93, 21, // Skip to: 12829
-/* 7360 */    MCD_OPC_Decode, 206, 5, 109, // Opcode: ILVR_B
-/* 7364 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7376
-/* 7368 */    MCD_OPC_CheckPredicate, 4, 81, 21, // Skip to: 12829
-/* 7372 */    MCD_OPC_Decode, 208, 5, 110, // Opcode: ILVR_H
-/* 7376 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7388
-/* 7380 */    MCD_OPC_CheckPredicate, 4, 69, 21, // Skip to: 12829
-/* 7384 */    MCD_OPC_Decode, 209, 5, 111, // Opcode: ILVR_W
-/* 7388 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7400
-/* 7392 */    MCD_OPC_CheckPredicate, 4, 57, 21, // Skip to: 12829
-/* 7396 */    MCD_OPC_Decode, 207, 5, 112, // Opcode: ILVR_D
-/* 7400 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7412
-/* 7404 */    MCD_OPC_CheckPredicate, 4, 45, 21, // Skip to: 12829
-/* 7408 */    MCD_OPC_Decode, 194, 5, 109, // Opcode: ILVEV_B
-/* 7412 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7424
-/* 7416 */    MCD_OPC_CheckPredicate, 4, 33, 21, // Skip to: 12829
-/* 7420 */    MCD_OPC_Decode, 196, 5, 110, // Opcode: ILVEV_H
-/* 7424 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7436
-/* 7428 */    MCD_OPC_CheckPredicate, 4, 21, 21, // Skip to: 12829
-/* 7432 */    MCD_OPC_Decode, 197, 5, 111, // Opcode: ILVEV_W
-/* 7436 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7448
-/* 7440 */    MCD_OPC_CheckPredicate, 4, 9, 21, // Skip to: 12829
-/* 7444 */    MCD_OPC_Decode, 195, 5, 112, // Opcode: ILVEV_D
-/* 7448 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7460
-/* 7452 */    MCD_OPC_CheckPredicate, 4, 253, 20, // Skip to: 12829
-/* 7456 */    MCD_OPC_Decode, 202, 5, 109, // Opcode: ILVOD_B
-/* 7460 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7472
-/* 7464 */    MCD_OPC_CheckPredicate, 4, 241, 20, // Skip to: 12829
-/* 7468 */    MCD_OPC_Decode, 204, 5, 110, // Opcode: ILVOD_H
-/* 7472 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7484
-/* 7476 */    MCD_OPC_CheckPredicate, 4, 229, 20, // Skip to: 12829
-/* 7480 */    MCD_OPC_Decode, 205, 5, 111, // Opcode: ILVOD_W
-/* 7484 */    MCD_OPC_FilterValue, 31, 221, 20, // Skip to: 12829
-/* 7488 */    MCD_OPC_CheckPredicate, 4, 217, 20, // Skip to: 12829
-/* 7492 */    MCD_OPC_Decode, 203, 5, 112, // Opcode: ILVOD_D
-/* 7496 */    MCD_OPC_FilterValue, 21, 35, 1, // Skip to: 7791
-/* 7500 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 7503 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7515
-/* 7507 */    MCD_OPC_CheckPredicate, 4, 198, 20, // Skip to: 12829
-/* 7511 */    MCD_OPC_Decode, 183, 11, 113, // Opcode: VSHF_B
-/* 7515 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7527
-/* 7519 */    MCD_OPC_CheckPredicate, 4, 186, 20, // Skip to: 12829
-/* 7523 */    MCD_OPC_Decode, 185, 11, 114, // Opcode: VSHF_H
-/* 7527 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7539
-/* 7531 */    MCD_OPC_CheckPredicate, 4, 174, 20, // Skip to: 12829
-/* 7535 */    MCD_OPC_Decode, 186, 11, 115, // Opcode: VSHF_W
-/* 7539 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7551
-/* 7543 */    MCD_OPC_CheckPredicate, 4, 162, 20, // Skip to: 12829
-/* 7547 */    MCD_OPC_Decode, 184, 11, 116, // Opcode: VSHF_D
-/* 7551 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7563
-/* 7555 */    MCD_OPC_CheckPredicate, 4, 150, 20, // Skip to: 12829
-/* 7559 */    MCD_OPC_Decode, 128, 10, 109, // Opcode: SRAR_B
-/* 7563 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7575
-/* 7567 */    MCD_OPC_CheckPredicate, 4, 138, 20, // Skip to: 12829
-/* 7571 */    MCD_OPC_Decode, 130, 10, 110, // Opcode: SRAR_H
-/* 7575 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7587
-/* 7579 */    MCD_OPC_CheckPredicate, 4, 126, 20, // Skip to: 12829
-/* 7583 */    MCD_OPC_Decode, 131, 10, 111, // Opcode: SRAR_W
-/* 7587 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7599
-/* 7591 */    MCD_OPC_CheckPredicate, 4, 114, 20, // Skip to: 12829
-/* 7595 */    MCD_OPC_Decode, 129, 10, 112, // Opcode: SRAR_D
-/* 7599 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7611
-/* 7603 */    MCD_OPC_CheckPredicate, 4, 102, 20, // Skip to: 12829
-/* 7607 */    MCD_OPC_Decode, 148, 10, 109, // Opcode: SRLR_B
-/* 7611 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7623
-/* 7615 */    MCD_OPC_CheckPredicate, 4, 90, 20, // Skip to: 12829
-/* 7619 */    MCD_OPC_Decode, 150, 10, 110, // Opcode: SRLR_H
-/* 7623 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7635
-/* 7627 */    MCD_OPC_CheckPredicate, 4, 78, 20, // Skip to: 12829
-/* 7631 */    MCD_OPC_Decode, 151, 10, 111, // Opcode: SRLR_W
-/* 7635 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7647
-/* 7639 */    MCD_OPC_CheckPredicate, 4, 66, 20, // Skip to: 12829
-/* 7643 */    MCD_OPC_Decode, 149, 10, 112, // Opcode: SRLR_D
-/* 7647 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7659
-/* 7651 */    MCD_OPC_CheckPredicate, 4, 54, 20, // Skip to: 12829
-/* 7655 */    MCD_OPC_Decode, 183, 5, 117, // Opcode: HADD_S_H
-/* 7659 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7671
-/* 7663 */    MCD_OPC_CheckPredicate, 4, 42, 20, // Skip to: 12829
-/* 7667 */    MCD_OPC_Decode, 184, 5, 118, // Opcode: HADD_S_W
-/* 7671 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7683
-/* 7675 */    MCD_OPC_CheckPredicate, 4, 30, 20, // Skip to: 12829
-/* 7679 */    MCD_OPC_Decode, 182, 5, 119, // Opcode: HADD_S_D
-/* 7683 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7695
-/* 7687 */    MCD_OPC_CheckPredicate, 4, 18, 20, // Skip to: 12829
-/* 7691 */    MCD_OPC_Decode, 186, 5, 117, // Opcode: HADD_U_H
-/* 7695 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7707
-/* 7699 */    MCD_OPC_CheckPredicate, 4, 6, 20, // Skip to: 12829
-/* 7703 */    MCD_OPC_Decode, 187, 5, 118, // Opcode: HADD_U_W
-/* 7707 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7719
-/* 7711 */    MCD_OPC_CheckPredicate, 4, 250, 19, // Skip to: 12829
-/* 7715 */    MCD_OPC_Decode, 185, 5, 119, // Opcode: HADD_U_D
-/* 7719 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7731
-/* 7723 */    MCD_OPC_CheckPredicate, 4, 238, 19, // Skip to: 12829
-/* 7727 */    MCD_OPC_Decode, 189, 5, 117, // Opcode: HSUB_S_H
-/* 7731 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7743
-/* 7735 */    MCD_OPC_CheckPredicate, 4, 226, 19, // Skip to: 12829
-/* 7739 */    MCD_OPC_Decode, 190, 5, 118, // Opcode: HSUB_S_W
-/* 7743 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7755
-/* 7747 */    MCD_OPC_CheckPredicate, 4, 214, 19, // Skip to: 12829
-/* 7751 */    MCD_OPC_Decode, 188, 5, 119, // Opcode: HSUB_S_D
-/* 7755 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7767
-/* 7759 */    MCD_OPC_CheckPredicate, 4, 202, 19, // Skip to: 12829
-/* 7763 */    MCD_OPC_Decode, 192, 5, 117, // Opcode: HSUB_U_H
-/* 7767 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7779
-/* 7771 */    MCD_OPC_CheckPredicate, 4, 190, 19, // Skip to: 12829
-/* 7775 */    MCD_OPC_Decode, 193, 5, 118, // Opcode: HSUB_U_W
-/* 7779 */    MCD_OPC_FilterValue, 31, 182, 19, // Skip to: 12829
-/* 7783 */    MCD_OPC_CheckPredicate, 4, 178, 19, // Skip to: 12829
-/* 7787 */    MCD_OPC_Decode, 191, 5, 119, // Opcode: HSUB_U_D
-/* 7791 */    MCD_OPC_FilterValue, 25, 230, 1, // Skip to: 8281
-/* 7795 */    MCD_OPC_ExtractField, 20, 6,  // Inst{25-20} ...
-/* 7798 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7811
-/* 7802 */    MCD_OPC_CheckPredicate, 4, 159, 19, // Skip to: 12829
-/* 7806 */    MCD_OPC_Decode, 200, 9, 131, 1, // Opcode: SLDI_B
-/* 7811 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 7830
-/* 7815 */    MCD_OPC_CheckPredicate, 4, 146, 19, // Skip to: 12829
-/* 7819 */    MCD_OPC_CheckField, 19, 1, 0, 140, 19, // Skip to: 12829
-/* 7825 */    MCD_OPC_Decode, 202, 9, 132, 1, // Opcode: SLDI_H
-/* 7830 */    MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 7888
-/* 7834 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 7837 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7850
-/* 7841 */    MCD_OPC_CheckPredicate, 4, 120, 19, // Skip to: 12829
-/* 7845 */    MCD_OPC_Decode, 203, 9, 133, 1, // Opcode: SLDI_W
-/* 7850 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 7869
-/* 7854 */    MCD_OPC_CheckPredicate, 4, 107, 19, // Skip to: 12829
-/* 7858 */    MCD_OPC_CheckField, 17, 1, 0, 101, 19, // Skip to: 12829
-/* 7864 */    MCD_OPC_Decode, 201, 9, 134, 1, // Opcode: SLDI_D
-/* 7869 */    MCD_OPC_FilterValue, 3, 92, 19, // Skip to: 12829
-/* 7873 */    MCD_OPC_CheckPredicate, 4, 88, 19, // Skip to: 12829
-/* 7877 */    MCD_OPC_CheckField, 16, 2, 2, 82, 19, // Skip to: 12829
-/* 7883 */    MCD_OPC_Decode, 219, 2, 135, 1, // Opcode: CTCMSA
-/* 7888 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 7901
-/* 7892 */    MCD_OPC_CheckPredicate, 4, 69, 19, // Skip to: 12829
-/* 7896 */    MCD_OPC_Decode, 239, 9, 136, 1, // Opcode: SPLATI_B
-/* 7901 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 7920
-/* 7905 */    MCD_OPC_CheckPredicate, 4, 56, 19, // Skip to: 12829
-/* 7909 */    MCD_OPC_CheckField, 19, 1, 0, 50, 19, // Skip to: 12829
-/* 7915 */    MCD_OPC_Decode, 241, 9, 137, 1, // Opcode: SPLATI_H
-/* 7920 */    MCD_OPC_FilterValue, 7, 54, 0, // Skip to: 7978
-/* 7924 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 7927 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7940
-/* 7931 */    MCD_OPC_CheckPredicate, 4, 30, 19, // Skip to: 12829
-/* 7935 */    MCD_OPC_Decode, 242, 9, 138, 1, // Opcode: SPLATI_W
-/* 7940 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 7959
-/* 7944 */    MCD_OPC_CheckPredicate, 4, 17, 19, // Skip to: 12829
-/* 7948 */    MCD_OPC_CheckField, 17, 1, 0, 11, 19, // Skip to: 12829
-/* 7954 */    MCD_OPC_Decode, 240, 9, 139, 1, // Opcode: SPLATI_D
-/* 7959 */    MCD_OPC_FilterValue, 3, 2, 19, // Skip to: 12829
-/* 7963 */    MCD_OPC_CheckPredicate, 4, 254, 18, // Skip to: 12829
-/* 7967 */    MCD_OPC_CheckField, 16, 2, 2, 248, 18, // Skip to: 12829
-/* 7973 */    MCD_OPC_Decode, 157, 2, 140, 1, // Opcode: CFCMSA
-/* 7978 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 7991
-/* 7982 */    MCD_OPC_CheckPredicate, 4, 235, 18, // Skip to: 12829
-/* 7986 */    MCD_OPC_Decode, 209, 2, 141, 1, // Opcode: COPY_S_B
-/* 7991 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 8010
-/* 7995 */    MCD_OPC_CheckPredicate, 4, 222, 18, // Skip to: 12829
-/* 7999 */    MCD_OPC_CheckField, 19, 1, 0, 216, 18, // Skip to: 12829
-/* 8005 */    MCD_OPC_Decode, 211, 2, 142, 1, // Opcode: COPY_S_H
-/* 8010 */    MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 8068
-/* 8014 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8017 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8030
-/* 8021 */    MCD_OPC_CheckPredicate, 4, 196, 18, // Skip to: 12829
-/* 8025 */    MCD_OPC_Decode, 212, 2, 143, 1, // Opcode: COPY_S_W
-/* 8030 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8049
-/* 8034 */    MCD_OPC_CheckPredicate, 6, 183, 18, // Skip to: 12829
-/* 8038 */    MCD_OPC_CheckField, 17, 1, 0, 177, 18, // Skip to: 12829
-/* 8044 */    MCD_OPC_Decode, 210, 2, 144, 1, // Opcode: COPY_S_D
-/* 8049 */    MCD_OPC_FilterValue, 3, 168, 18, // Skip to: 12829
-/* 8053 */    MCD_OPC_CheckPredicate, 4, 164, 18, // Skip to: 12829
-/* 8057 */    MCD_OPC_CheckField, 16, 2, 2, 158, 18, // Skip to: 12829
-/* 8063 */    MCD_OPC_Decode, 153, 7, 145, 1, // Opcode: MOVE_V
-/* 8068 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 8081
-/* 8072 */    MCD_OPC_CheckPredicate, 4, 145, 18, // Skip to: 12829
-/* 8076 */    MCD_OPC_Decode, 213, 2, 141, 1, // Opcode: COPY_U_B
-/* 8081 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 8100
-/* 8085 */    MCD_OPC_CheckPredicate, 4, 132, 18, // Skip to: 12829
-/* 8089 */    MCD_OPC_CheckField, 19, 1, 0, 126, 18, // Skip to: 12829
-/* 8095 */    MCD_OPC_Decode, 215, 2, 142, 1, // Opcode: COPY_U_H
-/* 8100 */    MCD_OPC_FilterValue, 15, 35, 0, // Skip to: 8139
-/* 8104 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8107 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8120
-/* 8111 */    MCD_OPC_CheckPredicate, 4, 106, 18, // Skip to: 12829
-/* 8115 */    MCD_OPC_Decode, 216, 2, 143, 1, // Opcode: COPY_U_W
-/* 8120 */    MCD_OPC_FilterValue, 2, 97, 18, // Skip to: 12829
-/* 8124 */    MCD_OPC_CheckPredicate, 6, 93, 18, // Skip to: 12829
-/* 8128 */    MCD_OPC_CheckField, 17, 1, 0, 87, 18, // Skip to: 12829
-/* 8134 */    MCD_OPC_Decode, 214, 2, 144, 1, // Opcode: COPY_U_D
-/* 8139 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8152
-/* 8143 */    MCD_OPC_CheckPredicate, 4, 74, 18, // Skip to: 12829
-/* 8147 */    MCD_OPC_Decode, 211, 5, 146, 1, // Opcode: INSERT_B
-/* 8152 */    MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 8171
-/* 8156 */    MCD_OPC_CheckPredicate, 4, 61, 18, // Skip to: 12829
-/* 8160 */    MCD_OPC_CheckField, 19, 1, 0, 55, 18, // Skip to: 12829
-/* 8166 */    MCD_OPC_Decode, 215, 5, 147, 1, // Opcode: INSERT_H
-/* 8171 */    MCD_OPC_FilterValue, 19, 35, 0, // Skip to: 8210
-/* 8175 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8178 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8191
-/* 8182 */    MCD_OPC_CheckPredicate, 4, 35, 18, // Skip to: 12829
-/* 8186 */    MCD_OPC_Decode, 216, 5, 148, 1, // Opcode: INSERT_W
-/* 8191 */    MCD_OPC_FilterValue, 2, 26, 18, // Skip to: 12829
-/* 8195 */    MCD_OPC_CheckPredicate, 6, 22, 18, // Skip to: 12829
-/* 8199 */    MCD_OPC_CheckField, 17, 1, 0, 16, 18, // Skip to: 12829
-/* 8205 */    MCD_OPC_Decode, 212, 5, 149, 1, // Opcode: INSERT_D
-/* 8210 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8223
-/* 8214 */    MCD_OPC_CheckPredicate, 4, 3, 18, // Skip to: 12829
-/* 8218 */    MCD_OPC_Decode, 218, 5, 150, 1, // Opcode: INSVE_B
-/* 8223 */    MCD_OPC_FilterValue, 22, 15, 0, // Skip to: 8242
-/* 8227 */    MCD_OPC_CheckPredicate, 4, 246, 17, // Skip to: 12829
-/* 8231 */    MCD_OPC_CheckField, 19, 1, 0, 240, 17, // Skip to: 12829
-/* 8237 */    MCD_OPC_Decode, 220, 5, 151, 1, // Opcode: INSVE_H
-/* 8242 */    MCD_OPC_FilterValue, 23, 231, 17, // Skip to: 12829
-/* 8246 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8249 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8262
-/* 8253 */    MCD_OPC_CheckPredicate, 4, 220, 17, // Skip to: 12829
-/* 8257 */    MCD_OPC_Decode, 221, 5, 152, 1, // Opcode: INSVE_W
-/* 8262 */    MCD_OPC_FilterValue, 2, 211, 17, // Skip to: 12829
-/* 8266 */    MCD_OPC_CheckPredicate, 4, 207, 17, // Skip to: 12829
-/* 8270 */    MCD_OPC_CheckField, 17, 1, 0, 201, 17, // Skip to: 12829
-/* 8276 */    MCD_OPC_Decode, 219, 5, 153, 1, // Opcode: INSVE_D
-/* 8281 */    MCD_OPC_FilterValue, 26, 131, 1, // Skip to: 8672
-/* 8285 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 8288 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8300
-/* 8292 */    MCD_OPC_CheckPredicate, 4, 181, 17, // Skip to: 12829
-/* 8296 */    MCD_OPC_Decode, 160, 4, 111, // Opcode: FCAF_W
-/* 8300 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8312
-/* 8304 */    MCD_OPC_CheckPredicate, 4, 169, 17, // Skip to: 12829
-/* 8308 */    MCD_OPC_Decode, 159, 4, 112, // Opcode: FCAF_D
-/* 8312 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8324
-/* 8316 */    MCD_OPC_CheckPredicate, 4, 157, 17, // Skip to: 12829
-/* 8320 */    MCD_OPC_Decode, 187, 4, 111, // Opcode: FCUN_W
-/* 8324 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8336
-/* 8328 */    MCD_OPC_CheckPredicate, 4, 145, 17, // Skip to: 12829
-/* 8332 */    MCD_OPC_Decode, 186, 4, 112, // Opcode: FCUN_D
-/* 8336 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8348
-/* 8340 */    MCD_OPC_CheckPredicate, 4, 133, 17, // Skip to: 12829
-/* 8344 */    MCD_OPC_Decode, 162, 4, 111, // Opcode: FCEQ_W
-/* 8348 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8360
-/* 8352 */    MCD_OPC_CheckPredicate, 4, 121, 17, // Skip to: 12829
-/* 8356 */    MCD_OPC_Decode, 161, 4, 112, // Opcode: FCEQ_D
-/* 8360 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8372
-/* 8364 */    MCD_OPC_CheckPredicate, 4, 109, 17, // Skip to: 12829
-/* 8368 */    MCD_OPC_Decode, 179, 4, 111, // Opcode: FCUEQ_W
-/* 8372 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8384
-/* 8376 */    MCD_OPC_CheckPredicate, 4, 97, 17, // Skip to: 12829
-/* 8380 */    MCD_OPC_Decode, 178, 4, 112, // Opcode: FCUEQ_D
-/* 8384 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8396
-/* 8388 */    MCD_OPC_CheckPredicate, 4, 85, 17, // Skip to: 12829
-/* 8392 */    MCD_OPC_Decode, 168, 4, 111, // Opcode: FCLT_W
-/* 8396 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8408
-/* 8400 */    MCD_OPC_CheckPredicate, 4, 73, 17, // Skip to: 12829
-/* 8404 */    MCD_OPC_Decode, 167, 4, 112, // Opcode: FCLT_D
-/* 8408 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8420
-/* 8412 */    MCD_OPC_CheckPredicate, 4, 61, 17, // Skip to: 12829
-/* 8416 */    MCD_OPC_Decode, 183, 4, 111, // Opcode: FCULT_W
-/* 8420 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8432
-/* 8424 */    MCD_OPC_CheckPredicate, 4, 49, 17, // Skip to: 12829
-/* 8428 */    MCD_OPC_Decode, 182, 4, 112, // Opcode: FCULT_D
-/* 8432 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 8444
-/* 8436 */    MCD_OPC_CheckPredicate, 4, 37, 17, // Skip to: 12829
-/* 8440 */    MCD_OPC_Decode, 166, 4, 111, // Opcode: FCLE_W
-/* 8444 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 8456
-/* 8448 */    MCD_OPC_CheckPredicate, 4, 25, 17, // Skip to: 12829
-/* 8452 */    MCD_OPC_Decode, 165, 4, 112, // Opcode: FCLE_D
-/* 8456 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8468
-/* 8460 */    MCD_OPC_CheckPredicate, 4, 13, 17, // Skip to: 12829
-/* 8464 */    MCD_OPC_Decode, 181, 4, 111, // Opcode: FCULE_W
-/* 8468 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8480
-/* 8472 */    MCD_OPC_CheckPredicate, 4, 1, 17, // Skip to: 12829
-/* 8476 */    MCD_OPC_Decode, 180, 4, 112, // Opcode: FCULE_D
-/* 8480 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 8492
-/* 8484 */    MCD_OPC_CheckPredicate, 4, 245, 16, // Skip to: 12829
-/* 8488 */    MCD_OPC_Decode, 136, 5, 111, // Opcode: FSAF_W
-/* 8492 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 8504
-/* 8496 */    MCD_OPC_CheckPredicate, 4, 233, 16, // Skip to: 12829
-/* 8500 */    MCD_OPC_Decode, 135, 5, 112, // Opcode: FSAF_D
-/* 8504 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 8516
-/* 8508 */    MCD_OPC_CheckPredicate, 4, 221, 16, // Skip to: 12829
-/* 8512 */    MCD_OPC_Decode, 170, 5, 111, // Opcode: FSUN_W
-/* 8516 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 8528
-/* 8520 */    MCD_OPC_CheckPredicate, 4, 209, 16, // Skip to: 12829
-/* 8524 */    MCD_OPC_Decode, 169, 5, 112, // Opcode: FSUN_D
-/* 8528 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 8540
-/* 8532 */    MCD_OPC_CheckPredicate, 4, 197, 16, // Skip to: 12829
-/* 8536 */    MCD_OPC_Decode, 138, 5, 111, // Opcode: FSEQ_W
-/* 8540 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 8552
-/* 8544 */    MCD_OPC_CheckPredicate, 4, 185, 16, // Skip to: 12829
-/* 8548 */    MCD_OPC_Decode, 137, 5, 112, // Opcode: FSEQ_D
-/* 8552 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 8564
-/* 8556 */    MCD_OPC_CheckPredicate, 4, 173, 16, // Skip to: 12829
-/* 8560 */    MCD_OPC_Decode, 162, 5, 111, // Opcode: FSUEQ_W
-/* 8564 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8576
-/* 8568 */    MCD_OPC_CheckPredicate, 4, 161, 16, // Skip to: 12829
-/* 8572 */    MCD_OPC_Decode, 161, 5, 112, // Opcode: FSUEQ_D
-/* 8576 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 8588
-/* 8580 */    MCD_OPC_CheckPredicate, 4, 149, 16, // Skip to: 12829
-/* 8584 */    MCD_OPC_Decode, 142, 5, 111, // Opcode: FSLT_W
-/* 8588 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8600
-/* 8592 */    MCD_OPC_CheckPredicate, 4, 137, 16, // Skip to: 12829
-/* 8596 */    MCD_OPC_Decode, 141, 5, 112, // Opcode: FSLT_D
-/* 8600 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8612
-/* 8604 */    MCD_OPC_CheckPredicate, 4, 125, 16, // Skip to: 12829
-/* 8608 */    MCD_OPC_Decode, 166, 5, 111, // Opcode: FSULT_W
-/* 8612 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8624
-/* 8616 */    MCD_OPC_CheckPredicate, 4, 113, 16, // Skip to: 12829
-/* 8620 */    MCD_OPC_Decode, 165, 5, 112, // Opcode: FSULT_D
-/* 8624 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 8636
-/* 8628 */    MCD_OPC_CheckPredicate, 4, 101, 16, // Skip to: 12829
-/* 8632 */    MCD_OPC_Decode, 140, 5, 111, // Opcode: FSLE_W
-/* 8636 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8648
-/* 8640 */    MCD_OPC_CheckPredicate, 4, 89, 16, // Skip to: 12829
-/* 8644 */    MCD_OPC_Decode, 139, 5, 112, // Opcode: FSLE_D
-/* 8648 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8660
-/* 8652 */    MCD_OPC_CheckPredicate, 4, 77, 16, // Skip to: 12829
-/* 8656 */    MCD_OPC_Decode, 164, 5, 111, // Opcode: FSULE_W
-/* 8660 */    MCD_OPC_FilterValue, 31, 69, 16, // Skip to: 12829
-/* 8664 */    MCD_OPC_CheckPredicate, 4, 65, 16, // Skip to: 12829
-/* 8668 */    MCD_OPC_Decode, 163, 5, 112, // Opcode: FSULE_D
-/* 8672 */    MCD_OPC_FilterValue, 27, 63, 1, // Skip to: 8995
-/* 8676 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 8679 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8691
-/* 8683 */    MCD_OPC_CheckPredicate, 4, 46, 16, // Skip to: 12829
-/* 8687 */    MCD_OPC_Decode, 158, 4, 111, // Opcode: FADD_W
-/* 8691 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8703
-/* 8695 */    MCD_OPC_CheckPredicate, 4, 34, 16, // Skip to: 12829
-/* 8699 */    MCD_OPC_Decode, 152, 4, 112, // Opcode: FADD_D
-/* 8703 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8715
-/* 8707 */    MCD_OPC_CheckPredicate, 4, 22, 16, // Skip to: 12829
-/* 8711 */    MCD_OPC_Decode, 160, 5, 111, // Opcode: FSUB_W
-/* 8715 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8727
-/* 8719 */    MCD_OPC_CheckPredicate, 4, 10, 16, // Skip to: 12829
-/* 8723 */    MCD_OPC_Decode, 154, 5, 112, // Opcode: FSUB_D
-/* 8727 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8739
-/* 8731 */    MCD_OPC_CheckPredicate, 4, 254, 15, // Skip to: 12829
-/* 8735 */    MCD_OPC_Decode, 251, 4, 111, // Opcode: FMUL_W
-/* 8739 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8751
-/* 8743 */    MCD_OPC_CheckPredicate, 4, 242, 15, // Skip to: 12829
-/* 8747 */    MCD_OPC_Decode, 245, 4, 112, // Opcode: FMUL_D
-/* 8751 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8763
-/* 8755 */    MCD_OPC_CheckPredicate, 4, 230, 15, // Skip to: 12829
-/* 8759 */    MCD_OPC_Decode, 194, 4, 111, // Opcode: FDIV_W
-/* 8763 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8775
-/* 8767 */    MCD_OPC_CheckPredicate, 4, 218, 15, // Skip to: 12829
-/* 8771 */    MCD_OPC_Decode, 188, 4, 112, // Opcode: FDIV_D
-/* 8775 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8787
-/* 8779 */    MCD_OPC_CheckPredicate, 4, 206, 15, // Skip to: 12829
-/* 8783 */    MCD_OPC_Decode, 229, 4, 115, // Opcode: FMADD_W
-/* 8787 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8799
-/* 8791 */    MCD_OPC_CheckPredicate, 4, 194, 15, // Skip to: 12829
-/* 8795 */    MCD_OPC_Decode, 228, 4, 116, // Opcode: FMADD_D
-/* 8799 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8811
-/* 8803 */    MCD_OPC_CheckPredicate, 4, 182, 15, // Skip to: 12829
-/* 8807 */    MCD_OPC_Decode, 244, 4, 115, // Opcode: FMSUB_W
-/* 8811 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8823
-/* 8815 */    MCD_OPC_CheckPredicate, 4, 170, 15, // Skip to: 12829
-/* 8819 */    MCD_OPC_Decode, 243, 4, 116, // Opcode: FMSUB_D
-/* 8823 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8835
-/* 8827 */    MCD_OPC_CheckPredicate, 4, 158, 15, // Skip to: 12829
-/* 8831 */    MCD_OPC_Decode, 199, 4, 111, // Opcode: FEXP2_W
-/* 8835 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8847
-/* 8839 */    MCD_OPC_CheckPredicate, 4, 146, 15, // Skip to: 12829
-/* 8843 */    MCD_OPC_Decode, 197, 4, 112, // Opcode: FEXP2_D
-/* 8847 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8860
-/* 8851 */    MCD_OPC_CheckPredicate, 4, 134, 15, // Skip to: 12829
-/* 8855 */    MCD_OPC_Decode, 195, 4, 154, 1, // Opcode: FEXDO_H
-/* 8860 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 8873
-/* 8864 */    MCD_OPC_CheckPredicate, 4, 121, 15, // Skip to: 12829
-/* 8868 */    MCD_OPC_Decode, 196, 4, 155, 1, // Opcode: FEXDO_W
-/* 8873 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8886
-/* 8877 */    MCD_OPC_CheckPredicate, 4, 108, 15, // Skip to: 12829
-/* 8881 */    MCD_OPC_Decode, 175, 5, 154, 1, // Opcode: FTQ_H
-/* 8886 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 8899
-/* 8890 */    MCD_OPC_CheckPredicate, 4, 95, 15, // Skip to: 12829
-/* 8894 */    MCD_OPC_Decode, 176, 5, 155, 1, // Opcode: FTQ_W
-/* 8899 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 8911
-/* 8903 */    MCD_OPC_CheckPredicate, 4, 82, 15, // Skip to: 12829
-/* 8907 */    MCD_OPC_Decode, 237, 4, 111, // Opcode: FMIN_W
-/* 8911 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8923
-/* 8915 */    MCD_OPC_CheckPredicate, 4, 70, 15, // Skip to: 12829
-/* 8919 */    MCD_OPC_Decode, 236, 4, 112, // Opcode: FMIN_D
-/* 8923 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8935
-/* 8927 */    MCD_OPC_CheckPredicate, 4, 58, 15, // Skip to: 12829
-/* 8931 */    MCD_OPC_Decode, 235, 4, 111, // Opcode: FMIN_A_W
-/* 8935 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8947
-/* 8939 */    MCD_OPC_CheckPredicate, 4, 46, 15, // Skip to: 12829
-/* 8943 */    MCD_OPC_Decode, 234, 4, 112, // Opcode: FMIN_A_D
-/* 8947 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 8959
-/* 8951 */    MCD_OPC_CheckPredicate, 4, 34, 15, // Skip to: 12829
-/* 8955 */    MCD_OPC_Decode, 233, 4, 111, // Opcode: FMAX_W
-/* 8959 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8971
-/* 8963 */    MCD_OPC_CheckPredicate, 4, 22, 15, // Skip to: 12829
-/* 8967 */    MCD_OPC_Decode, 232, 4, 112, // Opcode: FMAX_D
-/* 8971 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8983
-/* 8975 */    MCD_OPC_CheckPredicate, 4, 10, 15, // Skip to: 12829
-/* 8979 */    MCD_OPC_Decode, 231, 4, 111, // Opcode: FMAX_A_W
-/* 8983 */    MCD_OPC_FilterValue, 31, 2, 15, // Skip to: 12829
-/* 8987 */    MCD_OPC_CheckPredicate, 4, 254, 14, // Skip to: 12829
-/* 8991 */    MCD_OPC_Decode, 230, 4, 112, // Opcode: FMAX_A_D
-/* 8995 */    MCD_OPC_FilterValue, 28, 35, 1, // Skip to: 9290
-/* 8999 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 9002 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9014
-/* 9006 */    MCD_OPC_CheckPredicate, 4, 235, 14, // Skip to: 12829
-/* 9010 */    MCD_OPC_Decode, 177, 4, 111, // Opcode: FCOR_W
-/* 9014 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9026
-/* 9018 */    MCD_OPC_CheckPredicate, 4, 223, 14, // Skip to: 12829
-/* 9022 */    MCD_OPC_Decode, 176, 4, 112, // Opcode: FCOR_D
-/* 9026 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9038
-/* 9030 */    MCD_OPC_CheckPredicate, 4, 211, 14, // Skip to: 12829
-/* 9034 */    MCD_OPC_Decode, 185, 4, 111, // Opcode: FCUNE_W
-/* 9038 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9050
-/* 9042 */    MCD_OPC_CheckPredicate, 4, 199, 14, // Skip to: 12829
-/* 9046 */    MCD_OPC_Decode, 184, 4, 112, // Opcode: FCUNE_D
-/* 9050 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9062
-/* 9054 */    MCD_OPC_CheckPredicate, 4, 187, 14, // Skip to: 12829
-/* 9058 */    MCD_OPC_Decode, 175, 4, 111, // Opcode: FCNE_W
-/* 9062 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9074
-/* 9066 */    MCD_OPC_CheckPredicate, 4, 175, 14, // Skip to: 12829
-/* 9070 */    MCD_OPC_Decode, 174, 4, 112, // Opcode: FCNE_D
-/* 9074 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9086
-/* 9078 */    MCD_OPC_CheckPredicate, 4, 163, 14, // Skip to: 12829
-/* 9082 */    MCD_OPC_Decode, 253, 7, 110, // Opcode: MUL_Q_H
-/* 9086 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9098
-/* 9090 */    MCD_OPC_CheckPredicate, 4, 151, 14, // Skip to: 12829
-/* 9094 */    MCD_OPC_Decode, 254, 7, 111, // Opcode: MUL_Q_W
-/* 9098 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9110
-/* 9102 */    MCD_OPC_CheckPredicate, 4, 139, 14, // Skip to: 12829
-/* 9106 */    MCD_OPC_Decode, 208, 6, 114, // Opcode: MADD_Q_H
-/* 9110 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9122
-/* 9114 */    MCD_OPC_CheckPredicate, 4, 127, 14, // Skip to: 12829
-/* 9118 */    MCD_OPC_Decode, 209, 6, 115, // Opcode: MADD_Q_W
-/* 9122 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 9134
-/* 9126 */    MCD_OPC_CheckPredicate, 4, 115, 14, // Skip to: 12829
-/* 9130 */    MCD_OPC_Decode, 209, 7, 114, // Opcode: MSUB_Q_H
-/* 9134 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 9146
-/* 9138 */    MCD_OPC_CheckPredicate, 4, 103, 14, // Skip to: 12829
-/* 9142 */    MCD_OPC_Decode, 210, 7, 115, // Opcode: MSUB_Q_W
-/* 9146 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 9158
-/* 9150 */    MCD_OPC_CheckPredicate, 4, 91, 14, // Skip to: 12829
-/* 9154 */    MCD_OPC_Decode, 146, 5, 111, // Opcode: FSOR_W
-/* 9158 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 9170
-/* 9162 */    MCD_OPC_CheckPredicate, 4, 79, 14, // Skip to: 12829
-/* 9166 */    MCD_OPC_Decode, 145, 5, 112, // Opcode: FSOR_D
-/* 9170 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 9182
-/* 9174 */    MCD_OPC_CheckPredicate, 4, 67, 14, // Skip to: 12829
-/* 9178 */    MCD_OPC_Decode, 168, 5, 111, // Opcode: FSUNE_W
-/* 9182 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 9194
-/* 9186 */    MCD_OPC_CheckPredicate, 4, 55, 14, // Skip to: 12829
-/* 9190 */    MCD_OPC_Decode, 167, 5, 112, // Opcode: FSUNE_D
-/* 9194 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 9206
-/* 9198 */    MCD_OPC_CheckPredicate, 4, 43, 14, // Skip to: 12829
-/* 9202 */    MCD_OPC_Decode, 144, 5, 111, // Opcode: FSNE_W
-/* 9206 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 9218
-/* 9210 */    MCD_OPC_CheckPredicate, 4, 31, 14, // Skip to: 12829
-/* 9214 */    MCD_OPC_Decode, 143, 5, 112, // Opcode: FSNE_D
-/* 9218 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9230
-/* 9222 */    MCD_OPC_CheckPredicate, 4, 19, 14, // Skip to: 12829
-/* 9226 */    MCD_OPC_Decode, 237, 7, 110, // Opcode: MULR_Q_H
-/* 9230 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9242
-/* 9234 */    MCD_OPC_CheckPredicate, 4, 7, 14, // Skip to: 12829
-/* 9238 */    MCD_OPC_Decode, 238, 7, 111, // Opcode: MULR_Q_W
-/* 9242 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9254
-/* 9246 */    MCD_OPC_CheckPredicate, 4, 251, 13, // Skip to: 12829
-/* 9250 */    MCD_OPC_Decode, 194, 6, 114, // Opcode: MADDR_Q_H
-/* 9254 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9266
-/* 9258 */    MCD_OPC_CheckPredicate, 4, 239, 13, // Skip to: 12829
-/* 9262 */    MCD_OPC_Decode, 195, 6, 115, // Opcode: MADDR_Q_W
-/* 9266 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9278
-/* 9270 */    MCD_OPC_CheckPredicate, 4, 227, 13, // Skip to: 12829
-/* 9274 */    MCD_OPC_Decode, 195, 7, 114, // Opcode: MSUBR_Q_H
-/* 9278 */    MCD_OPC_FilterValue, 29, 219, 13, // Skip to: 12829
-/* 9282 */    MCD_OPC_CheckPredicate, 4, 215, 13, // Skip to: 12829
-/* 9286 */    MCD_OPC_Decode, 196, 7, 115, // Opcode: MSUBR_Q_W
-/* 9290 */    MCD_OPC_FilterValue, 30, 212, 2, // Skip to: 10018
-/* 9294 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 9297 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 9308
-/* 9301 */    MCD_OPC_CheckPredicate, 4, 196, 13, // Skip to: 12829
-/* 9305 */    MCD_OPC_Decode, 75, 109, // Opcode: AND_V
-/* 9308 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9320
-/* 9312 */    MCD_OPC_CheckPredicate, 4, 185, 13, // Skip to: 12829
-/* 9316 */    MCD_OPC_Decode, 169, 8, 109, // Opcode: OR_V
-/* 9320 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9332
-/* 9324 */    MCD_OPC_CheckPredicate, 4, 173, 13, // Skip to: 12829
-/* 9328 */    MCD_OPC_Decode, 159, 8, 109, // Opcode: NOR_V
-/* 9332 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9344
-/* 9336 */    MCD_OPC_CheckPredicate, 4, 161, 13, // Skip to: 12829
-/* 9340 */    MCD_OPC_Decode, 196, 11, 109, // Opcode: XOR_V
-/* 9344 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9356
-/* 9348 */    MCD_OPC_CheckPredicate, 4, 149, 13, // Skip to: 12829
-/* 9352 */    MCD_OPC_Decode, 200, 1, 113, // Opcode: BMNZ_V
-/* 9356 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9368
-/* 9360 */    MCD_OPC_CheckPredicate, 4, 137, 13, // Skip to: 12829
-/* 9364 */    MCD_OPC_Decode, 202, 1, 113, // Opcode: BMZ_V
-/* 9368 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9380
-/* 9372 */    MCD_OPC_CheckPredicate, 4, 125, 13, // Skip to: 12829
-/* 9376 */    MCD_OPC_Decode, 228, 1, 113, // Opcode: BSEL_V
-/* 9380 */    MCD_OPC_FilterValue, 24, 211, 0, // Skip to: 9595
-/* 9384 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 9387 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9400
-/* 9391 */    MCD_OPC_CheckPredicate, 4, 106, 13, // Skip to: 12829
-/* 9395 */    MCD_OPC_Decode, 213, 4, 156, 1, // Opcode: FILL_B
-/* 9400 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9413
-/* 9404 */    MCD_OPC_CheckPredicate, 4, 93, 13, // Skip to: 12829
-/* 9408 */    MCD_OPC_Decode, 217, 4, 157, 1, // Opcode: FILL_H
-/* 9413 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9426
-/* 9417 */    MCD_OPC_CheckPredicate, 4, 80, 13, // Skip to: 12829
-/* 9421 */    MCD_OPC_Decode, 218, 4, 158, 1, // Opcode: FILL_W
-/* 9426 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9439
-/* 9430 */    MCD_OPC_CheckPredicate, 6, 67, 13, // Skip to: 12829
-/* 9434 */    MCD_OPC_Decode, 214, 4, 159, 1, // Opcode: FILL_D
-/* 9439 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9452
-/* 9443 */    MCD_OPC_CheckPredicate, 4, 54, 13, // Skip to: 12829
-/* 9447 */    MCD_OPC_Decode, 186, 8, 145, 1, // Opcode: PCNT_B
-/* 9452 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9465
-/* 9456 */    MCD_OPC_CheckPredicate, 4, 41, 13, // Skip to: 12829
-/* 9460 */    MCD_OPC_Decode, 188, 8, 160, 1, // Opcode: PCNT_H
-/* 9465 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9478
-/* 9469 */    MCD_OPC_CheckPredicate, 4, 28, 13, // Skip to: 12829
-/* 9473 */    MCD_OPC_Decode, 189, 8, 161, 1, // Opcode: PCNT_W
-/* 9478 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9491
-/* 9482 */    MCD_OPC_CheckPredicate, 4, 15, 13, // Skip to: 12829
-/* 9486 */    MCD_OPC_Decode, 187, 8, 162, 1, // Opcode: PCNT_D
-/* 9491 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 9504
-/* 9495 */    MCD_OPC_CheckPredicate, 4, 2, 13, // Skip to: 12829
-/* 9499 */    MCD_OPC_Decode, 136, 8, 145, 1, // Opcode: NLOC_B
-/* 9504 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 9517
-/* 9508 */    MCD_OPC_CheckPredicate, 4, 245, 12, // Skip to: 12829
-/* 9512 */    MCD_OPC_Decode, 138, 8, 160, 1, // Opcode: NLOC_H
-/* 9517 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 9530
-/* 9521 */    MCD_OPC_CheckPredicate, 4, 232, 12, // Skip to: 12829
-/* 9525 */    MCD_OPC_Decode, 139, 8, 161, 1, // Opcode: NLOC_W
-/* 9530 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 9543
-/* 9534 */    MCD_OPC_CheckPredicate, 4, 219, 12, // Skip to: 12829
-/* 9538 */    MCD_OPC_Decode, 137, 8, 162, 1, // Opcode: NLOC_D
-/* 9543 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 9556
-/* 9547 */    MCD_OPC_CheckPredicate, 4, 206, 12, // Skip to: 12829
-/* 9551 */    MCD_OPC_Decode, 140, 8, 145, 1, // Opcode: NLZC_B
-/* 9556 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 9569
-/* 9560 */    MCD_OPC_CheckPredicate, 4, 193, 12, // Skip to: 12829
-/* 9564 */    MCD_OPC_Decode, 142, 8, 160, 1, // Opcode: NLZC_H
-/* 9569 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 9582
-/* 9573 */    MCD_OPC_CheckPredicate, 4, 180, 12, // Skip to: 12829
-/* 9577 */    MCD_OPC_Decode, 143, 8, 161, 1, // Opcode: NLZC_W
-/* 9582 */    MCD_OPC_FilterValue, 15, 171, 12, // Skip to: 12829
-/* 9586 */    MCD_OPC_CheckPredicate, 4, 167, 12, // Skip to: 12829
-/* 9590 */    MCD_OPC_Decode, 141, 8, 162, 1, // Opcode: NLZC_D
-/* 9595 */    MCD_OPC_FilterValue, 25, 158, 12, // Skip to: 12829
-/* 9599 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 9602 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9615
-/* 9606 */    MCD_OPC_CheckPredicate, 4, 147, 12, // Skip to: 12829
-/* 9610 */    MCD_OPC_Decode, 164, 4, 161, 1, // Opcode: FCLASS_W
-/* 9615 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9628
-/* 9619 */    MCD_OPC_CheckPredicate, 4, 134, 12, // Skip to: 12829
-/* 9623 */    MCD_OPC_Decode, 163, 4, 162, 1, // Opcode: FCLASS_D
-/* 9628 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9641
-/* 9632 */    MCD_OPC_CheckPredicate, 4, 121, 12, // Skip to: 12829
-/* 9636 */    MCD_OPC_Decode, 178, 5, 161, 1, // Opcode: FTRUNC_S_W
-/* 9641 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9654
-/* 9645 */    MCD_OPC_CheckPredicate, 4, 108, 12, // Skip to: 12829
-/* 9649 */    MCD_OPC_Decode, 177, 5, 162, 1, // Opcode: FTRUNC_S_D
-/* 9654 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9667
-/* 9658 */    MCD_OPC_CheckPredicate, 4, 95, 12, // Skip to: 12829
-/* 9662 */    MCD_OPC_Decode, 180, 5, 161, 1, // Opcode: FTRUNC_U_W
-/* 9667 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9680
-/* 9671 */    MCD_OPC_CheckPredicate, 4, 82, 12, // Skip to: 12829
-/* 9675 */    MCD_OPC_Decode, 179, 5, 162, 1, // Opcode: FTRUNC_U_D
-/* 9680 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9693
-/* 9684 */    MCD_OPC_CheckPredicate, 4, 69, 12, // Skip to: 12829
-/* 9688 */    MCD_OPC_Decode, 153, 5, 161, 1, // Opcode: FSQRT_W
-/* 9693 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9706
-/* 9697 */    MCD_OPC_CheckPredicate, 4, 56, 12, // Skip to: 12829
-/* 9701 */    MCD_OPC_Decode, 147, 5, 162, 1, // Opcode: FSQRT_D
-/* 9706 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 9719
-/* 9710 */    MCD_OPC_CheckPredicate, 4, 43, 12, // Skip to: 12829
-/* 9714 */    MCD_OPC_Decode, 134, 5, 161, 1, // Opcode: FRSQRT_W
-/* 9719 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 9732
-/* 9723 */    MCD_OPC_CheckPredicate, 4, 30, 12, // Skip to: 12829
-/* 9727 */    MCD_OPC_Decode, 133, 5, 162, 1, // Opcode: FRSQRT_D
-/* 9732 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 9745
-/* 9736 */    MCD_OPC_CheckPredicate, 4, 17, 12, // Skip to: 12829
-/* 9740 */    MCD_OPC_Decode, 130, 5, 161, 1, // Opcode: FRCP_W
-/* 9745 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 9758
-/* 9749 */    MCD_OPC_CheckPredicate, 4, 4, 12, // Skip to: 12829
-/* 9753 */    MCD_OPC_Decode, 129, 5, 162, 1, // Opcode: FRCP_D
-/* 9758 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 9771
-/* 9762 */    MCD_OPC_CheckPredicate, 4, 247, 11, // Skip to: 12829
-/* 9766 */    MCD_OPC_Decode, 132, 5, 161, 1, // Opcode: FRINT_W
-/* 9771 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 9784
-/* 9775 */    MCD_OPC_CheckPredicate, 4, 234, 11, // Skip to: 12829
-/* 9779 */    MCD_OPC_Decode, 131, 5, 162, 1, // Opcode: FRINT_D
-/* 9784 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 9797
-/* 9788 */    MCD_OPC_CheckPredicate, 4, 221, 11, // Skip to: 12829
-/* 9792 */    MCD_OPC_Decode, 220, 4, 161, 1, // Opcode: FLOG2_W
-/* 9797 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 9810
-/* 9801 */    MCD_OPC_CheckPredicate, 4, 208, 11, // Skip to: 12829
-/* 9805 */    MCD_OPC_Decode, 219, 4, 162, 1, // Opcode: FLOG2_D
-/* 9810 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 9823
-/* 9814 */    MCD_OPC_CheckPredicate, 4, 195, 11, // Skip to: 12829
-/* 9818 */    MCD_OPC_Decode, 202, 4, 163, 1, // Opcode: FEXUPL_W
-/* 9823 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 9836
-/* 9827 */    MCD_OPC_CheckPredicate, 4, 182, 11, // Skip to: 12829
-/* 9831 */    MCD_OPC_Decode, 201, 4, 164, 1, // Opcode: FEXUPL_D
-/* 9836 */    MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 9849
-/* 9840 */    MCD_OPC_CheckPredicate, 4, 169, 11, // Skip to: 12829
-/* 9844 */    MCD_OPC_Decode, 204, 4, 163, 1, // Opcode: FEXUPR_W
-/* 9849 */    MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 9862
-/* 9853 */    MCD_OPC_CheckPredicate, 4, 156, 11, // Skip to: 12829
-/* 9857 */    MCD_OPC_Decode, 203, 4, 164, 1, // Opcode: FEXUPR_D
-/* 9862 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 9875
-/* 9866 */    MCD_OPC_CheckPredicate, 4, 143, 11, // Skip to: 12829
-/* 9870 */    MCD_OPC_Decode, 210, 4, 163, 1, // Opcode: FFQL_W
-/* 9875 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 9888
-/* 9879 */    MCD_OPC_CheckPredicate, 4, 130, 11, // Skip to: 12829
-/* 9883 */    MCD_OPC_Decode, 209, 4, 164, 1, // Opcode: FFQL_D
-/* 9888 */    MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 9901
-/* 9892 */    MCD_OPC_CheckPredicate, 4, 117, 11, // Skip to: 12829
-/* 9896 */    MCD_OPC_Decode, 212, 4, 163, 1, // Opcode: FFQR_W
-/* 9901 */    MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 9914
-/* 9905 */    MCD_OPC_CheckPredicate, 4, 104, 11, // Skip to: 12829
-/* 9909 */    MCD_OPC_Decode, 211, 4, 164, 1, // Opcode: FFQR_D
-/* 9914 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 9927
-/* 9918 */    MCD_OPC_CheckPredicate, 4, 91, 11, // Skip to: 12829
-/* 9922 */    MCD_OPC_Decode, 172, 5, 161, 1, // Opcode: FTINT_S_W
-/* 9927 */    MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 9940
-/* 9931 */    MCD_OPC_CheckPredicate, 4, 78, 11, // Skip to: 12829
-/* 9935 */    MCD_OPC_Decode, 171, 5, 162, 1, // Opcode: FTINT_S_D
-/* 9940 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 9953
-/* 9944 */    MCD_OPC_CheckPredicate, 4, 65, 11, // Skip to: 12829
-/* 9948 */    MCD_OPC_Decode, 174, 5, 161, 1, // Opcode: FTINT_U_W
-/* 9953 */    MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 9966
-/* 9957 */    MCD_OPC_CheckPredicate, 4, 52, 11, // Skip to: 12829
-/* 9961 */    MCD_OPC_Decode, 173, 5, 162, 1, // Opcode: FTINT_U_D
-/* 9966 */    MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 9979
-/* 9970 */    MCD_OPC_CheckPredicate, 4, 39, 11, // Skip to: 12829
-/* 9974 */    MCD_OPC_Decode, 206, 4, 161, 1, // Opcode: FFINT_S_W
-/* 9979 */    MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 9992
-/* 9983 */    MCD_OPC_CheckPredicate, 4, 26, 11, // Skip to: 12829
-/* 9987 */    MCD_OPC_Decode, 205, 4, 162, 1, // Opcode: FFINT_S_D
-/* 9992 */    MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10005
-/* 9996 */    MCD_OPC_CheckPredicate, 4, 13, 11, // Skip to: 12829
-/* 10000 */   MCD_OPC_Decode, 208, 4, 161, 1, // Opcode: FFINT_U_W
-/* 10005 */   MCD_OPC_FilterValue, 31, 4, 11, // Skip to: 12829
-/* 10009 */   MCD_OPC_CheckPredicate, 4, 0, 11, // Skip to: 12829
-/* 10013 */   MCD_OPC_Decode, 207, 4, 162, 1, // Opcode: FFINT_U_D
-/* 10018 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 10031
-/* 10022 */   MCD_OPC_CheckPredicate, 4, 243, 10, // Skip to: 12829
-/* 10026 */   MCD_OPC_Decode, 133, 6, 165, 1, // Opcode: LD_B
-/* 10031 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 10044
-/* 10035 */   MCD_OPC_CheckPredicate, 4, 230, 10, // Skip to: 12829
-/* 10039 */   MCD_OPC_Decode, 135, 6, 165, 1, // Opcode: LD_H
-/* 10044 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 10057
-/* 10048 */   MCD_OPC_CheckPredicate, 4, 217, 10, // Skip to: 12829
-/* 10052 */   MCD_OPC_Decode, 136, 6, 165, 1, // Opcode: LD_W
-/* 10057 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 10070
-/* 10061 */   MCD_OPC_CheckPredicate, 4, 204, 10, // Skip to: 12829
-/* 10065 */   MCD_OPC_Decode, 134, 6, 165, 1, // Opcode: LD_D
-/* 10070 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 10083
-/* 10074 */   MCD_OPC_CheckPredicate, 4, 191, 10, // Skip to: 12829
-/* 10078 */   MCD_OPC_Decode, 163, 10, 165, 1, // Opcode: ST_B
-/* 10083 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 10096
-/* 10087 */   MCD_OPC_CheckPredicate, 4, 178, 10, // Skip to: 12829
-/* 10091 */   MCD_OPC_Decode, 165, 10, 165, 1, // Opcode: ST_H
-/* 10096 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 10109
-/* 10100 */   MCD_OPC_CheckPredicate, 4, 165, 10, // Skip to: 12829
-/* 10104 */   MCD_OPC_Decode, 166, 10, 165, 1, // Opcode: ST_W
-/* 10109 */   MCD_OPC_FilterValue, 39, 156, 10, // Skip to: 12829
-/* 10113 */   MCD_OPC_CheckPredicate, 4, 152, 10, // Skip to: 12829
-/* 10117 */   MCD_OPC_Decode, 164, 10, 165, 1, // Opcode: ST_D
-/* 10122 */   MCD_OPC_FilterValue, 31, 113, 9, // Skip to: 12543
-/* 10126 */   MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 10129 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10142
-/* 10133 */   MCD_OPC_CheckPredicate, 3, 132, 10, // Skip to: 12829
-/* 10137 */   MCD_OPC_Decode, 129, 4, 166, 1, // Opcode: EXT
-/* 10142 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10155
-/* 10146 */   MCD_OPC_CheckPredicate, 3, 119, 10, // Skip to: 12829
-/* 10150 */   MCD_OPC_Decode, 210, 5, 167, 1, // Opcode: INS
-/* 10155 */   MCD_OPC_FilterValue, 10, 42, 0, // Skip to: 10201
-/* 10159 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10162 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10175
-/* 10166 */   MCD_OPC_CheckPredicate, 5, 99, 10, // Skip to: 12829
-/* 10170 */   MCD_OPC_Decode, 173, 6, 168, 1, // Opcode: LWX
-/* 10175 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10188
-/* 10179 */   MCD_OPC_CheckPredicate, 5, 86, 10, // Skip to: 12829
-/* 10183 */   MCD_OPC_Decode, 142, 6, 168, 1, // Opcode: LHX
-/* 10188 */   MCD_OPC_FilterValue, 6, 77, 10, // Skip to: 12829
-/* 10192 */   MCD_OPC_CheckPredicate, 5, 73, 10, // Skip to: 12829
-/* 10196 */   MCD_OPC_Decode, 243, 5, 168, 1, // Opcode: LBUX
-/* 10201 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 10220
-/* 10205 */   MCD_OPC_CheckPredicate, 5, 60, 10, // Skip to: 12829
-/* 10209 */   MCD_OPC_CheckField, 6, 10, 0, 54, 10, // Skip to: 12829
-/* 10215 */   MCD_OPC_Decode, 217, 5, 169, 1, // Opcode: INSV
-/* 10220 */   MCD_OPC_FilterValue, 16, 51, 1, // Skip to: 10531
-/* 10224 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10227 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10239
-/* 10231 */   MCD_OPC_CheckPredicate, 5, 34, 10, // Skip to: 12829
-/* 10235 */   MCD_OPC_Decode, 46, 170, 1, // Opcode: ADDU_QB
-/* 10239 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10252
-/* 10243 */   MCD_OPC_CheckPredicate, 5, 22, 10, // Skip to: 12829
-/* 10247 */   MCD_OPC_Decode, 194, 10, 170, 1, // Opcode: SUBU_QB
-/* 10252 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 10264
-/* 10256 */   MCD_OPC_CheckPredicate, 5, 9, 10, // Skip to: 12829
-/* 10260 */   MCD_OPC_Decode, 48, 170, 1, // Opcode: ADDU_S_QB
-/* 10264 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10277
-/* 10268 */   MCD_OPC_CheckPredicate, 5, 253, 9, // Skip to: 12829
-/* 10272 */   MCD_OPC_Decode, 196, 10, 170, 1, // Opcode: SUBU_S_QB
-/* 10277 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10290
-/* 10281 */   MCD_OPC_CheckPredicate, 5, 240, 9, // Skip to: 12829
-/* 10285 */   MCD_OPC_Decode, 231, 7, 170, 1, // Opcode: MULEU_S_PH_QBL
-/* 10290 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 10303
-/* 10294 */   MCD_OPC_CheckPredicate, 5, 227, 9, // Skip to: 12829
-/* 10298 */   MCD_OPC_Decode, 232, 7, 170, 1, // Opcode: MULEU_S_PH_QBR
-/* 10303 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 10315
-/* 10307 */   MCD_OPC_CheckPredicate, 15, 214, 9, // Skip to: 12829
-/* 10311 */   MCD_OPC_Decode, 45, 170, 1, // Opcode: ADDU_PH
-/* 10315 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10328
-/* 10319 */   MCD_OPC_CheckPredicate, 15, 202, 9, // Skip to: 12829
-/* 10323 */   MCD_OPC_Decode, 193, 10, 170, 1, // Opcode: SUBU_PH
-/* 10328 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 10340
-/* 10332 */   MCD_OPC_CheckPredicate, 5, 189, 9, // Skip to: 12829
-/* 10336 */   MCD_OPC_Decode, 27, 170, 1, // Opcode: ADDQ_PH
-/* 10340 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10353
-/* 10344 */   MCD_OPC_CheckPredicate, 5, 177, 9, // Skip to: 12829
-/* 10348 */   MCD_OPC_Decode, 172, 10, 170, 1, // Opcode: SUBQ_PH
-/* 10353 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 10365
-/* 10357 */   MCD_OPC_CheckPredicate, 15, 164, 9, // Skip to: 12829
-/* 10361 */   MCD_OPC_Decode, 47, 170, 1, // Opcode: ADDU_S_PH
-/* 10365 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10378
-/* 10369 */   MCD_OPC_CheckPredicate, 15, 152, 9, // Skip to: 12829
-/* 10373 */   MCD_OPC_Decode, 195, 10, 170, 1, // Opcode: SUBU_S_PH
-/* 10378 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 10390
-/* 10382 */   MCD_OPC_CheckPredicate, 5, 139, 9, // Skip to: 12829
-/* 10386 */   MCD_OPC_Decode, 28, 170, 1, // Opcode: ADDQ_S_PH
-/* 10390 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10403
-/* 10394 */   MCD_OPC_CheckPredicate, 5, 127, 9, // Skip to: 12829
-/* 10398 */   MCD_OPC_Decode, 173, 10, 170, 1, // Opcode: SUBQ_S_PH
-/* 10403 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 10414
-/* 10407 */   MCD_OPC_CheckPredicate, 5, 114, 9, // Skip to: 12829
-/* 10411 */   MCD_OPC_Decode, 30, 13, // Opcode: ADDSC
-/* 10414 */   MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 10425
-/* 10418 */   MCD_OPC_CheckPredicate, 5, 103, 9, // Skip to: 12829
-/* 10422 */   MCD_OPC_Decode, 57, 13, // Opcode: ADDWC
-/* 10425 */   MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 10437
-/* 10429 */   MCD_OPC_CheckPredicate, 5, 92, 9, // Skip to: 12829
-/* 10433 */   MCD_OPC_Decode, 144, 7, 13, // Opcode: MODSUB
-/* 10437 */   MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 10456
-/* 10441 */   MCD_OPC_CheckPredicate, 5, 80, 9, // Skip to: 12829
-/* 10445 */   MCD_OPC_CheckField, 16, 5, 0, 74, 9, // Skip to: 12829
-/* 10451 */   MCD_OPC_Decode, 242, 8, 171, 1, // Opcode: RADDU_W_QB
-/* 10456 */   MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 10467
-/* 10460 */   MCD_OPC_CheckPredicate, 5, 61, 9, // Skip to: 12829
-/* 10464 */   MCD_OPC_Decode, 29, 13, // Opcode: ADDQ_S_W
-/* 10467 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 10479
-/* 10471 */   MCD_OPC_CheckPredicate, 5, 50, 9, // Skip to: 12829
-/* 10475 */   MCD_OPC_Decode, 174, 10, 13, // Opcode: SUBQ_S_W
-/* 10479 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10492
-/* 10483 */   MCD_OPC_CheckPredicate, 5, 38, 9, // Skip to: 12829
-/* 10487 */   MCD_OPC_Decode, 229, 7, 172, 1, // Opcode: MULEQ_S_W_PHL
-/* 10492 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10505
-/* 10496 */   MCD_OPC_CheckPredicate, 5, 25, 9, // Skip to: 12829
-/* 10500 */   MCD_OPC_Decode, 230, 7, 172, 1, // Opcode: MULEQ_S_W_PHR
-/* 10505 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10518
-/* 10509 */   MCD_OPC_CheckPredicate, 15, 12, 9, // Skip to: 12829
-/* 10513 */   MCD_OPC_Decode, 235, 7, 170, 1, // Opcode: MULQ_S_PH
-/* 10518 */   MCD_OPC_FilterValue, 31, 3, 9, // Skip to: 12829
-/* 10522 */   MCD_OPC_CheckPredicate, 5, 255, 8, // Skip to: 12829
-/* 10526 */   MCD_OPC_Decode, 233, 7, 170, 1, // Opcode: MULQ_RS_PH
-/* 10531 */   MCD_OPC_FilterValue, 17, 69, 1, // Skip to: 10860
-/* 10535 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10538 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10557
-/* 10542 */   MCD_OPC_CheckPredicate, 5, 235, 8, // Skip to: 12829
-/* 10546 */   MCD_OPC_CheckField, 11, 5, 0, 229, 8, // Skip to: 12829
-/* 10552 */   MCD_OPC_Decode, 200, 2, 173, 1, // Opcode: CMPU_EQ_QB
-/* 10557 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 10576
-/* 10561 */   MCD_OPC_CheckPredicate, 5, 216, 8, // Skip to: 12829
-/* 10565 */   MCD_OPC_CheckField, 11, 5, 0, 210, 8, // Skip to: 12829
-/* 10571 */   MCD_OPC_Decode, 202, 2, 173, 1, // Opcode: CMPU_LT_QB
-/* 10576 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 10595
-/* 10580 */   MCD_OPC_CheckPredicate, 5, 197, 8, // Skip to: 12829
-/* 10584 */   MCD_OPC_CheckField, 11, 5, 0, 191, 8, // Skip to: 12829
-/* 10590 */   MCD_OPC_Decode, 201, 2, 173, 1, // Opcode: CMPU_LE_QB
-/* 10595 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 10608
-/* 10599 */   MCD_OPC_CheckPredicate, 5, 178, 8, // Skip to: 12829
-/* 10603 */   MCD_OPC_Decode, 191, 8, 170, 1, // Opcode: PICK_QB
-/* 10608 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10621
-/* 10612 */   MCD_OPC_CheckPredicate, 5, 165, 8, // Skip to: 12829
-/* 10616 */   MCD_OPC_Decode, 197, 2, 172, 1, // Opcode: CMPGU_EQ_QB
-/* 10621 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10634
-/* 10625 */   MCD_OPC_CheckPredicate, 5, 152, 8, // Skip to: 12829
-/* 10629 */   MCD_OPC_Decode, 199, 2, 172, 1, // Opcode: CMPGU_LT_QB
-/* 10634 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10647
-/* 10638 */   MCD_OPC_CheckPredicate, 5, 139, 8, // Skip to: 12829
-/* 10642 */   MCD_OPC_Decode, 198, 2, 172, 1, // Opcode: CMPGU_LE_QB
-/* 10647 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 10666
-/* 10651 */   MCD_OPC_CheckPredicate, 5, 126, 8, // Skip to: 12829
-/* 10655 */   MCD_OPC_CheckField, 11, 5, 0, 120, 8, // Skip to: 12829
-/* 10661 */   MCD_OPC_Decode, 203, 2, 173, 1, // Opcode: CMP_EQ_PH
-/* 10666 */   MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 10685
-/* 10670 */   MCD_OPC_CheckPredicate, 5, 107, 8, // Skip to: 12829
-/* 10674 */   MCD_OPC_CheckField, 11, 5, 0, 101, 8, // Skip to: 12829
-/* 10680 */   MCD_OPC_Decode, 205, 2, 173, 1, // Opcode: CMP_LT_PH
-/* 10685 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 10704
-/* 10689 */   MCD_OPC_CheckPredicate, 5, 88, 8, // Skip to: 12829
-/* 10693 */   MCD_OPC_CheckField, 11, 5, 0, 82, 8, // Skip to: 12829
-/* 10699 */   MCD_OPC_Decode, 204, 2, 173, 1, // Opcode: CMP_LE_PH
-/* 10704 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10717
-/* 10708 */   MCD_OPC_CheckPredicate, 5, 69, 8, // Skip to: 12829
-/* 10712 */   MCD_OPC_Decode, 190, 8, 170, 1, // Opcode: PICK_PH
-/* 10717 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 10730
-/* 10721 */   MCD_OPC_CheckPredicate, 5, 56, 8, // Skip to: 12829
-/* 10725 */   MCD_OPC_Decode, 204, 8, 170, 1, // Opcode: PRECRQ_QB_PH
-/* 10730 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10743
-/* 10734 */   MCD_OPC_CheckPredicate, 15, 43, 8, // Skip to: 12829
-/* 10738 */   MCD_OPC_Decode, 206, 8, 170, 1, // Opcode: PRECR_QB_PH
-/* 10743 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 10756
-/* 10747 */   MCD_OPC_CheckPredicate, 5, 30, 8, // Skip to: 12829
-/* 10751 */   MCD_OPC_Decode, 177, 8, 170, 1, // Opcode: PACKRL_PH
-/* 10756 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10769
-/* 10760 */   MCD_OPC_CheckPredicate, 5, 17, 8, // Skip to: 12829
-/* 10764 */   MCD_OPC_Decode, 202, 8, 170, 1, // Opcode: PRECRQU_S_QB_PH
-/* 10769 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 10782
-/* 10773 */   MCD_OPC_CheckPredicate, 5, 4, 8, // Skip to: 12829
-/* 10777 */   MCD_OPC_Decode, 203, 8, 174, 1, // Opcode: PRECRQ_PH_W
-/* 10782 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 10795
-/* 10786 */   MCD_OPC_CheckPredicate, 5, 247, 7, // Skip to: 12829
-/* 10790 */   MCD_OPC_Decode, 205, 8, 174, 1, // Opcode: PRECRQ_RS_PH_W
-/* 10795 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 10808
-/* 10799 */   MCD_OPC_CheckPredicate, 15, 234, 7, // Skip to: 12829
-/* 10803 */   MCD_OPC_Decode, 194, 2, 172, 1, // Opcode: CMPGDU_EQ_QB
-/* 10808 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 10821
-/* 10812 */   MCD_OPC_CheckPredicate, 15, 221, 7, // Skip to: 12829
-/* 10816 */   MCD_OPC_Decode, 196, 2, 172, 1, // Opcode: CMPGDU_LT_QB
-/* 10821 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 10834
-/* 10825 */   MCD_OPC_CheckPredicate, 15, 208, 7, // Skip to: 12829
-/* 10829 */   MCD_OPC_Decode, 195, 2, 172, 1, // Opcode: CMPGDU_LE_QB
-/* 10834 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10847
-/* 10838 */   MCD_OPC_CheckPredicate, 15, 195, 7, // Skip to: 12829
-/* 10842 */   MCD_OPC_Decode, 207, 8, 175, 1, // Opcode: PRECR_SRA_PH_W
-/* 10847 */   MCD_OPC_FilterValue, 31, 186, 7, // Skip to: 12829
-/* 10851 */   MCD_OPC_CheckPredicate, 15, 182, 7, // Skip to: 12829
-/* 10855 */   MCD_OPC_Decode, 208, 8, 175, 1, // Opcode: PRECR_SRA_R_PH_W
-/* 10860 */   MCD_OPC_FilterValue, 18, 74, 1, // Skip to: 11194
-/* 10864 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10867 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 10885
-/* 10871 */   MCD_OPC_CheckPredicate, 15, 162, 7, // Skip to: 12829
-/* 10875 */   MCD_OPC_CheckField, 21, 5, 0, 156, 7, // Skip to: 12829
-/* 10881 */   MCD_OPC_Decode, 20, 176, 1, // Opcode: ABSQ_S_QB
-/* 10885 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 10898
-/* 10889 */   MCD_OPC_CheckPredicate, 5, 144, 7, // Skip to: 12829
-/* 10893 */   MCD_OPC_Decode, 249, 8, 177, 1, // Opcode: REPL_QB
-/* 10898 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 10917
-/* 10902 */   MCD_OPC_CheckPredicate, 5, 131, 7, // Skip to: 12829
-/* 10906 */   MCD_OPC_CheckField, 21, 5, 0, 125, 7, // Skip to: 12829
-/* 10912 */   MCD_OPC_Decode, 247, 8, 178, 1, // Opcode: REPLV_QB
-/* 10917 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 10936
-/* 10921 */   MCD_OPC_CheckPredicate, 5, 112, 7, // Skip to: 12829
-/* 10925 */   MCD_OPC_CheckField, 21, 5, 0, 106, 7, // Skip to: 12829
-/* 10931 */   MCD_OPC_Decode, 192, 8, 176, 1, // Opcode: PRECEQU_PH_QBL
-/* 10936 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 10955
-/* 10940 */   MCD_OPC_CheckPredicate, 5, 93, 7, // Skip to: 12829
-/* 10944 */   MCD_OPC_CheckField, 21, 5, 0, 87, 7, // Skip to: 12829
-/* 10950 */   MCD_OPC_Decode, 194, 8, 176, 1, // Opcode: PRECEQU_PH_QBR
-/* 10955 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 10974
-/* 10959 */   MCD_OPC_CheckPredicate, 5, 74, 7, // Skip to: 12829
-/* 10963 */   MCD_OPC_CheckField, 21, 5, 0, 68, 7, // Skip to: 12829
-/* 10969 */   MCD_OPC_Decode, 193, 8, 176, 1, // Opcode: PRECEQU_PH_QBLA
-/* 10974 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 10993
-/* 10978 */   MCD_OPC_CheckPredicate, 5, 55, 7, // Skip to: 12829
-/* 10982 */   MCD_OPC_CheckField, 21, 5, 0, 49, 7, // Skip to: 12829
-/* 10988 */   MCD_OPC_Decode, 195, 8, 176, 1, // Opcode: PRECEQU_PH_QBRA
-/* 10993 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11011
-/* 10997 */   MCD_OPC_CheckPredicate, 5, 36, 7, // Skip to: 12829
-/* 11001 */   MCD_OPC_CheckField, 21, 5, 0, 30, 7, // Skip to: 12829
-/* 11007 */   MCD_OPC_Decode, 19, 176, 1, // Opcode: ABSQ_S_PH
-/* 11011 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11024
-/* 11015 */   MCD_OPC_CheckPredicate, 5, 18, 7, // Skip to: 12829
-/* 11019 */   MCD_OPC_Decode, 248, 8, 177, 1, // Opcode: REPL_PH
-/* 11024 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 11043
-/* 11028 */   MCD_OPC_CheckPredicate, 5, 5, 7, // Skip to: 12829
-/* 11032 */   MCD_OPC_CheckField, 21, 5, 0, 255, 6, // Skip to: 12829
-/* 11038 */   MCD_OPC_Decode, 246, 8, 178, 1, // Opcode: REPLV_PH
-/* 11043 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 11062
-/* 11047 */   MCD_OPC_CheckPredicate, 5, 242, 6, // Skip to: 12829
-/* 11051 */   MCD_OPC_CheckField, 21, 5, 0, 236, 6, // Skip to: 12829
-/* 11057 */   MCD_OPC_Decode, 196, 8, 179, 1, // Opcode: PRECEQ_W_PHL
-/* 11062 */   MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 11081
-/* 11066 */   MCD_OPC_CheckPredicate, 5, 223, 6, // Skip to: 12829
-/* 11070 */   MCD_OPC_CheckField, 21, 5, 0, 217, 6, // Skip to: 12829
-/* 11076 */   MCD_OPC_Decode, 197, 8, 179, 1, // Opcode: PRECEQ_W_PHR
-/* 11081 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 11099
-/* 11085 */   MCD_OPC_CheckPredicate, 5, 204, 6, // Skip to: 12829
-/* 11089 */   MCD_OPC_CheckField, 21, 5, 0, 198, 6, // Skip to: 12829
-/* 11095 */   MCD_OPC_Decode, 21, 180, 1, // Opcode: ABSQ_S_W
-/* 11099 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 11118
-/* 11103 */   MCD_OPC_CheckPredicate, 5, 186, 6, // Skip to: 12829
-/* 11107 */   MCD_OPC_CheckField, 21, 5, 0, 180, 6, // Skip to: 12829
-/* 11113 */   MCD_OPC_Decode, 190, 1, 180, 1, // Opcode: BITREV
-/* 11118 */   MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 11137
-/* 11122 */   MCD_OPC_CheckPredicate, 5, 167, 6, // Skip to: 12829
-/* 11126 */   MCD_OPC_CheckField, 21, 5, 0, 161, 6, // Skip to: 12829
-/* 11132 */   MCD_OPC_Decode, 198, 8, 176, 1, // Opcode: PRECEU_PH_QBL
-/* 11137 */   MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 11156
-/* 11141 */   MCD_OPC_CheckPredicate, 5, 148, 6, // Skip to: 12829
-/* 11145 */   MCD_OPC_CheckField, 21, 5, 0, 142, 6, // Skip to: 12829
-/* 11151 */   MCD_OPC_Decode, 200, 8, 176, 1, // Opcode: PRECEU_PH_QBR
-/* 11156 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 11175
-/* 11160 */   MCD_OPC_CheckPredicate, 5, 129, 6, // Skip to: 12829
-/* 11164 */   MCD_OPC_CheckField, 21, 5, 0, 123, 6, // Skip to: 12829
-/* 11170 */   MCD_OPC_Decode, 199, 8, 176, 1, // Opcode: PRECEU_PH_QBLA
-/* 11175 */   MCD_OPC_FilterValue, 31, 114, 6, // Skip to: 12829
-/* 11179 */   MCD_OPC_CheckPredicate, 5, 110, 6, // Skip to: 12829
-/* 11183 */   MCD_OPC_CheckField, 21, 5, 0, 104, 6, // Skip to: 12829
-/* 11189 */   MCD_OPC_Decode, 201, 8, 176, 1, // Opcode: PRECEU_PH_QBRA
-/* 11194 */   MCD_OPC_FilterValue, 19, 31, 1, // Skip to: 11485
-/* 11198 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11201 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11214
-/* 11205 */   MCD_OPC_CheckPredicate, 5, 84, 6, // Skip to: 12829
-/* 11209 */   MCD_OPC_Decode, 182, 9, 181, 1, // Opcode: SHLL_QB
-/* 11214 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11227
-/* 11218 */   MCD_OPC_CheckPredicate, 5, 71, 6, // Skip to: 12829
-/* 11222 */   MCD_OPC_Decode, 198, 9, 181, 1, // Opcode: SHRL_QB
-/* 11227 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11240
-/* 11231 */   MCD_OPC_CheckPredicate, 5, 58, 6, // Skip to: 12829
-/* 11235 */   MCD_OPC_Decode, 178, 9, 182, 1, // Opcode: SHLLV_QB
-/* 11240 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11253
-/* 11244 */   MCD_OPC_CheckPredicate, 5, 45, 6, // Skip to: 12829
-/* 11248 */   MCD_OPC_Decode, 196, 9, 182, 1, // Opcode: SHRLV_QB
-/* 11253 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 11266
-/* 11257 */   MCD_OPC_CheckPredicate, 15, 32, 6, // Skip to: 12829
-/* 11261 */   MCD_OPC_Decode, 191, 9, 181, 1, // Opcode: SHRA_QB
-/* 11266 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 11279
-/* 11270 */   MCD_OPC_CheckPredicate, 15, 19, 6, // Skip to: 12829
-/* 11274 */   MCD_OPC_Decode, 193, 9, 181, 1, // Opcode: SHRA_R_QB
-/* 11279 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 11292
-/* 11283 */   MCD_OPC_CheckPredicate, 15, 6, 6, // Skip to: 12829
-/* 11287 */   MCD_OPC_Decode, 186, 9, 182, 1, // Opcode: SHRAV_QB
-/* 11292 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 11305
-/* 11296 */   MCD_OPC_CheckPredicate, 15, 249, 5, // Skip to: 12829
-/* 11300 */   MCD_OPC_Decode, 188, 9, 182, 1, // Opcode: SHRAV_R_QB
-/* 11305 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 11318
-/* 11309 */   MCD_OPC_CheckPredicate, 5, 236, 5, // Skip to: 12829
-/* 11313 */   MCD_OPC_Decode, 181, 9, 181, 1, // Opcode: SHLL_PH
-/* 11318 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11331
-/* 11322 */   MCD_OPC_CheckPredicate, 5, 223, 5, // Skip to: 12829
-/* 11326 */   MCD_OPC_Decode, 190, 9, 181, 1, // Opcode: SHRA_PH
-/* 11331 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11344
-/* 11335 */   MCD_OPC_CheckPredicate, 5, 210, 5, // Skip to: 12829
-/* 11339 */   MCD_OPC_Decode, 177, 9, 182, 1, // Opcode: SHLLV_PH
-/* 11344 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11357
-/* 11348 */   MCD_OPC_CheckPredicate, 5, 197, 5, // Skip to: 12829
-/* 11352 */   MCD_OPC_Decode, 185, 9, 182, 1, // Opcode: SHRAV_PH
-/* 11357 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11370
-/* 11361 */   MCD_OPC_CheckPredicate, 5, 184, 5, // Skip to: 12829
-/* 11365 */   MCD_OPC_Decode, 183, 9, 181, 1, // Opcode: SHLL_S_PH
-/* 11370 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11383
-/* 11374 */   MCD_OPC_CheckPredicate, 5, 171, 5, // Skip to: 12829
-/* 11378 */   MCD_OPC_Decode, 192, 9, 181, 1, // Opcode: SHRA_R_PH
-/* 11383 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11396
-/* 11387 */   MCD_OPC_CheckPredicate, 5, 158, 5, // Skip to: 12829
-/* 11391 */   MCD_OPC_Decode, 179, 9, 182, 1, // Opcode: SHLLV_S_PH
-/* 11396 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11409
-/* 11400 */   MCD_OPC_CheckPredicate, 5, 145, 5, // Skip to: 12829
-/* 11404 */   MCD_OPC_Decode, 187, 9, 182, 1, // Opcode: SHRAV_R_PH
-/* 11409 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11422
-/* 11413 */   MCD_OPC_CheckPredicate, 5, 132, 5, // Skip to: 12829
-/* 11417 */   MCD_OPC_Decode, 184, 9, 183, 1, // Opcode: SHLL_S_W
-/* 11422 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11435
-/* 11426 */   MCD_OPC_CheckPredicate, 5, 119, 5, // Skip to: 12829
-/* 11430 */   MCD_OPC_Decode, 194, 9, 183, 1, // Opcode: SHRA_R_W
-/* 11435 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11447
-/* 11439 */   MCD_OPC_CheckPredicate, 5, 106, 5, // Skip to: 12829
-/* 11443 */   MCD_OPC_Decode, 180, 9, 14, // Opcode: SHLLV_S_W
-/* 11447 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 11459
-/* 11451 */   MCD_OPC_CheckPredicate, 5, 94, 5, // Skip to: 12829
-/* 11455 */   MCD_OPC_Decode, 189, 9, 14, // Opcode: SHRAV_R_W
-/* 11459 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11472
-/* 11463 */   MCD_OPC_CheckPredicate, 15, 82, 5, // Skip to: 12829
-/* 11467 */   MCD_OPC_Decode, 197, 9, 181, 1, // Opcode: SHRL_PH
-/* 11472 */   MCD_OPC_FilterValue, 27, 73, 5, // Skip to: 12829
-/* 11476 */   MCD_OPC_CheckPredicate, 15, 69, 5, // Skip to: 12829
-/* 11480 */   MCD_OPC_Decode, 195, 9, 182, 1, // Opcode: SHRLV_PH
-/* 11485 */   MCD_OPC_FilterValue, 24, 199, 0, // Skip to: 11688
-/* 11489 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11492 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11504
-/* 11496 */   MCD_OPC_CheckPredicate, 15, 49, 5, // Skip to: 12829
-/* 11500 */   MCD_OPC_Decode, 43, 170, 1, // Opcode: ADDUH_QB
-/* 11504 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11517
-/* 11508 */   MCD_OPC_CheckPredicate, 15, 37, 5, // Skip to: 12829
-/* 11512 */   MCD_OPC_Decode, 191, 10, 170, 1, // Opcode: SUBUH_QB
-/* 11517 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 11529
-/* 11521 */   MCD_OPC_CheckPredicate, 15, 24, 5, // Skip to: 12829
-/* 11525 */   MCD_OPC_Decode, 44, 170, 1, // Opcode: ADDUH_R_QB
-/* 11529 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11542
-/* 11533 */   MCD_OPC_CheckPredicate, 15, 12, 5, // Skip to: 12829
-/* 11537 */   MCD_OPC_Decode, 192, 10, 170, 1, // Opcode: SUBUH_R_QB
-/* 11542 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 11554
-/* 11546 */   MCD_OPC_CheckPredicate, 15, 255, 4, // Skip to: 12829
-/* 11550 */   MCD_OPC_Decode, 23, 170, 1, // Opcode: ADDQH_PH
-/* 11554 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11567
-/* 11558 */   MCD_OPC_CheckPredicate, 15, 243, 4, // Skip to: 12829
-/* 11562 */   MCD_OPC_Decode, 168, 10, 170, 1, // Opcode: SUBQH_PH
-/* 11567 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 11579
-/* 11571 */   MCD_OPC_CheckPredicate, 15, 230, 4, // Skip to: 12829
-/* 11575 */   MCD_OPC_Decode, 24, 170, 1, // Opcode: ADDQH_R_PH
-/* 11579 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11592
-/* 11583 */   MCD_OPC_CheckPredicate, 15, 218, 4, // Skip to: 12829
-/* 11587 */   MCD_OPC_Decode, 169, 10, 170, 1, // Opcode: SUBQH_R_PH
-/* 11592 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11605
-/* 11596 */   MCD_OPC_CheckPredicate, 15, 205, 4, // Skip to: 12829
-/* 11600 */   MCD_OPC_Decode, 252, 7, 170, 1, // Opcode: MUL_PH
-/* 11605 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11618
-/* 11609 */   MCD_OPC_CheckPredicate, 15, 192, 4, // Skip to: 12829
-/* 11613 */   MCD_OPC_Decode, 255, 7, 170, 1, // Opcode: MUL_S_PH
-/* 11618 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 11629
-/* 11622 */   MCD_OPC_CheckPredicate, 15, 179, 4, // Skip to: 12829
-/* 11626 */   MCD_OPC_Decode, 26, 13, // Opcode: ADDQH_W
-/* 11629 */   MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 11641
-/* 11633 */   MCD_OPC_CheckPredicate, 15, 168, 4, // Skip to: 12829
-/* 11637 */   MCD_OPC_Decode, 171, 10, 13, // Opcode: SUBQH_W
-/* 11641 */   MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 11652
-/* 11645 */   MCD_OPC_CheckPredicate, 15, 156, 4, // Skip to: 12829
-/* 11649 */   MCD_OPC_Decode, 25, 13, // Opcode: ADDQH_R_W
-/* 11652 */   MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 11664
-/* 11656 */   MCD_OPC_CheckPredicate, 15, 145, 4, // Skip to: 12829
-/* 11660 */   MCD_OPC_Decode, 170, 10, 13, // Opcode: SUBQH_R_W
-/* 11664 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11676
-/* 11668 */   MCD_OPC_CheckPredicate, 15, 133, 4, // Skip to: 12829
-/* 11672 */   MCD_OPC_Decode, 236, 7, 13, // Opcode: MULQ_S_W
-/* 11676 */   MCD_OPC_FilterValue, 23, 125, 4, // Skip to: 12829
-/* 11680 */   MCD_OPC_CheckPredicate, 15, 121, 4, // Skip to: 12829
-/* 11684 */   MCD_OPC_Decode, 234, 7, 13, // Opcode: MULQ_RS_W
-/* 11688 */   MCD_OPC_FilterValue, 32, 60, 0, // Skip to: 11752
-/* 11692 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11695 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 11714
-/* 11699 */   MCD_OPC_CheckPredicate, 16, 102, 4, // Skip to: 12829
-/* 11703 */   MCD_OPC_CheckField, 21, 5, 0, 96, 4, // Skip to: 12829
-/* 11709 */   MCD_OPC_Decode, 190, 11, 180, 1, // Opcode: WSBH
-/* 11714 */   MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 11733
-/* 11718 */   MCD_OPC_CheckPredicate, 17, 83, 4, // Skip to: 12829
-/* 11722 */   MCD_OPC_CheckField, 21, 5, 0, 77, 4, // Skip to: 12829
-/* 11728 */   MCD_OPC_Decode, 164, 9, 180, 1, // Opcode: SEB
-/* 11733 */   MCD_OPC_FilterValue, 24, 68, 4, // Skip to: 12829
-/* 11737 */   MCD_OPC_CheckPredicate, 17, 64, 4, // Skip to: 12829
-/* 11741 */   MCD_OPC_CheckField, 21, 5, 0, 58, 4, // Skip to: 12829
-/* 11747 */   MCD_OPC_Decode, 167, 9, 180, 1, // Opcode: SEH
-/* 11752 */   MCD_OPC_FilterValue, 48, 143, 1, // Skip to: 12155
-/* 11756 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11759 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 11777
-/* 11763 */   MCD_OPC_CheckPredicate, 15, 38, 4, // Skip to: 12829
-/* 11767 */   MCD_OPC_CheckField, 13, 3, 0, 32, 4, // Skip to: 12829
-/* 11773 */   MCD_OPC_Decode, 218, 3, 88, // Opcode: DPA_W_PH
-/* 11777 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 11795
-/* 11781 */   MCD_OPC_CheckPredicate, 15, 20, 4, // Skip to: 12829
-/* 11785 */   MCD_OPC_CheckField, 13, 3, 0, 14, 4, // Skip to: 12829
-/* 11791 */   MCD_OPC_Decode, 232, 3, 88, // Opcode: DPS_W_PH
-/* 11795 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 11813
-/* 11799 */   MCD_OPC_CheckPredicate, 15, 2, 4, // Skip to: 12829
-/* 11803 */   MCD_OPC_CheckField, 13, 3, 0, 252, 3, // Skip to: 12829
-/* 11809 */   MCD_OPC_Decode, 240, 7, 88, // Opcode: MULSA_W_PH
-/* 11813 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 11831
-/* 11817 */   MCD_OPC_CheckPredicate, 5, 240, 3, // Skip to: 12829
-/* 11821 */   MCD_OPC_CheckField, 13, 3, 0, 234, 3, // Skip to: 12829
-/* 11827 */   MCD_OPC_Decode, 215, 3, 88, // Opcode: DPAU_H_QBL
-/* 11831 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 11849
-/* 11835 */   MCD_OPC_CheckPredicate, 5, 222, 3, // Skip to: 12829
-/* 11839 */   MCD_OPC_CheckField, 13, 3, 0, 216, 3, // Skip to: 12829
-/* 11845 */   MCD_OPC_Decode, 214, 3, 88, // Opcode: DPAQ_S_W_PH
-/* 11849 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 11867
-/* 11853 */   MCD_OPC_CheckPredicate, 5, 204, 3, // Skip to: 12829
-/* 11857 */   MCD_OPC_CheckField, 13, 3, 0, 198, 3, // Skip to: 12829
-/* 11863 */   MCD_OPC_Decode, 222, 3, 88, // Opcode: DPSQ_S_W_PH
-/* 11867 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 11885
-/* 11871 */   MCD_OPC_CheckPredicate, 5, 186, 3, // Skip to: 12829
-/* 11875 */   MCD_OPC_CheckField, 13, 3, 0, 180, 3, // Skip to: 12829
-/* 11881 */   MCD_OPC_Decode, 239, 7, 88, // Opcode: MULSAQ_S_W_PH
-/* 11885 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 11903
-/* 11889 */   MCD_OPC_CheckPredicate, 5, 168, 3, // Skip to: 12829
-/* 11893 */   MCD_OPC_CheckField, 13, 3, 0, 162, 3, // Skip to: 12829
-/* 11899 */   MCD_OPC_Decode, 216, 3, 88, // Opcode: DPAU_H_QBR
-/* 11903 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 11921
-/* 11907 */   MCD_OPC_CheckPredicate, 15, 150, 3, // Skip to: 12829
-/* 11911 */   MCD_OPC_CheckField, 13, 3, 0, 144, 3, // Skip to: 12829
-/* 11917 */   MCD_OPC_Decode, 217, 3, 88, // Opcode: DPAX_W_PH
-/* 11921 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11939
-/* 11925 */   MCD_OPC_CheckPredicate, 15, 132, 3, // Skip to: 12829
-/* 11929 */   MCD_OPC_CheckField, 13, 3, 0, 126, 3, // Skip to: 12829
-/* 11935 */   MCD_OPC_Decode, 231, 3, 88, // Opcode: DPSX_W_PH
-/* 11939 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 11957
-/* 11943 */   MCD_OPC_CheckPredicate, 5, 114, 3, // Skip to: 12829
-/* 11947 */   MCD_OPC_CheckField, 13, 3, 0, 108, 3, // Skip to: 12829
-/* 11953 */   MCD_OPC_Decode, 229, 3, 88, // Opcode: DPSU_H_QBL
-/* 11957 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 11975
-/* 11961 */   MCD_OPC_CheckPredicate, 5, 96, 3, // Skip to: 12829
-/* 11965 */   MCD_OPC_CheckField, 13, 3, 0, 90, 3, // Skip to: 12829
-/* 11971 */   MCD_OPC_Decode, 213, 3, 88, // Opcode: DPAQ_SA_L_W
-/* 11975 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 11993
-/* 11979 */   MCD_OPC_CheckPredicate, 5, 78, 3, // Skip to: 12829
-/* 11983 */   MCD_OPC_CheckField, 13, 3, 0, 72, 3, // Skip to: 12829
-/* 11989 */   MCD_OPC_Decode, 221, 3, 88, // Opcode: DPSQ_SA_L_W
-/* 11993 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 12011
-/* 11997 */   MCD_OPC_CheckPredicate, 5, 60, 3, // Skip to: 12829
-/* 12001 */   MCD_OPC_CheckField, 13, 3, 0, 54, 3, // Skip to: 12829
-/* 12007 */   MCD_OPC_Decode, 230, 3, 88, // Opcode: DPSU_H_QBR
-/* 12011 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 12029
-/* 12015 */   MCD_OPC_CheckPredicate, 5, 42, 3, // Skip to: 12829
-/* 12019 */   MCD_OPC_CheckField, 13, 3, 0, 36, 3, // Skip to: 12829
-/* 12025 */   MCD_OPC_Decode, 212, 6, 88, // Opcode: MAQ_SA_W_PHL
-/* 12029 */   MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 12047
-/* 12033 */   MCD_OPC_CheckPredicate, 5, 24, 3, // Skip to: 12829
-/* 12037 */   MCD_OPC_CheckField, 13, 3, 0, 18, 3, // Skip to: 12829
-/* 12043 */   MCD_OPC_Decode, 213, 6, 88, // Opcode: MAQ_SA_W_PHR
-/* 12047 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 12065
-/* 12051 */   MCD_OPC_CheckPredicate, 5, 6, 3, // Skip to: 12829
-/* 12055 */   MCD_OPC_CheckField, 13, 3, 0, 0, 3, // Skip to: 12829
-/* 12061 */   MCD_OPC_Decode, 214, 6, 88, // Opcode: MAQ_S_W_PHL
-/* 12065 */   MCD_OPC_FilterValue, 22, 14, 0, // Skip to: 12083
-/* 12069 */   MCD_OPC_CheckPredicate, 5, 244, 2, // Skip to: 12829
-/* 12073 */   MCD_OPC_CheckField, 13, 3, 0, 238, 2, // Skip to: 12829
-/* 12079 */   MCD_OPC_Decode, 215, 6, 88, // Opcode: MAQ_S_W_PHR
-/* 12083 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 12101
-/* 12087 */   MCD_OPC_CheckPredicate, 15, 226, 2, // Skip to: 12829
-/* 12091 */   MCD_OPC_CheckField, 13, 3, 0, 220, 2, // Skip to: 12829
-/* 12097 */   MCD_OPC_Decode, 212, 3, 88, // Opcode: DPAQX_S_W_PH
-/* 12101 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 12119
-/* 12105 */   MCD_OPC_CheckPredicate, 15, 208, 2, // Skip to: 12829
-/* 12109 */   MCD_OPC_CheckField, 13, 3, 0, 202, 2, // Skip to: 12829
-/* 12115 */   MCD_OPC_Decode, 220, 3, 88, // Opcode: DPSQX_S_W_PH
-/* 12119 */   MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 12137
-/* 12123 */   MCD_OPC_CheckPredicate, 15, 190, 2, // Skip to: 12829
-/* 12127 */   MCD_OPC_CheckField, 13, 3, 0, 184, 2, // Skip to: 12829
-/* 12133 */   MCD_OPC_Decode, 211, 3, 88, // Opcode: DPAQX_SA_W_PH
-/* 12137 */   MCD_OPC_FilterValue, 27, 176, 2, // Skip to: 12829
-/* 12141 */   MCD_OPC_CheckPredicate, 15, 172, 2, // Skip to: 12829
-/* 12145 */   MCD_OPC_CheckField, 13, 3, 0, 166, 2, // Skip to: 12829
-/* 12151 */   MCD_OPC_Decode, 219, 3, 88, // Opcode: DPSQX_SA_W_PH
-/* 12155 */   MCD_OPC_FilterValue, 49, 41, 0, // Skip to: 12200
-/* 12159 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 12162 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12174
-/* 12166 */   MCD_OPC_CheckPredicate, 15, 147, 2, // Skip to: 12829
-/* 12170 */   MCD_OPC_Decode, 82, 184, 1, // Opcode: APPEND
-/* 12174 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 12187
-/* 12178 */   MCD_OPC_CheckPredicate, 15, 135, 2, // Skip to: 12829
-/* 12182 */   MCD_OPC_Decode, 209, 8, 184, 1, // Opcode: PREPEND
-/* 12187 */   MCD_OPC_FilterValue, 16, 126, 2, // Skip to: 12829
-/* 12191 */   MCD_OPC_CheckPredicate, 15, 122, 2, // Skip to: 12829
-/* 12195 */   MCD_OPC_Decode, 149, 1, 184, 1, // Opcode: BALIGN
-/* 12200 */   MCD_OPC_FilterValue, 56, 58, 1, // Skip to: 12518
-/* 12204 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 12207 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12226
-/* 12211 */   MCD_OPC_CheckPredicate, 5, 102, 2, // Skip to: 12829
-/* 12215 */   MCD_OPC_CheckField, 13, 3, 0, 96, 2, // Skip to: 12829
-/* 12221 */   MCD_OPC_Decode, 141, 4, 185, 1, // Opcode: EXTR_W
-/* 12226 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12245
-/* 12230 */   MCD_OPC_CheckPredicate, 5, 83, 2, // Skip to: 12829
-/* 12234 */   MCD_OPC_CheckField, 13, 3, 0, 77, 2, // Skip to: 12829
-/* 12240 */   MCD_OPC_Decode, 137, 4, 186, 1, // Opcode: EXTRV_W
-/* 12245 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 12264
-/* 12249 */   MCD_OPC_CheckPredicate, 5, 64, 2, // Skip to: 12829
-/* 12253 */   MCD_OPC_CheckField, 13, 3, 0, 58, 2, // Skip to: 12829
-/* 12259 */   MCD_OPC_Decode, 130, 4, 185, 1, // Opcode: EXTP
-/* 12264 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 12283
-/* 12268 */   MCD_OPC_CheckPredicate, 5, 45, 2, // Skip to: 12829
-/* 12272 */   MCD_OPC_CheckField, 13, 3, 0, 39, 2, // Skip to: 12829
-/* 12278 */   MCD_OPC_Decode, 133, 4, 186, 1, // Opcode: EXTPV
-/* 12283 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 12302
-/* 12287 */   MCD_OPC_CheckPredicate, 5, 26, 2, // Skip to: 12829
-/* 12291 */   MCD_OPC_CheckField, 13, 3, 0, 20, 2, // Skip to: 12829
-/* 12297 */   MCD_OPC_Decode, 139, 4, 185, 1, // Opcode: EXTR_R_W
-/* 12302 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 12321
-/* 12306 */   MCD_OPC_CheckPredicate, 5, 7, 2, // Skip to: 12829
-/* 12310 */   MCD_OPC_CheckField, 13, 3, 0, 1, 2, // Skip to: 12829
-/* 12316 */   MCD_OPC_Decode, 135, 4, 186, 1, // Opcode: EXTRV_R_W
-/* 12321 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 12340
-/* 12325 */   MCD_OPC_CheckPredicate, 5, 244, 1, // Skip to: 12829
-/* 12329 */   MCD_OPC_CheckField, 13, 3, 0, 238, 1, // Skip to: 12829
-/* 12335 */   MCD_OPC_Decode, 138, 4, 185, 1, // Opcode: EXTR_RS_W
-/* 12340 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 12359
-/* 12344 */   MCD_OPC_CheckPredicate, 5, 225, 1, // Skip to: 12829
-/* 12348 */   MCD_OPC_CheckField, 13, 3, 0, 219, 1, // Skip to: 12829
-/* 12354 */   MCD_OPC_Decode, 134, 4, 186, 1, // Opcode: EXTRV_RS_W
-/* 12359 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 12378
-/* 12363 */   MCD_OPC_CheckPredicate, 5, 206, 1, // Skip to: 12829
-/* 12367 */   MCD_OPC_CheckField, 13, 3, 0, 200, 1, // Skip to: 12829
-/* 12373 */   MCD_OPC_Decode, 131, 4, 185, 1, // Opcode: EXTPDP
-/* 12378 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 12397
-/* 12382 */   MCD_OPC_CheckPredicate, 5, 187, 1, // Skip to: 12829
-/* 12386 */   MCD_OPC_CheckField, 13, 3, 0, 181, 1, // Skip to: 12829
-/* 12392 */   MCD_OPC_Decode, 132, 4, 186, 1, // Opcode: EXTPDPV
-/* 12397 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 12416
-/* 12401 */   MCD_OPC_CheckPredicate, 5, 168, 1, // Skip to: 12829
-/* 12405 */   MCD_OPC_CheckField, 13, 3, 0, 162, 1, // Skip to: 12829
-/* 12411 */   MCD_OPC_Decode, 140, 4, 185, 1, // Opcode: EXTR_S_H
-/* 12416 */   MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 12435
-/* 12420 */   MCD_OPC_CheckPredicate, 5, 149, 1, // Skip to: 12829
-/* 12424 */   MCD_OPC_CheckField, 13, 3, 0, 143, 1, // Skip to: 12829
-/* 12430 */   MCD_OPC_Decode, 136, 4, 186, 1, // Opcode: EXTRV_S_H
-/* 12435 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 12448
-/* 12439 */   MCD_OPC_CheckPredicate, 5, 130, 1, // Skip to: 12829
-/* 12443 */   MCD_OPC_Decode, 243, 8, 187, 1, // Opcode: RDDSP
-/* 12448 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 12461
-/* 12452 */   MCD_OPC_CheckPredicate, 5, 117, 1, // Skip to: 12829
-/* 12456 */   MCD_OPC_Decode, 189, 11, 188, 1, // Opcode: WRDSP
-/* 12461 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 12480
-/* 12465 */   MCD_OPC_CheckPredicate, 5, 104, 1, // Skip to: 12829
-/* 12469 */   MCD_OPC_CheckField, 13, 7, 0, 98, 1, // Skip to: 12829
-/* 12475 */   MCD_OPC_Decode, 175, 9, 189, 1, // Opcode: SHILO
-/* 12480 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 12499
-/* 12484 */   MCD_OPC_CheckPredicate, 5, 85, 1, // Skip to: 12829
-/* 12488 */   MCD_OPC_CheckField, 13, 8, 0, 79, 1, // Skip to: 12829
-/* 12494 */   MCD_OPC_Decode, 176, 9, 190, 1, // Opcode: SHILOV
-/* 12499 */   MCD_OPC_FilterValue, 31, 70, 1, // Skip to: 12829
-/* 12503 */   MCD_OPC_CheckPredicate, 5, 66, 1, // Skip to: 12829
-/* 12507 */   MCD_OPC_CheckField, 13, 8, 0, 60, 1, // Skip to: 12829
-/* 12513 */   MCD_OPC_Decode, 223, 7, 190, 1, // Opcode: MTHLIP
-/* 12518 */   MCD_OPC_FilterValue, 59, 51, 1, // Skip to: 12829
-/* 12522 */   MCD_OPC_CheckPredicate, 2, 47, 1, // Skip to: 12829
-/* 12526 */   MCD_OPC_CheckField, 21, 5, 0, 41, 1, // Skip to: 12829
-/* 12532 */   MCD_OPC_CheckField, 6, 5, 0, 35, 1, // Skip to: 12829
-/* 12538 */   MCD_OPC_Decode, 244, 8, 191, 1, // Opcode: RDHWR
-/* 12543 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 12556
-/* 12547 */   MCD_OPC_CheckPredicate, 2, 22, 1, // Skip to: 12829
-/* 12551 */   MCD_OPC_Decode, 241, 5, 192, 1, // Opcode: LB
-/* 12556 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 12569
-/* 12560 */   MCD_OPC_CheckPredicate, 2, 9, 1, // Skip to: 12829
-/* 12564 */   MCD_OPC_Decode, 140, 6, 192, 1, // Opcode: LH
-/* 12569 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 12582
-/* 12573 */   MCD_OPC_CheckPredicate, 18, 252, 0, // Skip to: 12829
-/* 12577 */   MCD_OPC_Decode, 166, 6, 192, 1, // Opcode: LWL
-/* 12582 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 12595
-/* 12586 */   MCD_OPC_CheckPredicate, 2, 239, 0, // Skip to: 12829
-/* 12590 */   MCD_OPC_Decode, 161, 6, 192, 1, // Opcode: LW
-/* 12595 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 12608
-/* 12599 */   MCD_OPC_CheckPredicate, 2, 226, 0, // Skip to: 12829
-/* 12603 */   MCD_OPC_Decode, 245, 5, 192, 1, // Opcode: LBu
-/* 12608 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 12621
-/* 12612 */   MCD_OPC_CheckPredicate, 2, 213, 0, // Skip to: 12829
-/* 12616 */   MCD_OPC_Decode, 144, 6, 192, 1, // Opcode: LHu
-/* 12621 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 12634
-/* 12625 */   MCD_OPC_CheckPredicate, 18, 200, 0, // Skip to: 12829
-/* 12629 */   MCD_OPC_Decode, 169, 6, 192, 1, // Opcode: LWR
-/* 12634 */   MCD_OPC_FilterValue, 40, 9, 0, // Skip to: 12647
-/* 12638 */   MCD_OPC_CheckPredicate, 2, 187, 0, // Skip to: 12829
-/* 12642 */   MCD_OPC_Decode, 147, 9, 192, 1, // Opcode: SB
-/* 12647 */   MCD_OPC_FilterValue, 41, 9, 0, // Skip to: 12660
-/* 12651 */   MCD_OPC_CheckPredicate, 2, 174, 0, // Skip to: 12829
-/* 12655 */   MCD_OPC_Decode, 170, 9, 192, 1, // Opcode: SH
-/* 12660 */   MCD_OPC_FilterValue, 42, 9, 0, // Skip to: 12673
-/* 12664 */   MCD_OPC_CheckPredicate, 18, 161, 0, // Skip to: 12829
-/* 12668 */   MCD_OPC_Decode, 216, 10, 192, 1, // Opcode: SWL
-/* 12673 */   MCD_OPC_FilterValue, 43, 9, 0, // Skip to: 12686
-/* 12677 */   MCD_OPC_CheckPredicate, 2, 148, 0, // Skip to: 12829
-/* 12681 */   MCD_OPC_Decode, 211, 10, 192, 1, // Opcode: SW
-/* 12686 */   MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 12699
-/* 12690 */   MCD_OPC_CheckPredicate, 18, 135, 0, // Skip to: 12829
-/* 12694 */   MCD_OPC_Decode, 219, 10, 192, 1, // Opcode: SWR
-/* 12699 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 12712
-/* 12703 */   MCD_OPC_CheckPredicate, 18, 122, 0, // Skip to: 12829
-/* 12707 */   MCD_OPC_Decode, 147, 6, 192, 1, // Opcode: LL
-/* 12712 */   MCD_OPC_FilterValue, 49, 9, 0, // Skip to: 12725
-/* 12716 */   MCD_OPC_CheckPredicate, 2, 109, 0, // Skip to: 12829
-/* 12720 */   MCD_OPC_Decode, 163, 6, 193, 1, // Opcode: LWC1
-/* 12725 */   MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 12738
-/* 12729 */   MCD_OPC_CheckPredicate, 2, 96, 0, // Skip to: 12829
-/* 12733 */   MCD_OPC_Decode, 165, 6, 193, 1, // Opcode: LWC2
-/* 12738 */   MCD_OPC_FilterValue, 53, 9, 0, // Skip to: 12751
-/* 12742 */   MCD_OPC_CheckPredicate, 8, 83, 0, // Skip to: 12829
-/* 12746 */   MCD_OPC_Decode, 249, 5, 193, 1, // Opcode: LDC1
-/* 12751 */   MCD_OPC_FilterValue, 54, 9, 0, // Skip to: 12764
-/* 12755 */   MCD_OPC_CheckPredicate, 2, 70, 0, // Skip to: 12829
-/* 12759 */   MCD_OPC_Decode, 252, 5, 193, 1, // Opcode: LDC2
-/* 12764 */   MCD_OPC_FilterValue, 56, 9, 0, // Skip to: 12777
-/* 12768 */   MCD_OPC_CheckPredicate, 18, 57, 0, // Skip to: 12829
-/* 12772 */   MCD_OPC_Decode, 150, 9, 192, 1, // Opcode: SC
-/* 12777 */   MCD_OPC_FilterValue, 57, 9, 0, // Skip to: 12790
-/* 12781 */   MCD_OPC_CheckPredicate, 2, 44, 0, // Skip to: 12829
-/* 12785 */   MCD_OPC_Decode, 213, 10, 193, 1, // Opcode: SWC1
-/* 12790 */   MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 12803
-/* 12794 */   MCD_OPC_CheckPredicate, 2, 31, 0, // Skip to: 12829
-/* 12798 */   MCD_OPC_Decode, 215, 10, 193, 1, // Opcode: SWC2
-/* 12803 */   MCD_OPC_FilterValue, 61, 9, 0, // Skip to: 12816
-/* 12807 */   MCD_OPC_CheckPredicate, 8, 18, 0, // Skip to: 12829
-/* 12811 */   MCD_OPC_Decode, 154, 9, 193, 1, // Opcode: SDC1
-/* 12816 */   MCD_OPC_FilterValue, 62, 9, 0, // Skip to: 12829
-/* 12820 */   MCD_OPC_CheckPredicate, 2, 5, 0, // Skip to: 12829
-/* 12824 */   MCD_OPC_Decode, 157, 9, 193, 1, // Opcode: SDC2
-/* 12829 */   MCD_OPC_Fail,
+/* 373 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 386
+/* 377 */     MCD_OPC_CheckPredicate, 34, 187, 5, // Skip to: 1848
+/* 381 */     MCD_OPC_Decode, 254, 3, 197, 1, // Opcode: DDIV
+/* 386 */     MCD_OPC_FilterValue, 3, 178, 5, // Skip to: 1848
+/* 390 */     MCD_OPC_CheckPredicate, 34, 174, 5, // Skip to: 1848
+/* 394 */     MCD_OPC_Decode, 153, 4, 197, 1, // Opcode: DMOD
+/* 399 */     MCD_OPC_FilterValue, 31, 29, 0, // Skip to: 432
+/* 403 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 406 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 419
+/* 410 */     MCD_OPC_CheckPredicate, 34, 154, 5, // Skip to: 1848
+/* 414 */     MCD_OPC_Decode, 255, 3, 197, 1, // Opcode: DDIVU
+/* 419 */     MCD_OPC_FilterValue, 3, 145, 5, // Skip to: 1848
+/* 423 */     MCD_OPC_CheckPredicate, 34, 141, 5, // Skip to: 1848
+/* 427 */     MCD_OPC_Decode, 154, 4, 197, 1, // Opcode: DMODU
+/* 432 */     MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 450
+/* 436 */     MCD_OPC_CheckPredicate, 35, 128, 5, // Skip to: 1848
+/* 440 */     MCD_OPC_CheckField, 6, 5, 0, 122, 5, // Skip to: 1848
+/* 446 */     MCD_OPC_Decode, 211, 10, 16, // Opcode: SELEQZ
+/* 450 */     MCD_OPC_FilterValue, 55, 114, 5, // Skip to: 1848
+/* 454 */     MCD_OPC_CheckPredicate, 35, 110, 5, // Skip to: 1848
+/* 458 */     MCD_OPC_CheckField, 6, 5, 0, 104, 5, // Skip to: 1848
+/* 464 */     MCD_OPC_Decode, 215, 10, 16, // Opcode: SELNEZ
+/* 468 */     MCD_OPC_FilterValue, 1, 47, 0, // Skip to: 519
+/* 472 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 475 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 488
+/* 479 */     MCD_OPC_CheckPredicate, 34, 85, 5, // Skip to: 1848
+/* 483 */     MCD_OPC_Decode, 245, 3, 198, 1, // Opcode: DAHI
+/* 488 */     MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 506
+/* 492 */     MCD_OPC_CheckPredicate, 33, 72, 5, // Skip to: 1848
+/* 496 */     MCD_OPC_CheckField, 21, 5, 0, 66, 5, // Skip to: 1848
+/* 502 */     MCD_OPC_Decode, 156, 1, 52, // Opcode: BAL
+/* 506 */     MCD_OPC_FilterValue, 30, 58, 5, // Skip to: 1848
+/* 510 */     MCD_OPC_CheckPredicate, 34, 54, 5, // Skip to: 1848
+/* 514 */     MCD_OPC_Decode, 247, 3, 198, 1, // Opcode: DATI
+/* 519 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 532
+/* 523 */     MCD_OPC_CheckPredicate, 33, 41, 5, // Skip to: 1848
+/* 527 */     MCD_OPC_Decode, 188, 1, 199, 1, // Opcode: BGEZALC
+/* 532 */     MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 545
+/* 536 */     MCD_OPC_CheckPredicate, 33, 28, 5, // Skip to: 1848
+/* 540 */     MCD_OPC_Decode, 225, 1, 200, 1, // Opcode: BLTZALC
+/* 545 */     MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 558
+/* 549 */     MCD_OPC_CheckPredicate, 33, 15, 5, // Skip to: 1848
+/* 553 */     MCD_OPC_Decode, 179, 1, 201, 1, // Opcode: BEQC
+/* 558 */     MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 569
+/* 562 */     MCD_OPC_CheckPredicate, 33, 2, 5, // Skip to: 1848
+/* 566 */     MCD_OPC_Decode, 127, 26, // Opcode: AUI
+/* 569 */     MCD_OPC_FilterValue, 17, 5, 3, // Skip to: 1346
+/* 573 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 576 */     MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 589
+/* 580 */     MCD_OPC_CheckPredicate, 33, 240, 4, // Skip to: 1848
+/* 584 */     MCD_OPC_Decode, 161, 1, 202, 1, // Opcode: BC1EQZ
+/* 589 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 602
+/* 593 */     MCD_OPC_CheckPredicate, 33, 227, 4, // Skip to: 1848
+/* 597 */     MCD_OPC_Decode, 164, 1, 202, 1, // Opcode: BC1NEZ
+/* 602 */     MCD_OPC_FilterValue, 16, 150, 0, // Skip to: 756
+/* 606 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 609 */     MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 622
+/* 613 */     MCD_OPC_CheckPredicate, 33, 207, 4, // Skip to: 1848
+/* 617 */     MCD_OPC_Decode, 220, 10, 203, 1, // Opcode: SEL_S
+/* 622 */     MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 634
+/* 626 */     MCD_OPC_CheckPredicate, 33, 194, 4, // Skip to: 1848
+/* 630 */     MCD_OPC_Decode, 214, 10, 68, // Opcode: SELEQZ_S
+/* 634 */     MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 646
+/* 638 */     MCD_OPC_CheckPredicate, 33, 182, 4, // Skip to: 1848
+/* 642 */     MCD_OPC_Decode, 218, 10, 68, // Opcode: SELNEZ_S
+/* 646 */     MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 659
+/* 650 */     MCD_OPC_CheckPredicate, 33, 170, 4, // Skip to: 1848
+/* 654 */     MCD_OPC_Decode, 193, 7, 204, 1, // Opcode: MADDF_S
+/* 659 */     MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 672
+/* 663 */     MCD_OPC_CheckPredicate, 33, 157, 4, // Skip to: 1848
+/* 667 */     MCD_OPC_Decode, 210, 8, 204, 1, // Opcode: MSUBF_S
+/* 672 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 690
+/* 676 */     MCD_OPC_CheckPredicate, 33, 144, 4, // Skip to: 1848
+/* 680 */     MCD_OPC_CheckField, 16, 5, 0, 138, 4, // Skip to: 1848
+/* 686 */     MCD_OPC_Decode, 158, 10, 69, // Opcode: RINT_S
+/* 690 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 708
+/* 694 */     MCD_OPC_CheckPredicate, 33, 126, 4, // Skip to: 1848
+/* 698 */     MCD_OPC_CheckField, 16, 5, 0, 120, 4, // Skip to: 1848
+/* 704 */     MCD_OPC_Decode, 198, 2, 69, // Opcode: CLASS_S
+/* 708 */     MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 720
+/* 712 */     MCD_OPC_CheckPredicate, 33, 108, 4, // Skip to: 1848
+/* 716 */     MCD_OPC_Decode, 144, 8, 68, // Opcode: MIN_S
+/* 720 */     MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 732
+/* 724 */     MCD_OPC_CheckPredicate, 33, 96, 4, // Skip to: 1848
+/* 728 */     MCD_OPC_Decode, 231, 7, 68, // Opcode: MAX_S
+/* 732 */     MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 744
+/* 736 */     MCD_OPC_CheckPredicate, 33, 84, 4, // Skip to: 1848
+/* 740 */     MCD_OPC_Decode, 130, 8, 68, // Opcode: MINA_S
+/* 744 */     MCD_OPC_FilterValue, 31, 76, 4, // Skip to: 1848
+/* 748 */     MCD_OPC_CheckPredicate, 33, 72, 4, // Skip to: 1848
+/* 752 */     MCD_OPC_Decode, 217, 7, 68, // Opcode: MAXA_S
+/* 756 */     MCD_OPC_FilterValue, 17, 156, 0, // Skip to: 916
+/* 760 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 763 */     MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 776
+/* 767 */     MCD_OPC_CheckPredicate, 33, 53, 4, // Skip to: 1848
+/* 771 */     MCD_OPC_Decode, 219, 10, 205, 1, // Opcode: SEL_D
+/* 776 */     MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 789
+/* 780 */     MCD_OPC_CheckPredicate, 33, 40, 4, // Skip to: 1848
+/* 784 */     MCD_OPC_Decode, 213, 10, 206, 1, // Opcode: SELEQZ_D
+/* 789 */     MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 802
+/* 793 */     MCD_OPC_CheckPredicate, 33, 27, 4, // Skip to: 1848
+/* 797 */     MCD_OPC_Decode, 217, 10, 206, 1, // Opcode: SELNEZ_D
+/* 802 */     MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 815
+/* 806 */     MCD_OPC_CheckPredicate, 33, 14, 4, // Skip to: 1848
+/* 810 */     MCD_OPC_Decode, 192, 7, 207, 1, // Opcode: MADDF_D
+/* 815 */     MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 828
+/* 819 */     MCD_OPC_CheckPredicate, 33, 1, 4, // Skip to: 1848
+/* 823 */     MCD_OPC_Decode, 209, 8, 207, 1, // Opcode: MSUBF_D
+/* 828 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 846
+/* 832 */     MCD_OPC_CheckPredicate, 33, 244, 3, // Skip to: 1848
+/* 836 */     MCD_OPC_CheckField, 16, 5, 0, 238, 3, // Skip to: 1848
+/* 842 */     MCD_OPC_Decode, 157, 10, 80, // Opcode: RINT_D
+/* 846 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 864
+/* 850 */     MCD_OPC_CheckPredicate, 33, 226, 3, // Skip to: 1848
+/* 854 */     MCD_OPC_CheckField, 16, 5, 0, 220, 3, // Skip to: 1848
+/* 860 */     MCD_OPC_Decode, 197, 2, 80, // Opcode: CLASS_D
+/* 864 */     MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 877
+/* 868 */     MCD_OPC_CheckPredicate, 33, 208, 3, // Skip to: 1848
+/* 872 */     MCD_OPC_Decode, 143, 8, 206, 1, // Opcode: MIN_D
+/* 877 */     MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 890
+/* 881 */     MCD_OPC_CheckPredicate, 33, 195, 3, // Skip to: 1848
+/* 885 */     MCD_OPC_Decode, 230, 7, 206, 1, // Opcode: MAX_D
+/* 890 */     MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 903
+/* 894 */     MCD_OPC_CheckPredicate, 33, 182, 3, // Skip to: 1848
+/* 898 */     MCD_OPC_Decode, 129, 8, 206, 1, // Opcode: MINA_D
+/* 903 */     MCD_OPC_FilterValue, 31, 173, 3, // Skip to: 1848
+/* 907 */     MCD_OPC_CheckPredicate, 33, 169, 3, // Skip to: 1848
+/* 911 */     MCD_OPC_Decode, 216, 7, 206, 1, // Opcode: MAXA_D
+/* 916 */     MCD_OPC_FilterValue, 20, 211, 0, // Skip to: 1131
+/* 920 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 923 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 936
+/* 927 */     MCD_OPC_CheckPredicate, 33, 149, 3, // Skip to: 1848
+/* 931 */     MCD_OPC_Decode, 250, 2, 208, 1, // Opcode: CMP_F_S
+/* 936 */     MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 949
+/* 940 */     MCD_OPC_CheckPredicate, 33, 136, 3, // Skip to: 1848
+/* 944 */     MCD_OPC_Decode, 152, 3, 208, 1, // Opcode: CMP_UN_S
+/* 949 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 962
+/* 953 */     MCD_OPC_CheckPredicate, 33, 123, 3, // Skip to: 1848
+/* 957 */     MCD_OPC_Decode, 248, 2, 208, 1, // Opcode: CMP_EQ_S
+/* 962 */     MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 975
+/* 966 */     MCD_OPC_CheckPredicate, 33, 110, 3, // Skip to: 1848
+/* 970 */     MCD_OPC_Decode, 146, 3, 208, 1, // Opcode: CMP_UEQ_S
+/* 975 */     MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 988
+/* 979 */     MCD_OPC_CheckPredicate, 33, 97, 3, // Skip to: 1848
+/* 983 */     MCD_OPC_Decode, 128, 3, 208, 1, // Opcode: CMP_LT_S
+/* 988 */     MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1001
+/* 992 */     MCD_OPC_CheckPredicate, 33, 84, 3, // Skip to: 1848
+/* 996 */     MCD_OPC_Decode, 150, 3, 208, 1, // Opcode: CMP_ULT_S
+/* 1001 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1014
+/* 1005 */    MCD_OPC_CheckPredicate, 33, 71, 3, // Skip to: 1848
+/* 1009 */    MCD_OPC_Decode, 253, 2, 208, 1, // Opcode: CMP_LE_S
+/* 1014 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1027
+/* 1018 */    MCD_OPC_CheckPredicate, 33, 58, 3, // Skip to: 1848
+/* 1022 */    MCD_OPC_Decode, 148, 3, 208, 1, // Opcode: CMP_ULE_S
+/* 1027 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 1040
+/* 1031 */    MCD_OPC_CheckPredicate, 33, 45, 3, // Skip to: 1848
+/* 1035 */    MCD_OPC_Decode, 130, 3, 208, 1, // Opcode: CMP_SAF_S
+/* 1040 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1053
+/* 1044 */    MCD_OPC_CheckPredicate, 33, 32, 3, // Skip to: 1848
+/* 1048 */    MCD_OPC_Decode, 144, 3, 208, 1, // Opcode: CMP_SUN_S
+/* 1053 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1066
+/* 1057 */    MCD_OPC_CheckPredicate, 33, 19, 3, // Skip to: 1848
+/* 1061 */    MCD_OPC_Decode, 132, 3, 208, 1, // Opcode: CMP_SEQ_S
+/* 1066 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1079
+/* 1070 */    MCD_OPC_CheckPredicate, 33, 6, 3, // Skip to: 1848
+/* 1074 */    MCD_OPC_Decode, 138, 3, 208, 1, // Opcode: CMP_SUEQ_S
+/* 1079 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 1092
+/* 1083 */    MCD_OPC_CheckPredicate, 33, 249, 2, // Skip to: 1848
+/* 1087 */    MCD_OPC_Decode, 136, 3, 208, 1, // Opcode: CMP_SLT_S
+/* 1092 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1105
+/* 1096 */    MCD_OPC_CheckPredicate, 33, 236, 2, // Skip to: 1848
+/* 1100 */    MCD_OPC_Decode, 142, 3, 208, 1, // Opcode: CMP_SULT_S
+/* 1105 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1118
+/* 1109 */    MCD_OPC_CheckPredicate, 33, 223, 2, // Skip to: 1848
+/* 1113 */    MCD_OPC_Decode, 134, 3, 208, 1, // Opcode: CMP_SLE_S
+/* 1118 */    MCD_OPC_FilterValue, 15, 214, 2, // Skip to: 1848
+/* 1122 */    MCD_OPC_CheckPredicate, 33, 210, 2, // Skip to: 1848
+/* 1126 */    MCD_OPC_Decode, 140, 3, 208, 1, // Opcode: CMP_SULE_S
+/* 1131 */    MCD_OPC_FilterValue, 21, 201, 2, // Skip to: 1848
+/* 1135 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1138 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1151
+/* 1142 */    MCD_OPC_CheckPredicate, 33, 190, 2, // Skip to: 1848
+/* 1146 */    MCD_OPC_Decode, 249, 2, 209, 1, // Opcode: CMP_F_D
+/* 1151 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1164
+/* 1155 */    MCD_OPC_CheckPredicate, 33, 177, 2, // Skip to: 1848
+/* 1159 */    MCD_OPC_Decode, 151, 3, 209, 1, // Opcode: CMP_UN_D
+/* 1164 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1177
+/* 1168 */    MCD_OPC_CheckPredicate, 33, 164, 2, // Skip to: 1848
+/* 1172 */    MCD_OPC_Decode, 246, 2, 209, 1, // Opcode: CMP_EQ_D
+/* 1177 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 1190
+/* 1181 */    MCD_OPC_CheckPredicate, 33, 151, 2, // Skip to: 1848
+/* 1185 */    MCD_OPC_Decode, 145, 3, 209, 1, // Opcode: CMP_UEQ_D
+/* 1190 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 1203
+/* 1194 */    MCD_OPC_CheckPredicate, 33, 138, 2, // Skip to: 1848
+/* 1198 */    MCD_OPC_Decode, 254, 2, 209, 1, // Opcode: CMP_LT_D
+/* 1203 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1216
+/* 1207 */    MCD_OPC_CheckPredicate, 33, 125, 2, // Skip to: 1848
+/* 1211 */    MCD_OPC_Decode, 149, 3, 209, 1, // Opcode: CMP_ULT_D
+/* 1216 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1229
+/* 1220 */    MCD_OPC_CheckPredicate, 33, 112, 2, // Skip to: 1848
+/* 1224 */    MCD_OPC_Decode, 251, 2, 209, 1, // Opcode: CMP_LE_D
+/* 1229 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1242
+/* 1233 */    MCD_OPC_CheckPredicate, 33, 99, 2, // Skip to: 1848
+/* 1237 */    MCD_OPC_Decode, 147, 3, 209, 1, // Opcode: CMP_ULE_D
+/* 1242 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 1255
+/* 1246 */    MCD_OPC_CheckPredicate, 33, 86, 2, // Skip to: 1848
+/* 1250 */    MCD_OPC_Decode, 129, 3, 209, 1, // Opcode: CMP_SAF_D
+/* 1255 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1268
+/* 1259 */    MCD_OPC_CheckPredicate, 33, 73, 2, // Skip to: 1848
+/* 1263 */    MCD_OPC_Decode, 143, 3, 209, 1, // Opcode: CMP_SUN_D
+/* 1268 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1281
+/* 1272 */    MCD_OPC_CheckPredicate, 33, 60, 2, // Skip to: 1848
+/* 1276 */    MCD_OPC_Decode, 131, 3, 209, 1, // Opcode: CMP_SEQ_D
+/* 1281 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1294
+/* 1285 */    MCD_OPC_CheckPredicate, 33, 47, 2, // Skip to: 1848
+/* 1289 */    MCD_OPC_Decode, 137, 3, 209, 1, // Opcode: CMP_SUEQ_D
+/* 1294 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 1307
+/* 1298 */    MCD_OPC_CheckPredicate, 33, 34, 2, // Skip to: 1848
+/* 1302 */    MCD_OPC_Decode, 135, 3, 209, 1, // Opcode: CMP_SLT_D
+/* 1307 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1320
+/* 1311 */    MCD_OPC_CheckPredicate, 33, 21, 2, // Skip to: 1848
+/* 1315 */    MCD_OPC_Decode, 141, 3, 209, 1, // Opcode: CMP_SULT_D
+/* 1320 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1333
+/* 1324 */    MCD_OPC_CheckPredicate, 33, 8, 2, // Skip to: 1848
+/* 1328 */    MCD_OPC_Decode, 133, 3, 209, 1, // Opcode: CMP_SLE_D
+/* 1333 */    MCD_OPC_FilterValue, 15, 255, 1, // Skip to: 1848
+/* 1337 */    MCD_OPC_CheckPredicate, 33, 251, 1, // Skip to: 1848
+/* 1341 */    MCD_OPC_Decode, 139, 3, 209, 1, // Opcode: CMP_SULE_D
+/* 1346 */    MCD_OPC_FilterValue, 18, 81, 0, // Skip to: 1431
+/* 1350 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1353 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1366
+/* 1357 */    MCD_OPC_CheckPredicate, 33, 231, 1, // Skip to: 1848
+/* 1361 */    MCD_OPC_Decode, 167, 1, 210, 1, // Opcode: BC2EQZ
+/* 1366 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1379
+/* 1370 */    MCD_OPC_CheckPredicate, 33, 218, 1, // Skip to: 1848
+/* 1374 */    MCD_OPC_Decode, 159, 7, 211, 1, // Opcode: LWC2_R6
+/* 1379 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1392
+/* 1383 */    MCD_OPC_CheckPredicate, 33, 205, 1, // Skip to: 1848
+/* 1387 */    MCD_OPC_Decode, 144, 12, 211, 1, // Opcode: SWC2_R6
+/* 1392 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1405
+/* 1396 */    MCD_OPC_CheckPredicate, 33, 192, 1, // Skip to: 1848
+/* 1400 */    MCD_OPC_Decode, 168, 1, 210, 1, // Opcode: BC2NEZ
+/* 1405 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1418
+/* 1409 */    MCD_OPC_CheckPredicate, 33, 179, 1, // Skip to: 1848
+/* 1413 */    MCD_OPC_Decode, 237, 6, 211, 1, // Opcode: LDC2_R6
+/* 1418 */    MCD_OPC_FilterValue, 15, 170, 1, // Skip to: 1848
+/* 1422 */    MCD_OPC_CheckPredicate, 33, 166, 1, // Skip to: 1848
+/* 1426 */    MCD_OPC_Decode, 197, 10, 211, 1, // Opcode: SDC2_R6
+/* 1431 */    MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 1444
+/* 1435 */    MCD_OPC_CheckPredicate, 33, 153, 1, // Skip to: 1848
+/* 1439 */    MCD_OPC_Decode, 190, 1, 212, 1, // Opcode: BGEZC
+/* 1444 */    MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 1457
+/* 1448 */    MCD_OPC_CheckPredicate, 33, 140, 1, // Skip to: 1848
+/* 1452 */    MCD_OPC_Decode, 227, 1, 213, 1, // Opcode: BLTZC
+/* 1457 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1470
+/* 1461 */    MCD_OPC_CheckPredicate, 33, 127, 1, // Skip to: 1848
+/* 1465 */    MCD_OPC_Decode, 235, 1, 214, 1, // Opcode: BNEC
+/* 1470 */    MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 1483
+/* 1474 */    MCD_OPC_CheckPredicate, 34, 114, 1, // Skip to: 1848
+/* 1478 */    MCD_OPC_Decode, 248, 3, 215, 1, // Opcode: DAUI
+/* 1483 */    MCD_OPC_FilterValue, 31, 182, 0, // Skip to: 1669
+/* 1487 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1490 */    MCD_OPC_FilterValue, 32, 40, 0, // Skip to: 1534
+/* 1494 */    MCD_OPC_ExtractField, 8, 3,  // Inst{10-8} ...
+/* 1497 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1522
+/* 1501 */    MCD_OPC_CheckPredicate, 33, 87, 1, // Skip to: 1848
+/* 1505 */    MCD_OPC_CheckField, 21, 5, 0, 81, 1, // Skip to: 1848
+/* 1511 */    MCD_OPC_CheckField, 6, 2, 0, 75, 1, // Skip to: 1848
+/* 1517 */    MCD_OPC_Decode, 214, 1, 180, 1, // Opcode: BITSWAP
+/* 1522 */    MCD_OPC_FilterValue, 2, 66, 1, // Skip to: 1848
+/* 1526 */    MCD_OPC_CheckPredicate, 33, 62, 1, // Skip to: 1848
+/* 1530 */    MCD_OPC_Decode, 73, 194, 1, // Opcode: ALIGN
+/* 1534 */    MCD_OPC_FilterValue, 36, 41, 0, // Skip to: 1579
+/* 1538 */    MCD_OPC_ExtractField, 9, 2,  // Inst{10-9} ...
+/* 1541 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1566
+/* 1545 */    MCD_OPC_CheckPredicate, 34, 43, 1, // Skip to: 1848
+/* 1549 */    MCD_OPC_CheckField, 21, 5, 0, 37, 1, // Skip to: 1848
+/* 1555 */    MCD_OPC_CheckField, 6, 3, 0, 31, 1, // Skip to: 1848
+/* 1561 */    MCD_OPC_Decode, 249, 3, 216, 1, // Opcode: DBITSWAP
+/* 1566 */    MCD_OPC_FilterValue, 1, 22, 1, // Skip to: 1848
+/* 1570 */    MCD_OPC_CheckPredicate, 34, 18, 1, // Skip to: 1848
+/* 1574 */    MCD_OPC_Decode, 246, 3, 217, 1, // Opcode: DALIGN
+/* 1579 */    MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 1598
+/* 1583 */    MCD_OPC_CheckPredicate, 33, 5, 1, // Skip to: 1848
+/* 1587 */    MCD_OPC_CheckField, 6, 1, 0, 255, 0, // Skip to: 1848
+/* 1593 */    MCD_OPC_Decode, 176, 2, 218, 1, // Opcode: CACHE_R6
+/* 1598 */    MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 1611
+/* 1602 */    MCD_OPC_CheckPredicate, 33, 242, 0, // Skip to: 1848
+/* 1606 */    MCD_OPC_Decode, 189, 10, 219, 1, // Opcode: SC_R6
+/* 1611 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 1624
+/* 1615 */    MCD_OPC_CheckPredicate, 33, 229, 0, // Skip to: 1848
+/* 1619 */    MCD_OPC_Decode, 187, 10, 219, 1, // Opcode: SCD_R6
+/* 1624 */    MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 1643
+/* 1628 */    MCD_OPC_CheckPredicate, 33, 216, 0, // Skip to: 1848
+/* 1632 */    MCD_OPC_CheckField, 6, 1, 0, 210, 0, // Skip to: 1848
+/* 1638 */    MCD_OPC_Decode, 239, 9, 218, 1, // Opcode: PREF_R6
+/* 1643 */    MCD_OPC_FilterValue, 54, 9, 0, // Skip to: 1656
+/* 1647 */    MCD_OPC_CheckPredicate, 33, 197, 0, // Skip to: 1848
+/* 1651 */    MCD_OPC_Decode, 138, 7, 219, 1, // Opcode: LL_R6
+/* 1656 */    MCD_OPC_FilterValue, 55, 188, 0, // Skip to: 1848
+/* 1660 */    MCD_OPC_CheckPredicate, 33, 184, 0, // Skip to: 1848
+/* 1664 */    MCD_OPC_Decode, 136, 7, 219, 1, // Opcode: LLD_R6
+/* 1669 */    MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 1682
+/* 1673 */    MCD_OPC_CheckPredicate, 33, 171, 0, // Skip to: 1848
+/* 1677 */    MCD_OPC_Decode, 160, 1, 220, 1, // Opcode: BC
+/* 1682 */    MCD_OPC_FilterValue, 54, 24, 0, // Skip to: 1710
+/* 1686 */    MCD_OPC_CheckPredicate, 33, 11, 0, // Skip to: 1701
+/* 1690 */    MCD_OPC_CheckField, 21, 5, 0, 5, 0, // Skip to: 1701
+/* 1696 */    MCD_OPC_Decode, 212, 6, 221, 1, // Opcode: JIC
+/* 1701 */    MCD_OPC_CheckPredicate, 33, 143, 0, // Skip to: 1848
+/* 1705 */    MCD_OPC_Decode, 181, 1, 222, 1, // Opcode: BEQZC
+/* 1710 */    MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 1723
+/* 1714 */    MCD_OPC_CheckPredicate, 33, 130, 0, // Skip to: 1848
+/* 1718 */    MCD_OPC_Decode, 157, 1, 220, 1, // Opcode: BALC
+/* 1723 */    MCD_OPC_FilterValue, 59, 93, 0, // Skip to: 1820
+/* 1727 */    MCD_OPC_ExtractField, 19, 2,  // Inst{20-19} ...
+/* 1730 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1742
+/* 1734 */    MCD_OPC_CheckPredicate, 33, 110, 0, // Skip to: 1848
+/* 1738 */    MCD_OPC_Decode, 24, 223, 1, // Opcode: ADDIUPC
+/* 1742 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1755
+/* 1746 */    MCD_OPC_CheckPredicate, 33, 98, 0, // Skip to: 1848
+/* 1750 */    MCD_OPC_Decode, 164, 7, 223, 1, // Opcode: LWPC
+/* 1755 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1768
+/* 1759 */    MCD_OPC_CheckPredicate, 33, 85, 0, // Skip to: 1848
+/* 1763 */    MCD_OPC_Decode, 168, 7, 223, 1, // Opcode: LWUPC
+/* 1768 */    MCD_OPC_FilterValue, 3, 76, 0, // Skip to: 1848
+/* 1772 */    MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
+/* 1775 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1788
+/* 1779 */    MCD_OPC_CheckPredicate, 34, 65, 0, // Skip to: 1848
+/* 1783 */    MCD_OPC_Decode, 244, 6, 224, 1, // Opcode: LDPC
+/* 1788 */    MCD_OPC_FilterValue, 1, 56, 0, // Skip to: 1848
+/* 1792 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1795 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1808
+/* 1799 */    MCD_OPC_CheckPredicate, 33, 45, 0, // Skip to: 1848
+/* 1803 */    MCD_OPC_Decode, 128, 1, 225, 1, // Opcode: AUIPC
+/* 1808 */    MCD_OPC_FilterValue, 3, 36, 0, // Skip to: 1848
+/* 1812 */    MCD_OPC_CheckPredicate, 33, 32, 0, // Skip to: 1848
+/* 1816 */    MCD_OPC_Decode, 74, 225, 1, // Opcode: ALUIPC
+/* 1820 */    MCD_OPC_FilterValue, 62, 24, 0, // Skip to: 1848
+/* 1824 */    MCD_OPC_CheckPredicate, 33, 11, 0, // Skip to: 1839
+/* 1828 */    MCD_OPC_CheckField, 21, 5, 0, 5, 0, // Skip to: 1839
+/* 1834 */    MCD_OPC_Decode, 211, 6, 221, 1, // Opcode: JIALC
+/* 1839 */    MCD_OPC_CheckPredicate, 33, 5, 0, // Skip to: 1848
+/* 1843 */    MCD_OPC_Decode, 245, 1, 222, 1, // Opcode: BNEZC
+/* 1848 */    MCD_OPC_Fail,
+  0
+};
+
+static uint8_t DecoderTableMips32r6_64r6_GP6432[] = {
+/* 0 */       MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
+/* 3 */       MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 22
+/* 7 */       MCD_OPC_CheckPredicate, 36, 30, 0, // Skip to: 41
+/* 11 */      MCD_OPC_CheckField, 26, 6, 0, 24, 0, // Skip to: 41
+/* 17 */      MCD_OPC_Decode, 212, 10, 197, 1, // Opcode: SELEQZ64
+/* 22 */      MCD_OPC_FilterValue, 55, 15, 0, // Skip to: 41
+/* 26 */      MCD_OPC_CheckPredicate, 36, 11, 0, // Skip to: 41
+/* 30 */      MCD_OPC_CheckField, 26, 6, 0, 5, 0, // Skip to: 41
+/* 36 */      MCD_OPC_Decode, 216, 10, 197, 1, // Opcode: SELNEZ64
+/* 41 */      MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableMips6432[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 93, 1, // Skip to: 356
+/* 3 */       MCD_OPC_FilterValue, 0, 112, 1, // Skip to: 375
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 10 */      MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 29
-/* 14 */      MCD_OPC_CheckPredicate, 2, 6, 7, // Skip to: 1816
-/* 18 */      MCD_OPC_CheckField, 6, 5, 0, 0, 7, // Skip to: 1816
-/* 24 */      MCD_OPC_Decode, 242, 3, 194, 1, // Opcode: DSLLV
+/* 14 */      MCD_OPC_CheckPredicate, 17, 192, 8, // Skip to: 2258
+/* 18 */      MCD_OPC_CheckField, 6, 5, 0, 186, 8, // Skip to: 2258
+/* 24 */      MCD_OPC_Decode, 209, 4, 226, 1, // Opcode: DSLLV
 /* 29 */      MCD_OPC_FilterValue, 22, 29, 0, // Skip to: 62
 /* 33 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 36 */      MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 49
-/* 40 */      MCD_OPC_CheckPredicate, 2, 236, 6, // Skip to: 1816
-/* 44 */      MCD_OPC_Decode, 248, 3, 194, 1, // Opcode: DSRLV
-/* 49 */      MCD_OPC_FilterValue, 1, 227, 6, // Skip to: 1816
-/* 53 */      MCD_OPC_CheckPredicate, 19, 223, 6, // Skip to: 1816
-/* 57 */      MCD_OPC_Decode, 235, 3, 194, 1, // Opcode: DROTRV
+/* 40 */      MCD_OPC_CheckPredicate, 17, 166, 8, // Skip to: 2258
+/* 44 */      MCD_OPC_Decode, 215, 4, 226, 1, // Opcode: DSRLV
+/* 49 */      MCD_OPC_FilterValue, 1, 157, 8, // Skip to: 2258
+/* 53 */      MCD_OPC_CheckPredicate, 37, 153, 8, // Skip to: 2258
+/* 57 */      MCD_OPC_Decode, 202, 4, 226, 1, // Opcode: DROTRV
 /* 62 */      MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 81
-/* 66 */      MCD_OPC_CheckPredicate, 2, 210, 6, // Skip to: 1816
-/* 70 */      MCD_OPC_CheckField, 6, 5, 0, 204, 6, // Skip to: 1816
-/* 76 */      MCD_OPC_Decode, 245, 3, 194, 1, // Opcode: DSRAV
+/* 66 */      MCD_OPC_CheckPredicate, 17, 140, 8, // Skip to: 2258
+/* 70 */      MCD_OPC_CheckField, 6, 5, 0, 134, 8, // Skip to: 2258
+/* 76 */      MCD_OPC_Decode, 212, 4, 226, 1, // Opcode: DSRAV
 /* 81 */      MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 100
-/* 85 */      MCD_OPC_CheckPredicate, 2, 191, 6, // Skip to: 1816
-/* 89 */      MCD_OPC_CheckField, 6, 10, 0, 185, 6, // Skip to: 1816
-/* 95 */      MCD_OPC_Decode, 197, 3, 195, 1, // Opcode: DMULT
+/* 85 */      MCD_OPC_CheckPredicate, 38, 121, 8, // Skip to: 2258
+/* 89 */      MCD_OPC_CheckField, 6, 10, 0, 115, 8, // Skip to: 2258
+/* 95 */      MCD_OPC_Decode, 161, 4, 227, 1, // Opcode: DMULT
 /* 100 */     MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 119
-/* 104 */     MCD_OPC_CheckPredicate, 2, 172, 6, // Skip to: 1816
-/* 108 */     MCD_OPC_CheckField, 6, 10, 0, 166, 6, // Skip to: 1816
-/* 114 */     MCD_OPC_Decode, 198, 3, 195, 1, // Opcode: DMULTu
+/* 104 */     MCD_OPC_CheckPredicate, 38, 102, 8, // Skip to: 2258
+/* 108 */     MCD_OPC_CheckField, 6, 10, 0, 96, 8, // Skip to: 2258
+/* 114 */     MCD_OPC_Decode, 162, 4, 227, 1, // Opcode: DMULTu
 /* 119 */     MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 138
-/* 123 */     MCD_OPC_CheckPredicate, 2, 153, 6, // Skip to: 1816
-/* 127 */     MCD_OPC_CheckField, 6, 10, 0, 147, 6, // Skip to: 1816
-/* 133 */     MCD_OPC_Decode, 237, 3, 195, 1, // Opcode: DSDIV
+/* 123 */     MCD_OPC_CheckPredicate, 38, 83, 8, // Skip to: 2258
+/* 127 */     MCD_OPC_CheckField, 6, 10, 0, 77, 8, // Skip to: 2258
+/* 133 */     MCD_OPC_Decode, 204, 4, 227, 1, // Opcode: DSDIV
 /* 138 */     MCD_OPC_FilterValue, 31, 15, 0, // Skip to: 157
-/* 142 */     MCD_OPC_CheckPredicate, 2, 134, 6, // Skip to: 1816
-/* 146 */     MCD_OPC_CheckField, 6, 10, 0, 128, 6, // Skip to: 1816
-/* 152 */     MCD_OPC_Decode, 250, 3, 195, 1, // Opcode: DUDIV
+/* 142 */     MCD_OPC_CheckPredicate, 38, 64, 8, // Skip to: 2258
+/* 146 */     MCD_OPC_CheckField, 6, 10, 0, 58, 8, // Skip to: 2258
+/* 152 */     MCD_OPC_Decode, 218, 4, 227, 1, // Opcode: DUDIV
 /* 157 */     MCD_OPC_FilterValue, 44, 15, 0, // Skip to: 176
-/* 161 */     MCD_OPC_CheckPredicate, 2, 115, 6, // Skip to: 1816
-/* 165 */     MCD_OPC_CheckField, 6, 5, 0, 109, 6, // Skip to: 1816
-/* 171 */     MCD_OPC_Decode, 166, 3, 196, 1, // Opcode: DADD
+/* 161 */     MCD_OPC_CheckPredicate, 17, 45, 8, // Skip to: 2258
+/* 165 */     MCD_OPC_CheckField, 6, 5, 0, 39, 8, // Skip to: 2258
+/* 171 */     MCD_OPC_Decode, 241, 3, 197, 1, // Opcode: DADD
 /* 176 */     MCD_OPC_FilterValue, 45, 15, 0, // Skip to: 195
-/* 180 */     MCD_OPC_CheckPredicate, 2, 96, 6, // Skip to: 1816
-/* 184 */     MCD_OPC_CheckField, 6, 5, 0, 90, 6, // Skip to: 1816
-/* 190 */     MCD_OPC_Decode, 169, 3, 196, 1, // Opcode: DADDu
-/* 195 */     MCD_OPC_FilterValue, 47, 15, 0, // Skip to: 214
-/* 199 */     MCD_OPC_CheckPredicate, 2, 77, 6, // Skip to: 1816
-/* 203 */     MCD_OPC_CheckField, 6, 5, 0, 71, 6, // Skip to: 1816
-/* 209 */     MCD_OPC_Decode, 249, 3, 196, 1, // Opcode: DSUBu
-/* 214 */     MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 233
-/* 218 */     MCD_OPC_CheckPredicate, 2, 58, 6, // Skip to: 1816
-/* 222 */     MCD_OPC_CheckField, 21, 5, 0, 52, 6, // Skip to: 1816
-/* 228 */     MCD_OPC_Decode, 239, 3, 197, 1, // Opcode: DSLL
-/* 233 */     MCD_OPC_FilterValue, 58, 29, 0, // Skip to: 266
-/* 237 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 240 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 253
-/* 244 */     MCD_OPC_CheckPredicate, 2, 32, 6, // Skip to: 1816
-/* 248 */     MCD_OPC_Decode, 246, 3, 197, 1, // Opcode: DSRL
-/* 253 */     MCD_OPC_FilterValue, 1, 23, 6, // Skip to: 1816
-/* 257 */     MCD_OPC_CheckPredicate, 19, 19, 6, // Skip to: 1816
-/* 261 */     MCD_OPC_Decode, 233, 3, 197, 1, // Opcode: DROTR
-/* 266 */     MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 285
-/* 270 */     MCD_OPC_CheckPredicate, 2, 6, 6, // Skip to: 1816
-/* 274 */     MCD_OPC_CheckField, 21, 5, 0, 0, 6, // Skip to: 1816
-/* 280 */     MCD_OPC_Decode, 243, 3, 197, 1, // Opcode: DSRA
-/* 285 */     MCD_OPC_FilterValue, 60, 15, 0, // Skip to: 304
-/* 289 */     MCD_OPC_CheckPredicate, 2, 243, 5, // Skip to: 1816
-/* 293 */     MCD_OPC_CheckField, 21, 5, 0, 237, 5, // Skip to: 1816
-/* 299 */     MCD_OPC_Decode, 240, 3, 197, 1, // Opcode: DSLL32
-/* 304 */     MCD_OPC_FilterValue, 62, 29, 0, // Skip to: 337
-/* 308 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 311 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 324
-/* 315 */     MCD_OPC_CheckPredicate, 2, 217, 5, // Skip to: 1816
-/* 319 */     MCD_OPC_Decode, 247, 3, 197, 1, // Opcode: DSRL32
-/* 324 */     MCD_OPC_FilterValue, 1, 208, 5, // Skip to: 1816
-/* 328 */     MCD_OPC_CheckPredicate, 19, 204, 5, // Skip to: 1816
-/* 332 */     MCD_OPC_Decode, 234, 3, 197, 1, // Opcode: DROTR32
-/* 337 */     MCD_OPC_FilterValue, 63, 195, 5, // Skip to: 1816
-/* 341 */     MCD_OPC_CheckPredicate, 2, 191, 5, // Skip to: 1816
-/* 345 */     MCD_OPC_CheckField, 21, 5, 0, 185, 5, // Skip to: 1816
-/* 351 */     MCD_OPC_Decode, 244, 3, 197, 1, // Opcode: DSRA32
-/* 356 */     MCD_OPC_FilterValue, 16, 41, 0, // Skip to: 401
-/* 360 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 363 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 382
-/* 367 */     MCD_OPC_CheckPredicate, 20, 165, 5, // Skip to: 1816
-/* 371 */     MCD_OPC_CheckField, 3, 8, 0, 159, 5, // Skip to: 1816
-/* 377 */     MCD_OPC_Decode, 191, 3, 198, 1, // Opcode: DMFC0
-/* 382 */     MCD_OPC_FilterValue, 5, 150, 5, // Skip to: 1816
-/* 386 */     MCD_OPC_CheckPredicate, 20, 146, 5, // Skip to: 1816
-/* 390 */     MCD_OPC_CheckField, 3, 8, 0, 140, 5, // Skip to: 1816
-/* 396 */     MCD_OPC_Decode, 194, 3, 198, 1, // Opcode: DMTC0
-/* 401 */     MCD_OPC_FilterValue, 17, 183, 3, // Skip to: 1356
-/* 405 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 408 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 427
-/* 412 */     MCD_OPC_CheckPredicate, 21, 120, 5, // Skip to: 1816
-/* 416 */     MCD_OPC_CheckField, 21, 5, 17, 114, 5, // Skip to: 1816
-/* 422 */     MCD_OPC_Decode, 154, 4, 199, 1, // Opcode: FADD_D64
-/* 427 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 446
-/* 431 */     MCD_OPC_CheckPredicate, 21, 101, 5, // Skip to: 1816
-/* 435 */     MCD_OPC_CheckField, 21, 5, 17, 95, 5, // Skip to: 1816
-/* 441 */     MCD_OPC_Decode, 156, 5, 199, 1, // Opcode: FSUB_D64
-/* 446 */     MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 465
-/* 450 */     MCD_OPC_CheckPredicate, 21, 82, 5, // Skip to: 1816
-/* 454 */     MCD_OPC_CheckField, 21, 5, 17, 76, 5, // Skip to: 1816
-/* 460 */     MCD_OPC_Decode, 247, 4, 199, 1, // Opcode: FMUL_D64
-/* 465 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 484
-/* 469 */     MCD_OPC_CheckPredicate, 21, 63, 5, // Skip to: 1816
-/* 473 */     MCD_OPC_CheckField, 21, 5, 17, 57, 5, // Skip to: 1816
-/* 479 */     MCD_OPC_Decode, 190, 4, 199, 1, // Opcode: FDIV_D64
-/* 484 */     MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 503
-/* 488 */     MCD_OPC_CheckPredicate, 21, 44, 5, // Skip to: 1816
-/* 492 */     MCD_OPC_CheckField, 16, 10, 160, 4, 37, 5, // Skip to: 1816
-/* 499 */     MCD_OPC_Decode, 149, 5, 77, // Opcode: FSQRT_D64
-/* 503 */     MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 522
-/* 507 */     MCD_OPC_CheckPredicate, 7, 25, 5, // Skip to: 1816
-/* 511 */     MCD_OPC_CheckField, 16, 10, 160, 4, 18, 5, // Skip to: 1816
-/* 518 */     MCD_OPC_Decode, 147, 4, 77, // Opcode: FABS_D64
-/* 522 */     MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 541
-/* 526 */     MCD_OPC_CheckPredicate, 21, 6, 5, // Skip to: 1816
-/* 530 */     MCD_OPC_CheckField, 16, 10, 160, 4, 255, 4, // Skip to: 1816
-/* 537 */     MCD_OPC_Decode, 240, 4, 77, // Opcode: FMOV_D64
-/* 541 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 560
-/* 545 */     MCD_OPC_CheckPredicate, 7, 243, 4, // Skip to: 1816
-/* 549 */     MCD_OPC_CheckField, 16, 10, 160, 4, 236, 4, // Skip to: 1816
-/* 556 */     MCD_OPC_Decode, 253, 4, 77, // Opcode: FNEG_D64
-/* 560 */     MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 593
-/* 564 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 567 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 580
-/* 572 */     MCD_OPC_CheckPredicate, 21, 216, 4, // Skip to: 1816
-/* 576 */     MCD_OPC_Decode, 129, 9, 70, // Opcode: ROUND_L_S
-/* 580 */     MCD_OPC_FilterValue, 160, 4, 207, 4, // Skip to: 1816
-/* 585 */     MCD_OPC_CheckPredicate, 21, 203, 4, // Skip to: 1816
-/* 589 */     MCD_OPC_Decode, 128, 9, 77, // Opcode: ROUND_L_D64
-/* 593 */     MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 626
-/* 597 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 600 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 613
-/* 605 */     MCD_OPC_CheckPredicate, 21, 183, 4, // Skip to: 1816
-/* 609 */     MCD_OPC_Decode, 174, 11, 70, // Opcode: TRUNC_L_S
-/* 613 */     MCD_OPC_FilterValue, 160, 4, 174, 4, // Skip to: 1816
-/* 618 */     MCD_OPC_CheckPredicate, 21, 170, 4, // Skip to: 1816
-/* 622 */     MCD_OPC_Decode, 173, 11, 77, // Opcode: TRUNC_L_D64
-/* 626 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 659
-/* 630 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 633 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 646
-/* 638 */     MCD_OPC_CheckPredicate, 21, 150, 4, // Skip to: 1816
-/* 642 */     MCD_OPC_Decode, 141, 2, 70, // Opcode: CEIL_L_S
-/* 646 */     MCD_OPC_FilterValue, 160, 4, 141, 4, // Skip to: 1816
-/* 651 */     MCD_OPC_CheckPredicate, 21, 137, 4, // Skip to: 1816
-/* 655 */     MCD_OPC_Decode, 140, 2, 77, // Opcode: CEIL_L_D64
-/* 659 */     MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 692
-/* 663 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 666 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 679
-/* 671 */     MCD_OPC_CheckPredicate, 21, 117, 4, // Skip to: 1816
-/* 675 */     MCD_OPC_Decode, 222, 4, 70, // Opcode: FLOOR_L_S
-/* 679 */     MCD_OPC_FilterValue, 160, 4, 108, 4, // Skip to: 1816
-/* 684 */     MCD_OPC_CheckPredicate, 21, 104, 4, // Skip to: 1816
-/* 688 */     MCD_OPC_Decode, 221, 4, 77, // Opcode: FLOOR_L_D64
-/* 692 */     MCD_OPC_FilterValue, 12, 16, 0, // Skip to: 712
-/* 696 */     MCD_OPC_CheckPredicate, 21, 92, 4, // Skip to: 1816
-/* 700 */     MCD_OPC_CheckField, 16, 10, 160, 4, 85, 4, // Skip to: 1816
-/* 707 */     MCD_OPC_Decode, 131, 9, 200, 1, // Opcode: ROUND_W_D64
-/* 712 */     MCD_OPC_FilterValue, 13, 16, 0, // Skip to: 732
-/* 716 */     MCD_OPC_CheckPredicate, 21, 72, 4, // Skip to: 1816
-/* 720 */     MCD_OPC_CheckField, 16, 10, 160, 4, 65, 4, // Skip to: 1816
-/* 727 */     MCD_OPC_Decode, 176, 11, 200, 1, // Opcode: TRUNC_W_D64
-/* 732 */     MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 752
-/* 736 */     MCD_OPC_CheckPredicate, 21, 52, 4, // Skip to: 1816
-/* 740 */     MCD_OPC_CheckField, 16, 10, 160, 4, 45, 4, // Skip to: 1816
-/* 747 */     MCD_OPC_Decode, 143, 2, 200, 1, // Opcode: CEIL_W_D64
-/* 752 */     MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 772
-/* 756 */     MCD_OPC_CheckPredicate, 21, 32, 4, // Skip to: 1816
-/* 760 */     MCD_OPC_CheckField, 16, 10, 160, 4, 25, 4, // Skip to: 1816
-/* 767 */     MCD_OPC_Decode, 224, 4, 200, 1, // Opcode: FLOOR_W_D64
-/* 772 */     MCD_OPC_FilterValue, 17, 41, 0, // Skip to: 817
-/* 776 */     MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 779 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 798
-/* 783 */     MCD_OPC_CheckPredicate, 21, 5, 4, // Skip to: 1816
-/* 787 */     MCD_OPC_CheckField, 21, 5, 17, 255, 3, // Skip to: 1816
-/* 793 */     MCD_OPC_Decode, 156, 7, 201, 1, // Opcode: MOVF_D64
-/* 798 */     MCD_OPC_FilterValue, 1, 246, 3, // Skip to: 1816
-/* 802 */     MCD_OPC_CheckPredicate, 21, 242, 3, // Skip to: 1816
-/* 806 */     MCD_OPC_CheckField, 21, 5, 17, 236, 3, // Skip to: 1816
-/* 812 */     MCD_OPC_Decode, 176, 7, 201, 1, // Opcode: MOVT_D64
-/* 817 */     MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 836
-/* 821 */     MCD_OPC_CheckPredicate, 21, 223, 3, // Skip to: 1816
-/* 825 */     MCD_OPC_CheckField, 21, 5, 17, 217, 3, // Skip to: 1816
-/* 831 */     MCD_OPC_Decode, 188, 7, 202, 1, // Opcode: MOVZ_I_D64
-/* 836 */     MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 855
-/* 840 */     MCD_OPC_CheckPredicate, 21, 204, 3, // Skip to: 1816
-/* 844 */     MCD_OPC_CheckField, 21, 5, 17, 198, 3, // Skip to: 1816
-/* 850 */     MCD_OPC_Decode, 168, 7, 202, 1, // Opcode: MOVN_I_D64
-/* 855 */     MCD_OPC_FilterValue, 32, 31, 0, // Skip to: 890
-/* 859 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 862 */     MCD_OPC_FilterValue, 160, 4, 9, 0, // Skip to: 876
-/* 867 */     MCD_OPC_CheckPredicate, 21, 177, 3, // Skip to: 1816
-/* 871 */     MCD_OPC_Decode, 233, 2, 200, 1, // Opcode: CVT_S_D64
-/* 876 */     MCD_OPC_FilterValue, 160, 5, 167, 3, // Skip to: 1816
-/* 881 */     MCD_OPC_CheckPredicate, 21, 163, 3, // Skip to: 1816
-/* 885 */     MCD_OPC_Decode, 234, 2, 200, 1, // Opcode: CVT_S_L
-/* 890 */     MCD_OPC_FilterValue, 33, 42, 0, // Skip to: 936
-/* 894 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 897 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 910
-/* 902 */     MCD_OPC_CheckPredicate, 21, 142, 3, // Skip to: 1816
-/* 906 */     MCD_OPC_Decode, 224, 2, 70, // Opcode: CVT_D64_S
-/* 910 */     MCD_OPC_FilterValue, 128, 5, 8, 0, // Skip to: 923
-/* 915 */     MCD_OPC_CheckPredicate, 21, 129, 3, // Skip to: 1816
-/* 919 */     MCD_OPC_Decode, 225, 2, 70, // Opcode: CVT_D64_W
-/* 923 */     MCD_OPC_FilterValue, 160, 5, 120, 3, // Skip to: 1816
-/* 928 */     MCD_OPC_CheckPredicate, 21, 116, 3, // Skip to: 1816
-/* 932 */     MCD_OPC_Decode, 223, 2, 77, // Opcode: CVT_D64_L
-/* 936 */     MCD_OPC_FilterValue, 36, 16, 0, // Skip to: 956
-/* 940 */     MCD_OPC_CheckPredicate, 21, 104, 3, // Skip to: 1816
-/* 944 */     MCD_OPC_CheckField, 16, 10, 160, 4, 97, 3, // Skip to: 1816
-/* 951 */     MCD_OPC_Decode, 238, 2, 200, 1, // Opcode: CVT_W_D64
-/* 956 */     MCD_OPC_FilterValue, 48, 21, 0, // Skip to: 981
-/* 960 */     MCD_OPC_CheckPredicate, 21, 84, 3, // Skip to: 1816
-/* 964 */     MCD_OPC_CheckField, 21, 5, 17, 78, 3, // Skip to: 1816
-/* 970 */     MCD_OPC_CheckField, 6, 5, 0, 72, 3, // Skip to: 1816
-/* 976 */     MCD_OPC_Decode, 246, 2, 203, 1, // Opcode: C_F_D64
-/* 981 */     MCD_OPC_FilterValue, 49, 21, 0, // Skip to: 1006
-/* 985 */     MCD_OPC_CheckPredicate, 21, 59, 3, // Skip to: 1816
-/* 989 */     MCD_OPC_CheckField, 21, 5, 17, 53, 3, // Skip to: 1816
-/* 995 */     MCD_OPC_CheckField, 6, 5, 0, 47, 3, // Skip to: 1816
-/* 1001 */    MCD_OPC_Decode, 160, 3, 203, 1, // Opcode: C_UN_D64
-/* 1006 */    MCD_OPC_FilterValue, 50, 21, 0, // Skip to: 1031
-/* 1010 */    MCD_OPC_CheckPredicate, 21, 34, 3, // Skip to: 1816
-/* 1014 */    MCD_OPC_CheckField, 21, 5, 17, 28, 3, // Skip to: 1816
-/* 1020 */    MCD_OPC_CheckField, 6, 5, 0, 22, 3, // Skip to: 1816
-/* 1026 */    MCD_OPC_Decode, 243, 2, 203, 1, // Opcode: C_EQ_D64
-/* 1031 */    MCD_OPC_FilterValue, 51, 21, 0, // Skip to: 1056
-/* 1035 */    MCD_OPC_CheckPredicate, 21, 9, 3, // Skip to: 1816
-/* 1039 */    MCD_OPC_CheckField, 21, 5, 17, 3, 3, // Skip to: 1816
-/* 1045 */    MCD_OPC_CheckField, 6, 5, 0, 253, 2, // Skip to: 1816
-/* 1051 */    MCD_OPC_Decode, 151, 3, 203, 1, // Opcode: C_UEQ_D64
-/* 1056 */    MCD_OPC_FilterValue, 52, 21, 0, // Skip to: 1081
-/* 1060 */    MCD_OPC_CheckPredicate, 21, 240, 2, // Skip to: 1816
-/* 1064 */    MCD_OPC_CheckField, 21, 5, 17, 234, 2, // Skip to: 1816
-/* 1070 */    MCD_OPC_CheckField, 6, 5, 0, 228, 2, // Skip to: 1816
-/* 1076 */    MCD_OPC_Decode, 142, 3, 203, 1, // Opcode: C_OLT_D64
-/* 1081 */    MCD_OPC_FilterValue, 53, 21, 0, // Skip to: 1106
-/* 1085 */    MCD_OPC_CheckPredicate, 21, 215, 2, // Skip to: 1816
-/* 1089 */    MCD_OPC_CheckField, 21, 5, 17, 209, 2, // Skip to: 1816
-/* 1095 */    MCD_OPC_CheckField, 6, 5, 0, 203, 2, // Skip to: 1816
-/* 1101 */    MCD_OPC_Decode, 157, 3, 203, 1, // Opcode: C_ULT_D64
-/* 1106 */    MCD_OPC_FilterValue, 54, 21, 0, // Skip to: 1131
-/* 1110 */    MCD_OPC_CheckPredicate, 21, 190, 2, // Skip to: 1816
-/* 1114 */    MCD_OPC_CheckField, 21, 5, 17, 184, 2, // Skip to: 1816
-/* 1120 */    MCD_OPC_CheckField, 6, 5, 0, 178, 2, // Skip to: 1816
-/* 1126 */    MCD_OPC_Decode, 139, 3, 203, 1, // Opcode: C_OLE_D64
-/* 1131 */    MCD_OPC_FilterValue, 55, 21, 0, // Skip to: 1156
-/* 1135 */    MCD_OPC_CheckPredicate, 21, 165, 2, // Skip to: 1816
-/* 1139 */    MCD_OPC_CheckField, 21, 5, 17, 159, 2, // Skip to: 1816
-/* 1145 */    MCD_OPC_CheckField, 6, 5, 0, 153, 2, // Skip to: 1816
-/* 1151 */    MCD_OPC_Decode, 154, 3, 203, 1, // Opcode: C_ULE_D64
-/* 1156 */    MCD_OPC_FilterValue, 56, 21, 0, // Skip to: 1181
-/* 1160 */    MCD_OPC_CheckPredicate, 21, 140, 2, // Skip to: 1816
-/* 1164 */    MCD_OPC_CheckField, 21, 5, 17, 134, 2, // Skip to: 1816
-/* 1170 */    MCD_OPC_CheckField, 6, 5, 0, 128, 2, // Skip to: 1816
-/* 1176 */    MCD_OPC_Decode, 148, 3, 203, 1, // Opcode: C_SF_D64
-/* 1181 */    MCD_OPC_FilterValue, 57, 21, 0, // Skip to: 1206
-/* 1185 */    MCD_OPC_CheckPredicate, 21, 115, 2, // Skip to: 1816
-/* 1189 */    MCD_OPC_CheckField, 21, 5, 17, 109, 2, // Skip to: 1816
-/* 1195 */    MCD_OPC_CheckField, 6, 5, 0, 103, 2, // Skip to: 1816
-/* 1201 */    MCD_OPC_Decode, 130, 3, 203, 1, // Opcode: C_NGLE_D64
-/* 1206 */    MCD_OPC_FilterValue, 58, 21, 0, // Skip to: 1231
-/* 1210 */    MCD_OPC_CheckPredicate, 21, 90, 2, // Skip to: 1816
-/* 1214 */    MCD_OPC_CheckField, 21, 5, 17, 84, 2, // Skip to: 1816
-/* 1220 */    MCD_OPC_CheckField, 6, 5, 0, 78, 2, // Skip to: 1816
-/* 1226 */    MCD_OPC_Decode, 145, 3, 203, 1, // Opcode: C_SEQ_D64
-/* 1231 */    MCD_OPC_FilterValue, 59, 21, 0, // Skip to: 1256
-/* 1235 */    MCD_OPC_CheckPredicate, 21, 65, 2, // Skip to: 1816
-/* 1239 */    MCD_OPC_CheckField, 21, 5, 17, 59, 2, // Skip to: 1816
-/* 1245 */    MCD_OPC_CheckField, 6, 5, 0, 53, 2, // Skip to: 1816
-/* 1251 */    MCD_OPC_Decode, 133, 3, 203, 1, // Opcode: C_NGL_D64
-/* 1256 */    MCD_OPC_FilterValue, 60, 21, 0, // Skip to: 1281
-/* 1260 */    MCD_OPC_CheckPredicate, 21, 40, 2, // Skip to: 1816
-/* 1264 */    MCD_OPC_CheckField, 21, 5, 17, 34, 2, // Skip to: 1816
-/* 1270 */    MCD_OPC_CheckField, 6, 5, 0, 28, 2, // Skip to: 1816
-/* 1276 */    MCD_OPC_Decode, 252, 2, 203, 1, // Opcode: C_LT_D64
-/* 1281 */    MCD_OPC_FilterValue, 61, 21, 0, // Skip to: 1306
-/* 1285 */    MCD_OPC_CheckPredicate, 21, 15, 2, // Skip to: 1816
-/* 1289 */    MCD_OPC_CheckField, 21, 5, 17, 9, 2, // Skip to: 1816
-/* 1295 */    MCD_OPC_CheckField, 6, 5, 0, 3, 2, // Skip to: 1816
-/* 1301 */    MCD_OPC_Decode, 255, 2, 203, 1, // Opcode: C_NGE_D64
-/* 1306 */    MCD_OPC_FilterValue, 62, 21, 0, // Skip to: 1331
-/* 1310 */    MCD_OPC_CheckPredicate, 21, 246, 1, // Skip to: 1816
-/* 1314 */    MCD_OPC_CheckField, 21, 5, 17, 240, 1, // Skip to: 1816
-/* 1320 */    MCD_OPC_CheckField, 6, 5, 0, 234, 1, // Skip to: 1816
-/* 1326 */    MCD_OPC_Decode, 249, 2, 203, 1, // Opcode: C_LE_D64
-/* 1331 */    MCD_OPC_FilterValue, 63, 225, 1, // Skip to: 1816
-/* 1335 */    MCD_OPC_CheckPredicate, 21, 221, 1, // Skip to: 1816
-/* 1339 */    MCD_OPC_CheckField, 21, 5, 17, 215, 1, // Skip to: 1816
-/* 1345 */    MCD_OPC_CheckField, 6, 5, 0, 209, 1, // Skip to: 1816
-/* 1351 */    MCD_OPC_Decode, 136, 3, 203, 1, // Opcode: C_NGT_D64
-/* 1356 */    MCD_OPC_FilterValue, 18, 41, 0, // Skip to: 1401
-/* 1360 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1363 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1382
-/* 1367 */    MCD_OPC_CheckPredicate, 20, 189, 1, // Skip to: 1816
-/* 1371 */    MCD_OPC_CheckField, 3, 8, 0, 183, 1, // Skip to: 1816
-/* 1377 */    MCD_OPC_Decode, 193, 3, 198, 1, // Opcode: DMFC2
-/* 1382 */    MCD_OPC_FilterValue, 5, 174, 1, // Skip to: 1816
-/* 1386 */    MCD_OPC_CheckPredicate, 20, 170, 1, // Skip to: 1816
-/* 1390 */    MCD_OPC_CheckField, 3, 8, 0, 164, 1, // Skip to: 1816
-/* 1396 */    MCD_OPC_Decode, 196, 3, 198, 1, // Opcode: DMTC2
-/* 1401 */    MCD_OPC_FilterValue, 19, 79, 0, // Skip to: 1484
-/* 1405 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 1408 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1427
-/* 1412 */    MCD_OPC_CheckPredicate, 22, 144, 1, // Skip to: 1816
-/* 1416 */    MCD_OPC_CheckField, 11, 5, 0, 138, 1, // Skip to: 1816
-/* 1422 */    MCD_OPC_Decode, 132, 6, 204, 1, // Opcode: LDXC164
-/* 1427 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 1446
-/* 1431 */    MCD_OPC_CheckPredicate, 21, 125, 1, // Skip to: 1816
-/* 1435 */    MCD_OPC_CheckField, 11, 5, 0, 119, 1, // Skip to: 1816
-/* 1441 */    MCD_OPC_Decode, 156, 6, 204, 1, // Opcode: LUXC164
-/* 1446 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1465
-/* 1450 */    MCD_OPC_CheckPredicate, 22, 106, 1, // Skip to: 1816
-/* 1454 */    MCD_OPC_CheckField, 6, 5, 0, 100, 1, // Skip to: 1816
-/* 1460 */    MCD_OPC_Decode, 163, 9, 205, 1, // Opcode: SDXC164
-/* 1465 */    MCD_OPC_FilterValue, 13, 91, 1, // Skip to: 1816
-/* 1469 */    MCD_OPC_CheckPredicate, 21, 87, 1, // Skip to: 1816
-/* 1473 */    MCD_OPC_CheckField, 6, 5, 0, 81, 1, // Skip to: 1816
-/* 1479 */    MCD_OPC_Decode, 209, 10, 205, 1, // Opcode: SUXC164
-/* 1484 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1497
-/* 1488 */    MCD_OPC_CheckPredicate, 2, 68, 1, // Skip to: 1816
-/* 1492 */    MCD_OPC_Decode, 167, 3, 206, 1, // Opcode: DADDi
-/* 1497 */    MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 1510
-/* 1501 */    MCD_OPC_CheckPredicate, 2, 55, 1, // Skip to: 1816
-/* 1505 */    MCD_OPC_Decode, 168, 3, 206, 1, // Opcode: DADDiu
-/* 1510 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 1523
-/* 1514 */    MCD_OPC_CheckPredicate, 2, 42, 1, // Skip to: 1816
-/* 1518 */    MCD_OPC_Decode, 129, 6, 192, 1, // Opcode: LDL
-/* 1523 */    MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 1536
-/* 1527 */    MCD_OPC_CheckPredicate, 2, 29, 1, // Skip to: 1816
-/* 1531 */    MCD_OPC_Decode, 130, 6, 192, 1, // Opcode: LDR
-/* 1536 */    MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 1569
-/* 1540 */    MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
-/* 1543 */    MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 1556
-/* 1547 */    MCD_OPC_CheckPredicate, 14, 9, 1, // Skip to: 1816
-/* 1551 */    MCD_OPC_Decode, 171, 3, 207, 1, // Opcode: DCLZ
-/* 1556 */    MCD_OPC_FilterValue, 37, 0, 1, // Skip to: 1816
-/* 1560 */    MCD_OPC_CheckPredicate, 14, 252, 0, // Skip to: 1816
-/* 1564 */    MCD_OPC_Decode, 170, 3, 207, 1, // Opcode: DCLO
-/* 1569 */    MCD_OPC_FilterValue, 31, 126, 0, // Skip to: 1699
-/* 1573 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 1576 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1589
-/* 1580 */    MCD_OPC_CheckPredicate, 3, 232, 0, // Skip to: 1816
-/* 1584 */    MCD_OPC_Decode, 175, 3, 208, 1, // Opcode: DEXTM
-/* 1589 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1602
-/* 1593 */    MCD_OPC_CheckPredicate, 3, 219, 0, // Skip to: 1816
-/* 1597 */    MCD_OPC_Decode, 176, 3, 208, 1, // Opcode: DEXTU
-/* 1602 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 1615
-/* 1606 */    MCD_OPC_CheckPredicate, 3, 206, 0, // Skip to: 1816
-/* 1610 */    MCD_OPC_Decode, 174, 3, 208, 1, // Opcode: DEXT
-/* 1615 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1628
-/* 1619 */    MCD_OPC_CheckPredicate, 3, 193, 0, // Skip to: 1816
-/* 1623 */    MCD_OPC_Decode, 179, 3, 209, 1, // Opcode: DINSM
-/* 1628 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1641
-/* 1632 */    MCD_OPC_CheckPredicate, 3, 180, 0, // Skip to: 1816
-/* 1636 */    MCD_OPC_Decode, 180, 3, 209, 1, // Opcode: DINSU
-/* 1641 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1654
-/* 1645 */    MCD_OPC_CheckPredicate, 3, 167, 0, // Skip to: 1816
-/* 1649 */    MCD_OPC_Decode, 178, 3, 209, 1, // Opcode: DINS
-/* 1654 */    MCD_OPC_FilterValue, 36, 158, 0, // Skip to: 1816
-/* 1658 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 1661 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 1680
-/* 1665 */    MCD_OPC_CheckPredicate, 16, 147, 0, // Skip to: 1816
-/* 1669 */    MCD_OPC_CheckField, 21, 5, 0, 141, 0, // Skip to: 1816
-/* 1675 */    MCD_OPC_Decode, 236, 3, 210, 1, // Opcode: DSBH
-/* 1680 */    MCD_OPC_FilterValue, 5, 132, 0, // Skip to: 1816
-/* 1684 */    MCD_OPC_CheckPredicate, 16, 128, 0, // Skip to: 1816
-/* 1688 */    MCD_OPC_CheckField, 21, 5, 0, 122, 0, // Skip to: 1816
-/* 1694 */    MCD_OPC_Decode, 238, 3, 210, 1, // Opcode: DSHD
-/* 1699 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 1712
-/* 1703 */    MCD_OPC_CheckPredicate, 2, 109, 0, // Skip to: 1816
-/* 1707 */    MCD_OPC_Decode, 177, 6, 192, 1, // Opcode: LWu
-/* 1712 */    MCD_OPC_FilterValue, 44, 9, 0, // Skip to: 1725
-/* 1716 */    MCD_OPC_CheckPredicate, 2, 96, 0, // Skip to: 1816
-/* 1720 */    MCD_OPC_Decode, 160, 9, 192, 1, // Opcode: SDL
-/* 1725 */    MCD_OPC_FilterValue, 45, 9, 0, // Skip to: 1738
-/* 1729 */    MCD_OPC_CheckPredicate, 2, 83, 0, // Skip to: 1816
-/* 1733 */    MCD_OPC_Decode, 161, 9, 192, 1, // Opcode: SDR
-/* 1738 */    MCD_OPC_FilterValue, 52, 9, 0, // Skip to: 1751
-/* 1742 */    MCD_OPC_CheckPredicate, 2, 70, 0, // Skip to: 1816
-/* 1746 */    MCD_OPC_Decode, 148, 6, 192, 1, // Opcode: LLD
-/* 1751 */    MCD_OPC_FilterValue, 53, 9, 0, // Skip to: 1764
-/* 1755 */    MCD_OPC_CheckPredicate, 21, 57, 0, // Skip to: 1816
-/* 1759 */    MCD_OPC_Decode, 250, 5, 193, 1, // Opcode: LDC164
-/* 1764 */    MCD_OPC_FilterValue, 55, 9, 0, // Skip to: 1777
-/* 1768 */    MCD_OPC_CheckPredicate, 2, 44, 0, // Skip to: 1816
-/* 1772 */    MCD_OPC_Decode, 248, 5, 192, 1, // Opcode: LD
-/* 1777 */    MCD_OPC_FilterValue, 60, 9, 0, // Skip to: 1790
-/* 1781 */    MCD_OPC_CheckPredicate, 2, 31, 0, // Skip to: 1816
-/* 1785 */    MCD_OPC_Decode, 151, 9, 192, 1, // Opcode: SCD
-/* 1790 */    MCD_OPC_FilterValue, 61, 9, 0, // Skip to: 1803
-/* 1794 */    MCD_OPC_CheckPredicate, 21, 18, 0, // Skip to: 1816
-/* 1798 */    MCD_OPC_Decode, 155, 9, 193, 1, // Opcode: SDC164
-/* 1803 */    MCD_OPC_FilterValue, 63, 9, 0, // Skip to: 1816
-/* 1807 */    MCD_OPC_CheckPredicate, 2, 5, 0, // Skip to: 1816
-/* 1811 */    MCD_OPC_Decode, 153, 9, 192, 1, // Opcode: SD
-/* 1816 */    MCD_OPC_Fail,
+/* 180 */     MCD_OPC_CheckPredicate, 17, 26, 8, // Skip to: 2258
+/* 184 */     MCD_OPC_CheckField, 6, 5, 0, 20, 8, // Skip to: 2258
+/* 190 */     MCD_OPC_Decode, 244, 3, 197, 1, // Opcode: DADDu
+/* 195 */     MCD_OPC_FilterValue, 46, 15, 0, // Skip to: 214
+/* 199 */     MCD_OPC_CheckPredicate, 17, 7, 8, // Skip to: 2258
+/* 203 */     MCD_OPC_CheckField, 6, 5, 0, 1, 8, // Skip to: 2258
+/* 209 */     MCD_OPC_Decode, 216, 4, 197, 1, // Opcode: DSUB
+/* 214 */     MCD_OPC_FilterValue, 47, 15, 0, // Skip to: 233
+/* 218 */     MCD_OPC_CheckPredicate, 17, 244, 7, // Skip to: 2258
+/* 222 */     MCD_OPC_CheckField, 6, 5, 0, 238, 7, // Skip to: 2258
+/* 228 */     MCD_OPC_Decode, 217, 4, 197, 1, // Opcode: DSUBu
+/* 233 */     MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 252
+/* 237 */     MCD_OPC_CheckPredicate, 17, 225, 7, // Skip to: 2258
+/* 241 */     MCD_OPC_CheckField, 21, 5, 0, 219, 7, // Skip to: 2258
+/* 247 */     MCD_OPC_Decode, 206, 4, 228, 1, // Opcode: DSLL
+/* 252 */     MCD_OPC_FilterValue, 58, 29, 0, // Skip to: 285
+/* 256 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 259 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 272
+/* 263 */     MCD_OPC_CheckPredicate, 17, 199, 7, // Skip to: 2258
+/* 267 */     MCD_OPC_Decode, 213, 4, 228, 1, // Opcode: DSRL
+/* 272 */     MCD_OPC_FilterValue, 1, 190, 7, // Skip to: 2258
+/* 276 */     MCD_OPC_CheckPredicate, 37, 186, 7, // Skip to: 2258
+/* 280 */     MCD_OPC_Decode, 200, 4, 228, 1, // Opcode: DROTR
+/* 285 */     MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 304
+/* 289 */     MCD_OPC_CheckPredicate, 17, 173, 7, // Skip to: 2258
+/* 293 */     MCD_OPC_CheckField, 21, 5, 0, 167, 7, // Skip to: 2258
+/* 299 */     MCD_OPC_Decode, 210, 4, 228, 1, // Opcode: DSRA
+/* 304 */     MCD_OPC_FilterValue, 60, 15, 0, // Skip to: 323
+/* 308 */     MCD_OPC_CheckPredicate, 17, 154, 7, // Skip to: 2258
+/* 312 */     MCD_OPC_CheckField, 21, 5, 0, 148, 7, // Skip to: 2258
+/* 318 */     MCD_OPC_Decode, 207, 4, 228, 1, // Opcode: DSLL32
+/* 323 */     MCD_OPC_FilterValue, 62, 29, 0, // Skip to: 356
+/* 327 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 330 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 343
+/* 334 */     MCD_OPC_CheckPredicate, 17, 128, 7, // Skip to: 2258
+/* 338 */     MCD_OPC_Decode, 214, 4, 228, 1, // Opcode: DSRL32
+/* 343 */     MCD_OPC_FilterValue, 1, 119, 7, // Skip to: 2258
+/* 347 */     MCD_OPC_CheckPredicate, 37, 115, 7, // Skip to: 2258
+/* 351 */     MCD_OPC_Decode, 201, 4, 228, 1, // Opcode: DROTR32
+/* 356 */     MCD_OPC_FilterValue, 63, 106, 7, // Skip to: 2258
+/* 360 */     MCD_OPC_CheckPredicate, 17, 102, 7, // Skip to: 2258
+/* 364 */     MCD_OPC_CheckField, 21, 5, 0, 96, 7, // Skip to: 2258
+/* 370 */     MCD_OPC_Decode, 211, 4, 228, 1, // Opcode: DSRA32
+/* 375 */     MCD_OPC_FilterValue, 16, 41, 0, // Skip to: 420
+/* 379 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 382 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 401
+/* 386 */     MCD_OPC_CheckPredicate, 39, 76, 7, // Skip to: 2258
+/* 390 */     MCD_OPC_CheckField, 3, 8, 0, 70, 7, // Skip to: 2258
+/* 396 */     MCD_OPC_Decode, 150, 4, 229, 1, // Opcode: DMFC0
+/* 401 */     MCD_OPC_FilterValue, 5, 61, 7, // Skip to: 2258
+/* 405 */     MCD_OPC_CheckPredicate, 39, 57, 7, // Skip to: 2258
+/* 409 */     MCD_OPC_CheckField, 3, 8, 0, 51, 7, // Skip to: 2258
+/* 415 */     MCD_OPC_Decode, 155, 4, 229, 1, // Opcode: DMTC0
+/* 420 */     MCD_OPC_FilterValue, 17, 222, 3, // Skip to: 1414
+/* 424 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 427 */     MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 485
+/* 431 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 434 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 453
+/* 438 */     MCD_OPC_CheckPredicate, 40, 24, 7, // Skip to: 2258
+/* 442 */     MCD_OPC_CheckField, 6, 5, 0, 18, 7, // Skip to: 2258
+/* 448 */     MCD_OPC_Decode, 245, 7, 230, 1, // Opcode: MFHC1_D64
+/* 453 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 472
+/* 457 */     MCD_OPC_CheckPredicate, 40, 5, 7, // Skip to: 2258
+/* 461 */     MCD_OPC_CheckField, 6, 5, 0, 255, 6, // Skip to: 2258
+/* 467 */     MCD_OPC_Decode, 234, 8, 231, 1, // Opcode: MTHC1_D64
+/* 472 */     MCD_OPC_FilterValue, 17, 246, 6, // Skip to: 2258
+/* 476 */     MCD_OPC_CheckPredicate, 41, 242, 6, // Skip to: 2258
+/* 480 */     MCD_OPC_Decode, 253, 4, 206, 1, // Opcode: FADD_D64
+/* 485 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 504
+/* 489 */     MCD_OPC_CheckPredicate, 41, 229, 6, // Skip to: 2258
+/* 493 */     MCD_OPC_CheckField, 21, 5, 17, 223, 6, // Skip to: 2258
+/* 499 */     MCD_OPC_Decode, 255, 5, 206, 1, // Opcode: FSUB_D64
+/* 504 */     MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 523
+/* 508 */     MCD_OPC_CheckPredicate, 41, 210, 6, // Skip to: 2258
+/* 512 */     MCD_OPC_CheckField, 21, 5, 17, 204, 6, // Skip to: 2258
+/* 518 */     MCD_OPC_Decode, 218, 5, 206, 1, // Opcode: FMUL_D64
+/* 523 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 542
+/* 527 */     MCD_OPC_CheckPredicate, 41, 191, 6, // Skip to: 2258
+/* 531 */     MCD_OPC_CheckField, 21, 5, 17, 185, 6, // Skip to: 2258
+/* 537 */     MCD_OPC_Decode, 161, 5, 206, 1, // Opcode: FDIV_D64
+/* 542 */     MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 561
+/* 546 */     MCD_OPC_CheckPredicate, 42, 172, 6, // Skip to: 2258
+/* 550 */     MCD_OPC_CheckField, 16, 10, 160, 4, 165, 6, // Skip to: 2258
+/* 557 */     MCD_OPC_Decode, 248, 5, 80, // Opcode: FSQRT_D64
+/* 561 */     MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 580
+/* 565 */     MCD_OPC_CheckPredicate, 41, 153, 6, // Skip to: 2258
+/* 569 */     MCD_OPC_CheckField, 16, 10, 160, 4, 146, 6, // Skip to: 2258
+/* 576 */     MCD_OPC_Decode, 246, 4, 80, // Opcode: FABS_D64
+/* 580 */     MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 599
+/* 584 */     MCD_OPC_CheckPredicate, 41, 134, 6, // Skip to: 2258
+/* 588 */     MCD_OPC_CheckField, 16, 10, 160, 4, 127, 6, // Skip to: 2258
+/* 595 */     MCD_OPC_Decode, 211, 5, 80, // Opcode: FMOV_D64
+/* 599 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 618
+/* 603 */     MCD_OPC_CheckPredicate, 41, 115, 6, // Skip to: 2258
+/* 607 */     MCD_OPC_CheckField, 16, 10, 160, 4, 108, 6, // Skip to: 2258
+/* 614 */     MCD_OPC_Decode, 224, 5, 80, // Opcode: FNEG_D64
+/* 618 */     MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 651
+/* 622 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 625 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 638
+/* 630 */     MCD_OPC_CheckPredicate, 41, 88, 6, // Skip to: 2258
+/* 634 */     MCD_OPC_Decode, 164, 10, 73, // Opcode: ROUND_L_S
+/* 638 */     MCD_OPC_FilterValue, 160, 4, 79, 6, // Skip to: 2258
+/* 643 */     MCD_OPC_CheckPredicate, 41, 75, 6, // Skip to: 2258
+/* 647 */     MCD_OPC_Decode, 163, 10, 80, // Opcode: ROUND_L_D64
+/* 651 */     MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 684
+/* 655 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 658 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 671
+/* 663 */     MCD_OPC_CheckPredicate, 41, 55, 6, // Skip to: 2258
+/* 667 */     MCD_OPC_Decode, 236, 12, 73, // Opcode: TRUNC_L_S
+/* 671 */     MCD_OPC_FilterValue, 160, 4, 46, 6, // Skip to: 2258
+/* 676 */     MCD_OPC_CheckPredicate, 41, 42, 6, // Skip to: 2258
+/* 680 */     MCD_OPC_Decode, 235, 12, 80, // Opcode: TRUNC_L_D64
+/* 684 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 717
+/* 688 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 691 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 704
+/* 696 */     MCD_OPC_CheckPredicate, 41, 22, 6, // Skip to: 2258
+/* 700 */     MCD_OPC_Decode, 178, 2, 73, // Opcode: CEIL_L_S
+/* 704 */     MCD_OPC_FilterValue, 160, 4, 13, 6, // Skip to: 2258
+/* 709 */     MCD_OPC_CheckPredicate, 41, 9, 6, // Skip to: 2258
+/* 713 */     MCD_OPC_Decode, 177, 2, 80, // Opcode: CEIL_L_D64
+/* 717 */     MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 750
+/* 721 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 724 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 737
+/* 729 */     MCD_OPC_CheckPredicate, 41, 245, 5, // Skip to: 2258
+/* 733 */     MCD_OPC_Decode, 193, 5, 73, // Opcode: FLOOR_L_S
+/* 737 */     MCD_OPC_FilterValue, 160, 4, 236, 5, // Skip to: 2258
+/* 742 */     MCD_OPC_CheckPredicate, 41, 232, 5, // Skip to: 2258
+/* 746 */     MCD_OPC_Decode, 192, 5, 80, // Opcode: FLOOR_L_D64
+/* 750 */     MCD_OPC_FilterValue, 12, 16, 0, // Skip to: 770
+/* 754 */     MCD_OPC_CheckPredicate, 42, 220, 5, // Skip to: 2258
+/* 758 */     MCD_OPC_CheckField, 16, 10, 160, 4, 213, 5, // Skip to: 2258
+/* 765 */     MCD_OPC_Decode, 166, 10, 232, 1, // Opcode: ROUND_W_D64
+/* 770 */     MCD_OPC_FilterValue, 13, 16, 0, // Skip to: 790
+/* 774 */     MCD_OPC_CheckPredicate, 42, 200, 5, // Skip to: 2258
+/* 778 */     MCD_OPC_CheckField, 16, 10, 160, 4, 193, 5, // Skip to: 2258
+/* 785 */     MCD_OPC_Decode, 238, 12, 232, 1, // Opcode: TRUNC_W_D64
+/* 790 */     MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 810
+/* 794 */     MCD_OPC_CheckPredicate, 42, 180, 5, // Skip to: 2258
+/* 798 */     MCD_OPC_CheckField, 16, 10, 160, 4, 173, 5, // Skip to: 2258
+/* 805 */     MCD_OPC_Decode, 180, 2, 232, 1, // Opcode: CEIL_W_D64
+/* 810 */     MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 830
+/* 814 */     MCD_OPC_CheckPredicate, 42, 160, 5, // Skip to: 2258
+/* 818 */     MCD_OPC_CheckField, 16, 10, 160, 4, 153, 5, // Skip to: 2258
+/* 825 */     MCD_OPC_Decode, 195, 5, 232, 1, // Opcode: FLOOR_W_D64
+/* 830 */     MCD_OPC_FilterValue, 17, 41, 0, // Skip to: 875
+/* 834 */     MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 837 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 856
+/* 841 */     MCD_OPC_CheckPredicate, 43, 133, 5, // Skip to: 2258
+/* 845 */     MCD_OPC_CheckField, 21, 5, 17, 127, 5, // Skip to: 2258
+/* 851 */     MCD_OPC_Decode, 170, 8, 233, 1, // Opcode: MOVF_D64
+/* 856 */     MCD_OPC_FilterValue, 1, 118, 5, // Skip to: 2258
+/* 860 */     MCD_OPC_CheckPredicate, 43, 114, 5, // Skip to: 2258
+/* 864 */     MCD_OPC_CheckField, 21, 5, 17, 108, 5, // Skip to: 2258
+/* 870 */     MCD_OPC_Decode, 190, 8, 233, 1, // Opcode: MOVT_D64
+/* 875 */     MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 894
+/* 879 */     MCD_OPC_CheckPredicate, 43, 95, 5, // Skip to: 2258
+/* 883 */     MCD_OPC_CheckField, 21, 5, 17, 89, 5, // Skip to: 2258
+/* 889 */     MCD_OPC_Decode, 202, 8, 234, 1, // Opcode: MOVZ_I_D64
+/* 894 */     MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 913
+/* 898 */     MCD_OPC_CheckPredicate, 43, 76, 5, // Skip to: 2258
+/* 902 */     MCD_OPC_CheckField, 21, 5, 17, 70, 5, // Skip to: 2258
+/* 908 */     MCD_OPC_Decode, 182, 8, 234, 1, // Opcode: MOVN_I_D64
+/* 913 */     MCD_OPC_FilterValue, 32, 31, 0, // Skip to: 948
+/* 917 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 920 */     MCD_OPC_FilterValue, 160, 4, 9, 0, // Skip to: 934
+/* 925 */     MCD_OPC_CheckPredicate, 41, 49, 5, // Skip to: 2258
+/* 929 */     MCD_OPC_Decode, 180, 3, 232, 1, // Opcode: CVT_S_D64
+/* 934 */     MCD_OPC_FilterValue, 160, 5, 39, 5, // Skip to: 2258
+/* 939 */     MCD_OPC_CheckPredicate, 41, 35, 5, // Skip to: 2258
+/* 943 */     MCD_OPC_Decode, 181, 3, 232, 1, // Opcode: CVT_S_L
+/* 948 */     MCD_OPC_FilterValue, 33, 42, 0, // Skip to: 994
+/* 952 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 955 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 968
+/* 960 */     MCD_OPC_CheckPredicate, 41, 14, 5, // Skip to: 2258
+/* 964 */     MCD_OPC_Decode, 171, 3, 73, // Opcode: CVT_D64_S
+/* 968 */     MCD_OPC_FilterValue, 128, 5, 8, 0, // Skip to: 981
+/* 973 */     MCD_OPC_CheckPredicate, 41, 1, 5, // Skip to: 2258
+/* 977 */     MCD_OPC_Decode, 172, 3, 73, // Opcode: CVT_D64_W
+/* 981 */     MCD_OPC_FilterValue, 160, 5, 248, 4, // Skip to: 2258
+/* 986 */     MCD_OPC_CheckPredicate, 41, 244, 4, // Skip to: 2258
+/* 990 */     MCD_OPC_Decode, 170, 3, 80, // Opcode: CVT_D64_L
+/* 994 */     MCD_OPC_FilterValue, 36, 16, 0, // Skip to: 1014
+/* 998 */     MCD_OPC_CheckPredicate, 41, 232, 4, // Skip to: 2258
+/* 1002 */    MCD_OPC_CheckField, 16, 10, 160, 4, 225, 4, // Skip to: 2258
+/* 1009 */    MCD_OPC_Decode, 185, 3, 232, 1, // Opcode: CVT_W_D64
+/* 1014 */    MCD_OPC_FilterValue, 48, 21, 0, // Skip to: 1039
+/* 1018 */    MCD_OPC_CheckPredicate, 44, 212, 4, // Skip to: 2258
+/* 1022 */    MCD_OPC_CheckField, 21, 5, 17, 206, 4, // Skip to: 2258
+/* 1028 */    MCD_OPC_CheckField, 6, 5, 0, 200, 4, // Skip to: 2258
+/* 1034 */    MCD_OPC_Decode, 193, 3, 235, 1, // Opcode: C_F_D64
+/* 1039 */    MCD_OPC_FilterValue, 49, 21, 0, // Skip to: 1064
+/* 1043 */    MCD_OPC_CheckPredicate, 44, 187, 4, // Skip to: 2258
+/* 1047 */    MCD_OPC_CheckField, 21, 5, 17, 181, 4, // Skip to: 2258
+/* 1053 */    MCD_OPC_CheckField, 6, 5, 0, 175, 4, // Skip to: 2258
+/* 1059 */    MCD_OPC_Decode, 235, 3, 235, 1, // Opcode: C_UN_D64
+/* 1064 */    MCD_OPC_FilterValue, 50, 21, 0, // Skip to: 1089
+/* 1068 */    MCD_OPC_CheckPredicate, 44, 162, 4, // Skip to: 2258
+/* 1072 */    MCD_OPC_CheckField, 21, 5, 17, 156, 4, // Skip to: 2258
+/* 1078 */    MCD_OPC_CheckField, 6, 5, 0, 150, 4, // Skip to: 2258
+/* 1084 */    MCD_OPC_Decode, 190, 3, 235, 1, // Opcode: C_EQ_D64
+/* 1089 */    MCD_OPC_FilterValue, 51, 21, 0, // Skip to: 1114
+/* 1093 */    MCD_OPC_CheckPredicate, 44, 137, 4, // Skip to: 2258
+/* 1097 */    MCD_OPC_CheckField, 21, 5, 17, 131, 4, // Skip to: 2258
+/* 1103 */    MCD_OPC_CheckField, 6, 5, 0, 125, 4, // Skip to: 2258
+/* 1109 */    MCD_OPC_Decode, 226, 3, 235, 1, // Opcode: C_UEQ_D64
+/* 1114 */    MCD_OPC_FilterValue, 52, 21, 0, // Skip to: 1139
+/* 1118 */    MCD_OPC_CheckPredicate, 44, 112, 4, // Skip to: 2258
+/* 1122 */    MCD_OPC_CheckField, 21, 5, 17, 106, 4, // Skip to: 2258
+/* 1128 */    MCD_OPC_CheckField, 6, 5, 0, 100, 4, // Skip to: 2258
+/* 1134 */    MCD_OPC_Decode, 217, 3, 235, 1, // Opcode: C_OLT_D64
+/* 1139 */    MCD_OPC_FilterValue, 53, 21, 0, // Skip to: 1164
+/* 1143 */    MCD_OPC_CheckPredicate, 44, 87, 4, // Skip to: 2258
+/* 1147 */    MCD_OPC_CheckField, 21, 5, 17, 81, 4, // Skip to: 2258
+/* 1153 */    MCD_OPC_CheckField, 6, 5, 0, 75, 4, // Skip to: 2258
+/* 1159 */    MCD_OPC_Decode, 232, 3, 235, 1, // Opcode: C_ULT_D64
+/* 1164 */    MCD_OPC_FilterValue, 54, 21, 0, // Skip to: 1189
+/* 1168 */    MCD_OPC_CheckPredicate, 44, 62, 4, // Skip to: 2258
+/* 1172 */    MCD_OPC_CheckField, 21, 5, 17, 56, 4, // Skip to: 2258
+/* 1178 */    MCD_OPC_CheckField, 6, 5, 0, 50, 4, // Skip to: 2258
+/* 1184 */    MCD_OPC_Decode, 214, 3, 235, 1, // Opcode: C_OLE_D64
+/* 1189 */    MCD_OPC_FilterValue, 55, 21, 0, // Skip to: 1214
+/* 1193 */    MCD_OPC_CheckPredicate, 44, 37, 4, // Skip to: 2258
+/* 1197 */    MCD_OPC_CheckField, 21, 5, 17, 31, 4, // Skip to: 2258
+/* 1203 */    MCD_OPC_CheckField, 6, 5, 0, 25, 4, // Skip to: 2258
+/* 1209 */    MCD_OPC_Decode, 229, 3, 235, 1, // Opcode: C_ULE_D64
+/* 1214 */    MCD_OPC_FilterValue, 56, 21, 0, // Skip to: 1239
+/* 1218 */    MCD_OPC_CheckPredicate, 44, 12, 4, // Skip to: 2258
+/* 1222 */    MCD_OPC_CheckField, 21, 5, 17, 6, 4, // Skip to: 2258
+/* 1228 */    MCD_OPC_CheckField, 6, 5, 0, 0, 4, // Skip to: 2258
+/* 1234 */    MCD_OPC_Decode, 223, 3, 235, 1, // Opcode: C_SF_D64
+/* 1239 */    MCD_OPC_FilterValue, 57, 21, 0, // Skip to: 1264
+/* 1243 */    MCD_OPC_CheckPredicate, 44, 243, 3, // Skip to: 2258
+/* 1247 */    MCD_OPC_CheckField, 21, 5, 17, 237, 3, // Skip to: 2258
+/* 1253 */    MCD_OPC_CheckField, 6, 5, 0, 231, 3, // Skip to: 2258
+/* 1259 */    MCD_OPC_Decode, 205, 3, 235, 1, // Opcode: C_NGLE_D64
+/* 1264 */    MCD_OPC_FilterValue, 58, 21, 0, // Skip to: 1289
+/* 1268 */    MCD_OPC_CheckPredicate, 44, 218, 3, // Skip to: 2258
+/* 1272 */    MCD_OPC_CheckField, 21, 5, 17, 212, 3, // Skip to: 2258
+/* 1278 */    MCD_OPC_CheckField, 6, 5, 0, 206, 3, // Skip to: 2258
+/* 1284 */    MCD_OPC_Decode, 220, 3, 235, 1, // Opcode: C_SEQ_D64
+/* 1289 */    MCD_OPC_FilterValue, 59, 21, 0, // Skip to: 1314
+/* 1293 */    MCD_OPC_CheckPredicate, 44, 193, 3, // Skip to: 2258
+/* 1297 */    MCD_OPC_CheckField, 21, 5, 17, 187, 3, // Skip to: 2258
+/* 1303 */    MCD_OPC_CheckField, 6, 5, 0, 181, 3, // Skip to: 2258
+/* 1309 */    MCD_OPC_Decode, 208, 3, 235, 1, // Opcode: C_NGL_D64
+/* 1314 */    MCD_OPC_FilterValue, 60, 21, 0, // Skip to: 1339
+/* 1318 */    MCD_OPC_CheckPredicate, 44, 168, 3, // Skip to: 2258
+/* 1322 */    MCD_OPC_CheckField, 21, 5, 17, 162, 3, // Skip to: 2258
+/* 1328 */    MCD_OPC_CheckField, 6, 5, 0, 156, 3, // Skip to: 2258
+/* 1334 */    MCD_OPC_Decode, 199, 3, 235, 1, // Opcode: C_LT_D64
+/* 1339 */    MCD_OPC_FilterValue, 61, 21, 0, // Skip to: 1364
+/* 1343 */    MCD_OPC_CheckPredicate, 44, 143, 3, // Skip to: 2258
+/* 1347 */    MCD_OPC_CheckField, 21, 5, 17, 137, 3, // Skip to: 2258
+/* 1353 */    MCD_OPC_CheckField, 6, 5, 0, 131, 3, // Skip to: 2258
+/* 1359 */    MCD_OPC_Decode, 202, 3, 235, 1, // Opcode: C_NGE_D64
+/* 1364 */    MCD_OPC_FilterValue, 62, 21, 0, // Skip to: 1389
+/* 1368 */    MCD_OPC_CheckPredicate, 44, 118, 3, // Skip to: 2258
+/* 1372 */    MCD_OPC_CheckField, 21, 5, 17, 112, 3, // Skip to: 2258
+/* 1378 */    MCD_OPC_CheckField, 6, 5, 0, 106, 3, // Skip to: 2258
+/* 1384 */    MCD_OPC_Decode, 196, 3, 235, 1, // Opcode: C_LE_D64
+/* 1389 */    MCD_OPC_FilterValue, 63, 97, 3, // Skip to: 2258
+/* 1393 */    MCD_OPC_CheckPredicate, 44, 93, 3, // Skip to: 2258
+/* 1397 */    MCD_OPC_CheckField, 21, 5, 17, 87, 3, // Skip to: 2258
+/* 1403 */    MCD_OPC_CheckField, 6, 5, 0, 81, 3, // Skip to: 2258
+/* 1409 */    MCD_OPC_Decode, 211, 3, 235, 1, // Opcode: C_NGT_D64
+/* 1414 */    MCD_OPC_FilterValue, 18, 41, 0, // Skip to: 1459
+/* 1418 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1421 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1440
+/* 1425 */    MCD_OPC_CheckPredicate, 39, 61, 3, // Skip to: 2258
+/* 1429 */    MCD_OPC_CheckField, 3, 8, 0, 55, 3, // Skip to: 2258
+/* 1435 */    MCD_OPC_Decode, 152, 4, 229, 1, // Opcode: DMFC2
+/* 1440 */    MCD_OPC_FilterValue, 5, 46, 3, // Skip to: 2258
+/* 1444 */    MCD_OPC_CheckPredicate, 39, 42, 3, // Skip to: 2258
+/* 1448 */    MCD_OPC_CheckField, 3, 8, 0, 36, 3, // Skip to: 2258
+/* 1454 */    MCD_OPC_Decode, 157, 4, 229, 1, // Opcode: DMTC2
+/* 1459 */    MCD_OPC_FilterValue, 19, 79, 0, // Skip to: 1542
+/* 1463 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1466 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1485
+/* 1470 */    MCD_OPC_CheckPredicate, 45, 16, 3, // Skip to: 2258
+/* 1474 */    MCD_OPC_CheckField, 11, 5, 0, 10, 3, // Skip to: 2258
+/* 1480 */    MCD_OPC_Decode, 247, 6, 236, 1, // Opcode: LDXC164
+/* 1485 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 1504
+/* 1489 */    MCD_OPC_CheckPredicate, 46, 253, 2, // Skip to: 2258
+/* 1493 */    MCD_OPC_CheckField, 11, 5, 0, 247, 2, // Skip to: 2258
+/* 1499 */    MCD_OPC_Decode, 149, 7, 236, 1, // Opcode: LUXC164
+/* 1504 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1523
+/* 1508 */    MCD_OPC_CheckPredicate, 45, 234, 2, // Skip to: 2258
+/* 1512 */    MCD_OPC_CheckField, 6, 5, 0, 228, 2, // Skip to: 2258
+/* 1518 */    MCD_OPC_Decode, 204, 10, 237, 1, // Opcode: SDXC164
+/* 1523 */    MCD_OPC_FilterValue, 13, 219, 2, // Skip to: 2258
+/* 1527 */    MCD_OPC_CheckPredicate, 46, 215, 2, // Skip to: 2258
+/* 1531 */    MCD_OPC_CheckField, 6, 5, 0, 209, 2, // Skip to: 2258
+/* 1537 */    MCD_OPC_Decode, 137, 12, 237, 1, // Opcode: SUXC164
+/* 1542 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1555
+/* 1546 */    MCD_OPC_CheckPredicate, 38, 196, 2, // Skip to: 2258
+/* 1550 */    MCD_OPC_Decode, 242, 3, 238, 1, // Opcode: DADDi
+/* 1555 */    MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 1568
+/* 1559 */    MCD_OPC_CheckPredicate, 17, 183, 2, // Skip to: 2258
+/* 1563 */    MCD_OPC_Decode, 243, 3, 238, 1, // Opcode: DADDiu
+/* 1568 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 1581
+/* 1572 */    MCD_OPC_CheckPredicate, 38, 170, 2, // Skip to: 2258
+/* 1576 */    MCD_OPC_Decode, 243, 6, 192, 1, // Opcode: LDL
+/* 1581 */    MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 1594
+/* 1585 */    MCD_OPC_CheckPredicate, 38, 157, 2, // Skip to: 2258
+/* 1589 */    MCD_OPC_Decode, 245, 6, 192, 1, // Opcode: LDR
+/* 1594 */    MCD_OPC_FilterValue, 28, 159, 1, // Skip to: 2013
+/* 1598 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1601 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 1620
+/* 1605 */    MCD_OPC_CheckPredicate, 47, 137, 2, // Skip to: 2258
+/* 1609 */    MCD_OPC_CheckField, 6, 5, 0, 131, 2, // Skip to: 2258
+/* 1615 */    MCD_OPC_Decode, 160, 4, 197, 1, // Opcode: DMUL
+/* 1620 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 1639
+/* 1624 */    MCD_OPC_CheckPredicate, 47, 118, 2, // Skip to: 2258
+/* 1628 */    MCD_OPC_CheckField, 6, 15, 0, 112, 2, // Skip to: 2258
+/* 1634 */    MCD_OPC_Decode, 245, 8, 239, 1, // Opcode: MTM0
+/* 1639 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1658
+/* 1643 */    MCD_OPC_CheckPredicate, 47, 99, 2, // Skip to: 2258
+/* 1647 */    MCD_OPC_CheckField, 6, 15, 0, 93, 2, // Skip to: 2258
+/* 1653 */    MCD_OPC_Decode, 248, 8, 239, 1, // Opcode: MTP0
+/* 1658 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1677
+/* 1662 */    MCD_OPC_CheckPredicate, 47, 80, 2, // Skip to: 2258
+/* 1666 */    MCD_OPC_CheckField, 6, 15, 0, 74, 2, // Skip to: 2258
+/* 1672 */    MCD_OPC_Decode, 249, 8, 239, 1, // Opcode: MTP1
+/* 1677 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 1696
+/* 1681 */    MCD_OPC_CheckPredicate, 47, 61, 2, // Skip to: 2258
+/* 1685 */    MCD_OPC_CheckField, 6, 15, 0, 55, 2, // Skip to: 2258
+/* 1691 */    MCD_OPC_Decode, 250, 8, 239, 1, // Opcode: MTP2
+/* 1696 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 1715
+/* 1700 */    MCD_OPC_CheckPredicate, 47, 42, 2, // Skip to: 2258
+/* 1704 */    MCD_OPC_CheckField, 6, 15, 0, 36, 2, // Skip to: 2258
+/* 1710 */    MCD_OPC_Decode, 246, 8, 239, 1, // Opcode: MTM1
+/* 1715 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 1734
+/* 1719 */    MCD_OPC_CheckPredicate, 47, 23, 2, // Skip to: 2258
+/* 1723 */    MCD_OPC_CheckField, 6, 15, 0, 17, 2, // Skip to: 2258
+/* 1729 */    MCD_OPC_Decode, 247, 8, 239, 1, // Opcode: MTM2
+/* 1734 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 1753
+/* 1738 */    MCD_OPC_CheckPredicate, 47, 4, 2, // Skip to: 2258
+/* 1742 */    MCD_OPC_CheckField, 6, 5, 0, 254, 1, // Skip to: 2258
+/* 1748 */    MCD_OPC_Decode, 247, 12, 197, 1, // Opcode: VMULU
+/* 1753 */    MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 1772
+/* 1757 */    MCD_OPC_CheckPredicate, 47, 241, 1, // Skip to: 2258
+/* 1761 */    MCD_OPC_CheckField, 6, 5, 0, 235, 1, // Skip to: 2258
+/* 1767 */    MCD_OPC_Decode, 246, 12, 197, 1, // Opcode: VMM0
+/* 1772 */    MCD_OPC_FilterValue, 17, 15, 0, // Skip to: 1791
+/* 1776 */    MCD_OPC_CheckPredicate, 47, 222, 1, // Skip to: 2258
+/* 1780 */    MCD_OPC_CheckField, 6, 5, 0, 216, 1, // Skip to: 2258
+/* 1786 */    MCD_OPC_Decode, 245, 12, 197, 1, // Opcode: V3MULU
+/* 1791 */    MCD_OPC_FilterValue, 36, 15, 0, // Skip to: 1810
+/* 1795 */    MCD_OPC_CheckPredicate, 48, 203, 1, // Skip to: 2258
+/* 1799 */    MCD_OPC_CheckField, 6, 5, 0, 197, 1, // Skip to: 2258
+/* 1805 */    MCD_OPC_Decode, 252, 3, 240, 1, // Opcode: DCLZ
+/* 1810 */    MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 1829
+/* 1814 */    MCD_OPC_CheckPredicate, 48, 184, 1, // Skip to: 2258
+/* 1818 */    MCD_OPC_CheckField, 6, 5, 0, 178, 1, // Skip to: 2258
+/* 1824 */    MCD_OPC_Decode, 250, 3, 240, 1, // Opcode: DCLO
+/* 1829 */    MCD_OPC_FilterValue, 40, 15, 0, // Skip to: 1848
+/* 1833 */    MCD_OPC_CheckPredicate, 47, 165, 1, // Skip to: 2258
+/* 1837 */    MCD_OPC_CheckField, 6, 5, 0, 159, 1, // Skip to: 2258
+/* 1843 */    MCD_OPC_Decode, 155, 1, 197, 1, // Opcode: BADDu
+/* 1848 */    MCD_OPC_FilterValue, 42, 15, 0, // Skip to: 1867
+/* 1852 */    MCD_OPC_CheckPredicate, 47, 146, 1, // Skip to: 2258
+/* 1856 */    MCD_OPC_CheckField, 6, 5, 0, 140, 1, // Skip to: 2258
+/* 1862 */    MCD_OPC_Decode, 221, 10, 197, 1, // Opcode: SEQ
+/* 1867 */    MCD_OPC_FilterValue, 43, 15, 0, // Skip to: 1886
+/* 1871 */    MCD_OPC_CheckPredicate, 47, 127, 1, // Skip to: 2258
+/* 1875 */    MCD_OPC_CheckField, 6, 5, 0, 121, 1, // Skip to: 2258
+/* 1881 */    MCD_OPC_Decode, 159, 11, 197, 1, // Opcode: SNE
+/* 1886 */    MCD_OPC_FilterValue, 44, 20, 0, // Skip to: 1910
+/* 1890 */    MCD_OPC_CheckPredicate, 47, 108, 1, // Skip to: 2258
+/* 1894 */    MCD_OPC_CheckField, 16, 5, 0, 102, 1, // Skip to: 2258
+/* 1900 */    MCD_OPC_CheckField, 6, 5, 0, 96, 1, // Skip to: 2258
+/* 1906 */    MCD_OPC_Decode, 220, 9, 39, // Opcode: POP
+/* 1910 */    MCD_OPC_FilterValue, 45, 21, 0, // Skip to: 1935
+/* 1914 */    MCD_OPC_CheckPredicate, 47, 84, 1, // Skip to: 2258
+/* 1918 */    MCD_OPC_CheckField, 16, 5, 0, 78, 1, // Skip to: 2258
+/* 1924 */    MCD_OPC_CheckField, 6, 5, 0, 72, 1, // Skip to: 2258
+/* 1930 */    MCD_OPC_Decode, 185, 4, 195, 1, // Opcode: DPOP
+/* 1935 */    MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 1948
+/* 1939 */    MCD_OPC_CheckPredicate, 47, 59, 1, // Skip to: 2258
+/* 1943 */    MCD_OPC_Decode, 222, 10, 241, 1, // Opcode: SEQi
+/* 1948 */    MCD_OPC_FilterValue, 47, 9, 0, // Skip to: 1961
+/* 1952 */    MCD_OPC_CheckPredicate, 47, 46, 1, // Skip to: 2258
+/* 1956 */    MCD_OPC_Decode, 160, 11, 241, 1, // Opcode: SNEi
+/* 1961 */    MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 1974
+/* 1965 */    MCD_OPC_CheckPredicate, 47, 33, 1, // Skip to: 2258
+/* 1969 */    MCD_OPC_Decode, 195, 2, 242, 1, // Opcode: CINS
+/* 1974 */    MCD_OPC_FilterValue, 51, 9, 0, // Skip to: 1987
+/* 1978 */    MCD_OPC_CheckPredicate, 47, 20, 1, // Skip to: 2258
+/* 1982 */    MCD_OPC_Decode, 196, 2, 242, 1, // Opcode: CINS32
+/* 1987 */    MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 2000
+/* 1991 */    MCD_OPC_CheckPredicate, 47, 7, 1, // Skip to: 2258
+/* 1995 */    MCD_OPC_Decode, 239, 4, 242, 1, // Opcode: EXTS
+/* 2000 */    MCD_OPC_FilterValue, 59, 254, 0, // Skip to: 2258
+/* 2004 */    MCD_OPC_CheckPredicate, 47, 250, 0, // Skip to: 2258
+/* 2008 */    MCD_OPC_Decode, 240, 4, 242, 1, // Opcode: EXTS32
+/* 2013 */    MCD_OPC_FilterValue, 31, 126, 0, // Skip to: 2143
+/* 2017 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2020 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2033
+/* 2024 */    MCD_OPC_CheckPredicate, 4, 230, 0, // Skip to: 2258
+/* 2028 */    MCD_OPC_Decode, 131, 4, 243, 1, // Opcode: DEXTM
+/* 2033 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2046
+/* 2037 */    MCD_OPC_CheckPredicate, 4, 217, 0, // Skip to: 2258
+/* 2041 */    MCD_OPC_Decode, 132, 4, 243, 1, // Opcode: DEXTU
+/* 2046 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2059
+/* 2050 */    MCD_OPC_CheckPredicate, 4, 204, 0, // Skip to: 2258
+/* 2054 */    MCD_OPC_Decode, 130, 4, 243, 1, // Opcode: DEXT
+/* 2059 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 2072
+/* 2063 */    MCD_OPC_CheckPredicate, 4, 191, 0, // Skip to: 2258
+/* 2067 */    MCD_OPC_Decode, 135, 4, 244, 1, // Opcode: DINSM
+/* 2072 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 2085
+/* 2076 */    MCD_OPC_CheckPredicate, 4, 178, 0, // Skip to: 2258
+/* 2080 */    MCD_OPC_Decode, 136, 4, 244, 1, // Opcode: DINSU
+/* 2085 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 2098
+/* 2089 */    MCD_OPC_CheckPredicate, 4, 165, 0, // Skip to: 2258
+/* 2093 */    MCD_OPC_Decode, 134, 4, 244, 1, // Opcode: DINS
+/* 2098 */    MCD_OPC_FilterValue, 36, 156, 0, // Skip to: 2258
+/* 2102 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 2105 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 2124
+/* 2109 */    MCD_OPC_CheckPredicate, 37, 145, 0, // Skip to: 2258
+/* 2113 */    MCD_OPC_CheckField, 21, 5, 0, 139, 0, // Skip to: 2258
+/* 2119 */    MCD_OPC_Decode, 203, 4, 216, 1, // Opcode: DSBH
+/* 2124 */    MCD_OPC_FilterValue, 5, 130, 0, // Skip to: 2258
+/* 2128 */    MCD_OPC_CheckPredicate, 37, 126, 0, // Skip to: 2258
+/* 2132 */    MCD_OPC_CheckField, 21, 5, 0, 120, 0, // Skip to: 2258
+/* 2138 */    MCD_OPC_Decode, 205, 4, 216, 1, // Opcode: DSHD
+/* 2143 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 2156
+/* 2147 */    MCD_OPC_CheckPredicate, 17, 107, 0, // Skip to: 2258
+/* 2151 */    MCD_OPC_Decode, 174, 7, 192, 1, // Opcode: LWu
+/* 2156 */    MCD_OPC_FilterValue, 44, 9, 0, // Skip to: 2169
+/* 2160 */    MCD_OPC_CheckPredicate, 38, 94, 0, // Skip to: 2258
+/* 2164 */    MCD_OPC_Decode, 201, 10, 192, 1, // Opcode: SDL
+/* 2169 */    MCD_OPC_FilterValue, 45, 9, 0, // Skip to: 2182
+/* 2173 */    MCD_OPC_CheckPredicate, 38, 81, 0, // Skip to: 2258
+/* 2177 */    MCD_OPC_Decode, 202, 10, 192, 1, // Opcode: SDR
+/* 2182 */    MCD_OPC_FilterValue, 52, 9, 0, // Skip to: 2195
+/* 2186 */    MCD_OPC_CheckPredicate, 38, 68, 0, // Skip to: 2258
+/* 2190 */    MCD_OPC_Decode, 135, 7, 192, 1, // Opcode: LLD
+/* 2195 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 2207
+/* 2199 */    MCD_OPC_CheckPredicate, 49, 55, 0, // Skip to: 2258
+/* 2203 */    MCD_OPC_Decode, 234, 6, 10, // Opcode: LDC164
+/* 2207 */    MCD_OPC_FilterValue, 55, 9, 0, // Skip to: 2220
+/* 2211 */    MCD_OPC_CheckPredicate, 17, 43, 0, // Skip to: 2258
+/* 2215 */    MCD_OPC_Decode, 232, 6, 192, 1, // Opcode: LD
+/* 2220 */    MCD_OPC_FilterValue, 60, 9, 0, // Skip to: 2233
+/* 2224 */    MCD_OPC_CheckPredicate, 38, 30, 0, // Skip to: 2258
+/* 2228 */    MCD_OPC_Decode, 186, 10, 192, 1, // Opcode: SCD
+/* 2233 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 2245
+/* 2237 */    MCD_OPC_CheckPredicate, 49, 17, 0, // Skip to: 2258
+/* 2241 */    MCD_OPC_Decode, 194, 10, 10, // Opcode: SDC164
+/* 2245 */    MCD_OPC_FilterValue, 63, 9, 0, // Skip to: 2258
+/* 2249 */    MCD_OPC_CheckPredicate, 17, 5, 0, // Skip to: 2258
+/* 2253 */    MCD_OPC_Decode, 190, 10, 192, 1, // Opcode: SD
+/* 2258 */    MCD_OPC_Fail,
   0
 };
 
@@ -3862,49 +4498,103 @@
   case 0:
     return getbool((Bits & Mips_FeatureMips16));
   case 1:
-    return getbool((Bits & Mips_FeatureMicroMips));
-  case 2:
     return getbool(!(Bits & Mips_FeatureMips16));
+  case 2:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2));
   case 3:
-    return getbool((Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureMips16));
+    return getbool((Bits & Mips_FeatureMicroMips));
   case 4:
-    return getbool((Bits & Mips_FeatureMSA));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2));
   case 5:
-    return getbool((Bits & Mips_FeatureDSP));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips4_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 6:
-    return getbool((Bits & Mips_FeatureMSA) && (Bits & Mips_FeatureMips64));
+    return getbool((Bits & Mips_FeatureMSA));
   case 7:
-    return getbool((Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 8:
-    return getbool(!(Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMicroMips));
   case 9:
-    return getbool((Bits & Mips_FeatureFPIdx) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32));
   case 10:
-    return getbool((Bits & Mips_FeatureFPIdx) && !(Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMicroMips));
+    return getbool(!(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureMicroMips));
   case 11:
-    return getbool((Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool((Bits & Mips_FeatureDSP));
   case 12:
-    return getbool((Bits & Mips_FeatureMips32r2) && (Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 13:
-    return getbool((Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips16));
+    return getbool((Bits & Mips_FeatureMSA) && (Bits & Mips_FeatureMips64));
   case 14:
-    return getbool((Bits & Mips_FeatureBitCount) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 15:
-    return getbool((Bits & Mips_FeatureDSPR2));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3_32));
   case 16:
-    return getbool((Bits & Mips_FeatureSwap) && !(Bits & Mips_FeatureMips16));
-  case 17:
-    return getbool((Bits & Mips_FeatureSEInReg) && !(Bits & Mips_FeatureMips16));
-  case 18:
     return getbool(!(Bits & Mips_FeatureMicroMips));
+  case 17:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3));
+  case 18:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureFP64Bit));
   case 19:
-    return getbool((Bits & Mips_FeatureMips64r2) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit));
   case 20:
-    return getbool((Bits & Mips_FeatureMips64));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3_32r2));
   case 21:
-    return getbool((Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2) && !(Bits & Mips_FeatureFP64Bit));
   case 22:
-    return getbool((Bits & Mips_FeatureFPIdx) && (Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 23:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureFP64Bit));
+  case 24:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips4_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 25:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureMicroMips));
+  case 26:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips5_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 27:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 28:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 29:
+    return getbool((Bits & Mips_FeatureDSPR2));
+  case 30:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 31:
+    return getbool((Bits & Mips_FeatureMips2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureMicroMips));
+  case 32:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips2));
+  case 33:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r6));
+  case 34:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips64r6));
+  case 35:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureGP64Bit) && (Bits & Mips_FeatureMips32r6));
+  case 36:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureGP64Bit) && (Bits & Mips_FeatureMips32r6));
+  case 37:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips64r2));
+  case 38:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 39:
+    return getbool((Bits & Mips_FeatureMips64));
+  case 40:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2) && (Bits & Mips_FeatureFP64Bit));
+  case 41:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit));
+  case 42:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2) && (Bits & Mips_FeatureFP64Bit));
+  case 43:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 44:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && (Bits & Mips_FeatureFP64Bit));
+  case 45:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 46:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips5_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 47:
+    return getbool((Bits & Mips_FeatureCnMips));
+  case 48:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips64) && !(Bits & Mips_FeatureMips64r6));
+  case 49:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips2));
   }
 }
 
@@ -3973,6 +4663,19 @@
     if (DecodeCPU16RegsRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 10: \
+    if (DecodeFMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 11: \
+    tmp = fieldname(insn, 5, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 12: \
+    tmp = fieldname(insn, 0, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 13: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -3980,13 +4683,13 @@
     tmp = fieldname(insn, 11, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 11: \
+  case 14: \
     tmp = fieldname(insn, 16, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 6, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 12: \
+  case 15: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -3998,33 +4701,33 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 13: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 14: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 15: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 16: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 17: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 18: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 19: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4034,7 +4737,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeExtSize(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 17: \
+  case 20: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4042,31 +4745,31 @@
     tmp = fieldname(insn, 12, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 18: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 19: \
+  case 21: \
     tmp = fieldname(insn, 16, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 20: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 21: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 22: \
     tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 23: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 24: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 25: \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 23: \
+  case 26: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4074,22 +4777,22 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 24: \
+  case 27: \
     if (DecodeMemMMImm16(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 25: \
+  case 28: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTargetMM(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 26: \
+  case 29: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 27: \
+  case 30: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4097,7 +4800,7 @@
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 28: \
+  case 31: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4107,10 +4810,10 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 29: \
+  case 32: \
     if (DecodeMemMMImm12(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 30: \
+  case 33: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4118,10 +4821,10 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTargetMM(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 31: \
+  case 34: \
     if (DecodeJumpTargetMM(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 32: \
+  case 35: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4129,7 +4832,7 @@
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 33: \
+  case 36: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4139,26 +4842,6 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 34: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 2); \
-    if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 35: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 36: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 37: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4166,40 +4849,60 @@
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 2); \
+    if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 38: \
-    tmp = fieldname(insn, 6, 20); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 39: \
-    tmp = fieldname(insn, 6, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 40: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 41: \
+    tmp = fieldname(insn, 6, 20); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 42: \
+    tmp = fieldname(insn, 6, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 43: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 44: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 42: \
+  case 45: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeHI32DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 43: \
+  case 46: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeLO32DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 44: \
+  case 47: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4209,7 +4912,7 @@
     tmp = fieldname(insn, 6, 2); \
     if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 45: \
+  case 48: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4217,7 +4920,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 46: \
+  case 49: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4225,26 +4928,26 @@
     tmp = fieldname(insn, 6, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 47: \
+  case 50: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 48: \
+  case 51: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 49: \
+  case 52: \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 50: \
+  case 53: \
     if (DecodeJumpTarget(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 51: \
+  case 54: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4252,7 +4955,7 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 52: \
+  case 55: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4260,7 +4963,7 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 53: \
+  case 56: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4268,7 +4971,7 @@
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 54: \
+  case 57: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4276,139 +4979,141 @@
     tmp = fieldname(insn, 0, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 55: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 56: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 57: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 58: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGRH32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 59: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 60: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 61: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 62: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 60: \
+  case 63: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 61: \
+  case 64: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 62: \
+  case 65: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGRH32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 63: \
+  case 66: \
     tmp = fieldname(insn, 18, 3); \
     if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 64: \
+  case 67: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 65: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 66: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 67: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
-    if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 68: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 69: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 70: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 71: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 72: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 73: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 74: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 75: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 73: \
+  case 76: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 74: \
+  case 77: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 75: \
+  case 78: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4418,7 +5123,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 76: \
+  case 79: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4428,63 +5133,39 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 77: \
+  case 80: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 78: \
+  case 81: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 79: \
+  case 82: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 80: \
+  case 83: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 81: \
+  case 84: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 82: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 83: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 84: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 85: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4492,6 +5173,30 @@
     return S; \
   case 86: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 87: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 88: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 89: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4500,7 +5205,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 87: \
+  case 90: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4510,7 +5215,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 88: \
+  case 91: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4520,7 +5225,7 @@
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 89: \
+  case 92: \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 5) << 0); \
     tmp |= (fieldname(insn, 16, 5) << 0); \
@@ -4528,46 +5233,22 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 90: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 91: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 92: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 93: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 94: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 95: \
@@ -4575,7 +5256,7 @@
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 96: \
@@ -4583,70 +5264,68 @@
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 97: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 98: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 99: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 100: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 101: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 102: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 103: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 104: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 105: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
@@ -4654,6 +5333,32 @@
     return S; \
   case 106: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 107: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 108: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 109: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4662,7 +5367,7 @@
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 107: \
+  case 110: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -4672,7 +5377,7 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 108: \
+  case 111: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -4682,107 +5387,81 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 109: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 110: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 111: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 112: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 113: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 114: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 115: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 116: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 117: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 118: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 119: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 120: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4791,8 +5470,6 @@
   case 121: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4801,8 +5478,6 @@
   case 122: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4810,78 +5485,108 @@
     return S; \
   case 123: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 124: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 125: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 126: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 127: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 128: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 129: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 130: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 131: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 132: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 133: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 134: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4890,51 +5595,9 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 132: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 133: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 134: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 135: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 136: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 137: \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4942,7 +5605,9 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 138: \
+  case 136: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4950,7 +5615,9 @@
     tmp = fieldname(insn, 16, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 139: \
+  case 137: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4958,51 +5625,89 @@
     tmp = fieldname(insn, 16, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 140: \
+  case 138: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
     if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 141: \
-    tmp = fieldname(insn, 6, 5); \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 139: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 142: \
+  case 140: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 141: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 142: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 143: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 144: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 145: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 146: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 147: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 148: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 146: \
+  case 149: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5012,7 +5717,7 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 147: \
+  case 150: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5022,7 +5727,7 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 148: \
+  case 151: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5032,7 +5737,7 @@
     tmp = fieldname(insn, 16, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 149: \
+  case 152: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5042,45 +5747,8 @@
     tmp = fieldname(insn, 16, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 150: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 151: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 152: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 153: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeINSVE_DF_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 154: \
     tmp = fieldname(insn, 6, 5); \
@@ -5357,20 +6025,27 @@
     if (DecodeMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 193: \
-    if (DecodeFMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 16) << 0); \
+    tmp |= (fieldname(insn, 21, 5) << 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 194: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 195: \
-    tmp = fieldname(insn, 21, 5); \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 196: \
@@ -5380,16 +6055,216 @@
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 197: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 198: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 199: \
+    if (DecodeBlezGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 200: \
+    if (DecodeBgtzGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 201: \
+    if (DecodeAddiGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 202: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 203: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 204: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 205: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 206: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 207: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 208: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 209: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 210: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeCOP2RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 211: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeCOP2RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 11) << 0); \
+    tmp |= (fieldname(insn, 11, 5) << 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 212: \
+    if (DecodeBlezlGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 213: \
+    if (DecodeBgtzlGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 214: \
+    if (DecodeDaddiGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 215: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 216: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 217: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 218: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 9) << 0); \
+    tmp |= (fieldname(insn, 21, 5) << 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 219: \
+    if (DecodeSpecial3LlSc(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 220: \
+    tmp = fieldname(insn, 0, 26); \
+    if (DecodeBranchTarget26(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 221: \
+    if (DecodeSimm16(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 222: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 21); \
+    if (DecodeBranchTarget21(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 223: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 19); \
+    if (DecodeSimm19Lsl2(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 224: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 18); \
+    if (DecodeSimm18Lsl3(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 225: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 226: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 227: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 228: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 198: \
+  case 229: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5397,21 +6272,27 @@
     tmp = fieldname(insn, 0, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 199: \
-    tmp = fieldname(insn, 6, 5); \
+  case 230: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 231: \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 200: \
+  case 232: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 201: \
+  case 233: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5421,7 +6302,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 202: \
+  case 234: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5431,13 +6312,13 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 203: \
+  case 235: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 204: \
+  case 236: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5445,7 +6326,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 205: \
+  case 237: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5453,7 +6334,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 206: \
+  case 238: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5461,7 +6342,11 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 207: \
+  case 239: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 240: \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 5) << 0); \
     tmp |= (fieldname(insn, 16, 5) << 0); \
@@ -5469,7 +6354,25 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 208: \
+  case 241: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 10); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 242: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 11, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 243: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5479,7 +6382,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeExtSize(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 209: \
+  case 244: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5491,12 +6394,6 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 210: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   } \
 } 
 
@@ -5555,9 +6452,9 @@
       break; \
     } \
     case MCD_OPC_Decode: { \
-      Opc = (uint32_t)decodeULEB128(++Ptr, &Len); \
+      Opc = (unsigned)decodeULEB128(++Ptr, &Len); \
       Ptr += Len; \
-      DecodeIdx = (uint32_t)decodeULEB128(Ptr, &Len); \
+      DecodeIdx = (unsigned)decodeULEB128(Ptr, &Len); \
       Ptr += Len; \
       MCInst_setOpcode(MI, Opc); \
       return decoder(S, DecodeIdx, insn, MI, Address, MRI); \
diff --git a/arch/Mips/MipsGenInstrInfo.inc b/arch/Mips/MipsGenInstrInfo.inc
index 36a6309..8fe4137 100644
--- a/arch/Mips/MipsGenInstrInfo.inc
+++ b/arch/Mips/MipsGenInstrInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_INSTRINFO_ENUM
@@ -16,7 +16,7 @@
 enum {
     Mips_PHI	= 0,
     Mips_INLINEASM	= 1,
-    Mips_PROLOG_LABEL	= 2,
+    Mips_CFI_INSTRUCTION	= 2,
     Mips_EH_LABEL	= 3,
     Mips_GC_LABEL	= 4,
     Mips_KILL	= 5,
@@ -33,1472 +33,1665 @@
     Mips_LIFETIME_END	= 16,
     Mips_STACKMAP	= 17,
     Mips_PATCHPOINT	= 18,
-    Mips_ABSQ_S_PH	= 19,
-    Mips_ABSQ_S_QB	= 20,
-    Mips_ABSQ_S_W	= 21,
-    Mips_ADD	= 22,
-    Mips_ADDQH_PH	= 23,
-    Mips_ADDQH_R_PH	= 24,
-    Mips_ADDQH_R_W	= 25,
-    Mips_ADDQH_W	= 26,
-    Mips_ADDQ_PH	= 27,
-    Mips_ADDQ_S_PH	= 28,
-    Mips_ADDQ_S_W	= 29,
-    Mips_ADDSC	= 30,
-    Mips_ADDS_A_B	= 31,
-    Mips_ADDS_A_D	= 32,
-    Mips_ADDS_A_H	= 33,
-    Mips_ADDS_A_W	= 34,
-    Mips_ADDS_S_B	= 35,
-    Mips_ADDS_S_D	= 36,
-    Mips_ADDS_S_H	= 37,
-    Mips_ADDS_S_W	= 38,
-    Mips_ADDS_U_B	= 39,
-    Mips_ADDS_U_D	= 40,
-    Mips_ADDS_U_H	= 41,
-    Mips_ADDS_U_W	= 42,
-    Mips_ADDUH_QB	= 43,
-    Mips_ADDUH_R_QB	= 44,
-    Mips_ADDU_PH	= 45,
-    Mips_ADDU_QB	= 46,
-    Mips_ADDU_S_PH	= 47,
-    Mips_ADDU_S_QB	= 48,
-    Mips_ADDVI_B	= 49,
-    Mips_ADDVI_D	= 50,
-    Mips_ADDVI_H	= 51,
-    Mips_ADDVI_W	= 52,
-    Mips_ADDV_B	= 53,
-    Mips_ADDV_D	= 54,
-    Mips_ADDV_H	= 55,
-    Mips_ADDV_W	= 56,
-    Mips_ADDWC	= 57,
-    Mips_ADD_A_B	= 58,
-    Mips_ADD_A_D	= 59,
-    Mips_ADD_A_H	= 60,
-    Mips_ADD_A_W	= 61,
-    Mips_ADD_MM	= 62,
-    Mips_ADDi	= 63,
-    Mips_ADDi_MM	= 64,
-    Mips_ADDiu	= 65,
-    Mips_ADDiu_MM	= 66,
-    Mips_ADDu	= 67,
-    Mips_ADDu_MM	= 68,
-    Mips_ADJCALLSTACKDOWN	= 69,
-    Mips_ADJCALLSTACKUP	= 70,
-    Mips_AND	= 71,
-    Mips_AND64	= 72,
-    Mips_ANDI_B	= 73,
-    Mips_AND_MM	= 74,
-    Mips_AND_V	= 75,
-    Mips_AND_V_D_PSEUDO	= 76,
-    Mips_AND_V_H_PSEUDO	= 77,
-    Mips_AND_V_W_PSEUDO	= 78,
-    Mips_ANDi	= 79,
-    Mips_ANDi64	= 80,
-    Mips_ANDi_MM	= 81,
-    Mips_APPEND	= 82,
-    Mips_ASUB_S_B	= 83,
-    Mips_ASUB_S_D	= 84,
-    Mips_ASUB_S_H	= 85,
-    Mips_ASUB_S_W	= 86,
-    Mips_ASUB_U_B	= 87,
-    Mips_ASUB_U_D	= 88,
-    Mips_ASUB_U_H	= 89,
-    Mips_ASUB_U_W	= 90,
-    Mips_ATOMIC_CMP_SWAP_I16	= 91,
-    Mips_ATOMIC_CMP_SWAP_I32	= 92,
-    Mips_ATOMIC_CMP_SWAP_I64	= 93,
-    Mips_ATOMIC_CMP_SWAP_I8	= 94,
-    Mips_ATOMIC_LOAD_ADD_I16	= 95,
-    Mips_ATOMIC_LOAD_ADD_I32	= 96,
-    Mips_ATOMIC_LOAD_ADD_I64	= 97,
-    Mips_ATOMIC_LOAD_ADD_I8	= 98,
-    Mips_ATOMIC_LOAD_AND_I16	= 99,
-    Mips_ATOMIC_LOAD_AND_I32	= 100,
-    Mips_ATOMIC_LOAD_AND_I64	= 101,
-    Mips_ATOMIC_LOAD_AND_I8	= 102,
-    Mips_ATOMIC_LOAD_NAND_I16	= 103,
-    Mips_ATOMIC_LOAD_NAND_I32	= 104,
-    Mips_ATOMIC_LOAD_NAND_I64	= 105,
-    Mips_ATOMIC_LOAD_NAND_I8	= 106,
-    Mips_ATOMIC_LOAD_OR_I16	= 107,
-    Mips_ATOMIC_LOAD_OR_I32	= 108,
-    Mips_ATOMIC_LOAD_OR_I64	= 109,
-    Mips_ATOMIC_LOAD_OR_I8	= 110,
-    Mips_ATOMIC_LOAD_SUB_I16	= 111,
-    Mips_ATOMIC_LOAD_SUB_I32	= 112,
-    Mips_ATOMIC_LOAD_SUB_I64	= 113,
-    Mips_ATOMIC_LOAD_SUB_I8	= 114,
-    Mips_ATOMIC_LOAD_XOR_I16	= 115,
-    Mips_ATOMIC_LOAD_XOR_I32	= 116,
-    Mips_ATOMIC_LOAD_XOR_I64	= 117,
-    Mips_ATOMIC_LOAD_XOR_I8	= 118,
-    Mips_ATOMIC_SWAP_I16	= 119,
-    Mips_ATOMIC_SWAP_I32	= 120,
-    Mips_ATOMIC_SWAP_I64	= 121,
-    Mips_ATOMIC_SWAP_I8	= 122,
-    Mips_AVER_S_B	= 123,
-    Mips_AVER_S_D	= 124,
-    Mips_AVER_S_H	= 125,
-    Mips_AVER_S_W	= 126,
-    Mips_AVER_U_B	= 127,
-    Mips_AVER_U_D	= 128,
-    Mips_AVER_U_H	= 129,
-    Mips_AVER_U_W	= 130,
-    Mips_AVE_S_B	= 131,
-    Mips_AVE_S_D	= 132,
-    Mips_AVE_S_H	= 133,
-    Mips_AVE_S_W	= 134,
-    Mips_AVE_U_B	= 135,
-    Mips_AVE_U_D	= 136,
-    Mips_AVE_U_H	= 137,
-    Mips_AVE_U_W	= 138,
-    Mips_AddiuRxImmX16	= 139,
-    Mips_AddiuRxPcImmX16	= 140,
-    Mips_AddiuRxRxImm16	= 141,
-    Mips_AddiuRxRxImmX16	= 142,
-    Mips_AddiuRxRyOffMemX16	= 143,
-    Mips_AddiuSpImm16	= 144,
-    Mips_AddiuSpImmX16	= 145,
-    Mips_AdduRxRyRz16	= 146,
-    Mips_AndRxRxRy16	= 147,
-    Mips_B	= 148,
-    Mips_BALIGN	= 149,
-    Mips_BAL_BR	= 150,
-    Mips_BC1F	= 151,
-    Mips_BC1F_MM	= 152,
-    Mips_BC1T	= 153,
-    Mips_BC1T_MM	= 154,
-    Mips_BCLRI_B	= 155,
-    Mips_BCLRI_D	= 156,
-    Mips_BCLRI_H	= 157,
-    Mips_BCLRI_W	= 158,
-    Mips_BCLR_B	= 159,
-    Mips_BCLR_D	= 160,
-    Mips_BCLR_H	= 161,
-    Mips_BCLR_W	= 162,
-    Mips_BEQ	= 163,
-    Mips_BEQ64	= 164,
-    Mips_BEQ_MM	= 165,
-    Mips_BGEZ	= 166,
-    Mips_BGEZ64	= 167,
-    Mips_BGEZAL	= 168,
-    Mips_BGEZAL_MM	= 169,
-    Mips_BGEZ_MM	= 170,
-    Mips_BGTZ	= 171,
-    Mips_BGTZ64	= 172,
-    Mips_BGTZ_MM	= 173,
-    Mips_BINSLI_B	= 174,
-    Mips_BINSLI_D	= 175,
-    Mips_BINSLI_H	= 176,
-    Mips_BINSLI_W	= 177,
-    Mips_BINSL_B	= 178,
-    Mips_BINSL_D	= 179,
-    Mips_BINSL_H	= 180,
-    Mips_BINSL_W	= 181,
-    Mips_BINSRI_B	= 182,
-    Mips_BINSRI_D	= 183,
-    Mips_BINSRI_H	= 184,
-    Mips_BINSRI_W	= 185,
-    Mips_BINSR_B	= 186,
-    Mips_BINSR_D	= 187,
-    Mips_BINSR_H	= 188,
-    Mips_BINSR_W	= 189,
-    Mips_BITREV	= 190,
-    Mips_BLEZ	= 191,
-    Mips_BLEZ64	= 192,
-    Mips_BLEZ_MM	= 193,
-    Mips_BLTZ	= 194,
-    Mips_BLTZ64	= 195,
-    Mips_BLTZAL	= 196,
-    Mips_BLTZAL_MM	= 197,
-    Mips_BLTZ_MM	= 198,
-    Mips_BMNZI_B	= 199,
-    Mips_BMNZ_V	= 200,
-    Mips_BMZI_B	= 201,
-    Mips_BMZ_V	= 202,
-    Mips_BNE	= 203,
-    Mips_BNE64	= 204,
-    Mips_BNEGI_B	= 205,
-    Mips_BNEGI_D	= 206,
-    Mips_BNEGI_H	= 207,
-    Mips_BNEGI_W	= 208,
-    Mips_BNEG_B	= 209,
-    Mips_BNEG_D	= 210,
-    Mips_BNEG_H	= 211,
-    Mips_BNEG_W	= 212,
-    Mips_BNE_MM	= 213,
-    Mips_BNZ_B	= 214,
-    Mips_BNZ_D	= 215,
-    Mips_BNZ_H	= 216,
-    Mips_BNZ_V	= 217,
-    Mips_BNZ_W	= 218,
-    Mips_BPOSGE32	= 219,
-    Mips_BPOSGE32_PSEUDO	= 220,
-    Mips_BREAK	= 221,
-    Mips_BREAK_MM	= 222,
-    Mips_BSELI_B	= 223,
-    Mips_BSEL_D_PSEUDO	= 224,
-    Mips_BSEL_FD_PSEUDO	= 225,
-    Mips_BSEL_FW_PSEUDO	= 226,
-    Mips_BSEL_H_PSEUDO	= 227,
-    Mips_BSEL_V	= 228,
-    Mips_BSEL_W_PSEUDO	= 229,
-    Mips_BSETI_B	= 230,
-    Mips_BSETI_D	= 231,
-    Mips_BSETI_H	= 232,
-    Mips_BSETI_W	= 233,
-    Mips_BSET_B	= 234,
-    Mips_BSET_D	= 235,
-    Mips_BSET_H	= 236,
-    Mips_BSET_W	= 237,
-    Mips_BZ_B	= 238,
-    Mips_BZ_D	= 239,
-    Mips_BZ_H	= 240,
-    Mips_BZ_V	= 241,
-    Mips_BZ_W	= 242,
-    Mips_BeqzRxImm16	= 243,
-    Mips_BeqzRxImmX16	= 244,
-    Mips_Bimm16	= 245,
-    Mips_BimmX16	= 246,
-    Mips_BnezRxImm16	= 247,
-    Mips_BnezRxImmX16	= 248,
-    Mips_Break16	= 249,
-    Mips_Bteqz16	= 250,
-    Mips_BteqzT8CmpX16	= 251,
-    Mips_BteqzT8CmpiX16	= 252,
-    Mips_BteqzT8SltX16	= 253,
-    Mips_BteqzT8SltiX16	= 254,
-    Mips_BteqzT8SltiuX16	= 255,
-    Mips_BteqzT8SltuX16	= 256,
-    Mips_BteqzX16	= 257,
-    Mips_Btnez16	= 258,
-    Mips_BtnezT8CmpX16	= 259,
-    Mips_BtnezT8CmpiX16	= 260,
-    Mips_BtnezT8SltX16	= 261,
-    Mips_BtnezT8SltiX16	= 262,
-    Mips_BtnezT8SltiuX16	= 263,
-    Mips_BtnezT8SltuX16	= 264,
-    Mips_BtnezX16	= 265,
-    Mips_BuildPairF64	= 266,
-    Mips_BuildPairF64_64	= 267,
-    Mips_CEIL_L_D64	= 268,
-    Mips_CEIL_L_S	= 269,
-    Mips_CEIL_W_D32	= 270,
-    Mips_CEIL_W_D64	= 271,
-    Mips_CEIL_W_MM	= 272,
-    Mips_CEIL_W_S	= 273,
-    Mips_CEIL_W_S_MM	= 274,
-    Mips_CEQI_B	= 275,
-    Mips_CEQI_D	= 276,
-    Mips_CEQI_H	= 277,
-    Mips_CEQI_W	= 278,
-    Mips_CEQ_B	= 279,
-    Mips_CEQ_D	= 280,
-    Mips_CEQ_H	= 281,
-    Mips_CEQ_W	= 282,
-    Mips_CFC1	= 283,
-    Mips_CFC1_MM	= 284,
-    Mips_CFCMSA	= 285,
-    Mips_CLEI_S_B	= 286,
-    Mips_CLEI_S_D	= 287,
-    Mips_CLEI_S_H	= 288,
-    Mips_CLEI_S_W	= 289,
-    Mips_CLEI_U_B	= 290,
-    Mips_CLEI_U_D	= 291,
-    Mips_CLEI_U_H	= 292,
-    Mips_CLEI_U_W	= 293,
-    Mips_CLE_S_B	= 294,
-    Mips_CLE_S_D	= 295,
-    Mips_CLE_S_H	= 296,
-    Mips_CLE_S_W	= 297,
-    Mips_CLE_U_B	= 298,
-    Mips_CLE_U_D	= 299,
-    Mips_CLE_U_H	= 300,
-    Mips_CLE_U_W	= 301,
-    Mips_CLO	= 302,
-    Mips_CLO_MM	= 303,
-    Mips_CLTI_S_B	= 304,
-    Mips_CLTI_S_D	= 305,
-    Mips_CLTI_S_H	= 306,
-    Mips_CLTI_S_W	= 307,
-    Mips_CLTI_U_B	= 308,
-    Mips_CLTI_U_D	= 309,
-    Mips_CLTI_U_H	= 310,
-    Mips_CLTI_U_W	= 311,
-    Mips_CLT_S_B	= 312,
-    Mips_CLT_S_D	= 313,
-    Mips_CLT_S_H	= 314,
-    Mips_CLT_S_W	= 315,
-    Mips_CLT_U_B	= 316,
-    Mips_CLT_U_D	= 317,
-    Mips_CLT_U_H	= 318,
-    Mips_CLT_U_W	= 319,
-    Mips_CLZ	= 320,
-    Mips_CLZ_MM	= 321,
-    Mips_CMPGDU_EQ_QB	= 322,
-    Mips_CMPGDU_LE_QB	= 323,
-    Mips_CMPGDU_LT_QB	= 324,
-    Mips_CMPGU_EQ_QB	= 325,
-    Mips_CMPGU_LE_QB	= 326,
-    Mips_CMPGU_LT_QB	= 327,
-    Mips_CMPU_EQ_QB	= 328,
-    Mips_CMPU_LE_QB	= 329,
-    Mips_CMPU_LT_QB	= 330,
-    Mips_CMP_EQ_PH	= 331,
-    Mips_CMP_LE_PH	= 332,
-    Mips_CMP_LT_PH	= 333,
-    Mips_CONSTPOOL_ENTRY	= 334,
-    Mips_COPY_FD_PSEUDO	= 335,
-    Mips_COPY_FW_PSEUDO	= 336,
-    Mips_COPY_S_B	= 337,
-    Mips_COPY_S_D	= 338,
-    Mips_COPY_S_H	= 339,
-    Mips_COPY_S_W	= 340,
-    Mips_COPY_U_B	= 341,
-    Mips_COPY_U_D	= 342,
-    Mips_COPY_U_H	= 343,
-    Mips_COPY_U_W	= 344,
-    Mips_CTC1	= 345,
-    Mips_CTC1_MM	= 346,
-    Mips_CTCMSA	= 347,
-    Mips_CVT_D32_S	= 348,
-    Mips_CVT_D32_W	= 349,
-    Mips_CVT_D32_W_MM	= 350,
-    Mips_CVT_D64_L	= 351,
-    Mips_CVT_D64_S	= 352,
-    Mips_CVT_D64_W	= 353,
-    Mips_CVT_D_S_MM	= 354,
-    Mips_CVT_L_D64	= 355,
-    Mips_CVT_L_D64_MM	= 356,
-    Mips_CVT_L_S	= 357,
-    Mips_CVT_L_S_MM	= 358,
-    Mips_CVT_S_D32	= 359,
-    Mips_CVT_S_D32_MM	= 360,
-    Mips_CVT_S_D64	= 361,
-    Mips_CVT_S_L	= 362,
-    Mips_CVT_S_W	= 363,
-    Mips_CVT_S_W_MM	= 364,
-    Mips_CVT_W_D32	= 365,
-    Mips_CVT_W_D64	= 366,
-    Mips_CVT_W_MM	= 367,
-    Mips_CVT_W_S	= 368,
-    Mips_CVT_W_S_MM	= 369,
-    Mips_C_EQ_D32	= 370,
-    Mips_C_EQ_D64	= 371,
-    Mips_C_EQ_S	= 372,
-    Mips_C_F_D32	= 373,
-    Mips_C_F_D64	= 374,
-    Mips_C_F_S	= 375,
-    Mips_C_LE_D32	= 376,
-    Mips_C_LE_D64	= 377,
-    Mips_C_LE_S	= 378,
-    Mips_C_LT_D32	= 379,
-    Mips_C_LT_D64	= 380,
-    Mips_C_LT_S	= 381,
-    Mips_C_NGE_D32	= 382,
-    Mips_C_NGE_D64	= 383,
-    Mips_C_NGE_S	= 384,
-    Mips_C_NGLE_D32	= 385,
-    Mips_C_NGLE_D64	= 386,
-    Mips_C_NGLE_S	= 387,
-    Mips_C_NGL_D32	= 388,
-    Mips_C_NGL_D64	= 389,
-    Mips_C_NGL_S	= 390,
-    Mips_C_NGT_D32	= 391,
-    Mips_C_NGT_D64	= 392,
-    Mips_C_NGT_S	= 393,
-    Mips_C_OLE_D32	= 394,
-    Mips_C_OLE_D64	= 395,
-    Mips_C_OLE_S	= 396,
-    Mips_C_OLT_D32	= 397,
-    Mips_C_OLT_D64	= 398,
-    Mips_C_OLT_S	= 399,
-    Mips_C_SEQ_D32	= 400,
-    Mips_C_SEQ_D64	= 401,
-    Mips_C_SEQ_S	= 402,
-    Mips_C_SF_D32	= 403,
-    Mips_C_SF_D64	= 404,
-    Mips_C_SF_S	= 405,
-    Mips_C_UEQ_D32	= 406,
-    Mips_C_UEQ_D64	= 407,
-    Mips_C_UEQ_S	= 408,
-    Mips_C_ULE_D32	= 409,
-    Mips_C_ULE_D64	= 410,
-    Mips_C_ULE_S	= 411,
-    Mips_C_ULT_D32	= 412,
-    Mips_C_ULT_D64	= 413,
-    Mips_C_ULT_S	= 414,
-    Mips_C_UN_D32	= 415,
-    Mips_C_UN_D64	= 416,
-    Mips_C_UN_S	= 417,
-    Mips_CmpRxRy16	= 418,
-    Mips_CmpiRxImm16	= 419,
-    Mips_CmpiRxImmX16	= 420,
-    Mips_Constant32	= 421,
-    Mips_DADD	= 422,
-    Mips_DADDi	= 423,
-    Mips_DADDiu	= 424,
-    Mips_DADDu	= 425,
-    Mips_DCLO	= 426,
-    Mips_DCLZ	= 427,
-    Mips_DERET	= 428,
-    Mips_DERET_MM	= 429,
-    Mips_DEXT	= 430,
-    Mips_DEXTM	= 431,
-    Mips_DEXTU	= 432,
-    Mips_DI	= 433,
-    Mips_DINS	= 434,
-    Mips_DINSM	= 435,
-    Mips_DINSU	= 436,
-    Mips_DIV_S_B	= 437,
-    Mips_DIV_S_D	= 438,
-    Mips_DIV_S_H	= 439,
-    Mips_DIV_S_W	= 440,
-    Mips_DIV_U_B	= 441,
-    Mips_DIV_U_D	= 442,
-    Mips_DIV_U_H	= 443,
-    Mips_DIV_U_W	= 444,
-    Mips_DI_MM	= 445,
-    Mips_DLSA	= 446,
-    Mips_DMFC0	= 447,
-    Mips_DMFC1	= 448,
-    Mips_DMFC2	= 449,
-    Mips_DMTC0	= 450,
-    Mips_DMTC1	= 451,
-    Mips_DMTC2	= 452,
-    Mips_DMULT	= 453,
-    Mips_DMULTu	= 454,
-    Mips_DOTP_S_D	= 455,
-    Mips_DOTP_S_H	= 456,
-    Mips_DOTP_S_W	= 457,
-    Mips_DOTP_U_D	= 458,
-    Mips_DOTP_U_H	= 459,
-    Mips_DOTP_U_W	= 460,
-    Mips_DPADD_S_D	= 461,
-    Mips_DPADD_S_H	= 462,
-    Mips_DPADD_S_W	= 463,
-    Mips_DPADD_U_D	= 464,
-    Mips_DPADD_U_H	= 465,
-    Mips_DPADD_U_W	= 466,
-    Mips_DPAQX_SA_W_PH	= 467,
-    Mips_DPAQX_S_W_PH	= 468,
-    Mips_DPAQ_SA_L_W	= 469,
-    Mips_DPAQ_S_W_PH	= 470,
-    Mips_DPAU_H_QBL	= 471,
-    Mips_DPAU_H_QBR	= 472,
-    Mips_DPAX_W_PH	= 473,
-    Mips_DPA_W_PH	= 474,
-    Mips_DPSQX_SA_W_PH	= 475,
-    Mips_DPSQX_S_W_PH	= 476,
-    Mips_DPSQ_SA_L_W	= 477,
-    Mips_DPSQ_S_W_PH	= 478,
-    Mips_DPSUB_S_D	= 479,
-    Mips_DPSUB_S_H	= 480,
-    Mips_DPSUB_S_W	= 481,
-    Mips_DPSUB_U_D	= 482,
-    Mips_DPSUB_U_H	= 483,
-    Mips_DPSUB_U_W	= 484,
-    Mips_DPSU_H_QBL	= 485,
-    Mips_DPSU_H_QBR	= 486,
-    Mips_DPSX_W_PH	= 487,
-    Mips_DPS_W_PH	= 488,
-    Mips_DROTR	= 489,
-    Mips_DROTR32	= 490,
-    Mips_DROTRV	= 491,
-    Mips_DSBH	= 492,
-    Mips_DSDIV	= 493,
-    Mips_DSHD	= 494,
-    Mips_DSLL	= 495,
-    Mips_DSLL32	= 496,
-    Mips_DSLL64_32	= 497,
-    Mips_DSLLV	= 498,
-    Mips_DSRA	= 499,
-    Mips_DSRA32	= 500,
-    Mips_DSRAV	= 501,
-    Mips_DSRL	= 502,
-    Mips_DSRL32	= 503,
-    Mips_DSRLV	= 504,
-    Mips_DSUBu	= 505,
-    Mips_DUDIV	= 506,
-    Mips_DivRxRy16	= 507,
-    Mips_DivuRxRy16	= 508,
-    Mips_EI	= 509,
-    Mips_EI_MM	= 510,
-    Mips_ERET	= 511,
-    Mips_ERET_MM	= 512,
-    Mips_EXT	= 513,
-    Mips_EXTP	= 514,
-    Mips_EXTPDP	= 515,
-    Mips_EXTPDPV	= 516,
-    Mips_EXTPV	= 517,
-    Mips_EXTRV_RS_W	= 518,
-    Mips_EXTRV_R_W	= 519,
-    Mips_EXTRV_S_H	= 520,
-    Mips_EXTRV_W	= 521,
-    Mips_EXTR_RS_W	= 522,
-    Mips_EXTR_R_W	= 523,
-    Mips_EXTR_S_H	= 524,
-    Mips_EXTR_W	= 525,
-    Mips_EXT_MM	= 526,
-    Mips_ExtractElementF64	= 527,
-    Mips_ExtractElementF64_64	= 528,
-    Mips_FABS_D	= 529,
-    Mips_FABS_D32	= 530,
-    Mips_FABS_D64	= 531,
-    Mips_FABS_MM	= 532,
-    Mips_FABS_S	= 533,
-    Mips_FABS_S_MM	= 534,
-    Mips_FABS_W	= 535,
-    Mips_FADD_D	= 536,
-    Mips_FADD_D32	= 537,
-    Mips_FADD_D64	= 538,
-    Mips_FADD_MM	= 539,
-    Mips_FADD_S	= 540,
-    Mips_FADD_S_MM	= 541,
-    Mips_FADD_W	= 542,
-    Mips_FCAF_D	= 543,
-    Mips_FCAF_W	= 544,
-    Mips_FCEQ_D	= 545,
-    Mips_FCEQ_W	= 546,
-    Mips_FCLASS_D	= 547,
-    Mips_FCLASS_W	= 548,
-    Mips_FCLE_D	= 549,
-    Mips_FCLE_W	= 550,
-    Mips_FCLT_D	= 551,
-    Mips_FCLT_W	= 552,
-    Mips_FCMP_D32	= 553,
-    Mips_FCMP_D32_MM	= 554,
-    Mips_FCMP_D64	= 555,
-    Mips_FCMP_S32	= 556,
-    Mips_FCMP_S32_MM	= 557,
-    Mips_FCNE_D	= 558,
-    Mips_FCNE_W	= 559,
-    Mips_FCOR_D	= 560,
-    Mips_FCOR_W	= 561,
-    Mips_FCUEQ_D	= 562,
-    Mips_FCUEQ_W	= 563,
-    Mips_FCULE_D	= 564,
-    Mips_FCULE_W	= 565,
-    Mips_FCULT_D	= 566,
-    Mips_FCULT_W	= 567,
-    Mips_FCUNE_D	= 568,
-    Mips_FCUNE_W	= 569,
-    Mips_FCUN_D	= 570,
-    Mips_FCUN_W	= 571,
-    Mips_FDIV_D	= 572,
-    Mips_FDIV_D32	= 573,
-    Mips_FDIV_D64	= 574,
-    Mips_FDIV_MM	= 575,
-    Mips_FDIV_S	= 576,
-    Mips_FDIV_S_MM	= 577,
-    Mips_FDIV_W	= 578,
-    Mips_FEXDO_H	= 579,
-    Mips_FEXDO_W	= 580,
-    Mips_FEXP2_D	= 581,
-    Mips_FEXP2_D_1_PSEUDO	= 582,
-    Mips_FEXP2_W	= 583,
-    Mips_FEXP2_W_1_PSEUDO	= 584,
-    Mips_FEXUPL_D	= 585,
-    Mips_FEXUPL_W	= 586,
-    Mips_FEXUPR_D	= 587,
-    Mips_FEXUPR_W	= 588,
-    Mips_FFINT_S_D	= 589,
-    Mips_FFINT_S_W	= 590,
-    Mips_FFINT_U_D	= 591,
-    Mips_FFINT_U_W	= 592,
-    Mips_FFQL_D	= 593,
-    Mips_FFQL_W	= 594,
-    Mips_FFQR_D	= 595,
-    Mips_FFQR_W	= 596,
-    Mips_FILL_B	= 597,
-    Mips_FILL_D	= 598,
-    Mips_FILL_FD_PSEUDO	= 599,
-    Mips_FILL_FW_PSEUDO	= 600,
-    Mips_FILL_H	= 601,
-    Mips_FILL_W	= 602,
-    Mips_FLOG2_D	= 603,
-    Mips_FLOG2_W	= 604,
-    Mips_FLOOR_L_D64	= 605,
-    Mips_FLOOR_L_S	= 606,
-    Mips_FLOOR_W_D32	= 607,
-    Mips_FLOOR_W_D64	= 608,
-    Mips_FLOOR_W_MM	= 609,
-    Mips_FLOOR_W_S	= 610,
-    Mips_FLOOR_W_S_MM	= 611,
-    Mips_FMADD_D	= 612,
-    Mips_FMADD_W	= 613,
-    Mips_FMAX_A_D	= 614,
-    Mips_FMAX_A_W	= 615,
-    Mips_FMAX_D	= 616,
-    Mips_FMAX_W	= 617,
-    Mips_FMIN_A_D	= 618,
-    Mips_FMIN_A_W	= 619,
-    Mips_FMIN_D	= 620,
-    Mips_FMIN_W	= 621,
-    Mips_FMOV_D32	= 622,
-    Mips_FMOV_D32_MM	= 623,
-    Mips_FMOV_D64	= 624,
-    Mips_FMOV_S	= 625,
-    Mips_FMOV_S_MM	= 626,
-    Mips_FMSUB_D	= 627,
-    Mips_FMSUB_W	= 628,
-    Mips_FMUL_D	= 629,
-    Mips_FMUL_D32	= 630,
-    Mips_FMUL_D64	= 631,
-    Mips_FMUL_MM	= 632,
-    Mips_FMUL_S	= 633,
-    Mips_FMUL_S_MM	= 634,
-    Mips_FMUL_W	= 635,
-    Mips_FNEG_D32	= 636,
-    Mips_FNEG_D64	= 637,
-    Mips_FNEG_MM	= 638,
-    Mips_FNEG_S	= 639,
-    Mips_FNEG_S_MM	= 640,
-    Mips_FRCP_D	= 641,
-    Mips_FRCP_W	= 642,
-    Mips_FRINT_D	= 643,
-    Mips_FRINT_W	= 644,
-    Mips_FRSQRT_D	= 645,
-    Mips_FRSQRT_W	= 646,
-    Mips_FSAF_D	= 647,
-    Mips_FSAF_W	= 648,
-    Mips_FSEQ_D	= 649,
-    Mips_FSEQ_W	= 650,
-    Mips_FSLE_D	= 651,
-    Mips_FSLE_W	= 652,
-    Mips_FSLT_D	= 653,
-    Mips_FSLT_W	= 654,
-    Mips_FSNE_D	= 655,
-    Mips_FSNE_W	= 656,
-    Mips_FSOR_D	= 657,
-    Mips_FSOR_W	= 658,
-    Mips_FSQRT_D	= 659,
-    Mips_FSQRT_D32	= 660,
-    Mips_FSQRT_D64	= 661,
-    Mips_FSQRT_MM	= 662,
-    Mips_FSQRT_S	= 663,
-    Mips_FSQRT_S_MM	= 664,
-    Mips_FSQRT_W	= 665,
-    Mips_FSUB_D	= 666,
-    Mips_FSUB_D32	= 667,
-    Mips_FSUB_D64	= 668,
-    Mips_FSUB_MM	= 669,
-    Mips_FSUB_S	= 670,
-    Mips_FSUB_S_MM	= 671,
-    Mips_FSUB_W	= 672,
-    Mips_FSUEQ_D	= 673,
-    Mips_FSUEQ_W	= 674,
-    Mips_FSULE_D	= 675,
-    Mips_FSULE_W	= 676,
-    Mips_FSULT_D	= 677,
-    Mips_FSULT_W	= 678,
-    Mips_FSUNE_D	= 679,
-    Mips_FSUNE_W	= 680,
-    Mips_FSUN_D	= 681,
-    Mips_FSUN_W	= 682,
-    Mips_FTINT_S_D	= 683,
-    Mips_FTINT_S_W	= 684,
-    Mips_FTINT_U_D	= 685,
-    Mips_FTINT_U_W	= 686,
-    Mips_FTQ_H	= 687,
-    Mips_FTQ_W	= 688,
-    Mips_FTRUNC_S_D	= 689,
-    Mips_FTRUNC_S_W	= 690,
-    Mips_FTRUNC_U_D	= 691,
-    Mips_FTRUNC_U_W	= 692,
-    Mips_GotPrologue16	= 693,
-    Mips_HADD_S_D	= 694,
-    Mips_HADD_S_H	= 695,
-    Mips_HADD_S_W	= 696,
-    Mips_HADD_U_D	= 697,
-    Mips_HADD_U_H	= 698,
-    Mips_HADD_U_W	= 699,
-    Mips_HSUB_S_D	= 700,
-    Mips_HSUB_S_H	= 701,
-    Mips_HSUB_S_W	= 702,
-    Mips_HSUB_U_D	= 703,
-    Mips_HSUB_U_H	= 704,
-    Mips_HSUB_U_W	= 705,
-    Mips_ILVEV_B	= 706,
-    Mips_ILVEV_D	= 707,
-    Mips_ILVEV_H	= 708,
-    Mips_ILVEV_W	= 709,
-    Mips_ILVL_B	= 710,
-    Mips_ILVL_D	= 711,
-    Mips_ILVL_H	= 712,
-    Mips_ILVL_W	= 713,
-    Mips_ILVOD_B	= 714,
-    Mips_ILVOD_D	= 715,
-    Mips_ILVOD_H	= 716,
-    Mips_ILVOD_W	= 717,
-    Mips_ILVR_B	= 718,
-    Mips_ILVR_D	= 719,
-    Mips_ILVR_H	= 720,
-    Mips_ILVR_W	= 721,
-    Mips_INS	= 722,
-    Mips_INSERT_B	= 723,
-    Mips_INSERT_D	= 724,
-    Mips_INSERT_FD_PSEUDO	= 725,
-    Mips_INSERT_FW_PSEUDO	= 726,
-    Mips_INSERT_H	= 727,
-    Mips_INSERT_W	= 728,
-    Mips_INSV	= 729,
-    Mips_INSVE_B	= 730,
-    Mips_INSVE_D	= 731,
-    Mips_INSVE_H	= 732,
-    Mips_INSVE_W	= 733,
-    Mips_INS_MM	= 734,
-    Mips_J	= 735,
-    Mips_JAL	= 736,
-    Mips_JALR	= 737,
-    Mips_JALR64	= 738,
-    Mips_JALR64Pseudo	= 739,
-    Mips_JALRPseudo	= 740,
-    Mips_JALR_MM	= 741,
-    Mips_JAL_MM	= 742,
-    Mips_JR	= 743,
-    Mips_JR64	= 744,
-    Mips_JR_MM	= 745,
-    Mips_J_MM	= 746,
-    Mips_Jal16	= 747,
-    Mips_JalB16	= 748,
-    Mips_JrRa16	= 749,
-    Mips_JrcRa16	= 750,
-    Mips_JrcRx16	= 751,
-    Mips_JumpLinkReg16	= 752,
-    Mips_LB	= 753,
-    Mips_LB64	= 754,
-    Mips_LBUX	= 755,
-    Mips_LB_MM	= 756,
-    Mips_LBu	= 757,
-    Mips_LBu64	= 758,
-    Mips_LBu_MM	= 759,
-    Mips_LD	= 760,
-    Mips_LDC1	= 761,
-    Mips_LDC164	= 762,
-    Mips_LDC1_MM	= 763,
-    Mips_LDC2	= 764,
-    Mips_LDI_B	= 765,
-    Mips_LDI_D	= 766,
-    Mips_LDI_H	= 767,
-    Mips_LDI_W	= 768,
-    Mips_LDL	= 769,
-    Mips_LDR	= 770,
-    Mips_LDXC1	= 771,
-    Mips_LDXC164	= 772,
-    Mips_LD_B	= 773,
-    Mips_LD_D	= 774,
-    Mips_LD_H	= 775,
-    Mips_LD_W	= 776,
-    Mips_LEA_ADDiu	= 777,
-    Mips_LEA_ADDiu64	= 778,
-    Mips_LEA_ADDiu_MM	= 779,
-    Mips_LH	= 780,
-    Mips_LH64	= 781,
-    Mips_LHX	= 782,
-    Mips_LH_MM	= 783,
-    Mips_LHu	= 784,
-    Mips_LHu64	= 785,
-    Mips_LHu_MM	= 786,
-    Mips_LL	= 787,
-    Mips_LLD	= 788,
-    Mips_LL_MM	= 789,
-    Mips_LOAD_ACC128	= 790,
-    Mips_LOAD_ACC64	= 791,
-    Mips_LOAD_ACC64DSP	= 792,
-    Mips_LOAD_CCOND_DSP	= 793,
-    Mips_LSA	= 794,
-    Mips_LUXC1	= 795,
-    Mips_LUXC164	= 796,
-    Mips_LUXC1_MM	= 797,
-    Mips_LUi	= 798,
-    Mips_LUi64	= 799,
-    Mips_LUi_MM	= 800,
-    Mips_LW	= 801,
-    Mips_LW64	= 802,
-    Mips_LWC1	= 803,
-    Mips_LWC1_MM	= 804,
-    Mips_LWC2	= 805,
-    Mips_LWL	= 806,
-    Mips_LWL64	= 807,
-    Mips_LWL_MM	= 808,
-    Mips_LWR	= 809,
-    Mips_LWR64	= 810,
-    Mips_LWR_MM	= 811,
-    Mips_LWU_MM	= 812,
-    Mips_LWX	= 813,
-    Mips_LWXC1	= 814,
-    Mips_LWXC1_MM	= 815,
-    Mips_LW_MM	= 816,
-    Mips_LWu	= 817,
-    Mips_LbRxRyOffMemX16	= 818,
-    Mips_LbuRxRyOffMemX16	= 819,
-    Mips_LhRxRyOffMemX16	= 820,
-    Mips_LhuRxRyOffMemX16	= 821,
-    Mips_LiRxImm16	= 822,
-    Mips_LiRxImmAlignX16	= 823,
-    Mips_LiRxImmX16	= 824,
-    Mips_LoadAddr32Imm	= 825,
-    Mips_LoadAddr32Reg	= 826,
-    Mips_LoadImm32Reg	= 827,
-    Mips_LwConstant32	= 828,
-    Mips_LwRxPcTcp16	= 829,
-    Mips_LwRxPcTcpX16	= 830,
-    Mips_LwRxRyOffMemX16	= 831,
-    Mips_LwRxSpImmX16	= 832,
-    Mips_MADD	= 833,
-    Mips_MADDR_Q_H	= 834,
-    Mips_MADDR_Q_W	= 835,
-    Mips_MADDU	= 836,
-    Mips_MADDU_DSP	= 837,
-    Mips_MADDU_MM	= 838,
-    Mips_MADDV_B	= 839,
-    Mips_MADDV_D	= 840,
-    Mips_MADDV_H	= 841,
-    Mips_MADDV_W	= 842,
-    Mips_MADD_D32	= 843,
-    Mips_MADD_D32_MM	= 844,
-    Mips_MADD_D64	= 845,
-    Mips_MADD_DSP	= 846,
-    Mips_MADD_MM	= 847,
-    Mips_MADD_Q_H	= 848,
-    Mips_MADD_Q_W	= 849,
-    Mips_MADD_S	= 850,
-    Mips_MADD_S_MM	= 851,
-    Mips_MAQ_SA_W_PHL	= 852,
-    Mips_MAQ_SA_W_PHR	= 853,
-    Mips_MAQ_S_W_PHL	= 854,
-    Mips_MAQ_S_W_PHR	= 855,
-    Mips_MAXI_S_B	= 856,
-    Mips_MAXI_S_D	= 857,
-    Mips_MAXI_S_H	= 858,
-    Mips_MAXI_S_W	= 859,
-    Mips_MAXI_U_B	= 860,
-    Mips_MAXI_U_D	= 861,
-    Mips_MAXI_U_H	= 862,
-    Mips_MAXI_U_W	= 863,
-    Mips_MAX_A_B	= 864,
-    Mips_MAX_A_D	= 865,
-    Mips_MAX_A_H	= 866,
-    Mips_MAX_A_W	= 867,
-    Mips_MAX_S_B	= 868,
-    Mips_MAX_S_D	= 869,
-    Mips_MAX_S_H	= 870,
-    Mips_MAX_S_W	= 871,
-    Mips_MAX_U_B	= 872,
-    Mips_MAX_U_D	= 873,
-    Mips_MAX_U_H	= 874,
-    Mips_MAX_U_W	= 875,
-    Mips_MFC0	= 876,
-    Mips_MFC1	= 877,
-    Mips_MFC1_MM	= 878,
-    Mips_MFC2	= 879,
-    Mips_MFHC1	= 880,
-    Mips_MFHC1_MM	= 881,
-    Mips_MFHI	= 882,
-    Mips_MFHI64	= 883,
-    Mips_MFHI_DSP	= 884,
-    Mips_MFHI_MM	= 885,
-    Mips_MFLO	= 886,
-    Mips_MFLO64	= 887,
-    Mips_MFLO_DSP	= 888,
-    Mips_MFLO_MM	= 889,
-    Mips_MINI_S_B	= 890,
-    Mips_MINI_S_D	= 891,
-    Mips_MINI_S_H	= 892,
-    Mips_MINI_S_W	= 893,
-    Mips_MINI_U_B	= 894,
-    Mips_MINI_U_D	= 895,
-    Mips_MINI_U_H	= 896,
-    Mips_MINI_U_W	= 897,
-    Mips_MIN_A_B	= 898,
-    Mips_MIN_A_D	= 899,
-    Mips_MIN_A_H	= 900,
-    Mips_MIN_A_W	= 901,
-    Mips_MIN_S_B	= 902,
-    Mips_MIN_S_D	= 903,
-    Mips_MIN_S_H	= 904,
-    Mips_MIN_S_W	= 905,
-    Mips_MIN_U_B	= 906,
-    Mips_MIN_U_D	= 907,
-    Mips_MIN_U_H	= 908,
-    Mips_MIN_U_W	= 909,
-    Mips_MIPSeh_return32	= 910,
-    Mips_MIPSeh_return64	= 911,
-    Mips_MODSUB	= 912,
-    Mips_MOD_S_B	= 913,
-    Mips_MOD_S_D	= 914,
-    Mips_MOD_S_H	= 915,
-    Mips_MOD_S_W	= 916,
-    Mips_MOD_U_B	= 917,
-    Mips_MOD_U_D	= 918,
-    Mips_MOD_U_H	= 919,
-    Mips_MOD_U_W	= 920,
-    Mips_MOVE_V	= 921,
-    Mips_MOVF_D32	= 922,
-    Mips_MOVF_D32_MM	= 923,
-    Mips_MOVF_D64	= 924,
-    Mips_MOVF_I	= 925,
-    Mips_MOVF_I64	= 926,
-    Mips_MOVF_I_MM	= 927,
-    Mips_MOVF_S	= 928,
-    Mips_MOVF_S_MM	= 929,
-    Mips_MOVN_I64_D64	= 930,
-    Mips_MOVN_I64_I	= 931,
-    Mips_MOVN_I64_I64	= 932,
-    Mips_MOVN_I64_S	= 933,
-    Mips_MOVN_I_D32	= 934,
-    Mips_MOVN_I_D32_MM	= 935,
-    Mips_MOVN_I_D64	= 936,
-    Mips_MOVN_I_I	= 937,
-    Mips_MOVN_I_I64	= 938,
-    Mips_MOVN_I_MM	= 939,
-    Mips_MOVN_I_S	= 940,
-    Mips_MOVN_I_S_MM	= 941,
-    Mips_MOVT_D32	= 942,
-    Mips_MOVT_D32_MM	= 943,
-    Mips_MOVT_D64	= 944,
-    Mips_MOVT_I	= 945,
-    Mips_MOVT_I64	= 946,
-    Mips_MOVT_I_MM	= 947,
-    Mips_MOVT_S	= 948,
-    Mips_MOVT_S_MM	= 949,
-    Mips_MOVZ_I64_D64	= 950,
-    Mips_MOVZ_I64_I	= 951,
-    Mips_MOVZ_I64_I64	= 952,
-    Mips_MOVZ_I64_S	= 953,
-    Mips_MOVZ_I_D32	= 954,
-    Mips_MOVZ_I_D32_MM	= 955,
-    Mips_MOVZ_I_D64	= 956,
-    Mips_MOVZ_I_I	= 957,
-    Mips_MOVZ_I_I64	= 958,
-    Mips_MOVZ_I_MM	= 959,
-    Mips_MOVZ_I_S	= 960,
-    Mips_MOVZ_I_S_MM	= 961,
-    Mips_MSUB	= 962,
-    Mips_MSUBR_Q_H	= 963,
-    Mips_MSUBR_Q_W	= 964,
-    Mips_MSUBU	= 965,
-    Mips_MSUBU_DSP	= 966,
-    Mips_MSUBU_MM	= 967,
-    Mips_MSUBV_B	= 968,
-    Mips_MSUBV_D	= 969,
-    Mips_MSUBV_H	= 970,
-    Mips_MSUBV_W	= 971,
-    Mips_MSUB_D32	= 972,
-    Mips_MSUB_D32_MM	= 973,
-    Mips_MSUB_D64	= 974,
-    Mips_MSUB_DSP	= 975,
-    Mips_MSUB_MM	= 976,
-    Mips_MSUB_Q_H	= 977,
-    Mips_MSUB_Q_W	= 978,
-    Mips_MSUB_S	= 979,
-    Mips_MSUB_S_MM	= 980,
-    Mips_MTC0	= 981,
-    Mips_MTC1	= 982,
-    Mips_MTC1_MM	= 983,
-    Mips_MTC2	= 984,
-    Mips_MTHC1	= 985,
-    Mips_MTHC1_MM	= 986,
-    Mips_MTHI	= 987,
-    Mips_MTHI64	= 988,
-    Mips_MTHI_DSP	= 989,
-    Mips_MTHI_MM	= 990,
-    Mips_MTHLIP	= 991,
-    Mips_MTLO	= 992,
-    Mips_MTLO64	= 993,
-    Mips_MTLO_DSP	= 994,
-    Mips_MTLO_MM	= 995,
-    Mips_MUL	= 996,
-    Mips_MULEQ_S_W_PHL	= 997,
-    Mips_MULEQ_S_W_PHR	= 998,
-    Mips_MULEU_S_PH_QBL	= 999,
-    Mips_MULEU_S_PH_QBR	= 1000,
-    Mips_MULQ_RS_PH	= 1001,
-    Mips_MULQ_RS_W	= 1002,
-    Mips_MULQ_S_PH	= 1003,
-    Mips_MULQ_S_W	= 1004,
-    Mips_MULR_Q_H	= 1005,
-    Mips_MULR_Q_W	= 1006,
-    Mips_MULSAQ_S_W_PH	= 1007,
-    Mips_MULSA_W_PH	= 1008,
-    Mips_MULT	= 1009,
-    Mips_MULTU_DSP	= 1010,
-    Mips_MULT_DSP	= 1011,
-    Mips_MULT_MM	= 1012,
-    Mips_MULTu	= 1013,
-    Mips_MULTu_MM	= 1014,
-    Mips_MULV_B	= 1015,
-    Mips_MULV_D	= 1016,
-    Mips_MULV_H	= 1017,
-    Mips_MULV_W	= 1018,
-    Mips_MUL_MM	= 1019,
-    Mips_MUL_PH	= 1020,
-    Mips_MUL_Q_H	= 1021,
-    Mips_MUL_Q_W	= 1022,
-    Mips_MUL_S_PH	= 1023,
-    Mips_Mfhi16	= 1024,
-    Mips_Mflo16	= 1025,
-    Mips_Move32R16	= 1026,
-    Mips_MoveR3216	= 1027,
-    Mips_MultRxRy16	= 1028,
-    Mips_MultRxRyRz16	= 1029,
-    Mips_MultuRxRy16	= 1030,
-    Mips_MultuRxRyRz16	= 1031,
-    Mips_NLOC_B	= 1032,
-    Mips_NLOC_D	= 1033,
-    Mips_NLOC_H	= 1034,
-    Mips_NLOC_W	= 1035,
-    Mips_NLZC_B	= 1036,
-    Mips_NLZC_D	= 1037,
-    Mips_NLZC_H	= 1038,
-    Mips_NLZC_W	= 1039,
-    Mips_NMADD_D32	= 1040,
-    Mips_NMADD_D32_MM	= 1041,
-    Mips_NMADD_D64	= 1042,
-    Mips_NMADD_S	= 1043,
-    Mips_NMADD_S_MM	= 1044,
-    Mips_NMSUB_D32	= 1045,
-    Mips_NMSUB_D32_MM	= 1046,
-    Mips_NMSUB_D64	= 1047,
-    Mips_NMSUB_S	= 1048,
-    Mips_NMSUB_S_MM	= 1049,
-    Mips_NOP	= 1050,
-    Mips_NOR	= 1051,
-    Mips_NOR64	= 1052,
-    Mips_NORI_B	= 1053,
-    Mips_NOR_MM	= 1054,
-    Mips_NOR_V	= 1055,
-    Mips_NOR_V_D_PSEUDO	= 1056,
-    Mips_NOR_V_H_PSEUDO	= 1057,
-    Mips_NOR_V_W_PSEUDO	= 1058,
-    Mips_NegRxRy16	= 1059,
-    Mips_NotRxRy16	= 1060,
-    Mips_OR	= 1061,
-    Mips_OR64	= 1062,
-    Mips_ORI_B	= 1063,
-    Mips_OR_MM	= 1064,
-    Mips_OR_V	= 1065,
-    Mips_OR_V_D_PSEUDO	= 1066,
-    Mips_OR_V_H_PSEUDO	= 1067,
-    Mips_OR_V_W_PSEUDO	= 1068,
-    Mips_ORi	= 1069,
-    Mips_ORi64	= 1070,
-    Mips_ORi_MM	= 1071,
-    Mips_OrRxRxRy16	= 1072,
-    Mips_PACKRL_PH	= 1073,
-    Mips_PCKEV_B	= 1074,
-    Mips_PCKEV_D	= 1075,
-    Mips_PCKEV_H	= 1076,
-    Mips_PCKEV_W	= 1077,
-    Mips_PCKOD_B	= 1078,
-    Mips_PCKOD_D	= 1079,
-    Mips_PCKOD_H	= 1080,
-    Mips_PCKOD_W	= 1081,
-    Mips_PCNT_B	= 1082,
-    Mips_PCNT_D	= 1083,
-    Mips_PCNT_H	= 1084,
-    Mips_PCNT_W	= 1085,
-    Mips_PICK_PH	= 1086,
-    Mips_PICK_QB	= 1087,
-    Mips_PRECEQU_PH_QBL	= 1088,
-    Mips_PRECEQU_PH_QBLA	= 1089,
-    Mips_PRECEQU_PH_QBR	= 1090,
-    Mips_PRECEQU_PH_QBRA	= 1091,
-    Mips_PRECEQ_W_PHL	= 1092,
-    Mips_PRECEQ_W_PHR	= 1093,
-    Mips_PRECEU_PH_QBL	= 1094,
-    Mips_PRECEU_PH_QBLA	= 1095,
-    Mips_PRECEU_PH_QBR	= 1096,
-    Mips_PRECEU_PH_QBRA	= 1097,
-    Mips_PRECRQU_S_QB_PH	= 1098,
-    Mips_PRECRQ_PH_W	= 1099,
-    Mips_PRECRQ_QB_PH	= 1100,
-    Mips_PRECRQ_RS_PH_W	= 1101,
-    Mips_PRECR_QB_PH	= 1102,
-    Mips_PRECR_SRA_PH_W	= 1103,
-    Mips_PRECR_SRA_R_PH_W	= 1104,
-    Mips_PREPEND	= 1105,
-    Mips_PseudoCMPU_EQ_QB	= 1106,
-    Mips_PseudoCMPU_LE_QB	= 1107,
-    Mips_PseudoCMPU_LT_QB	= 1108,
-    Mips_PseudoCMP_EQ_PH	= 1109,
-    Mips_PseudoCMP_LE_PH	= 1110,
-    Mips_PseudoCMP_LT_PH	= 1111,
-    Mips_PseudoCVT_D32_W	= 1112,
-    Mips_PseudoCVT_D64_L	= 1113,
-    Mips_PseudoCVT_D64_W	= 1114,
-    Mips_PseudoCVT_S_L	= 1115,
-    Mips_PseudoCVT_S_W	= 1116,
-    Mips_PseudoDMULT	= 1117,
-    Mips_PseudoDMULTu	= 1118,
-    Mips_PseudoDSDIV	= 1119,
-    Mips_PseudoDUDIV	= 1120,
-    Mips_PseudoMADD	= 1121,
-    Mips_PseudoMADDU	= 1122,
-    Mips_PseudoMFHI	= 1123,
-    Mips_PseudoMFHI64	= 1124,
-    Mips_PseudoMFLO	= 1125,
-    Mips_PseudoMFLO64	= 1126,
-    Mips_PseudoMSUB	= 1127,
-    Mips_PseudoMSUBU	= 1128,
-    Mips_PseudoMTLOHI	= 1129,
-    Mips_PseudoMTLOHI64	= 1130,
-    Mips_PseudoMTLOHI_DSP	= 1131,
-    Mips_PseudoMULT	= 1132,
-    Mips_PseudoMULTu	= 1133,
-    Mips_PseudoPICK_PH	= 1134,
-    Mips_PseudoPICK_QB	= 1135,
-    Mips_PseudoSDIV	= 1136,
-    Mips_PseudoUDIV	= 1137,
-    Mips_RADDU_W_QB	= 1138,
-    Mips_RDDSP	= 1139,
-    Mips_RDHWR	= 1140,
-    Mips_RDHWR64	= 1141,
-    Mips_REPLV_PH	= 1142,
-    Mips_REPLV_QB	= 1143,
-    Mips_REPL_PH	= 1144,
-    Mips_REPL_QB	= 1145,
-    Mips_RET	= 1146,
-    Mips_RET_MM	= 1147,
-    Mips_ROTR	= 1148,
-    Mips_ROTRV	= 1149,
-    Mips_ROTRV_MM	= 1150,
-    Mips_ROTR_MM	= 1151,
-    Mips_ROUND_L_D64	= 1152,
-    Mips_ROUND_L_S	= 1153,
-    Mips_ROUND_W_D32	= 1154,
-    Mips_ROUND_W_D64	= 1155,
-    Mips_ROUND_W_MM	= 1156,
-    Mips_ROUND_W_S	= 1157,
-    Mips_ROUND_W_S_MM	= 1158,
-    Mips_Restore16	= 1159,
-    Mips_RestoreX16	= 1160,
-    Mips_RetRA	= 1161,
-    Mips_RetRA16	= 1162,
-    Mips_SAT_S_B	= 1163,
-    Mips_SAT_S_D	= 1164,
-    Mips_SAT_S_H	= 1165,
-    Mips_SAT_S_W	= 1166,
-    Mips_SAT_U_B	= 1167,
-    Mips_SAT_U_D	= 1168,
-    Mips_SAT_U_H	= 1169,
-    Mips_SAT_U_W	= 1170,
-    Mips_SB	= 1171,
-    Mips_SB64	= 1172,
-    Mips_SB_MM	= 1173,
-    Mips_SC	= 1174,
-    Mips_SCD	= 1175,
-    Mips_SC_MM	= 1176,
-    Mips_SD	= 1177,
-    Mips_SDC1	= 1178,
-    Mips_SDC164	= 1179,
-    Mips_SDC1_MM	= 1180,
-    Mips_SDC2	= 1181,
-    Mips_SDIV	= 1182,
-    Mips_SDIV_MM	= 1183,
-    Mips_SDL	= 1184,
-    Mips_SDR	= 1185,
-    Mips_SDXC1	= 1186,
-    Mips_SDXC164	= 1187,
-    Mips_SEB	= 1188,
-    Mips_SEB64	= 1189,
-    Mips_SEB_MM	= 1190,
-    Mips_SEH	= 1191,
-    Mips_SEH64	= 1192,
-    Mips_SEH_MM	= 1193,
-    Mips_SH	= 1194,
-    Mips_SH64	= 1195,
-    Mips_SHF_B	= 1196,
-    Mips_SHF_H	= 1197,
-    Mips_SHF_W	= 1198,
-    Mips_SHILO	= 1199,
-    Mips_SHILOV	= 1200,
-    Mips_SHLLV_PH	= 1201,
-    Mips_SHLLV_QB	= 1202,
-    Mips_SHLLV_S_PH	= 1203,
-    Mips_SHLLV_S_W	= 1204,
-    Mips_SHLL_PH	= 1205,
-    Mips_SHLL_QB	= 1206,
-    Mips_SHLL_S_PH	= 1207,
-    Mips_SHLL_S_W	= 1208,
-    Mips_SHRAV_PH	= 1209,
-    Mips_SHRAV_QB	= 1210,
-    Mips_SHRAV_R_PH	= 1211,
-    Mips_SHRAV_R_QB	= 1212,
-    Mips_SHRAV_R_W	= 1213,
-    Mips_SHRA_PH	= 1214,
-    Mips_SHRA_QB	= 1215,
-    Mips_SHRA_R_PH	= 1216,
-    Mips_SHRA_R_QB	= 1217,
-    Mips_SHRA_R_W	= 1218,
-    Mips_SHRLV_PH	= 1219,
-    Mips_SHRLV_QB	= 1220,
-    Mips_SHRL_PH	= 1221,
-    Mips_SHRL_QB	= 1222,
-    Mips_SH_MM	= 1223,
-    Mips_SLDI_B	= 1224,
-    Mips_SLDI_D	= 1225,
-    Mips_SLDI_H	= 1226,
-    Mips_SLDI_W	= 1227,
-    Mips_SLD_B	= 1228,
-    Mips_SLD_D	= 1229,
-    Mips_SLD_H	= 1230,
-    Mips_SLD_W	= 1231,
-    Mips_SLL	= 1232,
-    Mips_SLL64_32	= 1233,
-    Mips_SLL64_64	= 1234,
-    Mips_SLLI_B	= 1235,
-    Mips_SLLI_D	= 1236,
-    Mips_SLLI_H	= 1237,
-    Mips_SLLI_W	= 1238,
-    Mips_SLLV	= 1239,
-    Mips_SLLV_MM	= 1240,
-    Mips_SLL_B	= 1241,
-    Mips_SLL_D	= 1242,
-    Mips_SLL_H	= 1243,
-    Mips_SLL_MM	= 1244,
-    Mips_SLL_W	= 1245,
-    Mips_SLT	= 1246,
-    Mips_SLT64	= 1247,
-    Mips_SLT_MM	= 1248,
-    Mips_SLTi	= 1249,
-    Mips_SLTi64	= 1250,
-    Mips_SLTi_MM	= 1251,
-    Mips_SLTiu	= 1252,
-    Mips_SLTiu64	= 1253,
-    Mips_SLTiu_MM	= 1254,
-    Mips_SLTu	= 1255,
-    Mips_SLTu64	= 1256,
-    Mips_SLTu_MM	= 1257,
-    Mips_SNZ_B_PSEUDO	= 1258,
-    Mips_SNZ_D_PSEUDO	= 1259,
-    Mips_SNZ_H_PSEUDO	= 1260,
-    Mips_SNZ_V_PSEUDO	= 1261,
-    Mips_SNZ_W_PSEUDO	= 1262,
-    Mips_SPLATI_B	= 1263,
-    Mips_SPLATI_D	= 1264,
-    Mips_SPLATI_H	= 1265,
-    Mips_SPLATI_W	= 1266,
-    Mips_SPLAT_B	= 1267,
-    Mips_SPLAT_D	= 1268,
-    Mips_SPLAT_H	= 1269,
-    Mips_SPLAT_W	= 1270,
-    Mips_SRA	= 1271,
-    Mips_SRAI_B	= 1272,
-    Mips_SRAI_D	= 1273,
-    Mips_SRAI_H	= 1274,
-    Mips_SRAI_W	= 1275,
-    Mips_SRARI_B	= 1276,
-    Mips_SRARI_D	= 1277,
-    Mips_SRARI_H	= 1278,
-    Mips_SRARI_W	= 1279,
-    Mips_SRAR_B	= 1280,
-    Mips_SRAR_D	= 1281,
-    Mips_SRAR_H	= 1282,
-    Mips_SRAR_W	= 1283,
-    Mips_SRAV	= 1284,
-    Mips_SRAV_MM	= 1285,
-    Mips_SRA_B	= 1286,
-    Mips_SRA_D	= 1287,
-    Mips_SRA_H	= 1288,
-    Mips_SRA_MM	= 1289,
-    Mips_SRA_W	= 1290,
-    Mips_SRL	= 1291,
-    Mips_SRLI_B	= 1292,
-    Mips_SRLI_D	= 1293,
-    Mips_SRLI_H	= 1294,
-    Mips_SRLI_W	= 1295,
-    Mips_SRLRI_B	= 1296,
-    Mips_SRLRI_D	= 1297,
-    Mips_SRLRI_H	= 1298,
-    Mips_SRLRI_W	= 1299,
-    Mips_SRLR_B	= 1300,
-    Mips_SRLR_D	= 1301,
-    Mips_SRLR_H	= 1302,
-    Mips_SRLR_W	= 1303,
-    Mips_SRLV	= 1304,
-    Mips_SRLV_MM	= 1305,
-    Mips_SRL_B	= 1306,
-    Mips_SRL_D	= 1307,
-    Mips_SRL_H	= 1308,
-    Mips_SRL_MM	= 1309,
-    Mips_SRL_W	= 1310,
-    Mips_STORE_ACC128	= 1311,
-    Mips_STORE_ACC64	= 1312,
-    Mips_STORE_ACC64DSP	= 1313,
-    Mips_STORE_CCOND_DSP	= 1314,
-    Mips_ST_B	= 1315,
-    Mips_ST_D	= 1316,
-    Mips_ST_H	= 1317,
-    Mips_ST_W	= 1318,
-    Mips_SUB	= 1319,
-    Mips_SUBQH_PH	= 1320,
-    Mips_SUBQH_R_PH	= 1321,
-    Mips_SUBQH_R_W	= 1322,
-    Mips_SUBQH_W	= 1323,
-    Mips_SUBQ_PH	= 1324,
-    Mips_SUBQ_S_PH	= 1325,
-    Mips_SUBQ_S_W	= 1326,
-    Mips_SUBSUS_U_B	= 1327,
-    Mips_SUBSUS_U_D	= 1328,
-    Mips_SUBSUS_U_H	= 1329,
-    Mips_SUBSUS_U_W	= 1330,
-    Mips_SUBSUU_S_B	= 1331,
-    Mips_SUBSUU_S_D	= 1332,
-    Mips_SUBSUU_S_H	= 1333,
-    Mips_SUBSUU_S_W	= 1334,
-    Mips_SUBS_S_B	= 1335,
-    Mips_SUBS_S_D	= 1336,
-    Mips_SUBS_S_H	= 1337,
-    Mips_SUBS_S_W	= 1338,
-    Mips_SUBS_U_B	= 1339,
-    Mips_SUBS_U_D	= 1340,
-    Mips_SUBS_U_H	= 1341,
-    Mips_SUBS_U_W	= 1342,
-    Mips_SUBUH_QB	= 1343,
-    Mips_SUBUH_R_QB	= 1344,
-    Mips_SUBU_PH	= 1345,
-    Mips_SUBU_QB	= 1346,
-    Mips_SUBU_S_PH	= 1347,
-    Mips_SUBU_S_QB	= 1348,
-    Mips_SUBVI_B	= 1349,
-    Mips_SUBVI_D	= 1350,
-    Mips_SUBVI_H	= 1351,
-    Mips_SUBVI_W	= 1352,
-    Mips_SUBV_B	= 1353,
-    Mips_SUBV_D	= 1354,
-    Mips_SUBV_H	= 1355,
-    Mips_SUBV_W	= 1356,
-    Mips_SUB_MM	= 1357,
-    Mips_SUBu	= 1358,
-    Mips_SUBu_MM	= 1359,
-    Mips_SUXC1	= 1360,
-    Mips_SUXC164	= 1361,
-    Mips_SUXC1_MM	= 1362,
-    Mips_SW	= 1363,
-    Mips_SW64	= 1364,
-    Mips_SWC1	= 1365,
-    Mips_SWC1_MM	= 1366,
-    Mips_SWC2	= 1367,
-    Mips_SWL	= 1368,
-    Mips_SWL64	= 1369,
-    Mips_SWL_MM	= 1370,
-    Mips_SWR	= 1371,
-    Mips_SWR64	= 1372,
-    Mips_SWR_MM	= 1373,
-    Mips_SWXC1	= 1374,
-    Mips_SWXC1_MM	= 1375,
-    Mips_SW_MM	= 1376,
-    Mips_SYNC	= 1377,
-    Mips_SYNC_MM	= 1378,
-    Mips_SYSCALL	= 1379,
-    Mips_SYSCALL_MM	= 1380,
-    Mips_SZ_B_PSEUDO	= 1381,
-    Mips_SZ_D_PSEUDO	= 1382,
-    Mips_SZ_H_PSEUDO	= 1383,
-    Mips_SZ_V_PSEUDO	= 1384,
-    Mips_SZ_W_PSEUDO	= 1385,
-    Mips_Save16	= 1386,
-    Mips_SaveX16	= 1387,
-    Mips_SbRxRyOffMemX16	= 1388,
-    Mips_SebRx16	= 1389,
-    Mips_SehRx16	= 1390,
-    Mips_SelBeqZ	= 1391,
-    Mips_SelBneZ	= 1392,
-    Mips_SelTBteqZCmp	= 1393,
-    Mips_SelTBteqZCmpi	= 1394,
-    Mips_SelTBteqZSlt	= 1395,
-    Mips_SelTBteqZSlti	= 1396,
-    Mips_SelTBteqZSltiu	= 1397,
-    Mips_SelTBteqZSltu	= 1398,
-    Mips_SelTBtneZCmp	= 1399,
-    Mips_SelTBtneZCmpi	= 1400,
-    Mips_SelTBtneZSlt	= 1401,
-    Mips_SelTBtneZSlti	= 1402,
-    Mips_SelTBtneZSltiu	= 1403,
-    Mips_SelTBtneZSltu	= 1404,
-    Mips_ShRxRyOffMemX16	= 1405,
-    Mips_SllX16	= 1406,
-    Mips_SllvRxRy16	= 1407,
-    Mips_SltCCRxRy16	= 1408,
-    Mips_SltRxRy16	= 1409,
-    Mips_SltiCCRxImmX16	= 1410,
-    Mips_SltiRxImm16	= 1411,
-    Mips_SltiRxImmX16	= 1412,
-    Mips_SltiuCCRxImmX16	= 1413,
-    Mips_SltiuRxImm16	= 1414,
-    Mips_SltiuRxImmX16	= 1415,
-    Mips_SltuCCRxRy16	= 1416,
-    Mips_SltuRxRy16	= 1417,
-    Mips_SltuRxRyRz16	= 1418,
-    Mips_SraX16	= 1419,
-    Mips_SravRxRy16	= 1420,
-    Mips_SrlX16	= 1421,
-    Mips_SrlvRxRy16	= 1422,
-    Mips_SubuRxRyRz16	= 1423,
-    Mips_SwRxRyOffMemX16	= 1424,
-    Mips_SwRxSpImmX16	= 1425,
-    Mips_TAILCALL	= 1426,
-    Mips_TAILCALL64_R	= 1427,
-    Mips_TAILCALL_R	= 1428,
-    Mips_TEQ	= 1429,
-    Mips_TEQI	= 1430,
-    Mips_TEQI_MM	= 1431,
-    Mips_TEQ_MM	= 1432,
-    Mips_TGE	= 1433,
-    Mips_TGEI	= 1434,
-    Mips_TGEIU	= 1435,
-    Mips_TGEIU_MM	= 1436,
-    Mips_TGEI_MM	= 1437,
-    Mips_TGEU	= 1438,
-    Mips_TGEU_MM	= 1439,
-    Mips_TGE_MM	= 1440,
-    Mips_TLT	= 1441,
-    Mips_TLTI	= 1442,
-    Mips_TLTIU_MM	= 1443,
-    Mips_TLTI_MM	= 1444,
-    Mips_TLTU	= 1445,
-    Mips_TLTU_MM	= 1446,
-    Mips_TLT_MM	= 1447,
-    Mips_TNE	= 1448,
-    Mips_TNEI	= 1449,
-    Mips_TNEI_MM	= 1450,
-    Mips_TNE_MM	= 1451,
-    Mips_TRAP	= 1452,
-    Mips_TRUNC_L_D64	= 1453,
-    Mips_TRUNC_L_S	= 1454,
-    Mips_TRUNC_W_D32	= 1455,
-    Mips_TRUNC_W_D64	= 1456,
-    Mips_TRUNC_W_MM	= 1457,
-    Mips_TRUNC_W_S	= 1458,
-    Mips_TRUNC_W_S_MM	= 1459,
-    Mips_TTLTIU	= 1460,
-    Mips_UDIV	= 1461,
-    Mips_UDIV_MM	= 1462,
-    Mips_VSHF_B	= 1463,
-    Mips_VSHF_D	= 1464,
-    Mips_VSHF_H	= 1465,
-    Mips_VSHF_W	= 1466,
-    Mips_WAIT	= 1467,
-    Mips_WAIT_MM	= 1468,
-    Mips_WRDSP	= 1469,
-    Mips_WSBH	= 1470,
-    Mips_WSBH_MM	= 1471,
-    Mips_XOR	= 1472,
-    Mips_XOR64	= 1473,
-    Mips_XORI_B	= 1474,
-    Mips_XOR_MM	= 1475,
-    Mips_XOR_V	= 1476,
-    Mips_XOR_V_D_PSEUDO	= 1477,
-    Mips_XOR_V_H_PSEUDO	= 1478,
-    Mips_XOR_V_W_PSEUDO	= 1479,
-    Mips_XORi	= 1480,
-    Mips_XORi64	= 1481,
-    Mips_XORi_MM	= 1482,
-    Mips_XorRxRxRy16	= 1483,
-    Mips_INSTRUCTION_LIST_END = 1484
+    Mips_LOAD_STACK_GUARD	= 19,
+    Mips_ABSQ_S_PH	= 20,
+    Mips_ABSQ_S_QB	= 21,
+    Mips_ABSQ_S_W	= 22,
+    Mips_ADD	= 23,
+    Mips_ADDIUPC	= 24,
+    Mips_ADDQH_PH	= 25,
+    Mips_ADDQH_R_PH	= 26,
+    Mips_ADDQH_R_W	= 27,
+    Mips_ADDQH_W	= 28,
+    Mips_ADDQ_PH	= 29,
+    Mips_ADDQ_S_PH	= 30,
+    Mips_ADDQ_S_W	= 31,
+    Mips_ADDSC	= 32,
+    Mips_ADDS_A_B	= 33,
+    Mips_ADDS_A_D	= 34,
+    Mips_ADDS_A_H	= 35,
+    Mips_ADDS_A_W	= 36,
+    Mips_ADDS_S_B	= 37,
+    Mips_ADDS_S_D	= 38,
+    Mips_ADDS_S_H	= 39,
+    Mips_ADDS_S_W	= 40,
+    Mips_ADDS_U_B	= 41,
+    Mips_ADDS_U_D	= 42,
+    Mips_ADDS_U_H	= 43,
+    Mips_ADDS_U_W	= 44,
+    Mips_ADDUH_QB	= 45,
+    Mips_ADDUH_R_QB	= 46,
+    Mips_ADDU_PH	= 47,
+    Mips_ADDU_QB	= 48,
+    Mips_ADDU_S_PH	= 49,
+    Mips_ADDU_S_QB	= 50,
+    Mips_ADDVI_B	= 51,
+    Mips_ADDVI_D	= 52,
+    Mips_ADDVI_H	= 53,
+    Mips_ADDVI_W	= 54,
+    Mips_ADDV_B	= 55,
+    Mips_ADDV_D	= 56,
+    Mips_ADDV_H	= 57,
+    Mips_ADDV_W	= 58,
+    Mips_ADDWC	= 59,
+    Mips_ADD_A_B	= 60,
+    Mips_ADD_A_D	= 61,
+    Mips_ADD_A_H	= 62,
+    Mips_ADD_A_W	= 63,
+    Mips_ADD_MM	= 64,
+    Mips_ADDi	= 65,
+    Mips_ADDi_MM	= 66,
+    Mips_ADDiu	= 67,
+    Mips_ADDiu_MM	= 68,
+    Mips_ADDu	= 69,
+    Mips_ADDu_MM	= 70,
+    Mips_ADJCALLSTACKDOWN	= 71,
+    Mips_ADJCALLSTACKUP	= 72,
+    Mips_ALIGN	= 73,
+    Mips_ALUIPC	= 74,
+    Mips_AND	= 75,
+    Mips_AND64	= 76,
+    Mips_ANDI_B	= 77,
+    Mips_AND_MM	= 78,
+    Mips_AND_V	= 79,
+    Mips_AND_V_D_PSEUDO	= 80,
+    Mips_AND_V_H_PSEUDO	= 81,
+    Mips_AND_V_W_PSEUDO	= 82,
+    Mips_ANDi	= 83,
+    Mips_ANDi64	= 84,
+    Mips_ANDi_MM	= 85,
+    Mips_APPEND	= 86,
+    Mips_ASUB_S_B	= 87,
+    Mips_ASUB_S_D	= 88,
+    Mips_ASUB_S_H	= 89,
+    Mips_ASUB_S_W	= 90,
+    Mips_ASUB_U_B	= 91,
+    Mips_ASUB_U_D	= 92,
+    Mips_ASUB_U_H	= 93,
+    Mips_ASUB_U_W	= 94,
+    Mips_ATOMIC_CMP_SWAP_I16	= 95,
+    Mips_ATOMIC_CMP_SWAP_I32	= 96,
+    Mips_ATOMIC_CMP_SWAP_I64	= 97,
+    Mips_ATOMIC_CMP_SWAP_I8	= 98,
+    Mips_ATOMIC_LOAD_ADD_I16	= 99,
+    Mips_ATOMIC_LOAD_ADD_I32	= 100,
+    Mips_ATOMIC_LOAD_ADD_I64	= 101,
+    Mips_ATOMIC_LOAD_ADD_I8	= 102,
+    Mips_ATOMIC_LOAD_AND_I16	= 103,
+    Mips_ATOMIC_LOAD_AND_I32	= 104,
+    Mips_ATOMIC_LOAD_AND_I64	= 105,
+    Mips_ATOMIC_LOAD_AND_I8	= 106,
+    Mips_ATOMIC_LOAD_NAND_I16	= 107,
+    Mips_ATOMIC_LOAD_NAND_I32	= 108,
+    Mips_ATOMIC_LOAD_NAND_I64	= 109,
+    Mips_ATOMIC_LOAD_NAND_I8	= 110,
+    Mips_ATOMIC_LOAD_OR_I16	= 111,
+    Mips_ATOMIC_LOAD_OR_I32	= 112,
+    Mips_ATOMIC_LOAD_OR_I64	= 113,
+    Mips_ATOMIC_LOAD_OR_I8	= 114,
+    Mips_ATOMIC_LOAD_SUB_I16	= 115,
+    Mips_ATOMIC_LOAD_SUB_I32	= 116,
+    Mips_ATOMIC_LOAD_SUB_I64	= 117,
+    Mips_ATOMIC_LOAD_SUB_I8	= 118,
+    Mips_ATOMIC_LOAD_XOR_I16	= 119,
+    Mips_ATOMIC_LOAD_XOR_I32	= 120,
+    Mips_ATOMIC_LOAD_XOR_I64	= 121,
+    Mips_ATOMIC_LOAD_XOR_I8	= 122,
+    Mips_ATOMIC_SWAP_I16	= 123,
+    Mips_ATOMIC_SWAP_I32	= 124,
+    Mips_ATOMIC_SWAP_I64	= 125,
+    Mips_ATOMIC_SWAP_I8	= 126,
+    Mips_AUI	= 127,
+    Mips_AUIPC	= 128,
+    Mips_AVER_S_B	= 129,
+    Mips_AVER_S_D	= 130,
+    Mips_AVER_S_H	= 131,
+    Mips_AVER_S_W	= 132,
+    Mips_AVER_U_B	= 133,
+    Mips_AVER_U_D	= 134,
+    Mips_AVER_U_H	= 135,
+    Mips_AVER_U_W	= 136,
+    Mips_AVE_S_B	= 137,
+    Mips_AVE_S_D	= 138,
+    Mips_AVE_S_H	= 139,
+    Mips_AVE_S_W	= 140,
+    Mips_AVE_U_B	= 141,
+    Mips_AVE_U_D	= 142,
+    Mips_AVE_U_H	= 143,
+    Mips_AVE_U_W	= 144,
+    Mips_AddiuRxImmX16	= 145,
+    Mips_AddiuRxPcImmX16	= 146,
+    Mips_AddiuRxRxImm16	= 147,
+    Mips_AddiuRxRxImmX16	= 148,
+    Mips_AddiuRxRyOffMemX16	= 149,
+    Mips_AddiuSpImm16	= 150,
+    Mips_AddiuSpImmX16	= 151,
+    Mips_AdduRxRyRz16	= 152,
+    Mips_AndRxRxRy16	= 153,
+    Mips_B	= 154,
+    Mips_BADDu	= 155,
+    Mips_BAL	= 156,
+    Mips_BALC	= 157,
+    Mips_BALIGN	= 158,
+    Mips_BAL_BR	= 159,
+    Mips_BC	= 160,
+    Mips_BC1EQZ	= 161,
+    Mips_BC1F	= 162,
+    Mips_BC1F_MM	= 163,
+    Mips_BC1NEZ	= 164,
+    Mips_BC1T	= 165,
+    Mips_BC1T_MM	= 166,
+    Mips_BC2EQZ	= 167,
+    Mips_BC2NEZ	= 168,
+    Mips_BCLRI_B	= 169,
+    Mips_BCLRI_D	= 170,
+    Mips_BCLRI_H	= 171,
+    Mips_BCLRI_W	= 172,
+    Mips_BCLR_B	= 173,
+    Mips_BCLR_D	= 174,
+    Mips_BCLR_H	= 175,
+    Mips_BCLR_W	= 176,
+    Mips_BEQ	= 177,
+    Mips_BEQ64	= 178,
+    Mips_BEQC	= 179,
+    Mips_BEQZALC	= 180,
+    Mips_BEQZC	= 181,
+    Mips_BEQ_MM	= 182,
+    Mips_BGEC	= 183,
+    Mips_BGEUC	= 184,
+    Mips_BGEZ	= 185,
+    Mips_BGEZ64	= 186,
+    Mips_BGEZAL	= 187,
+    Mips_BGEZALC	= 188,
+    Mips_BGEZAL_MM	= 189,
+    Mips_BGEZC	= 190,
+    Mips_BGEZ_MM	= 191,
+    Mips_BGTZ	= 192,
+    Mips_BGTZ64	= 193,
+    Mips_BGTZALC	= 194,
+    Mips_BGTZC	= 195,
+    Mips_BGTZ_MM	= 196,
+    Mips_BINSLI_B	= 197,
+    Mips_BINSLI_D	= 198,
+    Mips_BINSLI_H	= 199,
+    Mips_BINSLI_W	= 200,
+    Mips_BINSL_B	= 201,
+    Mips_BINSL_D	= 202,
+    Mips_BINSL_H	= 203,
+    Mips_BINSL_W	= 204,
+    Mips_BINSRI_B	= 205,
+    Mips_BINSRI_D	= 206,
+    Mips_BINSRI_H	= 207,
+    Mips_BINSRI_W	= 208,
+    Mips_BINSR_B	= 209,
+    Mips_BINSR_D	= 210,
+    Mips_BINSR_H	= 211,
+    Mips_BINSR_W	= 212,
+    Mips_BITREV	= 213,
+    Mips_BITSWAP	= 214,
+    Mips_BLEZ	= 215,
+    Mips_BLEZ64	= 216,
+    Mips_BLEZALC	= 217,
+    Mips_BLEZC	= 218,
+    Mips_BLEZ_MM	= 219,
+    Mips_BLTC	= 220,
+    Mips_BLTUC	= 221,
+    Mips_BLTZ	= 222,
+    Mips_BLTZ64	= 223,
+    Mips_BLTZAL	= 224,
+    Mips_BLTZALC	= 225,
+    Mips_BLTZAL_MM	= 226,
+    Mips_BLTZC	= 227,
+    Mips_BLTZ_MM	= 228,
+    Mips_BMNZI_B	= 229,
+    Mips_BMNZ_V	= 230,
+    Mips_BMZI_B	= 231,
+    Mips_BMZ_V	= 232,
+    Mips_BNE	= 233,
+    Mips_BNE64	= 234,
+    Mips_BNEC	= 235,
+    Mips_BNEGI_B	= 236,
+    Mips_BNEGI_D	= 237,
+    Mips_BNEGI_H	= 238,
+    Mips_BNEGI_W	= 239,
+    Mips_BNEG_B	= 240,
+    Mips_BNEG_D	= 241,
+    Mips_BNEG_H	= 242,
+    Mips_BNEG_W	= 243,
+    Mips_BNEZALC	= 244,
+    Mips_BNEZC	= 245,
+    Mips_BNE_MM	= 246,
+    Mips_BNVC	= 247,
+    Mips_BNZ_B	= 248,
+    Mips_BNZ_D	= 249,
+    Mips_BNZ_H	= 250,
+    Mips_BNZ_V	= 251,
+    Mips_BNZ_W	= 252,
+    Mips_BOVC	= 253,
+    Mips_BPOSGE32	= 254,
+    Mips_BPOSGE32_PSEUDO	= 255,
+    Mips_BREAK	= 256,
+    Mips_BREAK_MM	= 257,
+    Mips_BSELI_B	= 258,
+    Mips_BSEL_D_PSEUDO	= 259,
+    Mips_BSEL_FD_PSEUDO	= 260,
+    Mips_BSEL_FW_PSEUDO	= 261,
+    Mips_BSEL_H_PSEUDO	= 262,
+    Mips_BSEL_V	= 263,
+    Mips_BSEL_W_PSEUDO	= 264,
+    Mips_BSETI_B	= 265,
+    Mips_BSETI_D	= 266,
+    Mips_BSETI_H	= 267,
+    Mips_BSETI_W	= 268,
+    Mips_BSET_B	= 269,
+    Mips_BSET_D	= 270,
+    Mips_BSET_H	= 271,
+    Mips_BSET_W	= 272,
+    Mips_BZ_B	= 273,
+    Mips_BZ_D	= 274,
+    Mips_BZ_H	= 275,
+    Mips_BZ_V	= 276,
+    Mips_BZ_W	= 277,
+    Mips_BeqzRxImm16	= 278,
+    Mips_BeqzRxImmX16	= 279,
+    Mips_Bimm16	= 280,
+    Mips_BimmX16	= 281,
+    Mips_BnezRxImm16	= 282,
+    Mips_BnezRxImmX16	= 283,
+    Mips_Break16	= 284,
+    Mips_Bteqz16	= 285,
+    Mips_BteqzT8CmpX16	= 286,
+    Mips_BteqzT8CmpiX16	= 287,
+    Mips_BteqzT8SltX16	= 288,
+    Mips_BteqzT8SltiX16	= 289,
+    Mips_BteqzT8SltiuX16	= 290,
+    Mips_BteqzT8SltuX16	= 291,
+    Mips_BteqzX16	= 292,
+    Mips_Btnez16	= 293,
+    Mips_BtnezT8CmpX16	= 294,
+    Mips_BtnezT8CmpiX16	= 295,
+    Mips_BtnezT8SltX16	= 296,
+    Mips_BtnezT8SltiX16	= 297,
+    Mips_BtnezT8SltiuX16	= 298,
+    Mips_BtnezT8SltuX16	= 299,
+    Mips_BtnezX16	= 300,
+    Mips_BuildPairF64	= 301,
+    Mips_BuildPairF64_64	= 302,
+    Mips_CACHE	= 303,
+    Mips_CACHE_R6	= 304,
+    Mips_CEIL_L_D64	= 305,
+    Mips_CEIL_L_S	= 306,
+    Mips_CEIL_W_D32	= 307,
+    Mips_CEIL_W_D64	= 308,
+    Mips_CEIL_W_MM	= 309,
+    Mips_CEIL_W_S	= 310,
+    Mips_CEIL_W_S_MM	= 311,
+    Mips_CEQI_B	= 312,
+    Mips_CEQI_D	= 313,
+    Mips_CEQI_H	= 314,
+    Mips_CEQI_W	= 315,
+    Mips_CEQ_B	= 316,
+    Mips_CEQ_D	= 317,
+    Mips_CEQ_H	= 318,
+    Mips_CEQ_W	= 319,
+    Mips_CFC1	= 320,
+    Mips_CFC1_MM	= 321,
+    Mips_CFCMSA	= 322,
+    Mips_CINS	= 323,
+    Mips_CINS32	= 324,
+    Mips_CLASS_D	= 325,
+    Mips_CLASS_S	= 326,
+    Mips_CLEI_S_B	= 327,
+    Mips_CLEI_S_D	= 328,
+    Mips_CLEI_S_H	= 329,
+    Mips_CLEI_S_W	= 330,
+    Mips_CLEI_U_B	= 331,
+    Mips_CLEI_U_D	= 332,
+    Mips_CLEI_U_H	= 333,
+    Mips_CLEI_U_W	= 334,
+    Mips_CLE_S_B	= 335,
+    Mips_CLE_S_D	= 336,
+    Mips_CLE_S_H	= 337,
+    Mips_CLE_S_W	= 338,
+    Mips_CLE_U_B	= 339,
+    Mips_CLE_U_D	= 340,
+    Mips_CLE_U_H	= 341,
+    Mips_CLE_U_W	= 342,
+    Mips_CLO	= 343,
+    Mips_CLO_MM	= 344,
+    Mips_CLO_R6	= 345,
+    Mips_CLTI_S_B	= 346,
+    Mips_CLTI_S_D	= 347,
+    Mips_CLTI_S_H	= 348,
+    Mips_CLTI_S_W	= 349,
+    Mips_CLTI_U_B	= 350,
+    Mips_CLTI_U_D	= 351,
+    Mips_CLTI_U_H	= 352,
+    Mips_CLTI_U_W	= 353,
+    Mips_CLT_S_B	= 354,
+    Mips_CLT_S_D	= 355,
+    Mips_CLT_S_H	= 356,
+    Mips_CLT_S_W	= 357,
+    Mips_CLT_U_B	= 358,
+    Mips_CLT_U_D	= 359,
+    Mips_CLT_U_H	= 360,
+    Mips_CLT_U_W	= 361,
+    Mips_CLZ	= 362,
+    Mips_CLZ_MM	= 363,
+    Mips_CLZ_R6	= 364,
+    Mips_CMPGDU_EQ_QB	= 365,
+    Mips_CMPGDU_LE_QB	= 366,
+    Mips_CMPGDU_LT_QB	= 367,
+    Mips_CMPGU_EQ_QB	= 368,
+    Mips_CMPGU_LE_QB	= 369,
+    Mips_CMPGU_LT_QB	= 370,
+    Mips_CMPU_EQ_QB	= 371,
+    Mips_CMPU_LE_QB	= 372,
+    Mips_CMPU_LT_QB	= 373,
+    Mips_CMP_EQ_D	= 374,
+    Mips_CMP_EQ_PH	= 375,
+    Mips_CMP_EQ_S	= 376,
+    Mips_CMP_F_D	= 377,
+    Mips_CMP_F_S	= 378,
+    Mips_CMP_LE_D	= 379,
+    Mips_CMP_LE_PH	= 380,
+    Mips_CMP_LE_S	= 381,
+    Mips_CMP_LT_D	= 382,
+    Mips_CMP_LT_PH	= 383,
+    Mips_CMP_LT_S	= 384,
+    Mips_CMP_SAF_D	= 385,
+    Mips_CMP_SAF_S	= 386,
+    Mips_CMP_SEQ_D	= 387,
+    Mips_CMP_SEQ_S	= 388,
+    Mips_CMP_SLE_D	= 389,
+    Mips_CMP_SLE_S	= 390,
+    Mips_CMP_SLT_D	= 391,
+    Mips_CMP_SLT_S	= 392,
+    Mips_CMP_SUEQ_D	= 393,
+    Mips_CMP_SUEQ_S	= 394,
+    Mips_CMP_SULE_D	= 395,
+    Mips_CMP_SULE_S	= 396,
+    Mips_CMP_SULT_D	= 397,
+    Mips_CMP_SULT_S	= 398,
+    Mips_CMP_SUN_D	= 399,
+    Mips_CMP_SUN_S	= 400,
+    Mips_CMP_UEQ_D	= 401,
+    Mips_CMP_UEQ_S	= 402,
+    Mips_CMP_ULE_D	= 403,
+    Mips_CMP_ULE_S	= 404,
+    Mips_CMP_ULT_D	= 405,
+    Mips_CMP_ULT_S	= 406,
+    Mips_CMP_UN_D	= 407,
+    Mips_CMP_UN_S	= 408,
+    Mips_CONSTPOOL_ENTRY	= 409,
+    Mips_COPY_FD_PSEUDO	= 410,
+    Mips_COPY_FW_PSEUDO	= 411,
+    Mips_COPY_S_B	= 412,
+    Mips_COPY_S_D	= 413,
+    Mips_COPY_S_H	= 414,
+    Mips_COPY_S_W	= 415,
+    Mips_COPY_U_B	= 416,
+    Mips_COPY_U_D	= 417,
+    Mips_COPY_U_H	= 418,
+    Mips_COPY_U_W	= 419,
+    Mips_CTC1	= 420,
+    Mips_CTC1_MM	= 421,
+    Mips_CTCMSA	= 422,
+    Mips_CVT_D32_S	= 423,
+    Mips_CVT_D32_W	= 424,
+    Mips_CVT_D32_W_MM	= 425,
+    Mips_CVT_D64_L	= 426,
+    Mips_CVT_D64_S	= 427,
+    Mips_CVT_D64_W	= 428,
+    Mips_CVT_D_S_MM	= 429,
+    Mips_CVT_L_D64	= 430,
+    Mips_CVT_L_D64_MM	= 431,
+    Mips_CVT_L_S	= 432,
+    Mips_CVT_L_S_MM	= 433,
+    Mips_CVT_S_D32	= 434,
+    Mips_CVT_S_D32_MM	= 435,
+    Mips_CVT_S_D64	= 436,
+    Mips_CVT_S_L	= 437,
+    Mips_CVT_S_W	= 438,
+    Mips_CVT_S_W_MM	= 439,
+    Mips_CVT_W_D32	= 440,
+    Mips_CVT_W_D64	= 441,
+    Mips_CVT_W_MM	= 442,
+    Mips_CVT_W_S	= 443,
+    Mips_CVT_W_S_MM	= 444,
+    Mips_C_EQ_D32	= 445,
+    Mips_C_EQ_D64	= 446,
+    Mips_C_EQ_S	= 447,
+    Mips_C_F_D32	= 448,
+    Mips_C_F_D64	= 449,
+    Mips_C_F_S	= 450,
+    Mips_C_LE_D32	= 451,
+    Mips_C_LE_D64	= 452,
+    Mips_C_LE_S	= 453,
+    Mips_C_LT_D32	= 454,
+    Mips_C_LT_D64	= 455,
+    Mips_C_LT_S	= 456,
+    Mips_C_NGE_D32	= 457,
+    Mips_C_NGE_D64	= 458,
+    Mips_C_NGE_S	= 459,
+    Mips_C_NGLE_D32	= 460,
+    Mips_C_NGLE_D64	= 461,
+    Mips_C_NGLE_S	= 462,
+    Mips_C_NGL_D32	= 463,
+    Mips_C_NGL_D64	= 464,
+    Mips_C_NGL_S	= 465,
+    Mips_C_NGT_D32	= 466,
+    Mips_C_NGT_D64	= 467,
+    Mips_C_NGT_S	= 468,
+    Mips_C_OLE_D32	= 469,
+    Mips_C_OLE_D64	= 470,
+    Mips_C_OLE_S	= 471,
+    Mips_C_OLT_D32	= 472,
+    Mips_C_OLT_D64	= 473,
+    Mips_C_OLT_S	= 474,
+    Mips_C_SEQ_D32	= 475,
+    Mips_C_SEQ_D64	= 476,
+    Mips_C_SEQ_S	= 477,
+    Mips_C_SF_D32	= 478,
+    Mips_C_SF_D64	= 479,
+    Mips_C_SF_S	= 480,
+    Mips_C_UEQ_D32	= 481,
+    Mips_C_UEQ_D64	= 482,
+    Mips_C_UEQ_S	= 483,
+    Mips_C_ULE_D32	= 484,
+    Mips_C_ULE_D64	= 485,
+    Mips_C_ULE_S	= 486,
+    Mips_C_ULT_D32	= 487,
+    Mips_C_ULT_D64	= 488,
+    Mips_C_ULT_S	= 489,
+    Mips_C_UN_D32	= 490,
+    Mips_C_UN_D64	= 491,
+    Mips_C_UN_S	= 492,
+    Mips_CmpRxRy16	= 493,
+    Mips_CmpiRxImm16	= 494,
+    Mips_CmpiRxImmX16	= 495,
+    Mips_Constant32	= 496,
+    Mips_DADD	= 497,
+    Mips_DADDi	= 498,
+    Mips_DADDiu	= 499,
+    Mips_DADDu	= 500,
+    Mips_DAHI	= 501,
+    Mips_DALIGN	= 502,
+    Mips_DATI	= 503,
+    Mips_DAUI	= 504,
+    Mips_DBITSWAP	= 505,
+    Mips_DCLO	= 506,
+    Mips_DCLO_R6	= 507,
+    Mips_DCLZ	= 508,
+    Mips_DCLZ_R6	= 509,
+    Mips_DDIV	= 510,
+    Mips_DDIVU	= 511,
+    Mips_DERET	= 512,
+    Mips_DERET_MM	= 513,
+    Mips_DEXT	= 514,
+    Mips_DEXTM	= 515,
+    Mips_DEXTU	= 516,
+    Mips_DI	= 517,
+    Mips_DINS	= 518,
+    Mips_DINSM	= 519,
+    Mips_DINSU	= 520,
+    Mips_DIV	= 521,
+    Mips_DIVU	= 522,
+    Mips_DIV_S_B	= 523,
+    Mips_DIV_S_D	= 524,
+    Mips_DIV_S_H	= 525,
+    Mips_DIV_S_W	= 526,
+    Mips_DIV_U_B	= 527,
+    Mips_DIV_U_D	= 528,
+    Mips_DIV_U_H	= 529,
+    Mips_DIV_U_W	= 530,
+    Mips_DI_MM	= 531,
+    Mips_DLSA	= 532,
+    Mips_DLSA_R6	= 533,
+    Mips_DMFC0	= 534,
+    Mips_DMFC1	= 535,
+    Mips_DMFC2	= 536,
+    Mips_DMOD	= 537,
+    Mips_DMODU	= 538,
+    Mips_DMTC0	= 539,
+    Mips_DMTC1	= 540,
+    Mips_DMTC2	= 541,
+    Mips_DMUH	= 542,
+    Mips_DMUHU	= 543,
+    Mips_DMUL	= 544,
+    Mips_DMULT	= 545,
+    Mips_DMULTu	= 546,
+    Mips_DMULU	= 547,
+    Mips_DMUL_R6	= 548,
+    Mips_DOTP_S_D	= 549,
+    Mips_DOTP_S_H	= 550,
+    Mips_DOTP_S_W	= 551,
+    Mips_DOTP_U_D	= 552,
+    Mips_DOTP_U_H	= 553,
+    Mips_DOTP_U_W	= 554,
+    Mips_DPADD_S_D	= 555,
+    Mips_DPADD_S_H	= 556,
+    Mips_DPADD_S_W	= 557,
+    Mips_DPADD_U_D	= 558,
+    Mips_DPADD_U_H	= 559,
+    Mips_DPADD_U_W	= 560,
+    Mips_DPAQX_SA_W_PH	= 561,
+    Mips_DPAQX_S_W_PH	= 562,
+    Mips_DPAQ_SA_L_W	= 563,
+    Mips_DPAQ_S_W_PH	= 564,
+    Mips_DPAU_H_QBL	= 565,
+    Mips_DPAU_H_QBR	= 566,
+    Mips_DPAX_W_PH	= 567,
+    Mips_DPA_W_PH	= 568,
+    Mips_DPOP	= 569,
+    Mips_DPSQX_SA_W_PH	= 570,
+    Mips_DPSQX_S_W_PH	= 571,
+    Mips_DPSQ_SA_L_W	= 572,
+    Mips_DPSQ_S_W_PH	= 573,
+    Mips_DPSUB_S_D	= 574,
+    Mips_DPSUB_S_H	= 575,
+    Mips_DPSUB_S_W	= 576,
+    Mips_DPSUB_U_D	= 577,
+    Mips_DPSUB_U_H	= 578,
+    Mips_DPSUB_U_W	= 579,
+    Mips_DPSU_H_QBL	= 580,
+    Mips_DPSU_H_QBR	= 581,
+    Mips_DPSX_W_PH	= 582,
+    Mips_DPS_W_PH	= 583,
+    Mips_DROTR	= 584,
+    Mips_DROTR32	= 585,
+    Mips_DROTRV	= 586,
+    Mips_DSBH	= 587,
+    Mips_DSDIV	= 588,
+    Mips_DSHD	= 589,
+    Mips_DSLL	= 590,
+    Mips_DSLL32	= 591,
+    Mips_DSLL64_32	= 592,
+    Mips_DSLLV	= 593,
+    Mips_DSRA	= 594,
+    Mips_DSRA32	= 595,
+    Mips_DSRAV	= 596,
+    Mips_DSRL	= 597,
+    Mips_DSRL32	= 598,
+    Mips_DSRLV	= 599,
+    Mips_DSUB	= 600,
+    Mips_DSUBu	= 601,
+    Mips_DUDIV	= 602,
+    Mips_DivRxRy16	= 603,
+    Mips_DivuRxRy16	= 604,
+    Mips_EHB	= 605,
+    Mips_EI	= 606,
+    Mips_EI_MM	= 607,
+    Mips_ERET	= 608,
+    Mips_ERET_MM	= 609,
+    Mips_EXT	= 610,
+    Mips_EXTP	= 611,
+    Mips_EXTPDP	= 612,
+    Mips_EXTPDPV	= 613,
+    Mips_EXTPV	= 614,
+    Mips_EXTRV_RS_W	= 615,
+    Mips_EXTRV_R_W	= 616,
+    Mips_EXTRV_S_H	= 617,
+    Mips_EXTRV_W	= 618,
+    Mips_EXTR_RS_W	= 619,
+    Mips_EXTR_R_W	= 620,
+    Mips_EXTR_S_H	= 621,
+    Mips_EXTR_W	= 622,
+    Mips_EXTS	= 623,
+    Mips_EXTS32	= 624,
+    Mips_EXT_MM	= 625,
+    Mips_ExtractElementF64	= 626,
+    Mips_ExtractElementF64_64	= 627,
+    Mips_FABS_D	= 628,
+    Mips_FABS_D32	= 629,
+    Mips_FABS_D64	= 630,
+    Mips_FABS_MM	= 631,
+    Mips_FABS_S	= 632,
+    Mips_FABS_S_MM	= 633,
+    Mips_FABS_W	= 634,
+    Mips_FADD_D	= 635,
+    Mips_FADD_D32	= 636,
+    Mips_FADD_D64	= 637,
+    Mips_FADD_MM	= 638,
+    Mips_FADD_S	= 639,
+    Mips_FADD_S_MM	= 640,
+    Mips_FADD_W	= 641,
+    Mips_FCAF_D	= 642,
+    Mips_FCAF_W	= 643,
+    Mips_FCEQ_D	= 644,
+    Mips_FCEQ_W	= 645,
+    Mips_FCLASS_D	= 646,
+    Mips_FCLASS_W	= 647,
+    Mips_FCLE_D	= 648,
+    Mips_FCLE_W	= 649,
+    Mips_FCLT_D	= 650,
+    Mips_FCLT_W	= 651,
+    Mips_FCMP_D32	= 652,
+    Mips_FCMP_D32_MM	= 653,
+    Mips_FCMP_D64	= 654,
+    Mips_FCMP_S32	= 655,
+    Mips_FCMP_S32_MM	= 656,
+    Mips_FCNE_D	= 657,
+    Mips_FCNE_W	= 658,
+    Mips_FCOR_D	= 659,
+    Mips_FCOR_W	= 660,
+    Mips_FCUEQ_D	= 661,
+    Mips_FCUEQ_W	= 662,
+    Mips_FCULE_D	= 663,
+    Mips_FCULE_W	= 664,
+    Mips_FCULT_D	= 665,
+    Mips_FCULT_W	= 666,
+    Mips_FCUNE_D	= 667,
+    Mips_FCUNE_W	= 668,
+    Mips_FCUN_D	= 669,
+    Mips_FCUN_W	= 670,
+    Mips_FDIV_D	= 671,
+    Mips_FDIV_D32	= 672,
+    Mips_FDIV_D64	= 673,
+    Mips_FDIV_MM	= 674,
+    Mips_FDIV_S	= 675,
+    Mips_FDIV_S_MM	= 676,
+    Mips_FDIV_W	= 677,
+    Mips_FEXDO_H	= 678,
+    Mips_FEXDO_W	= 679,
+    Mips_FEXP2_D	= 680,
+    Mips_FEXP2_D_1_PSEUDO	= 681,
+    Mips_FEXP2_W	= 682,
+    Mips_FEXP2_W_1_PSEUDO	= 683,
+    Mips_FEXUPL_D	= 684,
+    Mips_FEXUPL_W	= 685,
+    Mips_FEXUPR_D	= 686,
+    Mips_FEXUPR_W	= 687,
+    Mips_FFINT_S_D	= 688,
+    Mips_FFINT_S_W	= 689,
+    Mips_FFINT_U_D	= 690,
+    Mips_FFINT_U_W	= 691,
+    Mips_FFQL_D	= 692,
+    Mips_FFQL_W	= 693,
+    Mips_FFQR_D	= 694,
+    Mips_FFQR_W	= 695,
+    Mips_FILL_B	= 696,
+    Mips_FILL_D	= 697,
+    Mips_FILL_FD_PSEUDO	= 698,
+    Mips_FILL_FW_PSEUDO	= 699,
+    Mips_FILL_H	= 700,
+    Mips_FILL_W	= 701,
+    Mips_FLOG2_D	= 702,
+    Mips_FLOG2_W	= 703,
+    Mips_FLOOR_L_D64	= 704,
+    Mips_FLOOR_L_S	= 705,
+    Mips_FLOOR_W_D32	= 706,
+    Mips_FLOOR_W_D64	= 707,
+    Mips_FLOOR_W_MM	= 708,
+    Mips_FLOOR_W_S	= 709,
+    Mips_FLOOR_W_S_MM	= 710,
+    Mips_FMADD_D	= 711,
+    Mips_FMADD_W	= 712,
+    Mips_FMAX_A_D	= 713,
+    Mips_FMAX_A_W	= 714,
+    Mips_FMAX_D	= 715,
+    Mips_FMAX_W	= 716,
+    Mips_FMIN_A_D	= 717,
+    Mips_FMIN_A_W	= 718,
+    Mips_FMIN_D	= 719,
+    Mips_FMIN_W	= 720,
+    Mips_FMOV_D32	= 721,
+    Mips_FMOV_D32_MM	= 722,
+    Mips_FMOV_D64	= 723,
+    Mips_FMOV_S	= 724,
+    Mips_FMOV_S_MM	= 725,
+    Mips_FMSUB_D	= 726,
+    Mips_FMSUB_W	= 727,
+    Mips_FMUL_D	= 728,
+    Mips_FMUL_D32	= 729,
+    Mips_FMUL_D64	= 730,
+    Mips_FMUL_MM	= 731,
+    Mips_FMUL_S	= 732,
+    Mips_FMUL_S_MM	= 733,
+    Mips_FMUL_W	= 734,
+    Mips_FNEG_D32	= 735,
+    Mips_FNEG_D64	= 736,
+    Mips_FNEG_MM	= 737,
+    Mips_FNEG_S	= 738,
+    Mips_FNEG_S_MM	= 739,
+    Mips_FRCP_D	= 740,
+    Mips_FRCP_W	= 741,
+    Mips_FRINT_D	= 742,
+    Mips_FRINT_W	= 743,
+    Mips_FRSQRT_D	= 744,
+    Mips_FRSQRT_W	= 745,
+    Mips_FSAF_D	= 746,
+    Mips_FSAF_W	= 747,
+    Mips_FSEQ_D	= 748,
+    Mips_FSEQ_W	= 749,
+    Mips_FSLE_D	= 750,
+    Mips_FSLE_W	= 751,
+    Mips_FSLT_D	= 752,
+    Mips_FSLT_W	= 753,
+    Mips_FSNE_D	= 754,
+    Mips_FSNE_W	= 755,
+    Mips_FSOR_D	= 756,
+    Mips_FSOR_W	= 757,
+    Mips_FSQRT_D	= 758,
+    Mips_FSQRT_D32	= 759,
+    Mips_FSQRT_D64	= 760,
+    Mips_FSQRT_MM	= 761,
+    Mips_FSQRT_S	= 762,
+    Mips_FSQRT_S_MM	= 763,
+    Mips_FSQRT_W	= 764,
+    Mips_FSUB_D	= 765,
+    Mips_FSUB_D32	= 766,
+    Mips_FSUB_D64	= 767,
+    Mips_FSUB_MM	= 768,
+    Mips_FSUB_S	= 769,
+    Mips_FSUB_S_MM	= 770,
+    Mips_FSUB_W	= 771,
+    Mips_FSUEQ_D	= 772,
+    Mips_FSUEQ_W	= 773,
+    Mips_FSULE_D	= 774,
+    Mips_FSULE_W	= 775,
+    Mips_FSULT_D	= 776,
+    Mips_FSULT_W	= 777,
+    Mips_FSUNE_D	= 778,
+    Mips_FSUNE_W	= 779,
+    Mips_FSUN_D	= 780,
+    Mips_FSUN_W	= 781,
+    Mips_FTINT_S_D	= 782,
+    Mips_FTINT_S_W	= 783,
+    Mips_FTINT_U_D	= 784,
+    Mips_FTINT_U_W	= 785,
+    Mips_FTQ_H	= 786,
+    Mips_FTQ_W	= 787,
+    Mips_FTRUNC_S_D	= 788,
+    Mips_FTRUNC_S_W	= 789,
+    Mips_FTRUNC_U_D	= 790,
+    Mips_FTRUNC_U_W	= 791,
+    Mips_GotPrologue16	= 792,
+    Mips_HADD_S_D	= 793,
+    Mips_HADD_S_H	= 794,
+    Mips_HADD_S_W	= 795,
+    Mips_HADD_U_D	= 796,
+    Mips_HADD_U_H	= 797,
+    Mips_HADD_U_W	= 798,
+    Mips_HSUB_S_D	= 799,
+    Mips_HSUB_S_H	= 800,
+    Mips_HSUB_S_W	= 801,
+    Mips_HSUB_U_D	= 802,
+    Mips_HSUB_U_H	= 803,
+    Mips_HSUB_U_W	= 804,
+    Mips_ILVEV_B	= 805,
+    Mips_ILVEV_D	= 806,
+    Mips_ILVEV_H	= 807,
+    Mips_ILVEV_W	= 808,
+    Mips_ILVL_B	= 809,
+    Mips_ILVL_D	= 810,
+    Mips_ILVL_H	= 811,
+    Mips_ILVL_W	= 812,
+    Mips_ILVOD_B	= 813,
+    Mips_ILVOD_D	= 814,
+    Mips_ILVOD_H	= 815,
+    Mips_ILVOD_W	= 816,
+    Mips_ILVR_B	= 817,
+    Mips_ILVR_D	= 818,
+    Mips_ILVR_H	= 819,
+    Mips_ILVR_W	= 820,
+    Mips_INS	= 821,
+    Mips_INSERT_B	= 822,
+    Mips_INSERT_B_VIDX_PSEUDO	= 823,
+    Mips_INSERT_D	= 824,
+    Mips_INSERT_D_VIDX_PSEUDO	= 825,
+    Mips_INSERT_FD_PSEUDO	= 826,
+    Mips_INSERT_FD_VIDX_PSEUDO	= 827,
+    Mips_INSERT_FW_PSEUDO	= 828,
+    Mips_INSERT_FW_VIDX_PSEUDO	= 829,
+    Mips_INSERT_H	= 830,
+    Mips_INSERT_H_VIDX_PSEUDO	= 831,
+    Mips_INSERT_W	= 832,
+    Mips_INSERT_W_VIDX_PSEUDO	= 833,
+    Mips_INSV	= 834,
+    Mips_INSVE_B	= 835,
+    Mips_INSVE_D	= 836,
+    Mips_INSVE_H	= 837,
+    Mips_INSVE_W	= 838,
+    Mips_INS_MM	= 839,
+    Mips_J	= 840,
+    Mips_JAL	= 841,
+    Mips_JALR	= 842,
+    Mips_JALR16_MM	= 843,
+    Mips_JALR64	= 844,
+    Mips_JALR64Pseudo	= 845,
+    Mips_JALRPseudo	= 846,
+    Mips_JALR_HB	= 847,
+    Mips_JALR_MM	= 848,
+    Mips_JALX	= 849,
+    Mips_JAL_MM	= 850,
+    Mips_JIALC	= 851,
+    Mips_JIC	= 852,
+    Mips_JR	= 853,
+    Mips_JR64	= 854,
+    Mips_JR_HB	= 855,
+    Mips_JR_HB_R6	= 856,
+    Mips_JR_MM	= 857,
+    Mips_J_MM	= 858,
+    Mips_Jal16	= 859,
+    Mips_JalB16	= 860,
+    Mips_JrRa16	= 861,
+    Mips_JrcRa16	= 862,
+    Mips_JrcRx16	= 863,
+    Mips_JumpLinkReg16	= 864,
+    Mips_LB	= 865,
+    Mips_LB64	= 866,
+    Mips_LBUX	= 867,
+    Mips_LB_MM	= 868,
+    Mips_LBu	= 869,
+    Mips_LBu64	= 870,
+    Mips_LBu_MM	= 871,
+    Mips_LD	= 872,
+    Mips_LDC1	= 873,
+    Mips_LDC164	= 874,
+    Mips_LDC1_MM	= 875,
+    Mips_LDC2	= 876,
+    Mips_LDC2_R6	= 877,
+    Mips_LDC3	= 878,
+    Mips_LDI_B	= 879,
+    Mips_LDI_D	= 880,
+    Mips_LDI_H	= 881,
+    Mips_LDI_W	= 882,
+    Mips_LDL	= 883,
+    Mips_LDPC	= 884,
+    Mips_LDR	= 885,
+    Mips_LDXC1	= 886,
+    Mips_LDXC164	= 887,
+    Mips_LD_B	= 888,
+    Mips_LD_D	= 889,
+    Mips_LD_H	= 890,
+    Mips_LD_W	= 891,
+    Mips_LEA_ADDiu	= 892,
+    Mips_LEA_ADDiu64	= 893,
+    Mips_LEA_ADDiu_MM	= 894,
+    Mips_LH	= 895,
+    Mips_LH64	= 896,
+    Mips_LHX	= 897,
+    Mips_LH_MM	= 898,
+    Mips_LHu	= 899,
+    Mips_LHu64	= 900,
+    Mips_LHu_MM	= 901,
+    Mips_LL	= 902,
+    Mips_LLD	= 903,
+    Mips_LLD_R6	= 904,
+    Mips_LL_MM	= 905,
+    Mips_LL_R6	= 906,
+    Mips_LOAD_ACC128	= 907,
+    Mips_LOAD_ACC64	= 908,
+    Mips_LOAD_ACC64DSP	= 909,
+    Mips_LOAD_CCOND_DSP	= 910,
+    Mips_LONG_BRANCH_ADDiu	= 911,
+    Mips_LONG_BRANCH_DADDiu	= 912,
+    Mips_LONG_BRANCH_LUi	= 913,
+    Mips_LSA	= 914,
+    Mips_LSA_R6	= 915,
+    Mips_LUXC1	= 916,
+    Mips_LUXC164	= 917,
+    Mips_LUXC1_MM	= 918,
+    Mips_LUi	= 919,
+    Mips_LUi64	= 920,
+    Mips_LUi_MM	= 921,
+    Mips_LW	= 922,
+    Mips_LW64	= 923,
+    Mips_LWC1	= 924,
+    Mips_LWC1_MM	= 925,
+    Mips_LWC2	= 926,
+    Mips_LWC2_R6	= 927,
+    Mips_LWC3	= 928,
+    Mips_LWL	= 929,
+    Mips_LWL64	= 930,
+    Mips_LWL_MM	= 931,
+    Mips_LWPC	= 932,
+    Mips_LWR	= 933,
+    Mips_LWR64	= 934,
+    Mips_LWR_MM	= 935,
+    Mips_LWUPC	= 936,
+    Mips_LWU_MM	= 937,
+    Mips_LWX	= 938,
+    Mips_LWXC1	= 939,
+    Mips_LWXC1_MM	= 940,
+    Mips_LW_MM	= 941,
+    Mips_LWu	= 942,
+    Mips_LbRxRyOffMemX16	= 943,
+    Mips_LbuRxRyOffMemX16	= 944,
+    Mips_LhRxRyOffMemX16	= 945,
+    Mips_LhuRxRyOffMemX16	= 946,
+    Mips_LiRxImm16	= 947,
+    Mips_LiRxImmAlignX16	= 948,
+    Mips_LiRxImmX16	= 949,
+    Mips_LoadAddr32Imm	= 950,
+    Mips_LoadAddr32Reg	= 951,
+    Mips_LoadImm32Reg	= 952,
+    Mips_LoadImm64Reg	= 953,
+    Mips_LwConstant32	= 954,
+    Mips_LwRxPcTcp16	= 955,
+    Mips_LwRxPcTcpX16	= 956,
+    Mips_LwRxRyOffMemX16	= 957,
+    Mips_LwRxSpImmX16	= 958,
+    Mips_MADD	= 959,
+    Mips_MADDF_D	= 960,
+    Mips_MADDF_S	= 961,
+    Mips_MADDR_Q_H	= 962,
+    Mips_MADDR_Q_W	= 963,
+    Mips_MADDU	= 964,
+    Mips_MADDU_DSP	= 965,
+    Mips_MADDU_MM	= 966,
+    Mips_MADDV_B	= 967,
+    Mips_MADDV_D	= 968,
+    Mips_MADDV_H	= 969,
+    Mips_MADDV_W	= 970,
+    Mips_MADD_D32	= 971,
+    Mips_MADD_D32_MM	= 972,
+    Mips_MADD_D64	= 973,
+    Mips_MADD_DSP	= 974,
+    Mips_MADD_MM	= 975,
+    Mips_MADD_Q_H	= 976,
+    Mips_MADD_Q_W	= 977,
+    Mips_MADD_S	= 978,
+    Mips_MADD_S_MM	= 979,
+    Mips_MAQ_SA_W_PHL	= 980,
+    Mips_MAQ_SA_W_PHR	= 981,
+    Mips_MAQ_S_W_PHL	= 982,
+    Mips_MAQ_S_W_PHR	= 983,
+    Mips_MAXA_D	= 984,
+    Mips_MAXA_S	= 985,
+    Mips_MAXI_S_B	= 986,
+    Mips_MAXI_S_D	= 987,
+    Mips_MAXI_S_H	= 988,
+    Mips_MAXI_S_W	= 989,
+    Mips_MAXI_U_B	= 990,
+    Mips_MAXI_U_D	= 991,
+    Mips_MAXI_U_H	= 992,
+    Mips_MAXI_U_W	= 993,
+    Mips_MAX_A_B	= 994,
+    Mips_MAX_A_D	= 995,
+    Mips_MAX_A_H	= 996,
+    Mips_MAX_A_W	= 997,
+    Mips_MAX_D	= 998,
+    Mips_MAX_S	= 999,
+    Mips_MAX_S_B	= 1000,
+    Mips_MAX_S_D	= 1001,
+    Mips_MAX_S_H	= 1002,
+    Mips_MAX_S_W	= 1003,
+    Mips_MAX_U_B	= 1004,
+    Mips_MAX_U_D	= 1005,
+    Mips_MAX_U_H	= 1006,
+    Mips_MAX_U_W	= 1007,
+    Mips_MFC0	= 1008,
+    Mips_MFC1	= 1009,
+    Mips_MFC1_MM	= 1010,
+    Mips_MFC2	= 1011,
+    Mips_MFHC1_D32	= 1012,
+    Mips_MFHC1_D64	= 1013,
+    Mips_MFHC1_MM	= 1014,
+    Mips_MFHI	= 1015,
+    Mips_MFHI16_MM	= 1016,
+    Mips_MFHI64	= 1017,
+    Mips_MFHI_DSP	= 1018,
+    Mips_MFHI_MM	= 1019,
+    Mips_MFLO	= 1020,
+    Mips_MFLO16_MM	= 1021,
+    Mips_MFLO64	= 1022,
+    Mips_MFLO_DSP	= 1023,
+    Mips_MFLO_MM	= 1024,
+    Mips_MINA_D	= 1025,
+    Mips_MINA_S	= 1026,
+    Mips_MINI_S_B	= 1027,
+    Mips_MINI_S_D	= 1028,
+    Mips_MINI_S_H	= 1029,
+    Mips_MINI_S_W	= 1030,
+    Mips_MINI_U_B	= 1031,
+    Mips_MINI_U_D	= 1032,
+    Mips_MINI_U_H	= 1033,
+    Mips_MINI_U_W	= 1034,
+    Mips_MIN_A_B	= 1035,
+    Mips_MIN_A_D	= 1036,
+    Mips_MIN_A_H	= 1037,
+    Mips_MIN_A_W	= 1038,
+    Mips_MIN_D	= 1039,
+    Mips_MIN_S	= 1040,
+    Mips_MIN_S_B	= 1041,
+    Mips_MIN_S_D	= 1042,
+    Mips_MIN_S_H	= 1043,
+    Mips_MIN_S_W	= 1044,
+    Mips_MIN_U_B	= 1045,
+    Mips_MIN_U_D	= 1046,
+    Mips_MIN_U_H	= 1047,
+    Mips_MIN_U_W	= 1048,
+    Mips_MIPSeh_return32	= 1049,
+    Mips_MIPSeh_return64	= 1050,
+    Mips_MOD	= 1051,
+    Mips_MODSUB	= 1052,
+    Mips_MODU	= 1053,
+    Mips_MOD_S_B	= 1054,
+    Mips_MOD_S_D	= 1055,
+    Mips_MOD_S_H	= 1056,
+    Mips_MOD_S_W	= 1057,
+    Mips_MOD_U_B	= 1058,
+    Mips_MOD_U_D	= 1059,
+    Mips_MOD_U_H	= 1060,
+    Mips_MOD_U_W	= 1061,
+    Mips_MOVE16_MM	= 1062,
+    Mips_MOVE_V	= 1063,
+    Mips_MOVF_D32	= 1064,
+    Mips_MOVF_D32_MM	= 1065,
+    Mips_MOVF_D64	= 1066,
+    Mips_MOVF_I	= 1067,
+    Mips_MOVF_I64	= 1068,
+    Mips_MOVF_I_MM	= 1069,
+    Mips_MOVF_S	= 1070,
+    Mips_MOVF_S_MM	= 1071,
+    Mips_MOVN_I64_D64	= 1072,
+    Mips_MOVN_I64_I	= 1073,
+    Mips_MOVN_I64_I64	= 1074,
+    Mips_MOVN_I64_S	= 1075,
+    Mips_MOVN_I_D32	= 1076,
+    Mips_MOVN_I_D32_MM	= 1077,
+    Mips_MOVN_I_D64	= 1078,
+    Mips_MOVN_I_I	= 1079,
+    Mips_MOVN_I_I64	= 1080,
+    Mips_MOVN_I_MM	= 1081,
+    Mips_MOVN_I_S	= 1082,
+    Mips_MOVN_I_S_MM	= 1083,
+    Mips_MOVT_D32	= 1084,
+    Mips_MOVT_D32_MM	= 1085,
+    Mips_MOVT_D64	= 1086,
+    Mips_MOVT_I	= 1087,
+    Mips_MOVT_I64	= 1088,
+    Mips_MOVT_I_MM	= 1089,
+    Mips_MOVT_S	= 1090,
+    Mips_MOVT_S_MM	= 1091,
+    Mips_MOVZ_I64_D64	= 1092,
+    Mips_MOVZ_I64_I	= 1093,
+    Mips_MOVZ_I64_I64	= 1094,
+    Mips_MOVZ_I64_S	= 1095,
+    Mips_MOVZ_I_D32	= 1096,
+    Mips_MOVZ_I_D32_MM	= 1097,
+    Mips_MOVZ_I_D64	= 1098,
+    Mips_MOVZ_I_I	= 1099,
+    Mips_MOVZ_I_I64	= 1100,
+    Mips_MOVZ_I_MM	= 1101,
+    Mips_MOVZ_I_S	= 1102,
+    Mips_MOVZ_I_S_MM	= 1103,
+    Mips_MSUB	= 1104,
+    Mips_MSUBF_D	= 1105,
+    Mips_MSUBF_S	= 1106,
+    Mips_MSUBR_Q_H	= 1107,
+    Mips_MSUBR_Q_W	= 1108,
+    Mips_MSUBU	= 1109,
+    Mips_MSUBU_DSP	= 1110,
+    Mips_MSUBU_MM	= 1111,
+    Mips_MSUBV_B	= 1112,
+    Mips_MSUBV_D	= 1113,
+    Mips_MSUBV_H	= 1114,
+    Mips_MSUBV_W	= 1115,
+    Mips_MSUB_D32	= 1116,
+    Mips_MSUB_D32_MM	= 1117,
+    Mips_MSUB_D64	= 1118,
+    Mips_MSUB_DSP	= 1119,
+    Mips_MSUB_MM	= 1120,
+    Mips_MSUB_Q_H	= 1121,
+    Mips_MSUB_Q_W	= 1122,
+    Mips_MSUB_S	= 1123,
+    Mips_MSUB_S_MM	= 1124,
+    Mips_MTC0	= 1125,
+    Mips_MTC1	= 1126,
+    Mips_MTC1_MM	= 1127,
+    Mips_MTC2	= 1128,
+    Mips_MTHC1_D32	= 1129,
+    Mips_MTHC1_D64	= 1130,
+    Mips_MTHC1_MM	= 1131,
+    Mips_MTHI	= 1132,
+    Mips_MTHI64	= 1133,
+    Mips_MTHI_DSP	= 1134,
+    Mips_MTHI_MM	= 1135,
+    Mips_MTHLIP	= 1136,
+    Mips_MTLO	= 1137,
+    Mips_MTLO64	= 1138,
+    Mips_MTLO_DSP	= 1139,
+    Mips_MTLO_MM	= 1140,
+    Mips_MTM0	= 1141,
+    Mips_MTM1	= 1142,
+    Mips_MTM2	= 1143,
+    Mips_MTP0	= 1144,
+    Mips_MTP1	= 1145,
+    Mips_MTP2	= 1146,
+    Mips_MUH	= 1147,
+    Mips_MUHU	= 1148,
+    Mips_MUL	= 1149,
+    Mips_MULEQ_S_W_PHL	= 1150,
+    Mips_MULEQ_S_W_PHR	= 1151,
+    Mips_MULEU_S_PH_QBL	= 1152,
+    Mips_MULEU_S_PH_QBR	= 1153,
+    Mips_MULQ_RS_PH	= 1154,
+    Mips_MULQ_RS_W	= 1155,
+    Mips_MULQ_S_PH	= 1156,
+    Mips_MULQ_S_W	= 1157,
+    Mips_MULR_Q_H	= 1158,
+    Mips_MULR_Q_W	= 1159,
+    Mips_MULSAQ_S_W_PH	= 1160,
+    Mips_MULSA_W_PH	= 1161,
+    Mips_MULT	= 1162,
+    Mips_MULTU_DSP	= 1163,
+    Mips_MULT_DSP	= 1164,
+    Mips_MULT_MM	= 1165,
+    Mips_MULTu	= 1166,
+    Mips_MULTu_MM	= 1167,
+    Mips_MULU	= 1168,
+    Mips_MULV_B	= 1169,
+    Mips_MULV_D	= 1170,
+    Mips_MULV_H	= 1171,
+    Mips_MULV_W	= 1172,
+    Mips_MUL_MM	= 1173,
+    Mips_MUL_PH	= 1174,
+    Mips_MUL_Q_H	= 1175,
+    Mips_MUL_Q_W	= 1176,
+    Mips_MUL_R6	= 1177,
+    Mips_MUL_S_PH	= 1178,
+    Mips_Mfhi16	= 1179,
+    Mips_Mflo16	= 1180,
+    Mips_Move32R16	= 1181,
+    Mips_MoveR3216	= 1182,
+    Mips_MultRxRy16	= 1183,
+    Mips_MultRxRyRz16	= 1184,
+    Mips_MultuRxRy16	= 1185,
+    Mips_MultuRxRyRz16	= 1186,
+    Mips_NLOC_B	= 1187,
+    Mips_NLOC_D	= 1188,
+    Mips_NLOC_H	= 1189,
+    Mips_NLOC_W	= 1190,
+    Mips_NLZC_B	= 1191,
+    Mips_NLZC_D	= 1192,
+    Mips_NLZC_H	= 1193,
+    Mips_NLZC_W	= 1194,
+    Mips_NMADD_D32	= 1195,
+    Mips_NMADD_D32_MM	= 1196,
+    Mips_NMADD_D64	= 1197,
+    Mips_NMADD_S	= 1198,
+    Mips_NMADD_S_MM	= 1199,
+    Mips_NMSUB_D32	= 1200,
+    Mips_NMSUB_D32_MM	= 1201,
+    Mips_NMSUB_D64	= 1202,
+    Mips_NMSUB_S	= 1203,
+    Mips_NMSUB_S_MM	= 1204,
+    Mips_NOP	= 1205,
+    Mips_NOR	= 1206,
+    Mips_NOR64	= 1207,
+    Mips_NORI_B	= 1208,
+    Mips_NOR_MM	= 1209,
+    Mips_NOR_V	= 1210,
+    Mips_NOR_V_D_PSEUDO	= 1211,
+    Mips_NOR_V_H_PSEUDO	= 1212,
+    Mips_NOR_V_W_PSEUDO	= 1213,
+    Mips_NegRxRy16	= 1214,
+    Mips_NotRxRy16	= 1215,
+    Mips_OR	= 1216,
+    Mips_OR64	= 1217,
+    Mips_ORI_B	= 1218,
+    Mips_OR_MM	= 1219,
+    Mips_OR_V	= 1220,
+    Mips_OR_V_D_PSEUDO	= 1221,
+    Mips_OR_V_H_PSEUDO	= 1222,
+    Mips_OR_V_W_PSEUDO	= 1223,
+    Mips_ORi	= 1224,
+    Mips_ORi64	= 1225,
+    Mips_ORi_MM	= 1226,
+    Mips_OrRxRxRy16	= 1227,
+    Mips_PACKRL_PH	= 1228,
+    Mips_PAUSE	= 1229,
+    Mips_PCKEV_B	= 1230,
+    Mips_PCKEV_D	= 1231,
+    Mips_PCKEV_H	= 1232,
+    Mips_PCKEV_W	= 1233,
+    Mips_PCKOD_B	= 1234,
+    Mips_PCKOD_D	= 1235,
+    Mips_PCKOD_H	= 1236,
+    Mips_PCKOD_W	= 1237,
+    Mips_PCNT_B	= 1238,
+    Mips_PCNT_D	= 1239,
+    Mips_PCNT_H	= 1240,
+    Mips_PCNT_W	= 1241,
+    Mips_PICK_PH	= 1242,
+    Mips_PICK_QB	= 1243,
+    Mips_POP	= 1244,
+    Mips_PRECEQU_PH_QBL	= 1245,
+    Mips_PRECEQU_PH_QBLA	= 1246,
+    Mips_PRECEQU_PH_QBR	= 1247,
+    Mips_PRECEQU_PH_QBRA	= 1248,
+    Mips_PRECEQ_W_PHL	= 1249,
+    Mips_PRECEQ_W_PHR	= 1250,
+    Mips_PRECEU_PH_QBL	= 1251,
+    Mips_PRECEU_PH_QBLA	= 1252,
+    Mips_PRECEU_PH_QBR	= 1253,
+    Mips_PRECEU_PH_QBRA	= 1254,
+    Mips_PRECRQU_S_QB_PH	= 1255,
+    Mips_PRECRQ_PH_W	= 1256,
+    Mips_PRECRQ_QB_PH	= 1257,
+    Mips_PRECRQ_RS_PH_W	= 1258,
+    Mips_PRECR_QB_PH	= 1259,
+    Mips_PRECR_SRA_PH_W	= 1260,
+    Mips_PRECR_SRA_R_PH_W	= 1261,
+    Mips_PREF	= 1262,
+    Mips_PREF_R6	= 1263,
+    Mips_PREPEND	= 1264,
+    Mips_PseudoCMPU_EQ_QB	= 1265,
+    Mips_PseudoCMPU_LE_QB	= 1266,
+    Mips_PseudoCMPU_LT_QB	= 1267,
+    Mips_PseudoCMP_EQ_PH	= 1268,
+    Mips_PseudoCMP_LE_PH	= 1269,
+    Mips_PseudoCMP_LT_PH	= 1270,
+    Mips_PseudoCVT_D32_W	= 1271,
+    Mips_PseudoCVT_D64_L	= 1272,
+    Mips_PseudoCVT_D64_W	= 1273,
+    Mips_PseudoCVT_S_L	= 1274,
+    Mips_PseudoCVT_S_W	= 1275,
+    Mips_PseudoDMULT	= 1276,
+    Mips_PseudoDMULTu	= 1277,
+    Mips_PseudoDSDIV	= 1278,
+    Mips_PseudoDUDIV	= 1279,
+    Mips_PseudoIndirectBranch	= 1280,
+    Mips_PseudoIndirectBranch64	= 1281,
+    Mips_PseudoMADD	= 1282,
+    Mips_PseudoMADDU	= 1283,
+    Mips_PseudoMFHI	= 1284,
+    Mips_PseudoMFHI64	= 1285,
+    Mips_PseudoMFLO	= 1286,
+    Mips_PseudoMFLO64	= 1287,
+    Mips_PseudoMSUB	= 1288,
+    Mips_PseudoMSUBU	= 1289,
+    Mips_PseudoMTLOHI	= 1290,
+    Mips_PseudoMTLOHI64	= 1291,
+    Mips_PseudoMTLOHI_DSP	= 1292,
+    Mips_PseudoMULT	= 1293,
+    Mips_PseudoMULTu	= 1294,
+    Mips_PseudoPICK_PH	= 1295,
+    Mips_PseudoPICK_QB	= 1296,
+    Mips_PseudoReturn	= 1297,
+    Mips_PseudoReturn64	= 1298,
+    Mips_PseudoSDIV	= 1299,
+    Mips_PseudoUDIV	= 1300,
+    Mips_RADDU_W_QB	= 1301,
+    Mips_RDDSP	= 1302,
+    Mips_RDHWR	= 1303,
+    Mips_RDHWR64	= 1304,
+    Mips_REPLV_PH	= 1305,
+    Mips_REPLV_QB	= 1306,
+    Mips_REPL_PH	= 1307,
+    Mips_REPL_QB	= 1308,
+    Mips_RINT_D	= 1309,
+    Mips_RINT_S	= 1310,
+    Mips_ROTR	= 1311,
+    Mips_ROTRV	= 1312,
+    Mips_ROTRV_MM	= 1313,
+    Mips_ROTR_MM	= 1314,
+    Mips_ROUND_L_D64	= 1315,
+    Mips_ROUND_L_S	= 1316,
+    Mips_ROUND_W_D32	= 1317,
+    Mips_ROUND_W_D64	= 1318,
+    Mips_ROUND_W_MM	= 1319,
+    Mips_ROUND_W_S	= 1320,
+    Mips_ROUND_W_S_MM	= 1321,
+    Mips_Restore16	= 1322,
+    Mips_RestoreX16	= 1323,
+    Mips_RetRA	= 1324,
+    Mips_RetRA16	= 1325,
+    Mips_SAT_S_B	= 1326,
+    Mips_SAT_S_D	= 1327,
+    Mips_SAT_S_H	= 1328,
+    Mips_SAT_S_W	= 1329,
+    Mips_SAT_U_B	= 1330,
+    Mips_SAT_U_D	= 1331,
+    Mips_SAT_U_H	= 1332,
+    Mips_SAT_U_W	= 1333,
+    Mips_SB	= 1334,
+    Mips_SB64	= 1335,
+    Mips_SB_MM	= 1336,
+    Mips_SC	= 1337,
+    Mips_SCD	= 1338,
+    Mips_SCD_R6	= 1339,
+    Mips_SC_MM	= 1340,
+    Mips_SC_R6	= 1341,
+    Mips_SD	= 1342,
+    Mips_SDBBP	= 1343,
+    Mips_SDBBP_R6	= 1344,
+    Mips_SDC1	= 1345,
+    Mips_SDC164	= 1346,
+    Mips_SDC1_MM	= 1347,
+    Mips_SDC2	= 1348,
+    Mips_SDC2_R6	= 1349,
+    Mips_SDC3	= 1350,
+    Mips_SDIV	= 1351,
+    Mips_SDIV_MM	= 1352,
+    Mips_SDL	= 1353,
+    Mips_SDR	= 1354,
+    Mips_SDXC1	= 1355,
+    Mips_SDXC164	= 1356,
+    Mips_SEB	= 1357,
+    Mips_SEB64	= 1358,
+    Mips_SEB_MM	= 1359,
+    Mips_SEH	= 1360,
+    Mips_SEH64	= 1361,
+    Mips_SEH_MM	= 1362,
+    Mips_SELEQZ	= 1363,
+    Mips_SELEQZ64	= 1364,
+    Mips_SELEQZ_D	= 1365,
+    Mips_SELEQZ_S	= 1366,
+    Mips_SELNEZ	= 1367,
+    Mips_SELNEZ64	= 1368,
+    Mips_SELNEZ_D	= 1369,
+    Mips_SELNEZ_S	= 1370,
+    Mips_SEL_D	= 1371,
+    Mips_SEL_S	= 1372,
+    Mips_SEQ	= 1373,
+    Mips_SEQi	= 1374,
+    Mips_SH	= 1375,
+    Mips_SH64	= 1376,
+    Mips_SHF_B	= 1377,
+    Mips_SHF_H	= 1378,
+    Mips_SHF_W	= 1379,
+    Mips_SHILO	= 1380,
+    Mips_SHILOV	= 1381,
+    Mips_SHLLV_PH	= 1382,
+    Mips_SHLLV_QB	= 1383,
+    Mips_SHLLV_S_PH	= 1384,
+    Mips_SHLLV_S_W	= 1385,
+    Mips_SHLL_PH	= 1386,
+    Mips_SHLL_QB	= 1387,
+    Mips_SHLL_S_PH	= 1388,
+    Mips_SHLL_S_W	= 1389,
+    Mips_SHRAV_PH	= 1390,
+    Mips_SHRAV_QB	= 1391,
+    Mips_SHRAV_R_PH	= 1392,
+    Mips_SHRAV_R_QB	= 1393,
+    Mips_SHRAV_R_W	= 1394,
+    Mips_SHRA_PH	= 1395,
+    Mips_SHRA_QB	= 1396,
+    Mips_SHRA_R_PH	= 1397,
+    Mips_SHRA_R_QB	= 1398,
+    Mips_SHRA_R_W	= 1399,
+    Mips_SHRLV_PH	= 1400,
+    Mips_SHRLV_QB	= 1401,
+    Mips_SHRL_PH	= 1402,
+    Mips_SHRL_QB	= 1403,
+    Mips_SH_MM	= 1404,
+    Mips_SLDI_B	= 1405,
+    Mips_SLDI_D	= 1406,
+    Mips_SLDI_H	= 1407,
+    Mips_SLDI_W	= 1408,
+    Mips_SLD_B	= 1409,
+    Mips_SLD_D	= 1410,
+    Mips_SLD_H	= 1411,
+    Mips_SLD_W	= 1412,
+    Mips_SLL	= 1413,
+    Mips_SLL64_32	= 1414,
+    Mips_SLL64_64	= 1415,
+    Mips_SLLI_B	= 1416,
+    Mips_SLLI_D	= 1417,
+    Mips_SLLI_H	= 1418,
+    Mips_SLLI_W	= 1419,
+    Mips_SLLV	= 1420,
+    Mips_SLLV_MM	= 1421,
+    Mips_SLL_B	= 1422,
+    Mips_SLL_D	= 1423,
+    Mips_SLL_H	= 1424,
+    Mips_SLL_MM	= 1425,
+    Mips_SLL_W	= 1426,
+    Mips_SLT	= 1427,
+    Mips_SLT64	= 1428,
+    Mips_SLT_MM	= 1429,
+    Mips_SLTi	= 1430,
+    Mips_SLTi64	= 1431,
+    Mips_SLTi_MM	= 1432,
+    Mips_SLTiu	= 1433,
+    Mips_SLTiu64	= 1434,
+    Mips_SLTiu_MM	= 1435,
+    Mips_SLTu	= 1436,
+    Mips_SLTu64	= 1437,
+    Mips_SLTu_MM	= 1438,
+    Mips_SNE	= 1439,
+    Mips_SNEi	= 1440,
+    Mips_SNZ_B_PSEUDO	= 1441,
+    Mips_SNZ_D_PSEUDO	= 1442,
+    Mips_SNZ_H_PSEUDO	= 1443,
+    Mips_SNZ_V_PSEUDO	= 1444,
+    Mips_SNZ_W_PSEUDO	= 1445,
+    Mips_SPLATI_B	= 1446,
+    Mips_SPLATI_D	= 1447,
+    Mips_SPLATI_H	= 1448,
+    Mips_SPLATI_W	= 1449,
+    Mips_SPLAT_B	= 1450,
+    Mips_SPLAT_D	= 1451,
+    Mips_SPLAT_H	= 1452,
+    Mips_SPLAT_W	= 1453,
+    Mips_SRA	= 1454,
+    Mips_SRAI_B	= 1455,
+    Mips_SRAI_D	= 1456,
+    Mips_SRAI_H	= 1457,
+    Mips_SRAI_W	= 1458,
+    Mips_SRARI_B	= 1459,
+    Mips_SRARI_D	= 1460,
+    Mips_SRARI_H	= 1461,
+    Mips_SRARI_W	= 1462,
+    Mips_SRAR_B	= 1463,
+    Mips_SRAR_D	= 1464,
+    Mips_SRAR_H	= 1465,
+    Mips_SRAR_W	= 1466,
+    Mips_SRAV	= 1467,
+    Mips_SRAV_MM	= 1468,
+    Mips_SRA_B	= 1469,
+    Mips_SRA_D	= 1470,
+    Mips_SRA_H	= 1471,
+    Mips_SRA_MM	= 1472,
+    Mips_SRA_W	= 1473,
+    Mips_SRL	= 1474,
+    Mips_SRLI_B	= 1475,
+    Mips_SRLI_D	= 1476,
+    Mips_SRLI_H	= 1477,
+    Mips_SRLI_W	= 1478,
+    Mips_SRLRI_B	= 1479,
+    Mips_SRLRI_D	= 1480,
+    Mips_SRLRI_H	= 1481,
+    Mips_SRLRI_W	= 1482,
+    Mips_SRLR_B	= 1483,
+    Mips_SRLR_D	= 1484,
+    Mips_SRLR_H	= 1485,
+    Mips_SRLR_W	= 1486,
+    Mips_SRLV	= 1487,
+    Mips_SRLV_MM	= 1488,
+    Mips_SRL_B	= 1489,
+    Mips_SRL_D	= 1490,
+    Mips_SRL_H	= 1491,
+    Mips_SRL_MM	= 1492,
+    Mips_SRL_W	= 1493,
+    Mips_SSNOP	= 1494,
+    Mips_STORE_ACC128	= 1495,
+    Mips_STORE_ACC64	= 1496,
+    Mips_STORE_ACC64DSP	= 1497,
+    Mips_STORE_CCOND_DSP	= 1498,
+    Mips_ST_B	= 1499,
+    Mips_ST_D	= 1500,
+    Mips_ST_H	= 1501,
+    Mips_ST_W	= 1502,
+    Mips_SUB	= 1503,
+    Mips_SUBQH_PH	= 1504,
+    Mips_SUBQH_R_PH	= 1505,
+    Mips_SUBQH_R_W	= 1506,
+    Mips_SUBQH_W	= 1507,
+    Mips_SUBQ_PH	= 1508,
+    Mips_SUBQ_S_PH	= 1509,
+    Mips_SUBQ_S_W	= 1510,
+    Mips_SUBSUS_U_B	= 1511,
+    Mips_SUBSUS_U_D	= 1512,
+    Mips_SUBSUS_U_H	= 1513,
+    Mips_SUBSUS_U_W	= 1514,
+    Mips_SUBSUU_S_B	= 1515,
+    Mips_SUBSUU_S_D	= 1516,
+    Mips_SUBSUU_S_H	= 1517,
+    Mips_SUBSUU_S_W	= 1518,
+    Mips_SUBS_S_B	= 1519,
+    Mips_SUBS_S_D	= 1520,
+    Mips_SUBS_S_H	= 1521,
+    Mips_SUBS_S_W	= 1522,
+    Mips_SUBS_U_B	= 1523,
+    Mips_SUBS_U_D	= 1524,
+    Mips_SUBS_U_H	= 1525,
+    Mips_SUBS_U_W	= 1526,
+    Mips_SUBUH_QB	= 1527,
+    Mips_SUBUH_R_QB	= 1528,
+    Mips_SUBU_PH	= 1529,
+    Mips_SUBU_QB	= 1530,
+    Mips_SUBU_S_PH	= 1531,
+    Mips_SUBU_S_QB	= 1532,
+    Mips_SUBVI_B	= 1533,
+    Mips_SUBVI_D	= 1534,
+    Mips_SUBVI_H	= 1535,
+    Mips_SUBVI_W	= 1536,
+    Mips_SUBV_B	= 1537,
+    Mips_SUBV_D	= 1538,
+    Mips_SUBV_H	= 1539,
+    Mips_SUBV_W	= 1540,
+    Mips_SUB_MM	= 1541,
+    Mips_SUBu	= 1542,
+    Mips_SUBu_MM	= 1543,
+    Mips_SUXC1	= 1544,
+    Mips_SUXC164	= 1545,
+    Mips_SUXC1_MM	= 1546,
+    Mips_SW	= 1547,
+    Mips_SW64	= 1548,
+    Mips_SWC1	= 1549,
+    Mips_SWC1_MM	= 1550,
+    Mips_SWC2	= 1551,
+    Mips_SWC2_R6	= 1552,
+    Mips_SWC3	= 1553,
+    Mips_SWL	= 1554,
+    Mips_SWL64	= 1555,
+    Mips_SWL_MM	= 1556,
+    Mips_SWR	= 1557,
+    Mips_SWR64	= 1558,
+    Mips_SWR_MM	= 1559,
+    Mips_SWXC1	= 1560,
+    Mips_SWXC1_MM	= 1561,
+    Mips_SW_MM	= 1562,
+    Mips_SYNC	= 1563,
+    Mips_SYNC_MM	= 1564,
+    Mips_SYSCALL	= 1565,
+    Mips_SYSCALL_MM	= 1566,
+    Mips_SZ_B_PSEUDO	= 1567,
+    Mips_SZ_D_PSEUDO	= 1568,
+    Mips_SZ_H_PSEUDO	= 1569,
+    Mips_SZ_V_PSEUDO	= 1570,
+    Mips_SZ_W_PSEUDO	= 1571,
+    Mips_Save16	= 1572,
+    Mips_SaveX16	= 1573,
+    Mips_SbRxRyOffMemX16	= 1574,
+    Mips_SebRx16	= 1575,
+    Mips_SehRx16	= 1576,
+    Mips_SelBeqZ	= 1577,
+    Mips_SelBneZ	= 1578,
+    Mips_SelTBteqZCmp	= 1579,
+    Mips_SelTBteqZCmpi	= 1580,
+    Mips_SelTBteqZSlt	= 1581,
+    Mips_SelTBteqZSlti	= 1582,
+    Mips_SelTBteqZSltiu	= 1583,
+    Mips_SelTBteqZSltu	= 1584,
+    Mips_SelTBtneZCmp	= 1585,
+    Mips_SelTBtneZCmpi	= 1586,
+    Mips_SelTBtneZSlt	= 1587,
+    Mips_SelTBtneZSlti	= 1588,
+    Mips_SelTBtneZSltiu	= 1589,
+    Mips_SelTBtneZSltu	= 1590,
+    Mips_ShRxRyOffMemX16	= 1591,
+    Mips_SllX16	= 1592,
+    Mips_SllvRxRy16	= 1593,
+    Mips_SltCCRxRy16	= 1594,
+    Mips_SltRxRy16	= 1595,
+    Mips_SltiCCRxImmX16	= 1596,
+    Mips_SltiRxImm16	= 1597,
+    Mips_SltiRxImmX16	= 1598,
+    Mips_SltiuCCRxImmX16	= 1599,
+    Mips_SltiuRxImm16	= 1600,
+    Mips_SltiuRxImmX16	= 1601,
+    Mips_SltuCCRxRy16	= 1602,
+    Mips_SltuRxRy16	= 1603,
+    Mips_SltuRxRyRz16	= 1604,
+    Mips_SraX16	= 1605,
+    Mips_SravRxRy16	= 1606,
+    Mips_SrlX16	= 1607,
+    Mips_SrlvRxRy16	= 1608,
+    Mips_SubuRxRyRz16	= 1609,
+    Mips_SwRxRyOffMemX16	= 1610,
+    Mips_SwRxSpImmX16	= 1611,
+    Mips_TAILCALL	= 1612,
+    Mips_TAILCALL64_R	= 1613,
+    Mips_TAILCALL_R	= 1614,
+    Mips_TEQ	= 1615,
+    Mips_TEQI	= 1616,
+    Mips_TEQI_MM	= 1617,
+    Mips_TEQ_MM	= 1618,
+    Mips_TGE	= 1619,
+    Mips_TGEI	= 1620,
+    Mips_TGEIU	= 1621,
+    Mips_TGEIU_MM	= 1622,
+    Mips_TGEI_MM	= 1623,
+    Mips_TGEU	= 1624,
+    Mips_TGEU_MM	= 1625,
+    Mips_TGE_MM	= 1626,
+    Mips_TLBP	= 1627,
+    Mips_TLBR	= 1628,
+    Mips_TLBWI	= 1629,
+    Mips_TLBWR	= 1630,
+    Mips_TLT	= 1631,
+    Mips_TLTI	= 1632,
+    Mips_TLTIU_MM	= 1633,
+    Mips_TLTI_MM	= 1634,
+    Mips_TLTU	= 1635,
+    Mips_TLTU_MM	= 1636,
+    Mips_TLT_MM	= 1637,
+    Mips_TNE	= 1638,
+    Mips_TNEI	= 1639,
+    Mips_TNEI_MM	= 1640,
+    Mips_TNE_MM	= 1641,
+    Mips_TRAP	= 1642,
+    Mips_TRUNC_L_D64	= 1643,
+    Mips_TRUNC_L_S	= 1644,
+    Mips_TRUNC_W_D32	= 1645,
+    Mips_TRUNC_W_D64	= 1646,
+    Mips_TRUNC_W_MM	= 1647,
+    Mips_TRUNC_W_S	= 1648,
+    Mips_TRUNC_W_S_MM	= 1649,
+    Mips_TTLTIU	= 1650,
+    Mips_UDIV	= 1651,
+    Mips_UDIV_MM	= 1652,
+    Mips_V3MULU	= 1653,
+    Mips_VMM0	= 1654,
+    Mips_VMULU	= 1655,
+    Mips_VSHF_B	= 1656,
+    Mips_VSHF_D	= 1657,
+    Mips_VSHF_H	= 1658,
+    Mips_VSHF_W	= 1659,
+    Mips_WAIT	= 1660,
+    Mips_WAIT_MM	= 1661,
+    Mips_WRDSP	= 1662,
+    Mips_WSBH	= 1663,
+    Mips_WSBH_MM	= 1664,
+    Mips_XOR	= 1665,
+    Mips_XOR64	= 1666,
+    Mips_XORI_B	= 1667,
+    Mips_XOR_MM	= 1668,
+    Mips_XOR_V	= 1669,
+    Mips_XOR_V_D_PSEUDO	= 1670,
+    Mips_XOR_V_H_PSEUDO	= 1671,
+    Mips_XOR_V_W_PSEUDO	= 1672,
+    Mips_XORi	= 1673,
+    Mips_XORi64	= 1674,
+    Mips_XORi_MM	= 1675,
+    Mips_XorRxRxRy16	= 1676,
+    Mips_INSTRUCTION_LIST_END = 1677
 };
 
 #endif // GET_INSTRINFO_ENUM
diff --git a/arch/Mips/MipsGenRegisterInfo.inc b/arch/Mips/MipsGenRegisterInfo.inc
index 2ee0545..2cf8220 100644
--- a/arch/Mips/MipsGenRegisterInfo.inc
+++ b/arch/Mips/MipsGenRegisterInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_ENUM
@@ -55,321 +55,403 @@
   Mips_COP27 = 38,
   Mips_COP28 = 39,
   Mips_COP29 = 40,
-  Mips_COP210 = 41,
-  Mips_COP211 = 42,
-  Mips_COP212 = 43,
-  Mips_COP213 = 44,
-  Mips_COP214 = 45,
-  Mips_COP215 = 46,
-  Mips_COP216 = 47,
-  Mips_COP217 = 48,
-  Mips_COP218 = 49,
-  Mips_COP219 = 50,
-  Mips_COP220 = 51,
-  Mips_COP221 = 52,
-  Mips_COP222 = 53,
-  Mips_COP223 = 54,
-  Mips_COP224 = 55,
-  Mips_COP225 = 56,
-  Mips_COP226 = 57,
-  Mips_COP227 = 58,
-  Mips_COP228 = 59,
-  Mips_COP229 = 60,
-  Mips_COP230 = 61,
-  Mips_COP231 = 62,
-  Mips_D0 = 63,
-  Mips_D1 = 64,
-  Mips_D2 = 65,
-  Mips_D3 = 66,
-  Mips_D4 = 67,
-  Mips_D5 = 68,
-  Mips_D6 = 69,
-  Mips_D7 = 70,
-  Mips_D8 = 71,
-  Mips_D9 = 72,
-  Mips_D10 = 73,
-  Mips_D11 = 74,
-  Mips_D12 = 75,
-  Mips_D13 = 76,
-  Mips_D14 = 77,
-  Mips_D15 = 78,
-  Mips_DSPOutFlag20 = 79,
-  Mips_DSPOutFlag21 = 80,
-  Mips_DSPOutFlag22 = 81,
-  Mips_DSPOutFlag23 = 82,
-  Mips_F0 = 83,
-  Mips_F1 = 84,
-  Mips_F2 = 85,
-  Mips_F3 = 86,
-  Mips_F4 = 87,
-  Mips_F5 = 88,
-  Mips_F6 = 89,
-  Mips_F7 = 90,
-  Mips_F8 = 91,
-  Mips_F9 = 92,
-  Mips_F10 = 93,
-  Mips_F11 = 94,
-  Mips_F12 = 95,
-  Mips_F13 = 96,
-  Mips_F14 = 97,
-  Mips_F15 = 98,
-  Mips_F16 = 99,
-  Mips_F17 = 100,
-  Mips_F18 = 101,
-  Mips_F19 = 102,
-  Mips_F20 = 103,
-  Mips_F21 = 104,
-  Mips_F22 = 105,
-  Mips_F23 = 106,
-  Mips_F24 = 107,
-  Mips_F25 = 108,
-  Mips_F26 = 109,
-  Mips_F27 = 110,
-  Mips_F28 = 111,
-  Mips_F29 = 112,
-  Mips_F30 = 113,
-  Mips_F31 = 114,
-  Mips_FCC0 = 115,
-  Mips_FCC1 = 116,
-  Mips_FCC2 = 117,
-  Mips_FCC3 = 118,
-  Mips_FCC4 = 119,
-  Mips_FCC5 = 120,
-  Mips_FCC6 = 121,
-  Mips_FCC7 = 122,
-  Mips_FCR0 = 123,
-  Mips_FCR1 = 124,
-  Mips_FCR2 = 125,
-  Mips_FCR3 = 126,
-  Mips_FCR4 = 127,
-  Mips_FCR5 = 128,
-  Mips_FCR6 = 129,
-  Mips_FCR7 = 130,
-  Mips_FCR8 = 131,
-  Mips_FCR9 = 132,
-  Mips_FCR10 = 133,
-  Mips_FCR11 = 134,
-  Mips_FCR12 = 135,
-  Mips_FCR13 = 136,
-  Mips_FCR14 = 137,
-  Mips_FCR15 = 138,
-  Mips_FCR16 = 139,
-  Mips_FCR17 = 140,
-  Mips_FCR18 = 141,
-  Mips_FCR19 = 142,
-  Mips_FCR20 = 143,
-  Mips_FCR21 = 144,
-  Mips_FCR22 = 145,
-  Mips_FCR23 = 146,
-  Mips_FCR24 = 147,
-  Mips_FCR25 = 148,
-  Mips_FCR26 = 149,
-  Mips_FCR27 = 150,
-  Mips_FCR28 = 151,
-  Mips_FCR29 = 152,
-  Mips_FCR30 = 153,
-  Mips_FCR31 = 154,
-  Mips_FP_64 = 155,
-  Mips_F_HI0 = 156,
-  Mips_F_HI1 = 157,
-  Mips_F_HI2 = 158,
-  Mips_F_HI3 = 159,
-  Mips_F_HI4 = 160,
-  Mips_F_HI5 = 161,
-  Mips_F_HI6 = 162,
-  Mips_F_HI7 = 163,
-  Mips_F_HI8 = 164,
-  Mips_F_HI9 = 165,
-  Mips_F_HI10 = 166,
-  Mips_F_HI11 = 167,
-  Mips_F_HI12 = 168,
-  Mips_F_HI13 = 169,
-  Mips_F_HI14 = 170,
-  Mips_F_HI15 = 171,
-  Mips_F_HI16 = 172,
-  Mips_F_HI17 = 173,
-  Mips_F_HI18 = 174,
-  Mips_F_HI19 = 175,
-  Mips_F_HI20 = 176,
-  Mips_F_HI21 = 177,
-  Mips_F_HI22 = 178,
-  Mips_F_HI23 = 179,
-  Mips_F_HI24 = 180,
-  Mips_F_HI25 = 181,
-  Mips_F_HI26 = 182,
-  Mips_F_HI27 = 183,
-  Mips_F_HI28 = 184,
-  Mips_F_HI29 = 185,
-  Mips_F_HI30 = 186,
-  Mips_F_HI31 = 187,
-  Mips_GP_64 = 188,
-  Mips_HI0 = 189,
-  Mips_HI1 = 190,
-  Mips_HI2 = 191,
-  Mips_HI3 = 192,
-  Mips_HWR29 = 193,
-  Mips_K0 = 194,
-  Mips_K1 = 195,
-  Mips_LO0 = 196,
-  Mips_LO1 = 197,
-  Mips_LO2 = 198,
-  Mips_LO3 = 199,
-  Mips_RA_64 = 200,
-  Mips_S0 = 201,
-  Mips_S1 = 202,
-  Mips_S2 = 203,
-  Mips_S3 = 204,
-  Mips_S4 = 205,
-  Mips_S5 = 206,
-  Mips_S6 = 207,
-  Mips_S7 = 208,
-  Mips_SP_64 = 209,
-  Mips_T0 = 210,
-  Mips_T1 = 211,
-  Mips_T2 = 212,
-  Mips_T3 = 213,
-  Mips_T4 = 214,
-  Mips_T5 = 215,
-  Mips_T6 = 216,
-  Mips_T7 = 217,
-  Mips_T8 = 218,
-  Mips_T9 = 219,
-  Mips_V0 = 220,
-  Mips_V1 = 221,
-  Mips_W0 = 222,
-  Mips_W1 = 223,
-  Mips_W2 = 224,
-  Mips_W3 = 225,
-  Mips_W4 = 226,
-  Mips_W5 = 227,
-  Mips_W6 = 228,
-  Mips_W7 = 229,
-  Mips_W8 = 230,
-  Mips_W9 = 231,
-  Mips_W10 = 232,
-  Mips_W11 = 233,
-  Mips_W12 = 234,
-  Mips_W13 = 235,
-  Mips_W14 = 236,
-  Mips_W15 = 237,
-  Mips_W16 = 238,
-  Mips_W17 = 239,
-  Mips_W18 = 240,
-  Mips_W19 = 241,
-  Mips_W20 = 242,
-  Mips_W21 = 243,
-  Mips_W22 = 244,
-  Mips_W23 = 245,
-  Mips_W24 = 246,
-  Mips_W25 = 247,
-  Mips_W26 = 248,
-  Mips_W27 = 249,
-  Mips_W28 = 250,
-  Mips_W29 = 251,
-  Mips_W30 = 252,
-  Mips_W31 = 253,
-  Mips_ZERO_64 = 254,
-  Mips_A0_64 = 255,
-  Mips_A1_64 = 256,
-  Mips_A2_64 = 257,
-  Mips_A3_64 = 258,
-  Mips_AC0_64 = 259,
-  Mips_D0_64 = 260,
-  Mips_D1_64 = 261,
-  Mips_D2_64 = 262,
-  Mips_D3_64 = 263,
-  Mips_D4_64 = 264,
-  Mips_D5_64 = 265,
-  Mips_D6_64 = 266,
-  Mips_D7_64 = 267,
-  Mips_D8_64 = 268,
-  Mips_D9_64 = 269,
-  Mips_D10_64 = 270,
-  Mips_D11_64 = 271,
-  Mips_D12_64 = 272,
-  Mips_D13_64 = 273,
-  Mips_D14_64 = 274,
-  Mips_D15_64 = 275,
-  Mips_D16_64 = 276,
-  Mips_D17_64 = 277,
-  Mips_D18_64 = 278,
-  Mips_D19_64 = 279,
-  Mips_D20_64 = 280,
-  Mips_D21_64 = 281,
-  Mips_D22_64 = 282,
-  Mips_D23_64 = 283,
-  Mips_D24_64 = 284,
-  Mips_D25_64 = 285,
-  Mips_D26_64 = 286,
-  Mips_D27_64 = 287,
-  Mips_D28_64 = 288,
-  Mips_D29_64 = 289,
-  Mips_D30_64 = 290,
-  Mips_D31_64 = 291,
-  Mips_DSPOutFlag16_19 = 292,
-  Mips_HI0_64 = 293,
-  Mips_K0_64 = 294,
-  Mips_K1_64 = 295,
-  Mips_LO0_64 = 296,
-  Mips_S0_64 = 297,
-  Mips_S1_64 = 298,
-  Mips_S2_64 = 299,
-  Mips_S3_64 = 300,
-  Mips_S4_64 = 301,
-  Mips_S5_64 = 302,
-  Mips_S6_64 = 303,
-  Mips_S7_64 = 304,
-  Mips_T0_64 = 305,
-  Mips_T1_64 = 306,
-  Mips_T2_64 = 307,
-  Mips_T3_64 = 308,
-  Mips_T4_64 = 309,
-  Mips_T5_64 = 310,
-  Mips_T6_64 = 311,
-  Mips_T7_64 = 312,
-  Mips_T8_64 = 313,
-  Mips_T9_64 = 314,
-  Mips_V0_64 = 315,
-  Mips_V1_64 = 316,
-  Mips_NUM_TARGET_REGS 	// 317
+  Mips_COP30 = 41,
+  Mips_COP31 = 42,
+  Mips_COP32 = 43,
+  Mips_COP33 = 44,
+  Mips_COP34 = 45,
+  Mips_COP35 = 46,
+  Mips_COP36 = 47,
+  Mips_COP37 = 48,
+  Mips_COP38 = 49,
+  Mips_COP39 = 50,
+  Mips_COP210 = 51,
+  Mips_COP211 = 52,
+  Mips_COP212 = 53,
+  Mips_COP213 = 54,
+  Mips_COP214 = 55,
+  Mips_COP215 = 56,
+  Mips_COP216 = 57,
+  Mips_COP217 = 58,
+  Mips_COP218 = 59,
+  Mips_COP219 = 60,
+  Mips_COP220 = 61,
+  Mips_COP221 = 62,
+  Mips_COP222 = 63,
+  Mips_COP223 = 64,
+  Mips_COP224 = 65,
+  Mips_COP225 = 66,
+  Mips_COP226 = 67,
+  Mips_COP227 = 68,
+  Mips_COP228 = 69,
+  Mips_COP229 = 70,
+  Mips_COP230 = 71,
+  Mips_COP231 = 72,
+  Mips_COP310 = 73,
+  Mips_COP311 = 74,
+  Mips_COP312 = 75,
+  Mips_COP313 = 76,
+  Mips_COP314 = 77,
+  Mips_COP315 = 78,
+  Mips_COP316 = 79,
+  Mips_COP317 = 80,
+  Mips_COP318 = 81,
+  Mips_COP319 = 82,
+  Mips_COP320 = 83,
+  Mips_COP321 = 84,
+  Mips_COP322 = 85,
+  Mips_COP323 = 86,
+  Mips_COP324 = 87,
+  Mips_COP325 = 88,
+  Mips_COP326 = 89,
+  Mips_COP327 = 90,
+  Mips_COP328 = 91,
+  Mips_COP329 = 92,
+  Mips_COP330 = 93,
+  Mips_COP331 = 94,
+  Mips_D0 = 95,
+  Mips_D1 = 96,
+  Mips_D2 = 97,
+  Mips_D3 = 98,
+  Mips_D4 = 99,
+  Mips_D5 = 100,
+  Mips_D6 = 101,
+  Mips_D7 = 102,
+  Mips_D8 = 103,
+  Mips_D9 = 104,
+  Mips_D10 = 105,
+  Mips_D11 = 106,
+  Mips_D12 = 107,
+  Mips_D13 = 108,
+  Mips_D14 = 109,
+  Mips_D15 = 110,
+  Mips_DSPOutFlag20 = 111,
+  Mips_DSPOutFlag21 = 112,
+  Mips_DSPOutFlag22 = 113,
+  Mips_DSPOutFlag23 = 114,
+  Mips_F0 = 115,
+  Mips_F1 = 116,
+  Mips_F2 = 117,
+  Mips_F3 = 118,
+  Mips_F4 = 119,
+  Mips_F5 = 120,
+  Mips_F6 = 121,
+  Mips_F7 = 122,
+  Mips_F8 = 123,
+  Mips_F9 = 124,
+  Mips_F10 = 125,
+  Mips_F11 = 126,
+  Mips_F12 = 127,
+  Mips_F13 = 128,
+  Mips_F14 = 129,
+  Mips_F15 = 130,
+  Mips_F16 = 131,
+  Mips_F17 = 132,
+  Mips_F18 = 133,
+  Mips_F19 = 134,
+  Mips_F20 = 135,
+  Mips_F21 = 136,
+  Mips_F22 = 137,
+  Mips_F23 = 138,
+  Mips_F24 = 139,
+  Mips_F25 = 140,
+  Mips_F26 = 141,
+  Mips_F27 = 142,
+  Mips_F28 = 143,
+  Mips_F29 = 144,
+  Mips_F30 = 145,
+  Mips_F31 = 146,
+  Mips_FCC0 = 147,
+  Mips_FCC1 = 148,
+  Mips_FCC2 = 149,
+  Mips_FCC3 = 150,
+  Mips_FCC4 = 151,
+  Mips_FCC5 = 152,
+  Mips_FCC6 = 153,
+  Mips_FCC7 = 154,
+  Mips_FCR0 = 155,
+  Mips_FCR1 = 156,
+  Mips_FCR2 = 157,
+  Mips_FCR3 = 158,
+  Mips_FCR4 = 159,
+  Mips_FCR5 = 160,
+  Mips_FCR6 = 161,
+  Mips_FCR7 = 162,
+  Mips_FCR8 = 163,
+  Mips_FCR9 = 164,
+  Mips_FCR10 = 165,
+  Mips_FCR11 = 166,
+  Mips_FCR12 = 167,
+  Mips_FCR13 = 168,
+  Mips_FCR14 = 169,
+  Mips_FCR15 = 170,
+  Mips_FCR16 = 171,
+  Mips_FCR17 = 172,
+  Mips_FCR18 = 173,
+  Mips_FCR19 = 174,
+  Mips_FCR20 = 175,
+  Mips_FCR21 = 176,
+  Mips_FCR22 = 177,
+  Mips_FCR23 = 178,
+  Mips_FCR24 = 179,
+  Mips_FCR25 = 180,
+  Mips_FCR26 = 181,
+  Mips_FCR27 = 182,
+  Mips_FCR28 = 183,
+  Mips_FCR29 = 184,
+  Mips_FCR30 = 185,
+  Mips_FCR31 = 186,
+  Mips_FP_64 = 187,
+  Mips_F_HI0 = 188,
+  Mips_F_HI1 = 189,
+  Mips_F_HI2 = 190,
+  Mips_F_HI3 = 191,
+  Mips_F_HI4 = 192,
+  Mips_F_HI5 = 193,
+  Mips_F_HI6 = 194,
+  Mips_F_HI7 = 195,
+  Mips_F_HI8 = 196,
+  Mips_F_HI9 = 197,
+  Mips_F_HI10 = 198,
+  Mips_F_HI11 = 199,
+  Mips_F_HI12 = 200,
+  Mips_F_HI13 = 201,
+  Mips_F_HI14 = 202,
+  Mips_F_HI15 = 203,
+  Mips_F_HI16 = 204,
+  Mips_F_HI17 = 205,
+  Mips_F_HI18 = 206,
+  Mips_F_HI19 = 207,
+  Mips_F_HI20 = 208,
+  Mips_F_HI21 = 209,
+  Mips_F_HI22 = 210,
+  Mips_F_HI23 = 211,
+  Mips_F_HI24 = 212,
+  Mips_F_HI25 = 213,
+  Mips_F_HI26 = 214,
+  Mips_F_HI27 = 215,
+  Mips_F_HI28 = 216,
+  Mips_F_HI29 = 217,
+  Mips_F_HI30 = 218,
+  Mips_F_HI31 = 219,
+  Mips_GP_64 = 220,
+  Mips_HI0 = 221,
+  Mips_HI1 = 222,
+  Mips_HI2 = 223,
+  Mips_HI3 = 224,
+  Mips_HWR0 = 225,
+  Mips_HWR1 = 226,
+  Mips_HWR2 = 227,
+  Mips_HWR3 = 228,
+  Mips_HWR4 = 229,
+  Mips_HWR5 = 230,
+  Mips_HWR6 = 231,
+  Mips_HWR7 = 232,
+  Mips_HWR8 = 233,
+  Mips_HWR9 = 234,
+  Mips_HWR10 = 235,
+  Mips_HWR11 = 236,
+  Mips_HWR12 = 237,
+  Mips_HWR13 = 238,
+  Mips_HWR14 = 239,
+  Mips_HWR15 = 240,
+  Mips_HWR16 = 241,
+  Mips_HWR17 = 242,
+  Mips_HWR18 = 243,
+  Mips_HWR19 = 244,
+  Mips_HWR20 = 245,
+  Mips_HWR21 = 246,
+  Mips_HWR22 = 247,
+  Mips_HWR23 = 248,
+  Mips_HWR24 = 249,
+  Mips_HWR25 = 250,
+  Mips_HWR26 = 251,
+  Mips_HWR27 = 252,
+  Mips_HWR28 = 253,
+  Mips_HWR29 = 254,
+  Mips_HWR30 = 255,
+  Mips_HWR31 = 256,
+  Mips_K0 = 257,
+  Mips_K1 = 258,
+  Mips_LO0 = 259,
+  Mips_LO1 = 260,
+  Mips_LO2 = 261,
+  Mips_LO3 = 262,
+  Mips_MPL0 = 263,
+  Mips_MPL1 = 264,
+  Mips_MPL2 = 265,
+  Mips_P0 = 266,
+  Mips_P1 = 267,
+  Mips_P2 = 268,
+  Mips_RA_64 = 269,
+  Mips_S0 = 270,
+  Mips_S1 = 271,
+  Mips_S2 = 272,
+  Mips_S3 = 273,
+  Mips_S4 = 274,
+  Mips_S5 = 275,
+  Mips_S6 = 276,
+  Mips_S7 = 277,
+  Mips_SP_64 = 278,
+  Mips_T0 = 279,
+  Mips_T1 = 280,
+  Mips_T2 = 281,
+  Mips_T3 = 282,
+  Mips_T4 = 283,
+  Mips_T5 = 284,
+  Mips_T6 = 285,
+  Mips_T7 = 286,
+  Mips_T8 = 287,
+  Mips_T9 = 288,
+  Mips_V0 = 289,
+  Mips_V1 = 290,
+  Mips_W0 = 291,
+  Mips_W1 = 292,
+  Mips_W2 = 293,
+  Mips_W3 = 294,
+  Mips_W4 = 295,
+  Mips_W5 = 296,
+  Mips_W6 = 297,
+  Mips_W7 = 298,
+  Mips_W8 = 299,
+  Mips_W9 = 300,
+  Mips_W10 = 301,
+  Mips_W11 = 302,
+  Mips_W12 = 303,
+  Mips_W13 = 304,
+  Mips_W14 = 305,
+  Mips_W15 = 306,
+  Mips_W16 = 307,
+  Mips_W17 = 308,
+  Mips_W18 = 309,
+  Mips_W19 = 310,
+  Mips_W20 = 311,
+  Mips_W21 = 312,
+  Mips_W22 = 313,
+  Mips_W23 = 314,
+  Mips_W24 = 315,
+  Mips_W25 = 316,
+  Mips_W26 = 317,
+  Mips_W27 = 318,
+  Mips_W28 = 319,
+  Mips_W29 = 320,
+  Mips_W30 = 321,
+  Mips_W31 = 322,
+  Mips_ZERO_64 = 323,
+  Mips_A0_64 = 324,
+  Mips_A1_64 = 325,
+  Mips_A2_64 = 326,
+  Mips_A3_64 = 327,
+  Mips_AC0_64 = 328,
+  Mips_D0_64 = 329,
+  Mips_D1_64 = 330,
+  Mips_D2_64 = 331,
+  Mips_D3_64 = 332,
+  Mips_D4_64 = 333,
+  Mips_D5_64 = 334,
+  Mips_D6_64 = 335,
+  Mips_D7_64 = 336,
+  Mips_D8_64 = 337,
+  Mips_D9_64 = 338,
+  Mips_D10_64 = 339,
+  Mips_D11_64 = 340,
+  Mips_D12_64 = 341,
+  Mips_D13_64 = 342,
+  Mips_D14_64 = 343,
+  Mips_D15_64 = 344,
+  Mips_D16_64 = 345,
+  Mips_D17_64 = 346,
+  Mips_D18_64 = 347,
+  Mips_D19_64 = 348,
+  Mips_D20_64 = 349,
+  Mips_D21_64 = 350,
+  Mips_D22_64 = 351,
+  Mips_D23_64 = 352,
+  Mips_D24_64 = 353,
+  Mips_D25_64 = 354,
+  Mips_D26_64 = 355,
+  Mips_D27_64 = 356,
+  Mips_D28_64 = 357,
+  Mips_D29_64 = 358,
+  Mips_D30_64 = 359,
+  Mips_D31_64 = 360,
+  Mips_DSPOutFlag16_19 = 361,
+  Mips_HI0_64 = 362,
+  Mips_K0_64 = 363,
+  Mips_K1_64 = 364,
+  Mips_LO0_64 = 365,
+  Mips_S0_64 = 366,
+  Mips_S1_64 = 367,
+  Mips_S2_64 = 368,
+  Mips_S3_64 = 369,
+  Mips_S4_64 = 370,
+  Mips_S5_64 = 371,
+  Mips_S6_64 = 372,
+  Mips_S7_64 = 373,
+  Mips_T0_64 = 374,
+  Mips_T1_64 = 375,
+  Mips_T2_64 = 376,
+  Mips_T3_64 = 377,
+  Mips_T4_64 = 378,
+  Mips_T5_64 = 379,
+  Mips_T6_64 = 380,
+  Mips_T7_64 = 381,
+  Mips_T8_64 = 382,
+  Mips_T9_64 = 383,
+  Mips_V0_64 = 384,
+  Mips_V1_64 = 385,
+  Mips_NUM_TARGET_REGS 	// 386
 };
 
 // Register classes
 enum {
-  Mips_CCRRegClassID = 0,
-  Mips_COP2RegClassID = 1,
-  Mips_DSPRRegClassID = 2,
-  Mips_FGR32RegClassID = 3,
-  Mips_FGRH32RegClassID = 4,
-  Mips_GPR32RegClassID = 5,
-  Mips_CPU16RegsPlusSPRegClassID = 6,
-  Mips_CPU16RegsRegClassID = 7,
-  Mips_FCCRegClassID = 8,
-  Mips_MSACtrlRegClassID = 9,
-  Mips_HI32DSPRegClassID = 10,
-  Mips_LO32DSPRegClassID = 11,
-  Mips_CPURARegRegClassID = 12,
-  Mips_CPUSPRegRegClassID = 13,
-  Mips_DSPCCRegClassID = 14,
-  Mips_HI32RegClassID = 15,
-  Mips_HWRegsRegClassID = 16,
-  Mips_LO32RegClassID = 17,
-  Mips_FGR64RegClassID = 18,
-  Mips_GPR64RegClassID = 19,
-  Mips_AFGR64RegClassID = 20,
-  Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID = 21,
-  Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID = 22,
-  Mips_ACC64DSPRegClassID = 23,
-  Mips_ACC64RegClassID = 24,
-  Mips_GPR64_with_sub_32_in_CPURARegRegClassID = 25,
-  Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID = 26,
-  Mips_HI64RegClassID = 27,
-  Mips_LO64RegClassID = 28,
-  Mips_MSA128BRegClassID = 29,
-  Mips_MSA128DRegClassID = 30,
-  Mips_MSA128HRegClassID = 31,
-  Mips_MSA128WRegClassID = 32,
-  Mips_ACC128RegClassID = 33
+  Mips_OddSPRegClassID = 0,
+  Mips_CCRRegClassID = 1,
+  Mips_COP2RegClassID = 2,
+  Mips_COP3RegClassID = 3,
+  Mips_DSPRRegClassID = 4,
+  Mips_FGR32RegClassID = 5,
+  Mips_FGRCCRegClassID = 6,
+  Mips_FGRH32RegClassID = 7,
+  Mips_GPR32RegClassID = 8,
+  Mips_HWRegsRegClassID = 9,
+  Mips_OddSP_with_sub_hiRegClassID = 10,
+  Mips_FGR32_and_OddSPRegClassID = 11,
+  Mips_FGRH32_and_OddSPRegClassID = 12,
+  Mips_OddSP_with_sub_hi_with_sub_hi_in_FGRH32RegClassID = 13,
+  Mips_CPU16RegsPlusSPRegClassID = 14,
+  Mips_CPU16RegsRegClassID = 15,
+  Mips_FCCRegClassID = 16,
+  Mips_MSACtrlRegClassID = 17,
+  Mips_OddSP_with_sub_hi_with_sub_hi_in_FGR32RegClassID = 18,
+  Mips_HI32DSPRegClassID = 19,
+  Mips_LO32DSPRegClassID = 20,
+  Mips_CPURARegRegClassID = 21,
+  Mips_CPUSPRegRegClassID = 22,
+  Mips_DSPCCRegClassID = 23,
+  Mips_HI32RegClassID = 24,
+  Mips_LO32RegClassID = 25,
+  Mips_FGR64RegClassID = 26,
+  Mips_GPR64RegClassID = 27,
+  Mips_AFGR64RegClassID = 28,
+  Mips_FGR64_and_OddSPRegClassID = 29,
+  Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID = 30,
+  Mips_AFGR64_and_OddSPRegClassID = 31,
+  Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID = 32,
+  Mips_ACC64DSPRegClassID = 33,
+  Mips_OCTEON_MPLRegClassID = 34,
+  Mips_OCTEON_PRegClassID = 35,
+  Mips_ACC64RegClassID = 36,
+  Mips_GPR64_with_sub_32_in_CPURARegRegClassID = 37,
+  Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID = 38,
+  Mips_HI64RegClassID = 39,
+  Mips_LO64RegClassID = 40,
+  Mips_MSA128BRegClassID = 41,
+  Mips_MSA128DRegClassID = 42,
+  Mips_MSA128HRegClassID = 43,
+  Mips_MSA128WRegClassID = 44,
+  Mips_MSA128B_with_sub_64_in_OddSPRegClassID = 45,
+  Mips_ACC128RegClassID = 46
 };
 
 // Subregister indices
@@ -400,7 +482,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_MC_DESC
@@ -409,7 +491,7 @@
 static MCPhysReg MipsRegDiffLists[] = {
   /* 0 */ 0, 0,
   /* 2 */ 4, 1, 1, 1, 1, 0,
-  /* 8 */ 287, 65323, 1, 1, 1, 0,
+  /* 8 */ 356, 65286, 1, 1, 1, 0,
   /* 14 */ 20, 1, 0,
   /* 17 */ 21, 1, 0,
   /* 20 */ 22, 1, 0,
@@ -426,7 +508,7 @@
   /* 53 */ 33, 1, 0,
   /* 56 */ 34, 1, 0,
   /* 59 */ 35, 1, 0,
-  /* 62 */ 65479, 1, 0,
+  /* 62 */ 65447, 1, 0,
   /* 65 */ 65513, 1, 0,
   /* 68 */ 3, 0,
   /* 70 */ 4, 0,
@@ -437,65 +519,65 @@
   /* 80 */ 23, 0,
   /* 82 */ 29, 0,
   /* 84 */ 30, 0,
-  /* 86 */ 65345, 72, 0,
-  /* 89 */ 65383, 72, 0,
-  /* 92 */ 38, 65359, 73, 0,
+  /* 86 */ 65308, 72, 0,
+  /* 89 */ 65346, 72, 0,
+  /* 92 */ 38, 65322, 73, 0,
   /* 96 */ 95, 0,
   /* 98 */ 96, 0,
-  /* 100 */ 100, 0,
-  /* 102 */ 147, 0,
-  /* 104 */ 179, 0,
-  /* 106 */ 181, 0,
-  /* 108 */ 189, 0,
-  /* 110 */ 233, 0,
-  /* 112 */ 65173, 0,
-  /* 114 */ 65188, 0,
-  /* 116 */ 65249, 0,
-  /* 118 */ 65303, 0,
-  /* 120 */ 65306, 0,
-  /* 122 */ 65347, 0,
-  /* 124 */ 65355, 0,
-  /* 126 */ 65357, 0,
-  /* 128 */ 65366, 0,
-  /* 130 */ 37, 65436, 97, 65432, 65373, 0,
-  /* 136 */ 65389, 0,
-  /* 138 */ 65415, 0,
-  /* 140 */ 65416, 0,
-  /* 142 */ 65432, 0,
-  /* 144 */ 65436, 0,
-  /* 146 */ 65440, 0,
-  /* 148 */ 65441, 0,
-  /* 150 */ 65459, 0,
-  /* 152 */ 65460, 0,
-  /* 154 */ 65461, 0,
-  /* 156 */ 65462, 0,
-  /* 158 */ 104, 65498, 0,
-  /* 161 */ 65516, 197, 65498, 0,
-  /* 165 */ 65515, 198, 65498, 0,
-  /* 169 */ 65514, 199, 65498, 0,
-  /* 173 */ 65513, 200, 65498, 0,
-  /* 177 */ 65512, 201, 65498, 0,
-  /* 181 */ 65511, 202, 65498, 0,
-  /* 185 */ 65510, 203, 65498, 0,
-  /* 189 */ 65509, 204, 65498, 0,
-  /* 193 */ 65508, 205, 65498, 0,
-  /* 197 */ 65507, 206, 65498, 0,
-  /* 201 */ 65506, 207, 65498, 0,
-  /* 205 */ 65505, 208, 65498, 0,
-  /* 209 */ 65504, 209, 65498, 0,
-  /* 213 */ 65503, 210, 65498, 0,
-  /* 217 */ 65502, 211, 65498, 0,
-  /* 221 */ 65501, 212, 65498, 0,
-  /* 225 */ 65500, 213, 65498, 0,
-  /* 229 */ 65366, 270, 65499, 0,
-  /* 233 */ 65373, 267, 65502, 0,
-  /* 237 */ 65507, 0,
-  /* 239 */ 65510, 0,
-  /* 241 */ 65511, 0,
-  /* 243 */ 65516, 0,
-  /* 245 */ 65521, 0,
-  /* 247 */ 65522, 0,
-  /* 249 */ 170, 65529, 0,
+  /* 100 */ 106, 0,
+  /* 102 */ 179, 0,
+  /* 104 */ 211, 0,
+  /* 106 */ 250, 0,
+  /* 108 */ 258, 0,
+  /* 110 */ 302, 0,
+  /* 112 */ 65047, 0,
+  /* 114 */ 65124, 0,
+  /* 116 */ 65180, 0,
+  /* 118 */ 65234, 0,
+  /* 120 */ 65237, 0,
+  /* 122 */ 65278, 0,
+  /* 124 */ 65286, 0,
+  /* 126 */ 65303, 0,
+  /* 128 */ 65325, 0,
+  /* 130 */ 37, 65430, 103, 65395, 65341, 0,
+  /* 136 */ 65357, 0,
+  /* 138 */ 65395, 0,
+  /* 140 */ 65410, 0,
+  /* 142 */ 65415, 0,
+  /* 144 */ 65427, 0,
+  /* 146 */ 65428, 0,
+  /* 148 */ 65429, 0,
+  /* 150 */ 65430, 0,
+  /* 152 */ 65440, 0,
+  /* 154 */ 65441, 0,
+  /* 156 */ 141, 65498, 0,
+  /* 159 */ 233, 65498, 0,
+  /* 162 */ 65516, 234, 65498, 0,
+  /* 166 */ 65515, 235, 65498, 0,
+  /* 170 */ 65514, 236, 65498, 0,
+  /* 174 */ 65513, 237, 65498, 0,
+  /* 178 */ 65512, 238, 65498, 0,
+  /* 182 */ 65511, 239, 65498, 0,
+  /* 186 */ 65510, 240, 65498, 0,
+  /* 190 */ 65509, 241, 65498, 0,
+  /* 194 */ 65508, 242, 65498, 0,
+  /* 198 */ 65507, 243, 65498, 0,
+  /* 202 */ 65506, 244, 65498, 0,
+  /* 206 */ 65505, 245, 65498, 0,
+  /* 210 */ 65504, 246, 65498, 0,
+  /* 214 */ 65503, 247, 65498, 0,
+  /* 218 */ 65502, 248, 65498, 0,
+  /* 222 */ 65501, 249, 65498, 0,
+  /* 226 */ 65500, 250, 65498, 0,
+  /* 230 */ 65303, 339, 65499, 0,
+  /* 234 */ 65341, 336, 65502, 0,
+  /* 238 */ 65507, 0,
+  /* 240 */ 65510, 0,
+  /* 242 */ 65511, 0,
+  /* 244 */ 65512, 0,
+  /* 246 */ 65516, 0,
+  /* 248 */ 65521, 0,
+  /* 250 */ 65522, 0,
   /* 252 */ 65535, 0,
 };
 
@@ -508,416 +590,565 @@
 
 static MCRegisterDesc MipsRegDesc[] = { // Descriptors
   { 6, 0, 0, 0, 0 },
-  { 1593, 1, 82, 1, 4033 },
-  { 1596, 1, 1, 1, 4033 },
-  { 1688, 1, 1, 1, 4033 },
-  { 1559, 1, 1, 1, 4033 },
-  { 1613, 8, 1, 2, 32 },
-  { 1640, 1, 1, 1, 1089 },
-  { 1657, 1, 1, 1, 1089 },
-  { 1571, 1, 102, 1, 1089 },
-  { 1574, 1, 104, 1, 1089 },
-  { 1647, 1, 1, 1, 1089 },
-  { 1586, 1, 1, 1, 1089 },
-  { 1580, 1, 1, 1, 1089 },
-  { 1624, 1, 1, 1, 1089 },
-  { 1678, 1, 1, 1, 1089 },
-  { 1667, 1, 1, 1, 1089 },
-  { 1605, 1, 1, 1, 1089 },
-  { 1631, 1, 1, 1, 1089 },
-  { 1556, 1, 1, 1, 1089 },
-  { 1553, 1, 106, 1, 1089 },
-  { 1577, 1, 108, 1, 1089 },
-  { 1566, 1, 110, 1, 1089 },
-  { 107, 1, 110, 1, 1089 },
-  { 262, 1, 110, 1, 1089 },
-  { 389, 1, 110, 1, 1089 },
-  { 510, 1, 110, 1, 1089 },
-  { 110, 249, 110, 9, 1042 },
-  { 265, 249, 1, 9, 1042 },
-  { 392, 249, 1, 9, 1042 },
-  { 513, 249, 1, 9, 1042 },
-  { 1041, 237, 1, 0, 0 },
-  { 50, 1, 1, 1, 1153 },
-  { 205, 1, 1, 1, 1153 },
-  { 360, 1, 1, 1, 1153 },
-  { 481, 1, 1, 1, 1153 },
-  { 602, 1, 1, 1, 1153 },
-  { 1128, 1, 1, 1, 1153 },
-  { 1221, 1, 1, 1, 1153 },
-  { 1314, 1, 1, 1, 1153 },
-  { 1407, 1, 1, 1, 1153 },
-  { 1508, 1, 1, 1, 1153 },
+  { 2007, 1, 82, 1, 4033 },
+  { 2010, 1, 1, 1, 4033 },
+  { 2102, 1, 1, 1, 4033 },
+  { 1973, 1, 1, 1, 4033 },
+  { 2027, 8, 1, 2, 32 },
+  { 2054, 1, 1, 1, 1089 },
+  { 2071, 1, 1, 1, 1089 },
+  { 1985, 1, 102, 1, 1089 },
+  { 1988, 1, 104, 1, 1089 },
+  { 2061, 1, 1, 1, 1089 },
+  { 2000, 1, 1, 1, 1089 },
+  { 1994, 1, 1, 1, 1089 },
+  { 2038, 1, 1, 1, 1089 },
+  { 2092, 1, 1, 1, 1089 },
+  { 2081, 1, 1, 1, 1089 },
+  { 2019, 1, 1, 1, 1089 },
+  { 2045, 1, 1, 1, 1089 },
+  { 1970, 1, 1, 1, 1089 },
+  { 1967, 1, 106, 1, 1089 },
+  { 1991, 1, 108, 1, 1089 },
+  { 1980, 1, 110, 1, 1089 },
+  { 152, 1, 110, 1, 1089 },
+  { 365, 1, 110, 1, 1089 },
+  { 537, 1, 110, 1, 1089 },
+  { 703, 1, 110, 1, 1089 },
+  { 155, 159, 110, 9, 1042 },
+  { 368, 159, 1, 9, 1042 },
+  { 540, 159, 1, 9, 1042 },
+  { 706, 159, 1, 9, 1042 },
+  { 1271, 238, 1, 0, 0 },
+  { 70, 1, 1, 1, 1153 },
+  { 283, 1, 1, 1, 1153 },
+  { 496, 1, 1, 1, 1153 },
+  { 662, 1, 1, 1, 1153 },
+  { 820, 1, 1, 1, 1153 },
+  { 1383, 1, 1, 1, 1153 },
+  { 1513, 1, 1, 1, 1153 },
+  { 1643, 1, 1, 1, 1153 },
+  { 1773, 1, 1, 1, 1153 },
+  { 1911, 1, 1, 1, 1153 },
+  { 130, 1, 1, 1, 1153 },
+  { 343, 1, 1, 1, 1153 },
+  { 531, 1, 1, 1, 1153 },
+  { 697, 1, 1, 1, 1153 },
+  { 842, 1, 1, 1, 1153 },
+  { 1405, 1, 1, 1, 1153 },
+  { 1535, 1, 1, 1, 1153 },
+  { 1665, 1, 1, 1, 1153 },
+  { 1795, 1, 1, 1, 1153 },
+  { 1933, 1, 1, 1, 1153 },
   { 0, 1, 1, 1, 1153 },
-  { 155, 1, 1, 1, 1153 },
-  { 310, 1, 1, 1, 1153 },
-  { 431, 1, 1, 1, 1153 },
-  { 552, 1, 1, 1, 1153 },
-  { 1078, 1, 1, 1, 1153 },
-  { 1175, 1, 1, 1, 1153 },
-  { 1268, 1, 1, 1, 1153 },
-  { 1361, 1, 1, 1, 1153 },
-  { 1446, 1, 1, 1, 1153 },
-  { 32, 1, 1, 1, 1153 },
-  { 187, 1, 1, 1, 1153 },
-  { 342, 1, 1, 1, 1153 },
-  { 463, 1, 1, 1, 1153 },
-  { 584, 1, 1, 1, 1153 },
-  { 1110, 1, 1, 1, 1153 },
-  { 1203, 1, 1, 1, 1153 },
-  { 1296, 1, 1, 1, 1153 },
-  { 1389, 1, 1, 1, 1153 },
-  { 1490, 1, 1, 1, 1153 },
-  { 79, 1, 1, 1, 1153 },
-  { 234, 1, 1, 1, 1153 },
-  { 119, 14, 1, 9, 994 },
-  { 274, 17, 1, 9, 994 },
-  { 401, 20, 1, 9, 994 },
-  { 522, 23, 1, 9, 994 },
-  { 1052, 26, 1, 9, 994 },
-  { 1149, 29, 1, 9, 994 },
-  { 1242, 32, 1, 9, 994 },
-  { 1335, 35, 1, 9, 994 },
-  { 1423, 38, 1, 9, 994 },
-  { 1530, 41, 1, 9, 994 },
-  { 7, 44, 1, 9, 994 },
-  { 162, 47, 1, 9, 994 },
-  { 317, 50, 1, 9, 994 },
-  { 438, 53, 1, 9, 994 },
-  { 559, 56, 1, 9, 994 },
-  { 1085, 59, 1, 9, 994 },
-  { 66, 1, 156, 1, 2497 },
-  { 221, 1, 154, 1, 2497 },
-  { 376, 1, 152, 1, 2497 },
-  { 497, 1, 150, 1, 2497 },
-  { 122, 1, 161, 1, 3953 },
-  { 277, 1, 165, 1, 3953 },
-  { 404, 1, 165, 1, 3953 },
-  { 525, 1, 169, 1, 3953 },
-  { 1055, 1, 169, 1, 3953 },
-  { 1152, 1, 173, 1, 3953 },
-  { 1245, 1, 173, 1, 3953 },
-  { 1338, 1, 177, 1, 3953 },
-  { 1426, 1, 177, 1, 3953 },
-  { 1533, 1, 181, 1, 3953 },
-  { 11, 1, 181, 1, 3953 },
-  { 166, 1, 185, 1, 3953 },
-  { 321, 1, 185, 1, 3953 },
-  { 442, 1, 189, 1, 3953 },
-  { 563, 1, 189, 1, 3953 },
-  { 1089, 1, 193, 1, 3953 },
-  { 1182, 1, 193, 1, 3953 },
-  { 1275, 1, 197, 1, 3953 },
-  { 1368, 1, 197, 1, 3953 },
-  { 1453, 1, 201, 1, 3953 },
-  { 39, 1, 201, 1, 3953 },
-  { 194, 1, 205, 1, 3953 },
-  { 349, 1, 205, 1, 3953 },
-  { 470, 1, 209, 1, 3953 },
-  { 591, 1, 209, 1, 3953 },
-  { 1117, 1, 213, 1, 3953 },
-  { 1210, 1, 213, 1, 3953 },
-  { 1303, 1, 217, 1, 3953 },
-  { 1396, 1, 217, 1, 3953 },
-  { 1497, 1, 221, 1, 3953 },
-  { 86, 1, 221, 1, 3953 },
-  { 241, 1, 225, 1, 3953 },
-  { 114, 1, 1, 1, 3953 },
-  { 269, 1, 1, 1, 3953 },
-  { 396, 1, 1, 1, 3953 },
-  { 517, 1, 1, 1, 3953 },
-  { 1047, 1, 1, 1, 3953 },
-  { 1144, 1, 1, 1, 3953 },
-  { 1237, 1, 1, 1, 3953 },
-  { 1330, 1, 1, 1, 3953 },
-  { 138, 1, 1, 1, 3953 },
-  { 293, 1, 1, 1, 3953 },
-  { 417, 1, 1, 1, 3953 },
-  { 538, 1, 1, 1, 3953 },
-  { 1064, 1, 1, 1, 3953 },
-  { 1161, 1, 1, 1, 3953 },
-  { 1254, 1, 1, 1, 3953 },
-  { 1347, 1, 1, 1, 3953 },
-  { 1435, 1, 1, 1, 3953 },
-  { 1542, 1, 1, 1, 3953 },
-  { 22, 1, 1, 1, 3953 },
-  { 177, 1, 1, 1, 3953 },
-  { 332, 1, 1, 1, 3953 },
-  { 453, 1, 1, 1, 3953 },
-  { 574, 1, 1, 1, 3953 },
-  { 1100, 1, 1, 1, 3953 },
-  { 1193, 1, 1, 1, 3953 },
-  { 1286, 1, 1, 1, 3953 },
-  { 1379, 1, 1, 1, 3953 },
-  { 1464, 1, 1, 1, 3953 },
-  { 56, 1, 1, 1, 3953 },
-  { 211, 1, 1, 1, 3953 },
-  { 366, 1, 1, 1, 3953 },
-  { 487, 1, 1, 1, 3953 },
-  { 608, 1, 1, 1, 3953 },
-  { 1134, 1, 1, 1, 3953 },
-  { 1227, 1, 1, 1, 3953 },
-  { 1320, 1, 1, 1, 3953 },
-  { 1413, 1, 1, 1, 3953 },
-  { 1514, 1, 1, 1, 3953 },
-  { 97, 1, 1, 1, 3953 },
-  { 252, 1, 1, 1, 3953 },
-  { 1023, 136, 1, 0, 1184 },
-  { 125, 1, 158, 1, 3921 },
-  { 280, 1, 158, 1, 3921 },
-  { 407, 1, 158, 1, 3921 },
-  { 528, 1, 158, 1, 3921 },
-  { 1058, 1, 158, 1, 3921 },
-  { 1155, 1, 158, 1, 3921 },
-  { 1248, 1, 158, 1, 3921 },
-  { 1341, 1, 158, 1, 3921 },
-  { 1429, 1, 158, 1, 3921 },
-  { 1536, 1, 158, 1, 3921 },
-  { 15, 1, 158, 1, 3921 },
-  { 170, 1, 158, 1, 3921 },
-  { 325, 1, 158, 1, 3921 },
-  { 446, 1, 158, 1, 3921 },
-  { 567, 1, 158, 1, 3921 },
-  { 1093, 1, 158, 1, 3921 },
-  { 1186, 1, 158, 1, 3921 },
-  { 1279, 1, 158, 1, 3921 },
-  { 1372, 1, 158, 1, 3921 },
-  { 1457, 1, 158, 1, 3921 },
-  { 43, 1, 158, 1, 3921 },
-  { 198, 1, 158, 1, 3921 },
-  { 353, 1, 158, 1, 3921 },
-  { 474, 1, 158, 1, 3921 },
-  { 595, 1, 158, 1, 3921 },
-  { 1121, 1, 158, 1, 3921 },
-  { 1214, 1, 158, 1, 3921 },
-  { 1307, 1, 158, 1, 3921 },
-  { 1400, 1, 158, 1, 3921 },
-  { 1501, 1, 158, 1, 3921 },
-  { 90, 1, 158, 1, 3921 },
-  { 245, 1, 158, 1, 3921 },
-  { 1029, 126, 1, 0, 1216 },
-  { 127, 1, 233, 1, 1826 },
-  { 282, 1, 134, 1, 1826 },
-  { 409, 1, 134, 1, 1826 },
-  { 530, 1, 134, 1, 1826 },
-  { 1520, 1, 1, 1, 3889 },
-  { 131, 1, 100, 1, 3889 },
-  { 286, 1, 100, 1, 3889 },
-  { 134, 1, 229, 1, 1794 },
-  { 289, 1, 128, 1, 1794 },
-  { 413, 1, 128, 1, 1794 },
-  { 534, 1, 128, 1, 1794 },
-  { 1009, 124, 1, 0, 1248 },
-  { 143, 1, 98, 1, 3857 },
-  { 298, 1, 98, 1, 3857 },
-  { 422, 1, 98, 1, 3857 },
-  { 543, 1, 98, 1, 3857 },
-  { 1069, 1, 98, 1, 3857 },
-  { 1166, 1, 98, 1, 3857 },
-  { 1259, 1, 98, 1, 3857 },
-  { 1352, 1, 98, 1, 3857 },
-  { 1035, 122, 1, 0, 1280 },
-  { 146, 1, 96, 1, 3825 },
-  { 301, 1, 96, 1, 3825 },
-  { 425, 1, 96, 1, 3825 },
-  { 546, 1, 96, 1, 3825 },
-  { 1072, 1, 96, 1, 3825 },
-  { 1169, 1, 96, 1, 3825 },
-  { 1262, 1, 96, 1, 3825 },
-  { 1355, 1, 96, 1, 3825 },
-  { 1440, 1, 96, 1, 3825 },
-  { 1547, 1, 96, 1, 3825 },
-  { 149, 1, 96, 1, 3825 },
-  { 304, 1, 96, 1, 3825 },
-  { 152, 92, 1, 8, 1425 },
-  { 307, 92, 1, 8, 1425 },
-  { 428, 92, 1, 8, 1425 },
-  { 549, 92, 1, 8, 1425 },
-  { 1075, 92, 1, 8, 1425 },
-  { 1172, 92, 1, 8, 1425 },
-  { 1265, 92, 1, 8, 1425 },
-  { 1358, 92, 1, 8, 1425 },
-  { 1443, 92, 1, 8, 1425 },
-  { 1550, 92, 1, 8, 1425 },
-  { 28, 92, 1, 8, 1425 },
-  { 183, 92, 1, 8, 1425 },
-  { 338, 92, 1, 8, 1425 },
-  { 459, 92, 1, 8, 1425 },
-  { 580, 92, 1, 8, 1425 },
-  { 1106, 92, 1, 8, 1425 },
-  { 1199, 92, 1, 8, 1425 },
-  { 1292, 92, 1, 8, 1425 },
-  { 1385, 92, 1, 8, 1425 },
-  { 1470, 92, 1, 8, 1425 },
-  { 62, 92, 1, 8, 1425 },
-  { 217, 92, 1, 8, 1425 },
-  { 372, 92, 1, 8, 1425 },
-  { 493, 92, 1, 8, 1425 },
-  { 614, 92, 1, 8, 1425 },
-  { 1140, 92, 1, 8, 1425 },
-  { 1233, 92, 1, 8, 1425 },
-  { 1326, 92, 1, 8, 1425 },
-  { 1419, 92, 1, 8, 1425 },
-  { 1526, 92, 1, 8, 1425 },
-  { 103, 92, 1, 8, 1425 },
-  { 258, 92, 1, 8, 1425 },
-  { 1015, 118, 1, 0, 1921 },
-  { 639, 118, 1, 0, 1921 },
-  { 717, 118, 1, 0, 1921 },
-  { 767, 118, 1, 0, 1921 },
-  { 805, 118, 1, 0, 1921 },
-  { 645, 130, 1, 12, 656 },
-  { 652, 93, 159, 9, 1377 },
-  { 723, 93, 159, 9, 1377 },
-  { 773, 93, 159, 9, 1377 },
-  { 811, 93, 159, 9, 1377 },
-  { 843, 93, 159, 9, 1377 },
-  { 875, 93, 159, 9, 1377 },
-  { 907, 93, 159, 9, 1377 },
-  { 939, 93, 159, 9, 1377 },
-  { 971, 93, 159, 9, 1377 },
-  { 997, 93, 159, 9, 1377 },
-  { 618, 93, 159, 9, 1377 },
-  { 696, 93, 159, 9, 1377 },
-  { 753, 93, 159, 9, 1377 },
-  { 791, 93, 159, 9, 1377 },
-  { 829, 93, 159, 9, 1377 },
-  { 861, 93, 159, 9, 1377 },
-  { 893, 93, 159, 9, 1377 },
-  { 925, 93, 159, 9, 1377 },
-  { 957, 93, 159, 9, 1377 },
-  { 983, 93, 159, 9, 1377 },
-  { 625, 93, 159, 9, 1377 },
-  { 703, 93, 159, 9, 1377 },
-  { 760, 93, 159, 9, 1377 },
-  { 798, 93, 159, 9, 1377 },
-  { 836, 93, 159, 9, 1377 },
-  { 868, 93, 159, 9, 1377 },
-  { 900, 93, 159, 9, 1377 },
-  { 932, 93, 159, 9, 1377 },
-  { 964, 93, 159, 9, 1377 },
-  { 990, 93, 159, 9, 1377 },
-  { 632, 93, 159, 9, 1377 },
-  { 710, 93, 159, 9, 1377 },
-  { 1474, 1, 116, 1, 1120 },
-  { 658, 142, 235, 0, 1344 },
-  { 665, 144, 1, 0, 2241 },
-  { 729, 144, 1, 0, 2241 },
-  { 671, 144, 231, 0, 1312 },
-  { 678, 146, 1, 0, 2209 },
-  { 735, 146, 1, 0, 2209 },
-  { 779, 146, 1, 0, 2209 },
-  { 817, 146, 1, 0, 2209 },
-  { 849, 146, 1, 0, 2209 },
-  { 881, 146, 1, 0, 2209 },
-  { 913, 146, 1, 0, 2209 },
-  { 945, 146, 1, 0, 2209 },
-  { 684, 148, 1, 0, 2209 },
-  { 741, 148, 1, 0, 2209 },
-  { 785, 148, 1, 0, 2209 },
-  { 823, 148, 1, 0, 2209 },
-  { 855, 148, 1, 0, 2209 },
-  { 887, 148, 1, 0, 2209 },
-  { 919, 148, 1, 0, 2209 },
-  { 951, 148, 1, 0, 2209 },
-  { 977, 148, 1, 0, 2209 },
-  { 1003, 148, 1, 0, 2209 },
-  { 690, 148, 1, 0, 2209 },
-  { 747, 148, 1, 0, 2209 },
+  { 213, 1, 1, 1, 1153 },
+  { 426, 1, 1, 1, 1153 },
+  { 592, 1, 1, 1, 1153 },
+  { 750, 1, 1, 1, 1153 },
+  { 1313, 1, 1, 1, 1153 },
+  { 1447, 1, 1, 1, 1153 },
+  { 1577, 1, 1, 1, 1153 },
+  { 1707, 1, 1, 1, 1153 },
+  { 1829, 1, 1, 1, 1153 },
+  { 45, 1, 1, 1, 1153 },
+  { 258, 1, 1, 1, 1153 },
+  { 471, 1, 1, 1, 1153 },
+  { 637, 1, 1, 1, 1153 },
+  { 795, 1, 1, 1, 1153 },
+  { 1358, 1, 1, 1, 1153 },
+  { 1488, 1, 1, 1, 1153 },
+  { 1618, 1, 1, 1, 1153 },
+  { 1748, 1, 1, 1, 1153 },
+  { 1886, 1, 1, 1, 1153 },
+  { 105, 1, 1, 1, 1153 },
+  { 318, 1, 1, 1, 1153 },
+  { 7, 1, 1, 1, 1153 },
+  { 220, 1, 1, 1, 1153 },
+  { 433, 1, 1, 1, 1153 },
+  { 599, 1, 1, 1, 1153 },
+  { 757, 1, 1, 1, 1153 },
+  { 1320, 1, 1, 1, 1153 },
+  { 1454, 1, 1, 1, 1153 },
+  { 1584, 1, 1, 1, 1153 },
+  { 1714, 1, 1, 1, 1153 },
+  { 1836, 1, 1, 1, 1153 },
+  { 52, 1, 1, 1, 1153 },
+  { 265, 1, 1, 1, 1153 },
+  { 478, 1, 1, 1, 1153 },
+  { 644, 1, 1, 1, 1153 },
+  { 802, 1, 1, 1, 1153 },
+  { 1365, 1, 1, 1, 1153 },
+  { 1495, 1, 1, 1, 1153 },
+  { 1625, 1, 1, 1, 1153 },
+  { 1755, 1, 1, 1, 1153 },
+  { 1893, 1, 1, 1, 1153 },
+  { 112, 1, 1, 1, 1153 },
+  { 325, 1, 1, 1, 1153 },
+  { 164, 14, 1, 9, 994 },
+  { 377, 17, 1, 9, 994 },
+  { 549, 20, 1, 9, 994 },
+  { 715, 23, 1, 9, 994 },
+  { 1282, 26, 1, 9, 994 },
+  { 1416, 29, 1, 9, 994 },
+  { 1546, 32, 1, 9, 994 },
+  { 1676, 35, 1, 9, 994 },
+  { 1801, 38, 1, 9, 994 },
+  { 1939, 41, 1, 9, 994 },
+  { 14, 44, 1, 9, 994 },
+  { 227, 47, 1, 9, 994 },
+  { 440, 50, 1, 9, 994 },
+  { 606, 53, 1, 9, 994 },
+  { 764, 56, 1, 9, 994 },
+  { 1327, 59, 1, 9, 994 },
+  { 92, 1, 150, 1, 2401 },
+  { 305, 1, 148, 1, 2401 },
+  { 518, 1, 146, 1, 2401 },
+  { 684, 1, 144, 1, 2401 },
+  { 167, 1, 162, 1, 4001 },
+  { 380, 1, 166, 1, 4001 },
+  { 552, 1, 166, 1, 4001 },
+  { 718, 1, 170, 1, 4001 },
+  { 1285, 1, 170, 1, 4001 },
+  { 1419, 1, 174, 1, 4001 },
+  { 1549, 1, 174, 1, 4001 },
+  { 1679, 1, 178, 1, 4001 },
+  { 1804, 1, 178, 1, 4001 },
+  { 1942, 1, 182, 1, 4001 },
+  { 18, 1, 182, 1, 4001 },
+  { 231, 1, 186, 1, 4001 },
+  { 444, 1, 186, 1, 4001 },
+  { 610, 1, 190, 1, 4001 },
+  { 768, 1, 190, 1, 4001 },
+  { 1331, 1, 194, 1, 4001 },
+  { 1461, 1, 194, 1, 4001 },
+  { 1591, 1, 198, 1, 4001 },
+  { 1721, 1, 198, 1, 4001 },
+  { 1843, 1, 202, 1, 4001 },
+  { 59, 1, 202, 1, 4001 },
+  { 272, 1, 206, 1, 4001 },
+  { 485, 1, 206, 1, 4001 },
+  { 651, 1, 210, 1, 4001 },
+  { 809, 1, 210, 1, 4001 },
+  { 1372, 1, 214, 1, 4001 },
+  { 1502, 1, 214, 1, 4001 },
+  { 1632, 1, 218, 1, 4001 },
+  { 1762, 1, 218, 1, 4001 },
+  { 1900, 1, 222, 1, 4001 },
+  { 119, 1, 222, 1, 4001 },
+  { 332, 1, 226, 1, 4001 },
+  { 159, 1, 1, 1, 4001 },
+  { 372, 1, 1, 1, 4001 },
+  { 544, 1, 1, 1, 4001 },
+  { 710, 1, 1, 1, 4001 },
+  { 1277, 1, 1, 1, 4001 },
+  { 1411, 1, 1, 1, 4001 },
+  { 1541, 1, 1, 1, 4001 },
+  { 1671, 1, 1, 1, 4001 },
+  { 191, 1, 1, 1, 4001 },
+  { 404, 1, 1, 1, 4001 },
+  { 573, 1, 1, 1, 4001 },
+  { 731, 1, 1, 1, 4001 },
+  { 1294, 1, 1, 1, 4001 },
+  { 1428, 1, 1, 1, 4001 },
+  { 1558, 1, 1, 1, 4001 },
+  { 1688, 1, 1, 1, 4001 },
+  { 1813, 1, 1, 1, 4001 },
+  { 1951, 1, 1, 1, 4001 },
+  { 29, 1, 1, 1, 4001 },
+  { 242, 1, 1, 1, 4001 },
+  { 455, 1, 1, 1, 4001 },
+  { 621, 1, 1, 1, 4001 },
+  { 779, 1, 1, 1, 4001 },
+  { 1342, 1, 1, 1, 4001 },
+  { 1472, 1, 1, 1, 4001 },
+  { 1602, 1, 1, 1, 4001 },
+  { 1732, 1, 1, 1, 4001 },
+  { 1854, 1, 1, 1, 4001 },
+  { 76, 1, 1, 1, 4001 },
+  { 289, 1, 1, 1, 4001 },
+  { 502, 1, 1, 1, 4001 },
+  { 668, 1, 1, 1, 4001 },
+  { 826, 1, 1, 1, 4001 },
+  { 1389, 1, 1, 1, 4001 },
+  { 1519, 1, 1, 1, 4001 },
+  { 1649, 1, 1, 1, 4001 },
+  { 1779, 1, 1, 1, 4001 },
+  { 1917, 1, 1, 1, 4001 },
+  { 136, 1, 1, 1, 4001 },
+  { 349, 1, 1, 1, 4001 },
+  { 1253, 136, 1, 0, 1184 },
+  { 170, 1, 156, 1, 3969 },
+  { 383, 1, 156, 1, 3969 },
+  { 555, 1, 156, 1, 3969 },
+  { 721, 1, 156, 1, 3969 },
+  { 1288, 1, 156, 1, 3969 },
+  { 1422, 1, 156, 1, 3969 },
+  { 1552, 1, 156, 1, 3969 },
+  { 1682, 1, 156, 1, 3969 },
+  { 1807, 1, 156, 1, 3969 },
+  { 1945, 1, 156, 1, 3969 },
+  { 22, 1, 156, 1, 3969 },
+  { 235, 1, 156, 1, 3969 },
+  { 448, 1, 156, 1, 3969 },
+  { 614, 1, 156, 1, 3969 },
+  { 772, 1, 156, 1, 3969 },
+  { 1335, 1, 156, 1, 3969 },
+  { 1465, 1, 156, 1, 3969 },
+  { 1595, 1, 156, 1, 3969 },
+  { 1725, 1, 156, 1, 3969 },
+  { 1847, 1, 156, 1, 3969 },
+  { 63, 1, 156, 1, 3969 },
+  { 276, 1, 156, 1, 3969 },
+  { 489, 1, 156, 1, 3969 },
+  { 655, 1, 156, 1, 3969 },
+  { 813, 1, 156, 1, 3969 },
+  { 1376, 1, 156, 1, 3969 },
+  { 1506, 1, 156, 1, 3969 },
+  { 1636, 1, 156, 1, 3969 },
+  { 1766, 1, 156, 1, 3969 },
+  { 1904, 1, 156, 1, 3969 },
+  { 123, 1, 156, 1, 3969 },
+  { 336, 1, 156, 1, 3969 },
+  { 1259, 128, 1, 0, 1216 },
+  { 172, 1, 234, 1, 1826 },
+  { 385, 1, 134, 1, 1826 },
+  { 557, 1, 134, 1, 1826 },
+  { 723, 1, 134, 1, 1826 },
+  { 196, 1, 1, 1, 3937 },
+  { 409, 1, 1, 1, 3937 },
+  { 578, 1, 1, 1, 3937 },
+  { 736, 1, 1, 1, 3937 },
+  { 1299, 1, 1, 1, 3937 },
+  { 1433, 1, 1, 1, 3937 },
+  { 1563, 1, 1, 1, 3937 },
+  { 1693, 1, 1, 1, 3937 },
+  { 1818, 1, 1, 1, 3937 },
+  { 1956, 1, 1, 1, 3937 },
+  { 35, 1, 1, 1, 3937 },
+  { 248, 1, 1, 1, 3937 },
+  { 461, 1, 1, 1, 3937 },
+  { 627, 1, 1, 1, 3937 },
+  { 785, 1, 1, 1, 3937 },
+  { 1348, 1, 1, 1, 3937 },
+  { 1478, 1, 1, 1, 3937 },
+  { 1608, 1, 1, 1, 3937 },
+  { 1738, 1, 1, 1, 3937 },
+  { 1860, 1, 1, 1, 3937 },
+  { 82, 1, 1, 1, 3937 },
+  { 295, 1, 1, 1, 3937 },
+  { 508, 1, 1, 1, 3937 },
+  { 674, 1, 1, 1, 3937 },
+  { 832, 1, 1, 1, 3937 },
+  { 1395, 1, 1, 1, 3937 },
+  { 1525, 1, 1, 1, 3937 },
+  { 1655, 1, 1, 1, 3937 },
+  { 1785, 1, 1, 1, 3937 },
+  { 1923, 1, 1, 1, 3937 },
+  { 142, 1, 1, 1, 3937 },
+  { 355, 1, 1, 1, 3937 },
+  { 176, 1, 100, 1, 3937 },
+  { 389, 1, 100, 1, 3937 },
+  { 184, 1, 230, 1, 1794 },
+  { 397, 1, 126, 1, 1794 },
+  { 566, 1, 126, 1, 1794 },
+  { 727, 1, 126, 1, 1794 },
+  { 179, 1, 1, 1, 3905 },
+  { 392, 1, 1, 1, 3905 },
+  { 561, 1, 1, 1, 3905 },
+  { 188, 1, 1, 1, 3905 },
+  { 401, 1, 1, 1, 3905 },
+  { 570, 1, 1, 1, 3905 },
+  { 1239, 124, 1, 0, 1248 },
+  { 201, 1, 98, 1, 3873 },
+  { 414, 1, 98, 1, 3873 },
+  { 583, 1, 98, 1, 3873 },
+  { 741, 1, 98, 1, 3873 },
+  { 1304, 1, 98, 1, 3873 },
+  { 1438, 1, 98, 1, 3873 },
+  { 1568, 1, 98, 1, 3873 },
+  { 1698, 1, 98, 1, 3873 },
+  { 1265, 122, 1, 0, 1280 },
+  { 204, 1, 96, 1, 3841 },
+  { 417, 1, 96, 1, 3841 },
+  { 586, 1, 96, 1, 3841 },
+  { 744, 1, 96, 1, 3841 },
+  { 1307, 1, 96, 1, 3841 },
+  { 1441, 1, 96, 1, 3841 },
+  { 1571, 1, 96, 1, 3841 },
+  { 1701, 1, 96, 1, 3841 },
+  { 1823, 1, 96, 1, 3841 },
+  { 1961, 1, 96, 1, 3841 },
+  { 207, 1, 96, 1, 3841 },
+  { 420, 1, 96, 1, 3841 },
+  { 210, 92, 1, 8, 1425 },
+  { 423, 92, 1, 8, 1425 },
+  { 589, 92, 1, 8, 1425 },
+  { 747, 92, 1, 8, 1425 },
+  { 1310, 92, 1, 8, 1425 },
+  { 1444, 92, 1, 8, 1425 },
+  { 1574, 92, 1, 8, 1425 },
+  { 1704, 92, 1, 8, 1425 },
+  { 1826, 92, 1, 8, 1425 },
+  { 1964, 92, 1, 8, 1425 },
+  { 41, 92, 1, 8, 1425 },
+  { 254, 92, 1, 8, 1425 },
+  { 467, 92, 1, 8, 1425 },
+  { 633, 92, 1, 8, 1425 },
+  { 791, 92, 1, 8, 1425 },
+  { 1354, 92, 1, 8, 1425 },
+  { 1484, 92, 1, 8, 1425 },
+  { 1614, 92, 1, 8, 1425 },
+  { 1744, 92, 1, 8, 1425 },
+  { 1866, 92, 1, 8, 1425 },
+  { 88, 92, 1, 8, 1425 },
+  { 301, 92, 1, 8, 1425 },
+  { 514, 92, 1, 8, 1425 },
+  { 680, 92, 1, 8, 1425 },
+  { 838, 92, 1, 8, 1425 },
+  { 1401, 92, 1, 8, 1425 },
+  { 1531, 92, 1, 8, 1425 },
+  { 1661, 92, 1, 8, 1425 },
+  { 1791, 92, 1, 8, 1425 },
+  { 1929, 92, 1, 8, 1425 },
+  { 148, 92, 1, 8, 1425 },
+  { 361, 92, 1, 8, 1425 },
+  { 1245, 118, 1, 0, 1921 },
+  { 869, 118, 1, 0, 1921 },
+  { 947, 118, 1, 0, 1921 },
+  { 997, 118, 1, 0, 1921 },
+  { 1035, 118, 1, 0, 1921 },
+  { 875, 130, 1, 12, 656 },
+  { 882, 93, 157, 9, 1377 },
+  { 953, 93, 157, 9, 1377 },
+  { 1003, 93, 157, 9, 1377 },
+  { 1041, 93, 157, 9, 1377 },
+  { 1073, 93, 157, 9, 1377 },
+  { 1105, 93, 157, 9, 1377 },
+  { 1137, 93, 157, 9, 1377 },
+  { 1169, 93, 157, 9, 1377 },
+  { 1201, 93, 157, 9, 1377 },
+  { 1227, 93, 157, 9, 1377 },
+  { 848, 93, 157, 9, 1377 },
+  { 926, 93, 157, 9, 1377 },
+  { 983, 93, 157, 9, 1377 },
+  { 1021, 93, 157, 9, 1377 },
+  { 1059, 93, 157, 9, 1377 },
+  { 1091, 93, 157, 9, 1377 },
+  { 1123, 93, 157, 9, 1377 },
+  { 1155, 93, 157, 9, 1377 },
+  { 1187, 93, 157, 9, 1377 },
+  { 1213, 93, 157, 9, 1377 },
+  { 855, 93, 157, 9, 1377 },
+  { 933, 93, 157, 9, 1377 },
+  { 990, 93, 157, 9, 1377 },
+  { 1028, 93, 157, 9, 1377 },
+  { 1066, 93, 157, 9, 1377 },
+  { 1098, 93, 157, 9, 1377 },
+  { 1130, 93, 157, 9, 1377 },
+  { 1162, 93, 157, 9, 1377 },
+  { 1194, 93, 157, 9, 1377 },
+  { 1220, 93, 157, 9, 1377 },
+  { 862, 93, 157, 9, 1377 },
+  { 940, 93, 157, 9, 1377 },
+  { 1870, 1, 116, 1, 1120 },
+  { 888, 138, 236, 0, 1344 },
+  { 895, 150, 1, 0, 2241 },
+  { 959, 150, 1, 0, 2241 },
+  { 901, 150, 232, 0, 1312 },
+  { 908, 152, 1, 0, 2273 },
+  { 965, 152, 1, 0, 2273 },
+  { 1009, 152, 1, 0, 2273 },
+  { 1047, 152, 1, 0, 2273 },
+  { 1079, 152, 1, 0, 2273 },
+  { 1111, 152, 1, 0, 2273 },
+  { 1143, 152, 1, 0, 2273 },
+  { 1175, 152, 1, 0, 2273 },
+  { 914, 154, 1, 0, 2273 },
+  { 971, 154, 1, 0, 2273 },
+  { 1015, 154, 1, 0, 2273 },
+  { 1053, 154, 1, 0, 2273 },
+  { 1085, 154, 1, 0, 2273 },
+  { 1117, 154, 1, 0, 2273 },
+  { 1149, 154, 1, 0, 2273 },
+  { 1181, 154, 1, 0, 2273 },
+  { 1207, 154, 1, 0, 2273 },
+  { 1233, 154, 1, 0, 2273 },
+  { 920, 154, 1, 0, 2273 },
+  { 977, 154, 1, 0, 2273 },
 };
 
+  // OddSP Register Class...
+  static MCPhysReg OddSP[] = {
+    Mips_F1, Mips_F3, Mips_F5, Mips_F7, Mips_F9, Mips_F11, Mips_F13, Mips_F15, Mips_F17, Mips_F19, Mips_F21, Mips_F23, Mips_F25, Mips_F27, Mips_F29, Mips_F31, Mips_F_HI1, Mips_F_HI3, Mips_F_HI5, Mips_F_HI7, Mips_F_HI9, Mips_F_HI11, Mips_F_HI13, Mips_F_HI15, Mips_F_HI17, Mips_F_HI19, Mips_F_HI21, Mips_F_HI23, Mips_F_HI25, Mips_F_HI27, Mips_F_HI29, Mips_F_HI31, Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // OddSP Bit set.
+  static uint8_t OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x50, 0x55, 0x55, 0x55, 0x05, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+  };
+
   // CCR Register Class...
-  static uint16_t CCR[] = {
+  static MCPhysReg CCR[] = {
     Mips_FCR0, Mips_FCR1, Mips_FCR2, Mips_FCR3, Mips_FCR4, Mips_FCR5, Mips_FCR6, Mips_FCR7, Mips_FCR8, Mips_FCR9, Mips_FCR10, Mips_FCR11, Mips_FCR12, Mips_FCR13, Mips_FCR14, Mips_FCR15, Mips_FCR16, Mips_FCR17, Mips_FCR18, Mips_FCR19, Mips_FCR20, Mips_FCR21, Mips_FCR22, Mips_FCR23, Mips_FCR24, Mips_FCR25, Mips_FCR26, Mips_FCR27, Mips_FCR28, Mips_FCR29, Mips_FCR30, Mips_FCR31, 
   };
 
   // CCR Bit set.
   static uint8_t CCRBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // COP2 Register Class...
-  static uint16_t COP2[] = {
+  static MCPhysReg COP2[] = {
     Mips_COP20, Mips_COP21, Mips_COP22, Mips_COP23, Mips_COP24, Mips_COP25, Mips_COP26, Mips_COP27, Mips_COP28, Mips_COP29, Mips_COP210, Mips_COP211, Mips_COP212, Mips_COP213, Mips_COP214, Mips_COP215, Mips_COP216, Mips_COP217, Mips_COP218, Mips_COP219, Mips_COP220, Mips_COP221, Mips_COP222, Mips_COP223, Mips_COP224, Mips_COP225, Mips_COP226, Mips_COP227, Mips_COP228, Mips_COP229, Mips_COP230, Mips_COP231, 
   };
 
   // COP2 Bit set.
   static uint8_t COP2Bits[] = {
-    0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x7f, 
+    0x00, 0x00, 0x00, 0x80, 0xff, 0x01, 0xf8, 0xff, 0xff, 0x01, 
+  };
+
+  // COP3 Register Class...
+  static MCPhysReg COP3[] = {
+    Mips_COP30, Mips_COP31, Mips_COP32, Mips_COP33, Mips_COP34, Mips_COP35, Mips_COP36, Mips_COP37, Mips_COP38, Mips_COP39, Mips_COP310, Mips_COP311, Mips_COP312, Mips_COP313, Mips_COP314, Mips_COP315, Mips_COP316, Mips_COP317, Mips_COP318, Mips_COP319, Mips_COP320, Mips_COP321, Mips_COP322, Mips_COP323, Mips_COP324, Mips_COP325, Mips_COP326, Mips_COP327, Mips_COP328, Mips_COP329, Mips_COP330, Mips_COP331, 
+  };
+
+  // COP3 Bit set.
+  static uint8_t COP3Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0x07, 0x00, 0x00, 0xfe, 0xff, 0x7f, 
   };
 
   // DSPR Register Class...
-  static uint16_t DSPR[] = {
+  static MCPhysReg DSPR[] = {
     Mips_ZERO, Mips_AT, Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_T0, Mips_T1, Mips_T2, Mips_T3, Mips_T4, Mips_T5, Mips_T6, Mips_T7, Mips_S0, Mips_S1, Mips_S2, Mips_S3, Mips_S4, Mips_S5, Mips_S6, Mips_S7, Mips_T8, Mips_T9, Mips_K0, Mips_K1, Mips_GP, Mips_SP, Mips_FP, Mips_RA, 
   };
 
   // DSPR Bit set.
   static uint8_t DSPRBits[] = {
-    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x0c, 0xfe, 0xfd, 0x3f, 
+    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
   };
 
   // FGR32 Register Class...
-  static uint16_t FGR32[] = {
+  static MCPhysReg FGR32[] = {
     Mips_F0, Mips_F1, Mips_F2, Mips_F3, Mips_F4, Mips_F5, Mips_F6, Mips_F7, Mips_F8, Mips_F9, Mips_F10, Mips_F11, Mips_F12, Mips_F13, Mips_F14, Mips_F15, Mips_F16, Mips_F17, Mips_F18, Mips_F19, Mips_F20, Mips_F21, Mips_F22, Mips_F23, Mips_F24, Mips_F25, Mips_F26, Mips_F27, Mips_F28, Mips_F29, Mips_F30, Mips_F31, 
   };
 
   // FGR32 Bit set.
   static uint8_t FGR32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+  };
+
+  // FGRCC Register Class...
+  static MCPhysReg FGRCC[] = {
+    Mips_F0, Mips_F1, Mips_F2, Mips_F3, Mips_F4, Mips_F5, Mips_F6, Mips_F7, Mips_F8, Mips_F9, Mips_F10, Mips_F11, Mips_F12, Mips_F13, Mips_F14, Mips_F15, Mips_F16, Mips_F17, Mips_F18, Mips_F19, Mips_F20, Mips_F21, Mips_F22, Mips_F23, Mips_F24, Mips_F25, Mips_F26, Mips_F27, Mips_F28, Mips_F29, Mips_F30, Mips_F31, 
+  };
+
+  // FGRCC Bit set.
+  static uint8_t FGRCCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // FGRH32 Register Class...
-  static uint16_t FGRH32[] = {
+  static MCPhysReg FGRH32[] = {
     Mips_F_HI0, Mips_F_HI1, Mips_F_HI2, Mips_F_HI3, Mips_F_HI4, Mips_F_HI5, Mips_F_HI6, Mips_F_HI7, Mips_F_HI8, Mips_F_HI9, Mips_F_HI10, Mips_F_HI11, Mips_F_HI12, Mips_F_HI13, Mips_F_HI14, Mips_F_HI15, Mips_F_HI16, Mips_F_HI17, Mips_F_HI18, Mips_F_HI19, Mips_F_HI20, Mips_F_HI21, Mips_F_HI22, Mips_F_HI23, Mips_F_HI24, Mips_F_HI25, Mips_F_HI26, Mips_F_HI27, Mips_F_HI28, Mips_F_HI29, Mips_F_HI30, Mips_F_HI31, 
   };
 
   // FGRH32 Bit set.
   static uint8_t FGRH32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
   // GPR32 Register Class...
-  static uint16_t GPR32[] = {
+  static MCPhysReg GPR32[] = {
     Mips_ZERO, Mips_AT, Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_T0, Mips_T1, Mips_T2, Mips_T3, Mips_T4, Mips_T5, Mips_T6, Mips_T7, Mips_S0, Mips_S1, Mips_S2, Mips_S3, Mips_S4, Mips_S5, Mips_S6, Mips_S7, Mips_T8, Mips_T9, Mips_K0, Mips_K1, Mips_GP, Mips_SP, Mips_FP, Mips_RA, 
   };
 
   // GPR32 Bit set.
   static uint8_t GPR32Bits[] = {
-    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x0c, 0xfe, 0xfd, 0x3f, 
+    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
+  };
+
+  // HWRegs Register Class...
+  static MCPhysReg HWRegs[] = {
+    Mips_HWR0, Mips_HWR1, Mips_HWR2, Mips_HWR3, Mips_HWR4, Mips_HWR5, Mips_HWR6, Mips_HWR7, Mips_HWR8, Mips_HWR9, Mips_HWR10, Mips_HWR11, Mips_HWR12, Mips_HWR13, Mips_HWR14, Mips_HWR15, Mips_HWR16, Mips_HWR17, Mips_HWR18, Mips_HWR19, Mips_HWR20, Mips_HWR21, Mips_HWR22, Mips_HWR23, Mips_HWR24, Mips_HWR25, Mips_HWR26, Mips_HWR27, Mips_HWR28, Mips_HWR29, Mips_HWR30, Mips_HWR31, 
+  };
+
+  // HWRegs Bit set.
+  static uint8_t HWRegsBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
+  };
+
+  // OddSP_with_sub_hi Register Class...
+  static MCPhysReg OddSP_with_sub_hi[] = {
+    Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // OddSP_with_sub_hi Bit set.
+  static uint8_t OddSP_with_sub_hiBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+  };
+
+  // FGR32_and_OddSP Register Class...
+  static MCPhysReg FGR32_and_OddSP[] = {
+    Mips_F1, Mips_F3, Mips_F5, Mips_F7, Mips_F9, Mips_F11, Mips_F13, Mips_F15, Mips_F17, Mips_F19, Mips_F21, Mips_F23, Mips_F25, Mips_F27, Mips_F29, Mips_F31, 
+  };
+
+  // FGR32_and_OddSP Bit set.
+  static uint8_t FGR32_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
+  };
+
+  // FGRH32_and_OddSP Register Class...
+  static MCPhysReg FGRH32_and_OddSP[] = {
+    Mips_F_HI1, Mips_F_HI3, Mips_F_HI5, Mips_F_HI7, Mips_F_HI9, Mips_F_HI11, Mips_F_HI13, Mips_F_HI15, Mips_F_HI17, Mips_F_HI19, Mips_F_HI21, Mips_F_HI23, Mips_F_HI25, Mips_F_HI27, Mips_F_HI29, Mips_F_HI31, 
+  };
+
+  // FGRH32_and_OddSP Bit set.
+  static uint8_t FGRH32_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 
+  };
+
+  // OddSP_with_sub_hi_with_sub_hi_in_FGRH32 Register Class...
+  static MCPhysReg OddSP_with_sub_hi_with_sub_hi_in_FGRH32[] = {
+    Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // OddSP_with_sub_hi_with_sub_hi_in_FGRH32 Bit set.
+  static uint8_t OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // CPU16RegsPlusSP Register Class...
-  static uint16_t CPU16RegsPlusSP[] = {
+  static MCPhysReg CPU16RegsPlusSP[] = {
     Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_S0, Mips_S1, Mips_SP, 
   };
 
   // CPU16RegsPlusSP Bit set.
   static uint8_t CPU16RegsPlusSPBits[] = {
-    0x00, 0x00, 0xd0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x30, 
+    0x00, 0x00, 0xd0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
   };
 
   // CPU16Regs Register Class...
-  static uint16_t CPU16Regs[] = {
+  static MCPhysReg CPU16Regs[] = {
     Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_S0, Mips_S1, 
   };
 
   // CPU16Regs Bit set.
   static uint8_t CPU16RegsBits[] = {
-    0x00, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x30, 
+    0x00, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
   };
 
   // FCC Register Class...
-  static uint16_t FCC[] = {
+  static MCPhysReg FCC[] = {
     Mips_FCC0, Mips_FCC1, Mips_FCC2, Mips_FCC3, Mips_FCC4, Mips_FCC5, Mips_FCC6, Mips_FCC7, 
   };
 
   // FCC Bit set.
   static uint8_t FCCBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x07, 
   };
 
   // MSACtrl Register Class...
-  static uint16_t MSACtrl[] = {
+  static MCPhysReg MSACtrl[] = {
     Mips_MSAIR, Mips_MSACSR, Mips_MSAAccess, Mips_MSASave, Mips_MSAModify, Mips_MSARequest, Mips_MSAMap, Mips_MSAUnmap, 
   };
 
@@ -926,28 +1157,38 @@
     0x00, 0xfc, 0x03, 
   };
 
+  // OddSP_with_sub_hi_with_sub_hi_in_FGR32 Register Class...
+  static MCPhysReg OddSP_with_sub_hi_with_sub_hi_in_FGR32[] = {
+    Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, 
+  };
+
+  // OddSP_with_sub_hi_with_sub_hi_in_FGR32 Bit set.
+  static uint8_t OddSP_with_sub_hi_with_sub_hi_in_FGR32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
+  };
+
   // HI32DSP Register Class...
-  static uint16_t HI32DSP[] = {
+  static MCPhysReg HI32DSP[] = {
     Mips_HI0, Mips_HI1, Mips_HI2, Mips_HI3, 
   };
 
   // HI32DSP Bit set.
   static uint8_t HI32DSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x01, 
   };
 
   // LO32DSP Register Class...
-  static uint16_t LO32DSP[] = {
+  static MCPhysReg LO32DSP[] = {
     Mips_LO0, Mips_LO1, Mips_LO2, Mips_LO3, 
   };
 
   // LO32DSP Bit set.
   static uint8_t LO32DSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x78, 
   };
 
   // CPURAReg Register Class...
-  static uint16_t CPURAReg[] = {
+  static MCPhysReg CPURAReg[] = {
     Mips_RA, 
   };
 
@@ -957,7 +1198,7 @@
   };
 
   // CPUSPReg Register Class...
-  static uint16_t CPUSPReg[] = {
+  static MCPhysReg CPUSPReg[] = {
     Mips_SP, 
   };
 
@@ -967,7 +1208,7 @@
   };
 
   // DSPCC Register Class...
-  static uint16_t DSPCC[] = {
+  static MCPhysReg DSPCC[] = {
     Mips_DSPCCond, 
   };
 
@@ -977,87 +1218,97 @@
   };
 
   // HI32 Register Class...
-  static uint16_t HI32[] = {
+  static MCPhysReg HI32[] = {
     Mips_HI0, 
   };
 
   // HI32 Bit set.
   static uint8_t HI32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
-  };
-
-  // HWRegs Register Class...
-  static uint16_t HWRegs[] = {
-    Mips_HWR29, 
-  };
-
-  // HWRegs Bit set.
-  static uint8_t HWRegsBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // LO32 Register Class...
-  static uint16_t LO32[] = {
+  static MCPhysReg LO32[] = {
     Mips_LO0, 
   };
 
   // LO32 Bit set.
   static uint8_t LO32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x10, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 
   };
 
   // FGR64 Register Class...
-  static uint16_t FGR64[] = {
+  static MCPhysReg FGR64[] = {
     Mips_D0_64, Mips_D1_64, Mips_D2_64, Mips_D3_64, Mips_D4_64, Mips_D5_64, Mips_D6_64, Mips_D7_64, Mips_D8_64, Mips_D9_64, Mips_D10_64, Mips_D11_64, Mips_D12_64, Mips_D13_64, Mips_D14_64, Mips_D15_64, Mips_D16_64, Mips_D17_64, Mips_D18_64, Mips_D19_64, Mips_D20_64, Mips_D21_64, Mips_D22_64, Mips_D23_64, Mips_D24_64, Mips_D25_64, Mips_D26_64, Mips_D27_64, Mips_D28_64, Mips_D29_64, Mips_D30_64, Mips_D31_64, 
   };
 
   // FGR64 Bit set.
   static uint8_t FGR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
   };
 
   // GPR64 Register Class...
-  static uint16_t GPR64[] = {
+  static MCPhysReg GPR64[] = {
     Mips_ZERO_64, Mips_AT_64, Mips_V0_64, Mips_V1_64, Mips_A0_64, Mips_A1_64, Mips_A2_64, Mips_A3_64, Mips_T0_64, Mips_T1_64, Mips_T2_64, Mips_T3_64, Mips_T4_64, Mips_T5_64, Mips_T6_64, Mips_T7_64, Mips_S0_64, Mips_S1_64, Mips_S2_64, Mips_S3_64, Mips_S4_64, Mips_S5_64, Mips_S6_64, Mips_S7_64, Mips_T8_64, Mips_T9_64, Mips_K0_64, Mips_K1_64, Mips_GP_64, Mips_SP_64, Mips_FP_64, Mips_RA_64, 
   };
 
   // GPR64 Bit set.
   static uint8_t GPR64Bits[] = {
-    0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x10, 0x00, 0x01, 0x02, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x07, 0x00, 0x00, 0x00, 0xc0, 0xfe, 0xff, 0x1f, 
+    0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x00, 0x00, 0x00, 0x00, 0xd8, 0xff, 0xff, 0x03, 
   };
 
   // AFGR64 Register Class...
-  static uint16_t AFGR64[] = {
+  static MCPhysReg AFGR64[] = {
     Mips_D0, Mips_D1, Mips_D2, Mips_D3, Mips_D4, Mips_D5, Mips_D6, Mips_D7, Mips_D8, Mips_D9, Mips_D10, Mips_D11, Mips_D12, Mips_D13, Mips_D14, Mips_D15, 
   };
 
   // AFGR64 Bit set.
   static uint8_t AFGR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0x7f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0x7f, 
+  };
+
+  // FGR64_and_OddSP Register Class...
+  static MCPhysReg FGR64_and_OddSP[] = {
+    Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // FGR64_and_OddSP Bit set.
+  static uint8_t FGR64_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // GPR64_with_sub_32_in_CPU16RegsPlusSP Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPU16RegsPlusSP[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPU16RegsPlusSP[] = {
     Mips_V0_64, Mips_V1_64, Mips_A0_64, Mips_A1_64, Mips_A2_64, Mips_A3_64, Mips_S0_64, Mips_S1_64, Mips_SP_64, 
   };
 
   // GPR64_with_sub_32_in_CPU16RegsPlusSP Bit set.
   static uint8_t GPR64_with_sub_32_in_CPU16RegsPlusSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x80, 0x07, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x18, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
+  };
+
+  // AFGR64_and_OddSP Register Class...
+  static MCPhysReg AFGR64_and_OddSP[] = {
+    Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, 
+  };
+
+  // AFGR64_and_OddSP Bit set.
+  static uint8_t AFGR64_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
   };
 
   // GPR64_with_sub_32_in_CPU16Regs Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPU16Regs[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPU16Regs[] = {
     Mips_V0_64, Mips_V1_64, Mips_A0_64, Mips_A1_64, Mips_A2_64, Mips_A3_64, Mips_S0_64, Mips_S1_64, 
   };
 
   // GPR64_with_sub_32_in_CPU16Regs Bit set.
   static uint8_t GPR64_with_sub_32_in_CPU16RegsBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x07, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x18, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
   };
 
   // ACC64DSP Register Class...
-  static uint16_t ACC64DSP[] = {
+  static MCPhysReg ACC64DSP[] = {
     Mips_AC0, Mips_AC1, Mips_AC2, Mips_AC3, 
   };
 
@@ -1066,8 +1317,28 @@
     0x00, 0x00, 0x00, 0x3c, 
   };
 
+  // OCTEON_MPL Register Class...
+  static MCPhysReg OCTEON_MPL[] = {
+    Mips_MPL0, Mips_MPL1, Mips_MPL2, 
+  };
+
+  // OCTEON_MPL Bit set.
+  static uint8_t OCTEON_MPLBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x03, 
+  };
+
+  // OCTEON_P Register Class...
+  static MCPhysReg OCTEON_P[] = {
+    Mips_P0, Mips_P1, Mips_P2, 
+  };
+
+  // OCTEON_P Bit set.
+  static uint8_t OCTEON_PBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1c, 
+  };
+
   // ACC64 Register Class...
-  static uint16_t ACC64[] = {
+  static MCPhysReg ACC64[] = {
     Mips_AC0, 
   };
 
@@ -1077,120 +1348,142 @@
   };
 
   // GPR64_with_sub_32_in_CPURAReg Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPURAReg[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPURAReg[] = {
     Mips_RA_64, 
   };
 
   // GPR64_with_sub_32_in_CPURAReg Bit set.
   static uint8_t GPR64_with_sub_32_in_CPURARegBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // GPR64_with_sub_32_in_CPUSPReg Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPUSPReg[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPUSPReg[] = {
     Mips_SP_64, 
   };
 
   // GPR64_with_sub_32_in_CPUSPReg Bit set.
   static uint8_t GPR64_with_sub_32_in_CPUSPRegBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 
   };
 
   // HI64 Register Class...
-  static uint16_t HI64[] = {
+  static MCPhysReg HI64[] = {
     Mips_HI0_64, 
   };
 
   // HI64 Bit set.
   static uint8_t HI64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x04, 
   };
 
   // LO64 Register Class...
-  static uint16_t LO64[] = {
+  static MCPhysReg LO64[] = {
     Mips_LO0_64, 
   };
 
   // LO64 Bit set.
   static uint8_t LO64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // MSA128B Register Class...
-  static uint16_t MSA128B[] = {
+  static MCPhysReg MSA128B[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128B Bit set.
   static uint8_t MSA128BBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128D Register Class...
-  static uint16_t MSA128D[] = {
+  static MCPhysReg MSA128D[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128D Bit set.
   static uint8_t MSA128DBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128H Register Class...
-  static uint16_t MSA128H[] = {
+  static MCPhysReg MSA128H[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128H Bit set.
   static uint8_t MSA128HBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128W Register Class...
-  static uint16_t MSA128W[] = {
+  static MCPhysReg MSA128W[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128W Bit set.
   static uint8_t MSA128WBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+  };
+
+  // MSA128B_with_sub_64_in_OddSP Register Class...
+  static MCPhysReg MSA128B_with_sub_64_in_OddSP[] = {
+    Mips_W1, Mips_W3, Mips_W5, Mips_W7, Mips_W9, Mips_W11, Mips_W13, Mips_W15, Mips_W17, Mips_W19, Mips_W21, Mips_W23, Mips_W25, Mips_W27, Mips_W29, Mips_W31, 
+  };
+
+  // MSA128B_with_sub_64_in_OddSP Bit set.
+  static uint8_t MSA128B_with_sub_64_in_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
   };
 
   // ACC128 Register Class...
-  static uint16_t ACC128[] = {
+  static MCPhysReg ACC128[] = {
     Mips_AC0_64, 
   };
 
   // ACC128 Bit set.
   static uint8_t ACC128Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
   };
 
 static MCRegisterClass MipsMCRegisterClasses[] = {
+  { "OddSP", OddSP, OddSPBits, 56, sizeof(OddSPBits), Mips_OddSPRegClassID, 4, 4, 1, 0 },
   { "CCR", CCR, CCRBits, 32, sizeof(CCRBits), Mips_CCRRegClassID, 4, 4, 1, 0 },
   { "COP2", COP2, COP2Bits, 32, sizeof(COP2Bits), Mips_COP2RegClassID, 4, 4, 1, 0 },
+  { "COP3", COP3, COP3Bits, 32, sizeof(COP3Bits), Mips_COP3RegClassID, 4, 4, 1, 0 },
   { "DSPR", DSPR, DSPRBits, 32, sizeof(DSPRBits), Mips_DSPRRegClassID, 4, 4, 1, 1 },
   { "FGR32", FGR32, FGR32Bits, 32, sizeof(FGR32Bits), Mips_FGR32RegClassID, 4, 4, 1, 1 },
+  { "FGRCC", FGRCC, FGRCCBits, 32, sizeof(FGRCCBits), Mips_FGRCCRegClassID, 4, 4, 1, 1 },
   { "FGRH32", FGRH32, FGRH32Bits, 32, sizeof(FGRH32Bits), Mips_FGRH32RegClassID, 4, 4, 1, 0 },
   { "GPR32", GPR32, GPR32Bits, 32, sizeof(GPR32Bits), Mips_GPR32RegClassID, 4, 4, 1, 1 },
+  { "HWRegs", HWRegs, HWRegsBits, 32, sizeof(HWRegsBits), Mips_HWRegsRegClassID, 4, 4, 1, 0 },
+  { "OddSP_with_sub_hi", OddSP_with_sub_hi, OddSP_with_sub_hiBits, 24, sizeof(OddSP_with_sub_hiBits), Mips_OddSP_with_sub_hiRegClassID, 4, 4, 1, 0 },
+  { "FGR32_and_OddSP", FGR32_and_OddSP, FGR32_and_OddSPBits, 16, sizeof(FGR32_and_OddSPBits), Mips_FGR32_and_OddSPRegClassID, 4, 4, 1, 1 },
+  { "FGRH32_and_OddSP", FGRH32_and_OddSP, FGRH32_and_OddSPBits, 16, sizeof(FGRH32_and_OddSPBits), Mips_FGRH32_and_OddSPRegClassID, 4, 4, 1, 0 },
+  { "OddSP_with_sub_hi_with_sub_hi_in_FGRH32", OddSP_with_sub_hi_with_sub_hi_in_FGRH32, OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits, 16, sizeof(OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits), Mips_OddSP_with_sub_hi_with_sub_hi_in_FGRH32RegClassID, 4, 4, 1, 0 },
   { "CPU16RegsPlusSP", CPU16RegsPlusSP, CPU16RegsPlusSPBits, 9, sizeof(CPU16RegsPlusSPBits), Mips_CPU16RegsPlusSPRegClassID, 4, 4, 1, 1 },
   { "CPU16Regs", CPU16Regs, CPU16RegsBits, 8, sizeof(CPU16RegsBits), Mips_CPU16RegsRegClassID, 4, 4, 1, 1 },
   { "FCC", FCC, FCCBits, 8, sizeof(FCCBits), Mips_FCCRegClassID, 4, 4, 1, 0 },
   { "MSACtrl", MSACtrl, MSACtrlBits, 8, sizeof(MSACtrlBits), Mips_MSACtrlRegClassID, 4, 4, 1, 1 },
+  { "OddSP_with_sub_hi_with_sub_hi_in_FGR32", OddSP_with_sub_hi_with_sub_hi_in_FGR32, OddSP_with_sub_hi_with_sub_hi_in_FGR32Bits, 8, sizeof(OddSP_with_sub_hi_with_sub_hi_in_FGR32Bits), Mips_OddSP_with_sub_hi_with_sub_hi_in_FGR32RegClassID, 4, 4, 1, 0 },
   { "HI32DSP", HI32DSP, HI32DSPBits, 4, sizeof(HI32DSPBits), Mips_HI32DSPRegClassID, 4, 4, 1, 1 },
   { "LO32DSP", LO32DSP, LO32DSPBits, 4, sizeof(LO32DSPBits), Mips_LO32DSPRegClassID, 4, 4, 1, 1 },
   { "CPURAReg", CPURAReg, CPURARegBits, 1, sizeof(CPURARegBits), Mips_CPURARegRegClassID, 4, 4, 1, 0 },
   { "CPUSPReg", CPUSPReg, CPUSPRegBits, 1, sizeof(CPUSPRegBits), Mips_CPUSPRegRegClassID, 4, 4, 1, 0 },
   { "DSPCC", DSPCC, DSPCCBits, 1, sizeof(DSPCCBits), Mips_DSPCCRegClassID, 4, 4, 1, 1 },
   { "HI32", HI32, HI32Bits, 1, sizeof(HI32Bits), Mips_HI32RegClassID, 4, 4, 1, 1 },
-  { "HWRegs", HWRegs, HWRegsBits, 1, sizeof(HWRegsBits), Mips_HWRegsRegClassID, 4, 4, 1, 0 },
   { "LO32", LO32, LO32Bits, 1, sizeof(LO32Bits), Mips_LO32RegClassID, 4, 4, 1, 1 },
   { "FGR64", FGR64, FGR64Bits, 32, sizeof(FGR64Bits), Mips_FGR64RegClassID, 8, 8, 1, 1 },
   { "GPR64", GPR64, GPR64Bits, 32, sizeof(GPR64Bits), Mips_GPR64RegClassID, 8, 8, 1, 1 },
   { "AFGR64", AFGR64, AFGR64Bits, 16, sizeof(AFGR64Bits), Mips_AFGR64RegClassID, 8, 8, 1, 1 },
+  { "FGR64_and_OddSP", FGR64_and_OddSP, FGR64_and_OddSPBits, 16, sizeof(FGR64_and_OddSPBits), Mips_FGR64_and_OddSPRegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPU16RegsPlusSP", GPR64_with_sub_32_in_CPU16RegsPlusSP, GPR64_with_sub_32_in_CPU16RegsPlusSPBits, 9, sizeof(GPR64_with_sub_32_in_CPU16RegsPlusSPBits), Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID, 8, 8, 1, 1 },
+  { "AFGR64_and_OddSP", AFGR64_and_OddSP, AFGR64_and_OddSPBits, 8, sizeof(AFGR64_and_OddSPBits), Mips_AFGR64_and_OddSPRegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPU16Regs", GPR64_with_sub_32_in_CPU16Regs, GPR64_with_sub_32_in_CPU16RegsBits, 8, sizeof(GPR64_with_sub_32_in_CPU16RegsBits), Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID, 8, 8, 1, 1 },
   { "ACC64DSP", ACC64DSP, ACC64DSPBits, 4, sizeof(ACC64DSPBits), Mips_ACC64DSPRegClassID, 8, 8, 1, 1 },
+  { "OCTEON_MPL", OCTEON_MPL, OCTEON_MPLBits, 3, sizeof(OCTEON_MPLBits), Mips_OCTEON_MPLRegClassID, 8, 8, 1, 0 },
+  { "OCTEON_P", OCTEON_P, OCTEON_PBits, 3, sizeof(OCTEON_PBits), Mips_OCTEON_PRegClassID, 8, 8, 1, 0 },
   { "ACC64", ACC64, ACC64Bits, 1, sizeof(ACC64Bits), Mips_ACC64RegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPURAReg", GPR64_with_sub_32_in_CPURAReg, GPR64_with_sub_32_in_CPURARegBits, 1, sizeof(GPR64_with_sub_32_in_CPURARegBits), Mips_GPR64_with_sub_32_in_CPURARegRegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPUSPReg", GPR64_with_sub_32_in_CPUSPReg, GPR64_with_sub_32_in_CPUSPRegBits, 1, sizeof(GPR64_with_sub_32_in_CPUSPRegBits), Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID, 8, 8, 1, 1 },
@@ -1200,6 +1493,7 @@
   { "MSA128D", MSA128D, MSA128DBits, 32, sizeof(MSA128DBits), Mips_MSA128DRegClassID, 16, 16, 1, 1 },
   { "MSA128H", MSA128H, MSA128HBits, 32, sizeof(MSA128HBits), Mips_MSA128HRegClassID, 16, 16, 1, 1 },
   { "MSA128W", MSA128W, MSA128WBits, 32, sizeof(MSA128WBits), Mips_MSA128WRegClassID, 16, 16, 1, 1 },
+  { "MSA128B_with_sub_64_in_OddSP", MSA128B_with_sub_64_in_OddSP, MSA128B_with_sub_64_in_OddSPBits, 16, sizeof(MSA128B_with_sub_64_in_OddSPBits), Mips_MSA128B_with_sub_64_in_OddSPRegClassID, 16, 16, 1, 1 },
   { "ACC128", ACC128, ACC128Bits, 1, sizeof(ACC128Bits), Mips_ACC128RegClassID, 16, 16, 1, 1 },
 };
 
diff --git a/arch/Mips/MipsGenSubtargetInfo.inc b/arch/Mips/MipsGenSubtargetInfo.inc
index 0dbb0a5..0cba4db 100644
--- a/arch/Mips/MipsGenSubtargetInfo.inc
+++ b/arch/Mips/MipsGenSubtargetInfo.inc
@@ -7,36 +7,46 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_SUBTARGETINFO_ENUM
 #undef GET_SUBTARGETINFO_ENUM
 
-enum {
-  Mips_FeatureBitCount =  1ULL << 0,
-  Mips_FeatureCondMov =  1ULL << 1,
-  Mips_FeatureDSP =  1ULL << 2,
-  Mips_FeatureDSPR2 =  1ULL << 3,
-  Mips_FeatureEABI =  1ULL << 4,
-  Mips_FeatureFP64Bit =  1ULL << 5,
-  Mips_FeatureFPIdx =  1ULL << 6,
-  Mips_FeatureGP64Bit =  1ULL << 7,
-  Mips_FeatureMSA =  1ULL << 8,
-  Mips_FeatureMicroMips =  1ULL << 9,
-  Mips_FeatureMips16 =  1ULL << 10,
-  Mips_FeatureMips32 =  1ULL << 11,
-  Mips_FeatureMips32r2 =  1ULL << 12,
-  Mips_FeatureMips64 =  1ULL << 13,
-  Mips_FeatureMips64r2 =  1ULL << 14,
-  Mips_FeatureN32 =  1ULL << 15,
-  Mips_FeatureN64 =  1ULL << 16,
-  Mips_FeatureO32 =  1ULL << 17,
-  Mips_FeatureSEInReg =  1ULL << 18,
-  Mips_FeatureSingleFloat =  1ULL << 19,
-  Mips_FeatureSwap =  1ULL << 20,
-  Mips_FeatureVFPU =  1ULL << 21
-};
+#define Mips_FeatureCnMips (1ULL << 0)
+#define Mips_FeatureDSP (1ULL << 1)
+#define Mips_FeatureDSPR2 (1ULL << 2)
+#define Mips_FeatureEABI (1ULL << 3)
+#define Mips_FeatureFP64Bit (1ULL << 4)
+#define Mips_FeatureFPXX (1ULL << 5)
+#define Mips_FeatureGP64Bit (1ULL << 6)
+#define Mips_FeatureMSA (1ULL << 7)
+#define Mips_FeatureMicroMips (1ULL << 8)
+#define Mips_FeatureMips1 (1ULL << 9)
+#define Mips_FeatureMips2 (1ULL << 10)
+#define Mips_FeatureMips3 (1ULL << 11)
+#define Mips_FeatureMips3_32 (1ULL << 12)
+#define Mips_FeatureMips3_32r2 (1ULL << 13)
+#define Mips_FeatureMips4 (1ULL << 14)
+#define Mips_FeatureMips4_32 (1ULL << 15)
+#define Mips_FeatureMips4_32r2 (1ULL << 16)
+#define Mips_FeatureMips5 (1ULL << 17)
+#define Mips_FeatureMips5_32r2 (1ULL << 18)
+#define Mips_FeatureMips16 (1ULL << 19)
+#define Mips_FeatureMips32 (1ULL << 20)
+#define Mips_FeatureMips32r2 (1ULL << 21)
+#define Mips_FeatureMips32r6 (1ULL << 22)
+#define Mips_FeatureMips64 (1ULL << 23)
+#define Mips_FeatureMips64r2 (1ULL << 24)
+#define Mips_FeatureMips64r6 (1ULL << 25)
+#define Mips_FeatureN32 (1ULL << 26)
+#define Mips_FeatureN64 (1ULL << 27)
+#define Mips_FeatureNaN2008 (1ULL << 28)
+#define Mips_FeatureNoABICalls (1ULL << 29)
+#define Mips_FeatureNoOddSPReg (1ULL << 30)
+#define Mips_FeatureO32 (1ULL << 31)
+#define Mips_FeatureSingleFloat (1ULL << 32)
+#define Mips_FeatureVFPU (1ULL << 33)
 
 #endif // GET_SUBTARGETINFO_ENUM
 
diff --git a/arch/Mips/MipsMapping.c b/arch/Mips/MipsMapping.c
index b0b8648..ebdfb58 100644
--- a/arch/Mips/MipsMapping.c
+++ b/arch/Mips/MipsMapping.c
@@ -226,6 +226,12 @@
 #endif
 	},
 	{
+		Mips_ADDIUPC, MIPS_INS_ADDIUPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_ADDQH_PH, MIPS_INS_ADDQH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -468,7 +474,7 @@
 	{
 		Mips_ADDi, MIPS_INS_ADDI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -502,6 +508,18 @@
 #endif
 	},
 	{
+		Mips_ALIGN, MIPS_INS_ALIGN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_ALUIPC, MIPS_INS_ALUIPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AND, MIPS_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
@@ -604,6 +622,18 @@
 #endif
 	},
 	{
+		Mips_AUI, MIPS_INS_AUI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_AUIPC, MIPS_INS_AUIPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AVER_S_B, MIPS_INS_AVER_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -712,6 +742,12 @@
 #endif
 	},
 	{
+		Mips_AddiuRxRxImm16, MIPS_INS_ADDIU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AddiuRxRxImmX16, MIPS_INS_ADDIU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -724,6 +760,12 @@
 #endif
 	},
 	{
+		Mips_AddiuSpImm16, MIPS_INS_ADDIU,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_SP, 0 }, { MIPS_REG_SP, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AddiuSpImmX16, MIPS_INS_ADDIU,
 #ifndef CAPSTONE_DIET
 		{ MIPS_REG_SP, 0 }, { MIPS_REG_SP, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -742,15 +784,45 @@
 #endif
 	},
 	{
+		Mips_BADDu, MIPS_INS_BADDU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_BAL, MIPS_INS_BAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BALC, MIPS_INS_BALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BALIGN, MIPS_INS_BALIGN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_BC, MIPS_INS_BC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC1EQZ, MIPS_INS_BC1EQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC1F, MIPS_INS_BC1F,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -760,9 +832,15 @@
 #endif
 	},
 	{
+		Mips_BC1NEZ, MIPS_INS_BC1NEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC1T, MIPS_INS_BC1T,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -772,6 +850,18 @@
 #endif
 	},
 	{
+		Mips_BC2EQZ, MIPS_INS_BC2EQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC2NEZ, MIPS_INS_BC2NEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BCLRI_B, MIPS_INS_BCLRI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -832,12 +922,42 @@
 #endif
 	},
 	{
+		Mips_BEQC, MIPS_INS_BEQC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BEQZALC, MIPS_INS_BEQZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BEQZC, MIPS_INS_BEQZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BEQ_MM, MIPS_INS_BEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BGEC, MIPS_INS_BGEC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BGEUC, MIPS_INS_BGEUC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGEZ, MIPS_INS_BGEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
@@ -852,7 +972,13 @@
 	{
 		Mips_BGEZAL, MIPS_INS_BGEZAL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_BGEZALC, MIPS_INS_BGEZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -862,6 +988,12 @@
 #endif
 	},
 	{
+		Mips_BGEZC, MIPS_INS_BGEZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGEZ_MM, MIPS_INS_BGEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -880,6 +1012,18 @@
 #endif
 	},
 	{
+		Mips_BGTZALC, MIPS_INS_BGTZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BGTZC, MIPS_INS_BGTZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGTZ_MM, MIPS_INS_BGTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -988,6 +1132,12 @@
 #endif
 	},
 	{
+		Mips_BITSWAP, MIPS_INS_BITSWAP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_BLEZ, MIPS_INS_BLEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
@@ -1000,12 +1150,36 @@
 #endif
 	},
 	{
+		Mips_BLEZALC, MIPS_INS_BLEZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BLEZC, MIPS_INS_BLEZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLEZ_MM, MIPS_INS_BLEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BLTC, MIPS_INS_BLTC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BLTUC, MIPS_INS_BLTUC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLTZ, MIPS_INS_BLTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
@@ -1020,7 +1194,13 @@
 	{
 		Mips_BLTZAL, MIPS_INS_BLTZAL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_BLTZALC, MIPS_INS_BLTZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -1030,6 +1210,12 @@
 #endif
 	},
 	{
+		Mips_BLTZC, MIPS_INS_BLTZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLTZ_MM, MIPS_INS_BLTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -1072,6 +1258,12 @@
 #endif
 	},
 	{
+		Mips_BNEC, MIPS_INS_BNEC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNEGI_B, MIPS_INS_BNEGI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1120,12 +1312,30 @@
 #endif
 	},
 	{
+		Mips_BNEZALC, MIPS_INS_BNEZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BNEZC, MIPS_INS_BNEZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNE_MM, MIPS_INS_BNE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BNVC, MIPS_INS_BNVC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNZ_B, MIPS_INS_BNZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MSA, 0 }, 1, 0
@@ -1156,6 +1366,12 @@
 #endif
 	},
 	{
+		Mips_BOVC, MIPS_INS_BOVC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BPOSGE32, MIPS_INS_BPOSGE32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSP, 0 }, 1, 0
@@ -1264,18 +1480,36 @@
 #endif
 	},
 	{
+		Mips_BeqzRxImm16, MIPS_INS_BEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BeqzRxImmX16, MIPS_INS_BEQZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_Bimm16, MIPS_INS_B,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BimmX16, MIPS_INS_B,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BnezRxImm16, MIPS_INS_BNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BnezRxImmX16, MIPS_INS_BNEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
@@ -1288,39 +1522,63 @@
 #endif
 	},
 	{
+		Mips_Bteqz16, MIPS_INS_BTEQZ,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BteqzX16, MIPS_INS_BTEQZ,
 #ifndef CAPSTONE_DIET
 		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_Btnez16, MIPS_INS_BTNEZ,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BtnezX16, MIPS_INS_BTNEZ,
 #ifndef CAPSTONE_DIET
 		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_CACHE, MIPS_INS_CACHE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CACHE_R6, MIPS_INS_CACHE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CEIL_L_D64, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CEIL_L_S, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CEIL_W_D32, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CEIL_W_D64, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1332,7 +1590,7 @@
 	{
 		Mips_CEIL_W_S, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1408,6 +1666,30 @@
 #endif
 	},
 	{
+		Mips_CINS, MIPS_INS_CINS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CINS32, MIPS_INS_CINS32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CLASS_D, MIPS_INS_CLASS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CLASS_S, MIPS_INS_CLASS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CLEI_S_B, MIPS_INS_CLEI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1506,7 +1788,7 @@
 	{
 		Mips_CLO, MIPS_INS_CLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1516,6 +1798,12 @@
 #endif
 	},
 	{
+		Mips_CLO_R6, MIPS_INS_CLO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CLTI_S_B, MIPS_INS_CLTI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1614,7 +1902,7 @@
 	{
 		Mips_CLZ, MIPS_INS_CLZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1624,6 +1912,12 @@
 #endif
 	},
 	{
+		Mips_CLZ_R6, MIPS_INS_CLZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMPGDU_EQ_QB, MIPS_INS_CMPGDU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -1678,24 +1972,216 @@
 #endif
 	},
 	{
+		Mips_CMP_EQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMP_EQ_PH, MIPS_INS_CMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_CMP_EQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_F_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_F_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_LE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMP_LE_PH, MIPS_INS_CMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_CMP_LE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_LT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMP_LT_PH, MIPS_INS_CMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_CMP_LT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SAF_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SAF_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SEQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SEQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUEQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUEQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUN_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUN_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UEQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UEQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UN_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UN_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_COPY_S_B, MIPS_INS_COPY_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1764,13 +2250,13 @@
 	{
 		Mips_CVT_D32_S, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_D32_W, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1782,19 +2268,19 @@
 	{
 		Mips_CVT_D64_L, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_D64_S, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_D64_W, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1806,7 +2292,7 @@
 	{
 		Mips_CVT_L_D64, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1818,7 +2304,7 @@
 	{
 		Mips_CVT_L_S, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1830,7 +2316,7 @@
 	{
 		Mips_CVT_S_D32, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1842,13 +2328,13 @@
 	{
 		Mips_CVT_S_D64, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_S_L, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1866,13 +2352,13 @@
 	{
 		Mips_CVT_W_D32, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_W_D64, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1896,289 +2382,289 @@
 	{
 		Mips_C_EQ_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_EQ_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_EQ_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_F_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_F_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_F_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGLE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGLE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGLE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGL_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGL_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGL_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SEQ_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SEQ_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SEQ_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SF_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SF_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SF_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UEQ_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UEQ_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UEQ_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UN_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UN_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UN_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2188,6 +2674,12 @@
 #endif
 	},
 	{
+		Mips_CmpiRxImm16, MIPS_INS_CMPI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CmpiRxImmX16, MIPS_INS_CMPI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -2196,43 +2688,97 @@
 	{
 		Mips_DADD, MIPS_INS_DADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DADDi, MIPS_INS_DADDI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DADDiu, MIPS_INS_DADDIU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DADDu, MIPS_INS_DADDU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DAHI, MIPS_INS_DAHI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DALIGN, MIPS_INS_DALIGN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DATI, MIPS_INS_DATI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DAUI, MIPS_INS_DAUI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DBITSWAP, MIPS_INS_DBITSWAP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DCLO, MIPS_INS_DCLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DCLO_R6, MIPS_INS_DCLO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DCLZ, MIPS_INS_DCLZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DCLZ_R6, MIPS_INS_DCLZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DDIV, MIPS_INS_DDIV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DDIVU, MIPS_INS_DDIVU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DERET, MIPS_INS_DERET,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2244,43 +2790,55 @@
 	{
 		Mips_DEXT, MIPS_INS_DEXT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DEXTM, MIPS_INS_DEXTM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DEXTU, MIPS_INS_DEXTU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DI, MIPS_INS_DI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DINS, MIPS_INS_DINS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DINSM, MIPS_INS_DINSM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DINSU, MIPS_INS_DINSU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DIV, MIPS_INS_DIV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DIVU, MIPS_INS_DIVU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2344,6 +2902,12 @@
 #endif
 	},
 	{
+		Mips_DLSA_R6, MIPS_INS_DLSA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DMFC0, MIPS_INS_DMFC0,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, 0 }, 0, 0
@@ -2352,7 +2916,7 @@
 	{
 		Mips_DMFC1, MIPS_INS_DMFC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2362,6 +2926,18 @@
 #endif
 	},
 	{
+		Mips_DMOD, MIPS_INS_DMOD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMODU, MIPS_INS_DMODU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DMTC0, MIPS_INS_DMTC0,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, 0 }, 0, 0
@@ -2370,7 +2946,7 @@
 	{
 		Mips_DMTC1, MIPS_INS_DMTC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2380,15 +2956,45 @@
 #endif
 	},
 	{
+		Mips_DMUH, MIPS_INS_DMUH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMUHU, MIPS_INS_DMUHU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMUL, MIPS_INS_DMUL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DMULT, MIPS_INS_DMULT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DMULTu, MIPS_INS_DMULTU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMULU, MIPS_INS_DMULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMUL_R6, MIPS_INS_DMUL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2512,6 +3118,12 @@
 #endif
 	},
 	{
+		Mips_DPOP, MIPS_INS_DPOP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DPSQX_SA_W_PH, MIPS_INS_DPSQX_SA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPOUTFLAG16_19, 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -2598,49 +3210,49 @@
 	{
 		Mips_DROTR, MIPS_INS_DROTR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DROTR32, MIPS_INS_DROTR32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DROTRV, MIPS_INS_DROTRV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSBH, MIPS_INS_DSBH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SWAP, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSDIV, MIPS_INS_DDIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSHD, MIPS_INS_DSHD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SWAP, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSLL, MIPS_INS_DSLL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSLL32, MIPS_INS_DSLL32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2652,55 +3264,61 @@
 	{
 		Mips_DSLLV, MIPS_INS_DSLLV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRA, MIPS_INS_DSRA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRA32, MIPS_INS_DSRA32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRAV, MIPS_INS_DSRAV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRL, MIPS_INS_DSRL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRL32, MIPS_INS_DSRL32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRLV, MIPS_INS_DSRLV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DSUB, MIPS_INS_DSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSUBu, MIPS_INS_DSUBU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DUDIV, MIPS_INS_DDIVU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2716,12 +3334,18 @@
 #endif
 	},
 	{
-		Mips_EI, MIPS_INS_EI,
+		Mips_EHB, MIPS_INS_EHB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_EI, MIPS_INS_EI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_EI_MM, MIPS_INS_EI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -2730,7 +3354,7 @@
 	{
 		Mips_ERET, MIPS_INS_ERET,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2742,7 +3366,7 @@
 	{
 		Mips_EXT, MIPS_INS_EXT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2818,6 +3442,18 @@
 #endif
 	},
 	{
+		Mips_EXTS, MIPS_INS_EXTS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_EXTS32, MIPS_INS_EXTS32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_EXT_MM, MIPS_INS_EXT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -2826,13 +3462,13 @@
 	{
 		Mips_FABS_D32, MIPS_INS_ABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FABS_D64, MIPS_INS_ABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2844,7 +3480,7 @@
 	{
 		Mips_FABS_S, MIPS_INS_ABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2862,13 +3498,13 @@
 	{
 		Mips_FADD_D32, MIPS_INS_ADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FADD_D64, MIPS_INS_ADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2958,7 +3594,7 @@
 	{
 		Mips_FCMP_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2970,13 +3606,13 @@
 	{
 		Mips_FCMP_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FCMP_S32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3078,13 +3714,13 @@
 	{
 		Mips_FDIV_D32, MIPS_INS_DIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FDIV_D64, MIPS_INS_DIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3246,25 +3882,25 @@
 	{
 		Mips_FLOOR_L_D64, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FLOOR_L_S, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FLOOR_W_D32, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FLOOR_W_D64, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3276,7 +3912,7 @@
 	{
 		Mips_FLOOR_W_S, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3348,7 +3984,7 @@
 	{
 		Mips_FMOV_D32, MIPS_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3360,7 +3996,7 @@
 	{
 		Mips_FMOV_D64, MIPS_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3396,13 +4032,13 @@
 	{
 		Mips_FMUL_D32, MIPS_INS_MUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FMUL_D64, MIPS_INS_MUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3432,13 +4068,13 @@
 	{
 		Mips_FNEG_D32, MIPS_INS_NEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FNEG_D64, MIPS_INS_NEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3450,7 +4086,7 @@
 	{
 		Mips_FNEG_S, MIPS_INS_NEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3576,13 +4212,13 @@
 	{
 		Mips_FSQRT_D32, MIPS_INS_SQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FSQRT_D64, MIPS_INS_SQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3594,7 +4230,7 @@
 	{
 		Mips_FSQRT_S, MIPS_INS_SQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3618,13 +4254,13 @@
 	{
 		Mips_FSUB_D32, MIPS_INS_SUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FSUB_D64, MIPS_INS_SUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3942,7 +4578,7 @@
 	{
 		Mips_INS, MIPS_INS_INS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4020,7 +4656,13 @@
 	{
 		Mips_JALR, MIPS_INS_JALR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_JALR16_MM, MIPS_INS_JALR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4030,18 +4672,42 @@
 #endif
 	},
 	{
+		Mips_JALR_HB, MIPS_INS_JALR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 1
+#endif
+	},
+	{
 		Mips_JALR_MM, MIPS_INS_JALR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_JALX, MIPS_INS_JALX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_JAL_MM, MIPS_INS_JAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_JIALC, MIPS_INS_JIALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_JIC, MIPS_INS_JIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_JR, MIPS_INS_JR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 1, 1
@@ -4054,6 +4720,18 @@
 #endif
 	},
 	{
+		Mips_JR_HB, MIPS_INS_JR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 1
+#endif
+	},
+	{
+		Mips_JR_HB_R6, MIPS_INS_JR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 1
+#endif
+	},
+	{
 		Mips_JR_MM, MIPS_INS_JR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 1
@@ -4140,19 +4818,19 @@
 	{
 		Mips_LD, MIPS_INS_LD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDC1, MIPS_INS_LDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDC164, MIPS_INS_LDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4164,7 +4842,19 @@
 	{
 		Mips_LDC2, MIPS_INS_LDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LDC2_R6, MIPS_INS_LDC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LDC3, MIPS_INS_LDC3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4194,25 +4884,31 @@
 	{
 		Mips_LDL, MIPS_INS_LDL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LDPC, MIPS_INS_LDPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDR, MIPS_INS_LDR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDXC1, MIPS_INS_LDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDXC164, MIPS_INS_LDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4302,13 +4998,19 @@
 	{
 		Mips_LL, MIPS_INS_LL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LLD, MIPS_INS_LLD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LLD_R6, MIPS_INS_LLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4318,21 +5020,33 @@
 #endif
 	},
 	{
+		Mips_LL_R6, MIPS_INS_LL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LSA, MIPS_INS_LSA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_LSA_R6, MIPS_INS_LSA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LUXC1, MIPS_INS_LUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LUXC164, MIPS_INS_LUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4386,13 +5100,25 @@
 	{
 		Mips_LWC2, MIPS_INS_LWC2,
 #ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LWC2_R6, MIPS_INS_LWC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LWC3, MIPS_INS_LWC3,
+#ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LWL, MIPS_INS_LWL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4408,9 +5134,15 @@
 #endif
 	},
 	{
+		Mips_LWPC, MIPS_INS_LWPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LWR, MIPS_INS_LWR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4426,6 +5158,12 @@
 #endif
 	},
 	{
+		Mips_LWUPC, MIPS_INS_LWUPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LWU_MM, MIPS_INS_LWU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -4440,7 +5178,7 @@
 	{
 		Mips_LWXC1, MIPS_INS_LWXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4458,7 +5196,7 @@
 	{
 		Mips_LWu, MIPS_INS_LWU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4486,12 +5224,24 @@
 #endif
 	},
 	{
+		Mips_LiRxImm16, MIPS_INS_LI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LiRxImmX16, MIPS_INS_LI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_LwRxPcTcp16, MIPS_INS_LW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LwRxPcTcpX16, MIPS_INS_LW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -4512,7 +5262,19 @@
 	{
 		Mips_MADD, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MADDF_D, MIPS_INS_MADDF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MADDF_S, MIPS_INS_MADDF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4530,7 +5292,7 @@
 	{
 		Mips_MADDU, MIPS_INS_MADDU,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4572,7 +5334,7 @@
 	{
 		Mips_MADD_D32, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4584,7 +5346,7 @@
 	{
 		Mips_MADD_D64, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4614,7 +5376,7 @@
 	{
 		Mips_MADD_S, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4648,6 +5410,18 @@
 #endif
 	},
 	{
+		Mips_MAXA_D, MIPS_INS_MAXA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MAXA_S, MIPS_INS_MAXA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MAXI_S_B, MIPS_INS_MAXI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4720,6 +5494,18 @@
 #endif
 	},
 	{
+		Mips_MAX_D, MIPS_INS_MAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MAX_S, MIPS_INS_MAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MAX_S_B, MIPS_INS_MAX_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4770,7 +5556,7 @@
 	{
 		Mips_MFC0, MIPS_INS_MFC0,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4792,9 +5578,15 @@
 #endif
 	},
 	{
-		Mips_MFHC1, MIPS_INS_MFHC1,
+		Mips_MFHC1_D32, MIPS_INS_MFHC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MFHC1_D64, MIPS_INS_MFHC1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4806,13 +5598,19 @@
 	{
 		Mips_MFHI, MIPS_INS_MFHI,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MFHI16_MM, MIPS_INS_MFHI,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MFHI64, MIPS_INS_MFHI,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4830,13 +5628,19 @@
 	{
 		Mips_MFLO, MIPS_INS_MFLO,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MFLO16_MM, MIPS_INS_MFLO,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MFLO64, MIPS_INS_MFLO,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4852,6 +5656,18 @@
 #endif
 	},
 	{
+		Mips_MINA_D, MIPS_INS_MINA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MINA_S, MIPS_INS_MINA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MINI_S_B, MIPS_INS_MINI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4924,6 +5740,18 @@
 #endif
 	},
 	{
+		Mips_MIN_D, MIPS_INS_MIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MIN_S, MIPS_INS_MIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MIN_S_B, MIPS_INS_MIN_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4972,12 +5800,24 @@
 #endif
 	},
 	{
+		Mips_MOD, MIPS_INS_MOD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MODSUB, MIPS_INS_MODSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_MODU, MIPS_INS_MODU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MOD_S_B, MIPS_INS_MOD_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5026,6 +5866,12 @@
 #endif
 	},
 	{
+		Mips_MOVE16_MM, MIPS_INS_MOVE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MOVE_V, MIPS_INS_MOVE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5034,7 +5880,7 @@
 	{
 		Mips_MOVF_D32, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5046,19 +5892,19 @@
 	{
 		Mips_MOVF_D64, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVF_I, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVF_I64, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_GP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5070,7 +5916,7 @@
 	{
 		Mips_MOVF_S, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5082,31 +5928,31 @@
 	{
 		Mips_MOVN_I64_D64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I64_I, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I64_I64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I64_S, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_GP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I_D32, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5118,19 +5964,19 @@
 	{
 		Mips_MOVN_I_D64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I_I, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I_I64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5142,7 +5988,7 @@
 	{
 		Mips_MOVN_I_S, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5154,7 +6000,7 @@
 	{
 		Mips_MOVT_D32, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5166,19 +6012,19 @@
 	{
 		Mips_MOVT_D64, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVT_I, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVT_I64, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_GP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5190,7 +6036,7 @@
 	{
 		Mips_MOVT_S, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5202,31 +6048,31 @@
 	{
 		Mips_MOVZ_I64_D64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I64_I, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I64_I64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I64_S, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_MIPS64, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I_D32, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5238,19 +6084,19 @@
 	{
 		Mips_MOVZ_I_D64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I_I, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I_I64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5262,7 +6108,7 @@
 	{
 		Mips_MOVZ_I_S, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5274,7 +6120,19 @@
 	{
 		Mips_MSUB, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MSUBF_D, MIPS_INS_MSUBF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MSUBF_S, MIPS_INS_MSUBF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5292,7 +6150,7 @@
 	{
 		Mips_MSUBU, MIPS_INS_MSUBU,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5334,7 +6192,7 @@
 	{
 		Mips_MSUB_D32, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5346,7 +6204,7 @@
 	{
 		Mips_MSUB_D64, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5376,7 +6234,7 @@
 	{
 		Mips_MSUB_S, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5388,7 +6246,7 @@
 	{
 		Mips_MTC0, MIPS_INS_MTC0,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5410,9 +6268,15 @@
 #endif
 	},
 	{
-		Mips_MTHC1, MIPS_INS_MTHC1,
+		Mips_MTHC1_D32, MIPS_INS_MTHC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTHC1_D64, MIPS_INS_MTHC1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5424,13 +6288,13 @@
 	{
 		Mips_MTHI, MIPS_INS_MTHI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MTHI64, MIPS_INS_MTHI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5454,13 +6318,13 @@
 	{
 		Mips_MTLO, MIPS_INS_MTLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MTLO64, MIPS_INS_MTLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5476,9 +6340,57 @@
 #endif
 	},
 	{
+		Mips_MTM0, MIPS_INS_MTM0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL0, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTM1, MIPS_INS_MTM1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL1, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTM2, MIPS_INS_MTM2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL2, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTP0, MIPS_INS_MTP0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P0, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTP1, MIPS_INS_MTP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P1, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTP2, MIPS_INS_MTP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MUH, MIPS_INS_MUH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MUHU, MIPS_INS_MUHU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MUL, MIPS_INS_MUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5556,7 +6468,7 @@
 	{
 		Mips_MULT, MIPS_INS_MULT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5580,7 +6492,7 @@
 	{
 		Mips_MULTu, MIPS_INS_MULTU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5590,6 +6502,12 @@
 #endif
 	},
 	{
+		Mips_MULU, MIPS_INS_MULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MULV_B, MIPS_INS_MULV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5638,6 +6556,12 @@
 #endif
 	},
 	{
+		Mips_MUL_R6, MIPS_INS_MUL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MUL_S_PH, MIPS_INS_MUL_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPOUTFLAG21, 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -5718,7 +6642,7 @@
 	{
 		Mips_NMADD_D32, MIPS_INS_NMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5730,13 +6654,13 @@
 	{
 		Mips_NMADD_D64, MIPS_INS_NMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_NMADD_S, MIPS_INS_NMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5748,7 +6672,7 @@
 	{
 		Mips_NMSUB_D32, MIPS_INS_NMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5760,13 +6684,13 @@
 	{
 		Mips_NMSUB_D64, MIPS_INS_NMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_NMSUB_S, MIPS_INS_NMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5878,6 +6802,12 @@
 #endif
 	},
 	{
+		Mips_PAUSE, MIPS_INS_PAUSE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_PCKEV_B, MIPS_INS_PCKEV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5962,6 +6892,12 @@
 #endif
 	},
 	{
+		Mips_POP, MIPS_INS_POP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_PRECEQU_PH_QBL, MIPS_INS_PRECEQU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
@@ -6064,6 +7000,18 @@
 #endif
 	},
 	{
+		Mips_PREF, MIPS_INS_PREF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_PREF_R6, MIPS_INS_PREF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_PREPEND, MIPS_INS_PREPEND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -6118,27 +7066,27 @@
 #endif
 	},
 	{
-		Mips_RET, MIPS_INS_JR,
+		Mips_RINT_D, MIPS_INS_RINT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
-		Mips_RET_MM, MIPS_INS_JR,
+		Mips_RINT_S, MIPS_INS_RINT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROTR, MIPS_INS_ROTR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROTRV, MIPS_INS_ROTRV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6156,25 +7104,25 @@
 	{
 		Mips_ROUND_L_D64, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROUND_L_S, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROUND_W_D32, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROUND_W_D64, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6186,7 +7134,7 @@
 	{
 		Mips_ROUND_W_S, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6264,13 +7212,19 @@
 	{
 		Mips_SC, MIPS_INS_SC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SCD, MIPS_INS_SCD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SCD_R6, MIPS_INS_SCD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6280,21 +7234,39 @@
 #endif
 	},
 	{
+		Mips_SC_R6, MIPS_INS_SC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SD, MIPS_INS_SD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDBBP, MIPS_INS_SDBBP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDBBP_R6, MIPS_INS_SDBBP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDC1, MIPS_INS_SDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDC164, MIPS_INS_SDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6306,13 +7278,25 @@
 	{
 		Mips_SDC2, MIPS_INS_SDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDC2_R6, MIPS_INS_SDC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDC3, MIPS_INS_SDC3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDIV, MIPS_INS_DIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6324,37 +7308,37 @@
 	{
 		Mips_SDL, MIPS_INS_SDL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDR, MIPS_INS_SDR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDXC1, MIPS_INS_SDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDXC164, MIPS_INS_SDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SEB, MIPS_INS_SEB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SEB64, MIPS_INS_SEB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6366,13 +7350,13 @@
 	{
 		Mips_SEH, MIPS_INS_SEH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SEH64, MIPS_INS_SEH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6382,6 +7366,78 @@
 #endif
 	},
 	{
+		Mips_SELEQZ, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP32BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELEQZ64, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP64BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELEQZ_D, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELEQZ_S, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP32BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ64, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP64BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ_D, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ_S, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEL_D, MIPS_INS_SEL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEL_S, MIPS_INS_SEL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEQ, MIPS_INS_SEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEQi, MIPS_INS_SEQI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SH, MIPS_INS_SH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
@@ -6766,6 +7822,18 @@
 #endif
 	},
 	{
+		Mips_SNE, MIPS_INS_SNE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SNEi, MIPS_INS_SNEI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SPLATI_B, MIPS_INS_SPLATI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -7054,6 +8122,12 @@
 #endif
 	},
 	{
+		Mips_SSNOP, MIPS_INS_SSNOP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_ST_B, MIPS_INS_ST,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -7326,13 +8400,13 @@
 	{
 		Mips_SUXC1, MIPS_INS_SUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SUXC164, MIPS_INS_SUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7368,13 +8442,25 @@
 	{
 		Mips_SWC2, MIPS_INS_SWC2,
 #ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SWC2_R6, MIPS_INS_SWC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SWC3, MIPS_INS_SWC3,
+#ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SWL, MIPS_INS_SWL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7392,7 +8478,7 @@
 	{
 		Mips_SWR, MIPS_INS_SWR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7410,7 +8496,7 @@
 	{
 		Mips_SWXC1, MIPS_INS_SWXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7428,7 +8514,7 @@
 	{
 		Mips_SYNC, MIPS_INS_SYNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7492,12 +8578,24 @@
 #endif
 	},
 	{
+		Mips_SltiRxImm16, MIPS_INS_SLTI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SltiRxImmX16, MIPS_INS_SLTI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_SltiuRxImm16, MIPS_INS_SLTIU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SltiuRxImmX16, MIPS_INS_SLTIU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -7560,7 +8658,7 @@
 	{
 		Mips_TEQI, MIPS_INS_TEQI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7584,13 +8682,13 @@
 	{
 		Mips_TGEI, MIPS_INS_TGEI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TGEIU, MIPS_INS_TGEIU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7624,6 +8722,30 @@
 #endif
 	},
 	{
+		Mips_TLBP, MIPS_INS_TLBP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_TLBR, MIPS_INS_TLBR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_TLBWI, MIPS_INS_TLBWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_TLBWR, MIPS_INS_TLBWR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_TLT, MIPS_INS_TLT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
@@ -7632,7 +8754,7 @@
 	{
 		Mips_TLTI, MIPS_INS_TLTI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7674,7 +8796,7 @@
 	{
 		Mips_TNEI, MIPS_INS_TNEI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7692,25 +8814,25 @@
 	{
 		Mips_TRUNC_L_D64, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TRUNC_L_S, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TRUNC_W_D32, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TRUNC_W_D64, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7722,7 +8844,7 @@
 	{
 		Mips_TRUNC_W_S, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7734,13 +8856,13 @@
 	{
 		Mips_TTLTIU, MIPS_INS_TLTIU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_UDIV, MIPS_INS_DIVU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7750,6 +8872,24 @@
 #endif
 	},
 	{
+		Mips_V3MULU, MIPS_INS_V3MULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_VMM0, MIPS_INS_VMM0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL0, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_VMULU, MIPS_INS_VMULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL1, MIPS_REG_MPL2, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_VSHF_B, MIPS_INS_VSHF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -7776,7 +8916,7 @@
 	{
 		Mips_WAIT, MIPS_INS_WAIT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7794,7 +8934,7 @@
 	{
 		Mips_WSBH, MIPS_INS_WSBH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SWAP, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7937,6 +9077,7 @@
 
 	{ MIPS_INS_ABSQ_S, "absq_s" },
 	{ MIPS_INS_ADD, "add" },
+	{ MIPS_INS_ADDIUPC, "addiupc" },
 	{ MIPS_INS_ADDQH, "addqh" },
 	{ MIPS_INS_ADDQH_R, "addqh_r" },
 	{ MIPS_INS_ADDQ, "addq" },
@@ -7955,40 +9096,73 @@
 	{ MIPS_INS_ADD_A, "add_a" },
 	{ MIPS_INS_ADDI, "addi" },
 	{ MIPS_INS_ADDIU, "addiu" },
+	{ MIPS_INS_ALIGN, "align" },
+	{ MIPS_INS_ALUIPC, "aluipc" },
 	{ MIPS_INS_AND, "and" },
 	{ MIPS_INS_ANDI, "andi" },
 	{ MIPS_INS_APPEND, "append" },
 	{ MIPS_INS_ASUB_S, "asub_s" },
 	{ MIPS_INS_ASUB_U, "asub_u" },
+	{ MIPS_INS_AUI, "aui" },
+	{ MIPS_INS_AUIPC, "auipc" },
 	{ MIPS_INS_AVER_S, "aver_s" },
 	{ MIPS_INS_AVER_U, "aver_u" },
 	{ MIPS_INS_AVE_S, "ave_s" },
 	{ MIPS_INS_AVE_U, "ave_u" },
+	{ MIPS_INS_BADDU, "baddu" },
+	{ MIPS_INS_BAL, "bal" },
+	{ MIPS_INS_BALC, "balc" },
 	{ MIPS_INS_BALIGN, "balign" },
+	{ MIPS_INS_BC, "bc" },
+	{ MIPS_INS_BC1EQZ, "bc1eqz" },
 	{ MIPS_INS_BC1F, "bc1f" },
+	{ MIPS_INS_BC1NEZ, "bc1nez" },
 	{ MIPS_INS_BC1T, "bc1t" },
+	{ MIPS_INS_BC2EQZ, "bc2eqz" },
+	{ MIPS_INS_BC2NEZ, "bc2nez" },
 	{ MIPS_INS_BCLRI, "bclri" },
 	{ MIPS_INS_BCLR, "bclr" },
 	{ MIPS_INS_BEQ, "beq" },
+	{ MIPS_INS_BEQC, "beqc" },
+	{ MIPS_INS_BEQZALC, "beqzalc" },
+	{ MIPS_INS_BEQZC, "beqzc" },
+	{ MIPS_INS_BGEC, "bgec" },
+	{ MIPS_INS_BGEUC, "bgeuc" },
 	{ MIPS_INS_BGEZ, "bgez" },
 	{ MIPS_INS_BGEZAL, "bgezal" },
+	{ MIPS_INS_BGEZALC, "bgezalc" },
+	{ MIPS_INS_BGEZC, "bgezc" },
 	{ MIPS_INS_BGTZ, "bgtz" },
+	{ MIPS_INS_BGTZALC, "bgtzalc" },
+	{ MIPS_INS_BGTZC, "bgtzc" },
 	{ MIPS_INS_BINSLI, "binsli" },
 	{ MIPS_INS_BINSL, "binsl" },
 	{ MIPS_INS_BINSRI, "binsri" },
 	{ MIPS_INS_BINSR, "binsr" },
 	{ MIPS_INS_BITREV, "bitrev" },
+	{ MIPS_INS_BITSWAP, "bitswap" },
 	{ MIPS_INS_BLEZ, "blez" },
+	{ MIPS_INS_BLEZALC, "blezalc" },
+	{ MIPS_INS_BLEZC, "blezc" },
+	{ MIPS_INS_BLTC, "bltc" },
+	{ MIPS_INS_BLTUC, "bltuc" },
 	{ MIPS_INS_BLTZ, "bltz" },
 	{ MIPS_INS_BLTZAL, "bltzal" },
+	{ MIPS_INS_BLTZALC, "bltzalc" },
+	{ MIPS_INS_BLTZC, "bltzc" },
 	{ MIPS_INS_BMNZI, "bmnzi" },
 	{ MIPS_INS_BMNZ, "bmnz" },
 	{ MIPS_INS_BMZI, "bmzi" },
 	{ MIPS_INS_BMZ, "bmz" },
 	{ MIPS_INS_BNE, "bne" },
+	{ MIPS_INS_BNEC, "bnec" },
 	{ MIPS_INS_BNEGI, "bnegi" },
 	{ MIPS_INS_BNEG, "bneg" },
+	{ MIPS_INS_BNEZALC, "bnezalc" },
+	{ MIPS_INS_BNEZC, "bnezc" },
+	{ MIPS_INS_BNVC, "bnvc" },
 	{ MIPS_INS_BNZ, "bnz" },
+	{ MIPS_INS_BOVC, "bovc" },
 	{ MIPS_INS_BPOSGE32, "bposge32" },
 	{ MIPS_INS_BREAK, "break" },
 	{ MIPS_INS_BSELI, "bseli" },
@@ -8001,11 +9175,15 @@
 	{ MIPS_INS_BNEZ, "bnez" },
 	{ MIPS_INS_BTEQZ, "bteqz" },
 	{ MIPS_INS_BTNEZ, "btnez" },
+	{ MIPS_INS_CACHE, "cache" },
 	{ MIPS_INS_CEIL, "ceil" },
 	{ MIPS_INS_CEQI, "ceqi" },
 	{ MIPS_INS_CEQ, "ceq" },
 	{ MIPS_INS_CFC1, "cfc1" },
 	{ MIPS_INS_CFCMSA, "cfcmsa" },
+	{ MIPS_INS_CINS, "cins" },
+	{ MIPS_INS_CINS32, "cins32" },
+	{ MIPS_INS_CLASS, "class" },
 	{ MIPS_INS_CLEI_S, "clei_s" },
 	{ MIPS_INS_CLEI_U, "clei_u" },
 	{ MIPS_INS_CLE_S, "cle_s" },
@@ -8031,8 +9209,15 @@
 	{ MIPS_INS_DADDI, "daddi" },
 	{ MIPS_INS_DADDIU, "daddiu" },
 	{ MIPS_INS_DADDU, "daddu" },
+	{ MIPS_INS_DAHI, "dahi" },
+	{ MIPS_INS_DALIGN, "dalign" },
+	{ MIPS_INS_DATI, "dati" },
+	{ MIPS_INS_DAUI, "daui" },
+	{ MIPS_INS_DBITSWAP, "dbitswap" },
 	{ MIPS_INS_DCLO, "dclo" },
 	{ MIPS_INS_DCLZ, "dclz" },
+	{ MIPS_INS_DDIV, "ddiv" },
+	{ MIPS_INS_DDIVU, "ddivu" },
 	{ MIPS_INS_DERET, "deret" },
 	{ MIPS_INS_DEXT, "dext" },
 	{ MIPS_INS_DEXTM, "dextm" },
@@ -8041,17 +9226,25 @@
 	{ MIPS_INS_DINS, "dins" },
 	{ MIPS_INS_DINSM, "dinsm" },
 	{ MIPS_INS_DINSU, "dinsu" },
+	{ MIPS_INS_DIV, "div" },
+	{ MIPS_INS_DIVU, "divu" },
 	{ MIPS_INS_DIV_S, "div_s" },
 	{ MIPS_INS_DIV_U, "div_u" },
 	{ MIPS_INS_DLSA, "dlsa" },
 	{ MIPS_INS_DMFC0, "dmfc0" },
 	{ MIPS_INS_DMFC1, "dmfc1" },
 	{ MIPS_INS_DMFC2, "dmfc2" },
+	{ MIPS_INS_DMOD, "dmod" },
+	{ MIPS_INS_DMODU, "dmodu" },
 	{ MIPS_INS_DMTC0, "dmtc0" },
 	{ MIPS_INS_DMTC1, "dmtc1" },
 	{ MIPS_INS_DMTC2, "dmtc2" },
+	{ MIPS_INS_DMUH, "dmuh" },
+	{ MIPS_INS_DMUHU, "dmuhu" },
+	{ MIPS_INS_DMUL, "dmul" },
 	{ MIPS_INS_DMULT, "dmult" },
 	{ MIPS_INS_DMULTU, "dmultu" },
+	{ MIPS_INS_DMULU, "dmulu" },
 	{ MIPS_INS_DOTP_S, "dotp_s" },
 	{ MIPS_INS_DOTP_U, "dotp_u" },
 	{ MIPS_INS_DPADD_S, "dpadd_s" },
@@ -8063,6 +9256,7 @@
 	{ MIPS_INS_DPAU, "dpau" },
 	{ MIPS_INS_DPAX, "dpax" },
 	{ MIPS_INS_DPA, "dpa" },
+	{ MIPS_INS_DPOP, "dpop" },
 	{ MIPS_INS_DPSQX_SA, "dpsqx_sa" },
 	{ MIPS_INS_DPSQX_S, "dpsqx_s" },
 	{ MIPS_INS_DPSQ_SA, "dpsq_sa" },
@@ -8076,7 +9270,6 @@
 	{ MIPS_INS_DROTR32, "drotr32" },
 	{ MIPS_INS_DROTRV, "drotrv" },
 	{ MIPS_INS_DSBH, "dsbh" },
-	{ MIPS_INS_DDIV, "ddiv" },
 	{ MIPS_INS_DSHD, "dshd" },
 	{ MIPS_INS_DSLL, "dsll" },
 	{ MIPS_INS_DSLL32, "dsll32" },
@@ -8087,10 +9280,9 @@
 	{ MIPS_INS_DSRL, "dsrl" },
 	{ MIPS_INS_DSRL32, "dsrl32" },
 	{ MIPS_INS_DSRLV, "dsrlv" },
+	{ MIPS_INS_DSUB, "dsub" },
 	{ MIPS_INS_DSUBU, "dsubu" },
-	{ MIPS_INS_DDIVU, "ddivu" },
-	{ MIPS_INS_DIV, "div" },
-	{ MIPS_INS_DIVU, "divu" },
+	{ MIPS_INS_EHB, "ehb" },
 	{ MIPS_INS_EI, "ei" },
 	{ MIPS_INS_ERET, "eret" },
 	{ MIPS_INS_EXT, "ext" },
@@ -8106,6 +9298,8 @@
 	{ MIPS_INS_EXTR_R, "extr_r" },
 	{ MIPS_INS_EXTR_S, "extr_s" },
 	{ MIPS_INS_EXTR, "extr" },
+	{ MIPS_INS_EXTS, "exts" },
+	{ MIPS_INS_EXTS32, "exts32" },
 	{ MIPS_INS_ABS, "abs" },
 	{ MIPS_INS_FADD, "fadd" },
 	{ MIPS_INS_FCAF, "fcaf" },
@@ -8180,6 +9374,9 @@
 	{ MIPS_INS_J, "j" },
 	{ MIPS_INS_JAL, "jal" },
 	{ MIPS_INS_JALR, "jalr" },
+	{ MIPS_INS_JALX, "jalx" },
+	{ MIPS_INS_JIALC, "jialc" },
+	{ MIPS_INS_JIC, "jic" },
 	{ MIPS_INS_JR, "jr" },
 	{ MIPS_INS_JRC, "jrc" },
 	{ MIPS_INS_JALRC, "jalrc" },
@@ -8189,8 +9386,10 @@
 	{ MIPS_INS_LD, "ld" },
 	{ MIPS_INS_LDC1, "ldc1" },
 	{ MIPS_INS_LDC2, "ldc2" },
+	{ MIPS_INS_LDC3, "ldc3" },
 	{ MIPS_INS_LDI, "ldi" },
 	{ MIPS_INS_LDL, "ldl" },
+	{ MIPS_INS_LDPC, "ldpc" },
 	{ MIPS_INS_LDR, "ldr" },
 	{ MIPS_INS_LDXC1, "ldxc1" },
 	{ MIPS_INS_LH, "lh" },
@@ -8204,22 +9403,28 @@
 	{ MIPS_INS_LW, "lw" },
 	{ MIPS_INS_LWC1, "lwc1" },
 	{ MIPS_INS_LWC2, "lwc2" },
+	{ MIPS_INS_LWC3, "lwc3" },
 	{ MIPS_INS_LWL, "lwl" },
+	{ MIPS_INS_LWPC, "lwpc" },
 	{ MIPS_INS_LWR, "lwr" },
+	{ MIPS_INS_LWUPC, "lwupc" },
 	{ MIPS_INS_LWU, "lwu" },
 	{ MIPS_INS_LWX, "lwx" },
 	{ MIPS_INS_LWXC1, "lwxc1" },
 	{ MIPS_INS_LI, "li" },
 	{ MIPS_INS_MADD, "madd" },
+	{ MIPS_INS_MADDF, "maddf" },
 	{ MIPS_INS_MADDR_Q, "maddr_q" },
 	{ MIPS_INS_MADDU, "maddu" },
 	{ MIPS_INS_MADDV, "maddv" },
 	{ MIPS_INS_MADD_Q, "madd_q" },
 	{ MIPS_INS_MAQ_SA, "maq_sa" },
 	{ MIPS_INS_MAQ_S, "maq_s" },
+	{ MIPS_INS_MAXA, "maxa" },
 	{ MIPS_INS_MAXI_S, "maxi_s" },
 	{ MIPS_INS_MAXI_U, "maxi_u" },
 	{ MIPS_INS_MAX_A, "max_a" },
+	{ MIPS_INS_MAX, "max" },
 	{ MIPS_INS_MAX_S, "max_s" },
 	{ MIPS_INS_MAX_U, "max_u" },
 	{ MIPS_INS_MFC0, "mfc0" },
@@ -8228,12 +9433,16 @@
 	{ MIPS_INS_MFHC1, "mfhc1" },
 	{ MIPS_INS_MFHI, "mfhi" },
 	{ MIPS_INS_MFLO, "mflo" },
+	{ MIPS_INS_MINA, "mina" },
 	{ MIPS_INS_MINI_S, "mini_s" },
 	{ MIPS_INS_MINI_U, "mini_u" },
 	{ MIPS_INS_MIN_A, "min_a" },
+	{ MIPS_INS_MIN, "min" },
 	{ MIPS_INS_MIN_S, "min_s" },
 	{ MIPS_INS_MIN_U, "min_u" },
+	{ MIPS_INS_MOD, "mod" },
 	{ MIPS_INS_MODSUB, "modsub" },
+	{ MIPS_INS_MODU, "modu" },
 	{ MIPS_INS_MOD_S, "mod_s" },
 	{ MIPS_INS_MOD_U, "mod_u" },
 	{ MIPS_INS_MOVE, "move" },
@@ -8242,6 +9451,7 @@
 	{ MIPS_INS_MOVT, "movt" },
 	{ MIPS_INS_MOVZ, "movz" },
 	{ MIPS_INS_MSUB, "msub" },
+	{ MIPS_INS_MSUBF, "msubf" },
 	{ MIPS_INS_MSUBR_Q, "msubr_q" },
 	{ MIPS_INS_MSUBU, "msubu" },
 	{ MIPS_INS_MSUBV, "msubv" },
@@ -8253,6 +9463,14 @@
 	{ MIPS_INS_MTHI, "mthi" },
 	{ MIPS_INS_MTHLIP, "mthlip" },
 	{ MIPS_INS_MTLO, "mtlo" },
+	{ MIPS_INS_MTM0, "mtm0" },
+	{ MIPS_INS_MTM1, "mtm1" },
+	{ MIPS_INS_MTM2, "mtm2" },
+	{ MIPS_INS_MTP0, "mtp0" },
+	{ MIPS_INS_MTP1, "mtp1" },
+	{ MIPS_INS_MTP2, "mtp2" },
+	{ MIPS_INS_MUH, "muh" },
+	{ MIPS_INS_MUHU, "muhu" },
 	{ MIPS_INS_MULEQ_S, "muleq_s" },
 	{ MIPS_INS_MULEU_S, "muleu_s" },
 	{ MIPS_INS_MULQ_RS, "mulq_rs" },
@@ -8262,6 +9480,7 @@
 	{ MIPS_INS_MULSA, "mulsa" },
 	{ MIPS_INS_MULT, "mult" },
 	{ MIPS_INS_MULTU, "multu" },
+	{ MIPS_INS_MULU, "mulu" },
 	{ MIPS_INS_MULV, "mulv" },
 	{ MIPS_INS_MUL_Q, "mul_q" },
 	{ MIPS_INS_MUL_S, "mul_s" },
@@ -8275,10 +9494,12 @@
 	{ MIPS_INS_OR, "or" },
 	{ MIPS_INS_ORI, "ori" },
 	{ MIPS_INS_PACKRL, "packrl" },
+	{ MIPS_INS_PAUSE, "pause" },
 	{ MIPS_INS_PCKEV, "pckev" },
 	{ MIPS_INS_PCKOD, "pckod" },
 	{ MIPS_INS_PCNT, "pcnt" },
 	{ MIPS_INS_PICK, "pick" },
+	{ MIPS_INS_POP, "pop" },
 	{ MIPS_INS_PRECEQU, "precequ" },
 	{ MIPS_INS_PRECEQ, "preceq" },
 	{ MIPS_INS_PRECEU, "preceu" },
@@ -8288,12 +9509,14 @@
 	{ MIPS_INS_PRECR, "precr" },
 	{ MIPS_INS_PRECR_SRA, "precr_sra" },
 	{ MIPS_INS_PRECR_SRA_R, "precr_sra_r" },
+	{ MIPS_INS_PREF, "pref" },
 	{ MIPS_INS_PREPEND, "prepend" },
 	{ MIPS_INS_RADDU, "raddu" },
 	{ MIPS_INS_RDDSP, "rddsp" },
 	{ MIPS_INS_RDHWR, "rdhwr" },
 	{ MIPS_INS_REPLV, "replv" },
 	{ MIPS_INS_REPL, "repl" },
+	{ MIPS_INS_RINT, "rint" },
 	{ MIPS_INS_ROTR, "rotr" },
 	{ MIPS_INS_ROTRV, "rotrv" },
 	{ MIPS_INS_ROUND, "round" },
@@ -8303,13 +9526,20 @@
 	{ MIPS_INS_SC, "sc" },
 	{ MIPS_INS_SCD, "scd" },
 	{ MIPS_INS_SD, "sd" },
+	{ MIPS_INS_SDBBP, "sdbbp" },
 	{ MIPS_INS_SDC1, "sdc1" },
 	{ MIPS_INS_SDC2, "sdc2" },
+	{ MIPS_INS_SDC3, "sdc3" },
 	{ MIPS_INS_SDL, "sdl" },
 	{ MIPS_INS_SDR, "sdr" },
 	{ MIPS_INS_SDXC1, "sdxc1" },
 	{ MIPS_INS_SEB, "seb" },
 	{ MIPS_INS_SEH, "seh" },
+	{ MIPS_INS_SELEQZ, "seleqz" },
+	{ MIPS_INS_SELNEZ, "selnez" },
+	{ MIPS_INS_SEL, "sel" },
+	{ MIPS_INS_SEQ, "seq" },
+	{ MIPS_INS_SEQI, "seqi" },
 	{ MIPS_INS_SH, "sh" },
 	{ MIPS_INS_SHF, "shf" },
 	{ MIPS_INS_SHILO, "shilo" },
@@ -8333,6 +9563,8 @@
 	{ MIPS_INS_SLTI, "slti" },
 	{ MIPS_INS_SLTIU, "sltiu" },
 	{ MIPS_INS_SLTU, "sltu" },
+	{ MIPS_INS_SNE, "sne" },
+	{ MIPS_INS_SNEI, "snei" },
 	{ MIPS_INS_SPLATI, "splati" },
 	{ MIPS_INS_SPLAT, "splat" },
 	{ MIPS_INS_SRA, "sra" },
@@ -8345,6 +9577,7 @@
 	{ MIPS_INS_SRLRI, "srlri" },
 	{ MIPS_INS_SRLR, "srlr" },
 	{ MIPS_INS_SRLV, "srlv" },
+	{ MIPS_INS_SSNOP, "ssnop" },
 	{ MIPS_INS_ST, "st" },
 	{ MIPS_INS_SUBQH, "subqh" },
 	{ MIPS_INS_SUBQH_R, "subqh_r" },
@@ -8364,6 +9597,7 @@
 	{ MIPS_INS_SW, "sw" },
 	{ MIPS_INS_SWC1, "swc1" },
 	{ MIPS_INS_SWC2, "swc2" },
+	{ MIPS_INS_SWC3, "swc3" },
 	{ MIPS_INS_SWL, "swl" },
 	{ MIPS_INS_SWR, "swr" },
 	{ MIPS_INS_SWXC1, "swxc1" },
@@ -8375,6 +9609,10 @@
 	{ MIPS_INS_TGEI, "tgei" },
 	{ MIPS_INS_TGEIU, "tgeiu" },
 	{ MIPS_INS_TGEU, "tgeu" },
+	{ MIPS_INS_TLBP, "tlbp" },
+	{ MIPS_INS_TLBR, "tlbr" },
+	{ MIPS_INS_TLBWI, "tlbwi" },
+	{ MIPS_INS_TLBWR, "tlbwr" },
 	{ MIPS_INS_TLT, "tlt" },
 	{ MIPS_INS_TLTI, "tlti" },
 	{ MIPS_INS_TLTIU, "tltiu" },
@@ -8382,12 +9620,17 @@
 	{ MIPS_INS_TNE, "tne" },
 	{ MIPS_INS_TNEI, "tnei" },
 	{ MIPS_INS_TRUNC, "trunc" },
+	{ MIPS_INS_V3MULU, "v3mulu" },
+	{ MIPS_INS_VMM0, "vmm0" },
+	{ MIPS_INS_VMULU, "vmulu" },
 	{ MIPS_INS_VSHF, "vshf" },
 	{ MIPS_INS_WAIT, "wait" },
 	{ MIPS_INS_WRDSP, "wrdsp" },
 	{ MIPS_INS_WSBH, "wsbh" },
 	{ MIPS_INS_XOR, "xor" },
 	{ MIPS_INS_XORI, "xori" },
+
+	// alias instructions
 	{ MIPS_INS_NOP, "nop" },
 	{ MIPS_INS_NEGU, "negu" },
 };
@@ -8407,7 +9650,7 @@
 #ifndef CAPSTONE_DIET
 	unsigned int i;
 
-	if (id >= MIPS_INS_MAX)
+	if (id >= MIPS_INS_MAXIMUM)
 		return NULL;
 
 	// handle special alias first
@@ -8444,6 +9687,26 @@
 	{ MIPS_GRP_NOTINMICROMIPS, "notinmicromips" },
 	{ MIPS_GRP_NOTNACL, "notnacl" },
 
+    { MIPS_GRP_NOTMIPS32R6, "notmips32r6" },
+    { MIPS_GRP_NOTMIPS64R6, "notmips64r6" },
+    { MIPS_GRP_CNMIPS, "cnmips" },
+
+    { MIPS_GRP_MIPS32, "mips32" },
+    { MIPS_GRP_MIPS32R6, "mips32r6" },
+    { MIPS_GRP_MIPS64R6, "mips64r6" },
+
+    { MIPS_GRP_MIPS2, "mips2" },
+    { MIPS_GRP_MIPS3, "mips3" },
+     { MIPS_GRP_MIPS3_32, "mips3_32"},
+    { MIPS_GRP_MIPS3_32R2, "mips3_32r2" },
+
+    { MIPS_GRP_MIPS4_32, "mips4_32" },
+    { MIPS_GRP_MIPS4_32R2, "mips4_32r2" },
+    { MIPS_GRP_MIPS5_32R2, "mips5_32r2" },
+
+    { MIPS_GRP_GP32BIT, "gp32bit" },
+    { MIPS_GRP_GP64BIT, "gp64bit" },
+
 	{ MIPS_GRP_JUMP, "jump" }
 };
 #endif
@@ -8483,71 +9746,84 @@
 	// the same Mips register. this function handles the issue for exposing Mips
 	// operands by mapping internal registers to 'public' register.
 	unsigned int map[] = { 0,
-		MIPS_REG_1, MIPS_REG_DSPCCOND, MIPS_REG_DSPCARRY, MIPS_REG_DSPEFI, MIPS_REG_DSPOUTFLAG,
+		MIPS_REG_AT, MIPS_REG_DSPCCOND, MIPS_REG_DSPCARRY, MIPS_REG_DSPEFI, MIPS_REG_DSPOUTFLAG,
 		MIPS_REG_DSPPOS, MIPS_REG_DSPSCOUNT, MIPS_REG_FP, MIPS_REG_GP, MIPS_REG_2,
 		MIPS_REG_1, MIPS_REG_0, MIPS_REG_6, MIPS_REG_4, MIPS_REG_5,
 		MIPS_REG_3, MIPS_REG_7, MIPS_REG_PC, MIPS_REG_RA, MIPS_REG_SP,
-		MIPS_REG_ZERO, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6, MIPS_REG_7,
-		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_1,
+		MIPS_REG_ZERO, MIPS_REG_A0, MIPS_REG_A1, MIPS_REG_A2, MIPS_REG_A3,
+		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_AT,
+		MIPS_REG_0, MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4,
+		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9,
 		MIPS_REG_0, MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4,
 		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9,
 		MIPS_REG_10, MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14,
 		MIPS_REG_15, MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19,
 		MIPS_REG_20, MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24,
 		MIPS_REG_25, MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29,
-		MIPS_REG_30, MIPS_REG_31, MIPS_REG_F0, MIPS_REG_F2, MIPS_REG_F4,
-		MIPS_REG_F6, MIPS_REG_F8, MIPS_REG_F10, MIPS_REG_F12, MIPS_REG_F14,
-		MIPS_REG_F16, MIPS_REG_F18, MIPS_REG_F20, MIPS_REG_F22, MIPS_REG_F24,
-		MIPS_REG_F26, MIPS_REG_F28, MIPS_REG_F30, MIPS_REG_DSPOUTFLAG20, MIPS_REG_DSPOUTFLAG21,
-		MIPS_REG_DSPOUTFLAG22, MIPS_REG_DSPOUTFLAG23, MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2,
-		MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7,
-		MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12,
-		MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17,
-		MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22,
-		MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27,
-		MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_FCC0,
-		MIPS_REG_FCC1, MIPS_REG_FCC2, MIPS_REG_FCC3, MIPS_REG_FCC4, MIPS_REG_FCC5,
-		MIPS_REG_FCC6, MIPS_REG_FCC7, MIPS_REG_0, MIPS_REG_1, MIPS_REG_2,
-		MIPS_REG_3, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6, MIPS_REG_7,
-		MIPS_REG_8, MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12,
+		MIPS_REG_30, MIPS_REG_31, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12,
 		MIPS_REG_13, MIPS_REG_14, MIPS_REG_15, MIPS_REG_16, MIPS_REG_17,
 		MIPS_REG_18, MIPS_REG_19, MIPS_REG_20, MIPS_REG_21, MIPS_REG_22,
 		MIPS_REG_23, MIPS_REG_24, MIPS_REG_25, MIPS_REG_26, MIPS_REG_27,
-		MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31, MIPS_REG_FP,
-		MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4,
-		MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9,
-		MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14,
-		MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19,
-		MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24,
-		MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29,
-		MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_GP, MIPS_REG_AC0, MIPS_REG_AC1,
-		MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_29, MIPS_REG_26, MIPS_REG_27,
-		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_RA,
-		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
-		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_SP, MIPS_REG_8,
-		MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12, MIPS_REG_13,
-		MIPS_REG_14, MIPS_REG_15, MIPS_REG_24, MIPS_REG_25, MIPS_REG_2,
-		MIPS_REG_3, MIPS_REG_W0, MIPS_REG_W1, MIPS_REG_W2, MIPS_REG_W3,
-		MIPS_REG_W4, MIPS_REG_W5, MIPS_REG_W6, MIPS_REG_W7, MIPS_REG_W8,
-		MIPS_REG_W9, MIPS_REG_W10, MIPS_REG_W11, MIPS_REG_W12, MIPS_REG_W13,
-		MIPS_REG_W14, MIPS_REG_W15, MIPS_REG_W16, MIPS_REG_W17, MIPS_REG_W18,
-		MIPS_REG_W19, MIPS_REG_W20, MIPS_REG_W21, MIPS_REG_W22, MIPS_REG_W23,
-		MIPS_REG_W24, MIPS_REG_W25, MIPS_REG_W26, MIPS_REG_W27, MIPS_REG_W28,
-		MIPS_REG_W29, MIPS_REG_W30, MIPS_REG_W31, MIPS_REG_ZERO, MIPS_REG_4,
-		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_AC0, MIPS_REG_F0,
-		MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5,
+		MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31, MIPS_REG_F0,
+		MIPS_REG_F2, MIPS_REG_F4, MIPS_REG_F6, MIPS_REG_F8, MIPS_REG_F10,
+		MIPS_REG_F12, MIPS_REG_F14, MIPS_REG_F16, MIPS_REG_F18, MIPS_REG_F20,
+		MIPS_REG_F22, MIPS_REG_F24, MIPS_REG_F26, MIPS_REG_F28, MIPS_REG_F30,
+		MIPS_REG_DSPOUTFLAG20, MIPS_REG_DSPOUTFLAG21, MIPS_REG_DSPOUTFLAG22, MIPS_REG_DSPOUTFLAG23,
+		MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5,
 		MIPS_REG_F6, MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10,
 		MIPS_REG_F11, MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15,
 		MIPS_REG_F16, MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20,
 		MIPS_REG_F21, MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25,
 		MIPS_REG_F26, MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30,
-		MIPS_REG_F31, MIPS_REG_DSPOUTFLAG16_19, MIPS_REG_HI, MIPS_REG_26, MIPS_REG_27,
-		MIPS_REG_LO, MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19,
-		MIPS_REG_20, MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_8,
-		MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12, MIPS_REG_13,
-		MIPS_REG_14, MIPS_REG_15, MIPS_REG_24, MIPS_REG_25, MIPS_REG_2,
-		MIPS_REG_3 };
-
+		MIPS_REG_F31, MIPS_REG_FCC0, MIPS_REG_FCC1, MIPS_REG_FCC2, MIPS_REG_FCC3,
+		MIPS_REG_FCC4, MIPS_REG_FCC5, MIPS_REG_FCC6, MIPS_REG_FCC7, MIPS_REG_0,
+		MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5,
+		MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_10,
+		MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14, MIPS_REG_15,
+		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
+		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24, MIPS_REG_25,
+		MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29, MIPS_REG_30,
+		MIPS_REG_31, MIPS_REG_FP, MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2,
+		MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7,
+		MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12,
+		MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17,
+		MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22,
+		MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27,
+		MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_GP,
+		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_0,
+		MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5,
+		MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_10,
+		MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14, MIPS_REG_15,
+		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
+		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24, MIPS_REG_25,
+		MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29, MIPS_REG_30,
+		MIPS_REG_31, MIPS_REG_K0, MIPS_REG_K1, MIPS_REG_AC0, MIPS_REG_AC1,
+		MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_MPL0, MIPS_REG_MPL1, MIPS_REG_MPL2,
+		MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, MIPS_REG_RA, MIPS_REG_S0,
+		MIPS_REG_S1, MIPS_REG_S2, MIPS_REG_S3, MIPS_REG_S4, MIPS_REG_S5,
+		MIPS_REG_S6, MIPS_REG_S7, MIPS_REG_SP, MIPS_REG_T0, MIPS_REG_T1,
+		MIPS_REG_T2, MIPS_REG_T3, MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6,
+		MIPS_REG_T7, MIPS_REG_T8, MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1,
+		MIPS_REG_W0, MIPS_REG_W1, MIPS_REG_W2, MIPS_REG_W3, MIPS_REG_W4,
+		MIPS_REG_W5, MIPS_REG_W6, MIPS_REG_W7, MIPS_REG_W8, MIPS_REG_W9,
+		MIPS_REG_W10, MIPS_REG_W11, MIPS_REG_W12, MIPS_REG_W13, MIPS_REG_W14,
+		MIPS_REG_W15, MIPS_REG_W16, MIPS_REG_W17, MIPS_REG_W18, MIPS_REG_W19,
+		MIPS_REG_W20, MIPS_REG_W21, MIPS_REG_W22, MIPS_REG_W23, MIPS_REG_W24,
+		MIPS_REG_W25, MIPS_REG_W26, MIPS_REG_W27, MIPS_REG_W28, MIPS_REG_W29,
+		MIPS_REG_W30, MIPS_REG_W31, MIPS_REG_ZERO, MIPS_REG_A0, MIPS_REG_A1,
+		MIPS_REG_A2, MIPS_REG_A3, MIPS_REG_AC0, MIPS_REG_F0, MIPS_REG_F1,
+		MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6,
+		MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11,
+		MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16,
+		MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21,
+		MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26,
+		MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31,
+		MIPS_REG_DSPOUTFLAG16_19, MIPS_REG_HI, MIPS_REG_K0, MIPS_REG_K1, MIPS_REG_LO,
+		MIPS_REG_S0, MIPS_REG_S1, MIPS_REG_S2, MIPS_REG_S3, MIPS_REG_S4,
+		MIPS_REG_S5, MIPS_REG_S6, MIPS_REG_S7, MIPS_REG_T0, MIPS_REG_T1,
+		MIPS_REG_T2, MIPS_REG_T3, MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6,
+		MIPS_REG_T7, MIPS_REG_T8, MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1,
+	};
 	if (r < ARR_SIZE(map))
 		return map[r];
 
diff --git a/bindings/java/capstone/Capstone.java b/bindings/java/capstone/Capstone.java
index 955122a..b8ef99e 100644
--- a/bindings/java/capstone/Capstone.java
+++ b/bindings/java/capstone/Capstone.java
@@ -287,6 +287,9 @@
   public static final int CS_MODE_MCLASS = 1 << 5;	  // ARM's Cortex-M series
   public static final int CS_MODE_MICRO = 1 << 4;	  // MicroMips mode (Mips arch)
   public static final int CS_MODE_N64 = 1 << 5;	      // Nintendo-64 mode (Mips arch)
+  public static final int CS_MODE_MIPS3 = 1 << 6;     // Mips III ISA
+  public static final int CS_MODE_MIPS32R6 = 1 << 7;  // Mips32r6 ISA
+  public static final int CS_MODE_MIPSGP64 = 1 << 8;  // General Purpose Registers are 64-bit wide (MIPS arch)
   public static final int CS_MODE_BIG_ENDIAN = 1 << 31;
   public static final int CS_MODE_V9 = 1 << 4;	      // SparcV9 mode (Sparc arch)
 
diff --git a/bindings/java/capstone/Mips_const.java b/bindings/java/capstone/Mips_const.java
index af169d4..137ba2f 100644
--- a/bindings/java/capstone/Mips_const.java
+++ b/bindings/java/capstone/Mips_const.java
@@ -135,7 +135,13 @@
 	public static final int MIPS_REG_HI = 120;
 	public static final int MIPS_REG_LO = 121;
 	public static final int MIPS_REG_PC = 122;
-	public static final int MIPS_REG_MAX = 123;
+	public static final int MIPS_REG_P0 = 123;
+	public static final int MIPS_REG_P1 = 124;
+	public static final int MIPS_REG_P2 = 125;
+	public static final int MIPS_REG_MPL0 = 126;
+	public static final int MIPS_REG_MPL1 = 127;
+	public static final int MIPS_REG_MPL2 = 128;
+	public static final int MIPS_REG_MAX = 129;
 	public static final int MIPS_REG_ZERO = MIPS_REG_0;
 	public static final int MIPS_REG_AT = MIPS_REG_1;
 	public static final int MIPS_REG_V0 = MIPS_REG_2;
@@ -183,462 +189,563 @@
 	public static final int MIPS_INS_INVALID = 0;
 	public static final int MIPS_INS_ABSQ_S = 1;
 	public static final int MIPS_INS_ADD = 2;
-	public static final int MIPS_INS_ADDQH = 3;
-	public static final int MIPS_INS_ADDQH_R = 4;
-	public static final int MIPS_INS_ADDQ = 5;
-	public static final int MIPS_INS_ADDQ_S = 6;
-	public static final int MIPS_INS_ADDSC = 7;
-	public static final int MIPS_INS_ADDS_A = 8;
-	public static final int MIPS_INS_ADDS_S = 9;
-	public static final int MIPS_INS_ADDS_U = 10;
-	public static final int MIPS_INS_ADDUH = 11;
-	public static final int MIPS_INS_ADDUH_R = 12;
-	public static final int MIPS_INS_ADDU = 13;
-	public static final int MIPS_INS_ADDU_S = 14;
-	public static final int MIPS_INS_ADDVI = 15;
-	public static final int MIPS_INS_ADDV = 16;
-	public static final int MIPS_INS_ADDWC = 17;
-	public static final int MIPS_INS_ADD_A = 18;
-	public static final int MIPS_INS_ADDI = 19;
-	public static final int MIPS_INS_ADDIU = 20;
-	public static final int MIPS_INS_AND = 21;
-	public static final int MIPS_INS_ANDI = 22;
-	public static final int MIPS_INS_APPEND = 23;
-	public static final int MIPS_INS_ASUB_S = 24;
-	public static final int MIPS_INS_ASUB_U = 25;
-	public static final int MIPS_INS_AVER_S = 26;
-	public static final int MIPS_INS_AVER_U = 27;
-	public static final int MIPS_INS_AVE_S = 28;
-	public static final int MIPS_INS_AVE_U = 29;
-	public static final int MIPS_INS_BALIGN = 30;
-	public static final int MIPS_INS_BC1F = 31;
-	public static final int MIPS_INS_BC1T = 32;
-	public static final int MIPS_INS_BCLRI = 33;
-	public static final int MIPS_INS_BCLR = 34;
-	public static final int MIPS_INS_BEQ = 35;
-	public static final int MIPS_INS_BGEZ = 36;
-	public static final int MIPS_INS_BGEZAL = 37;
-	public static final int MIPS_INS_BGTZ = 38;
-	public static final int MIPS_INS_BINSLI = 39;
-	public static final int MIPS_INS_BINSL = 40;
-	public static final int MIPS_INS_BINSRI = 41;
-	public static final int MIPS_INS_BINSR = 42;
-	public static final int MIPS_INS_BITREV = 43;
-	public static final int MIPS_INS_BLEZ = 44;
-	public static final int MIPS_INS_BLTZ = 45;
-	public static final int MIPS_INS_BLTZAL = 46;
-	public static final int MIPS_INS_BMNZI = 47;
-	public static final int MIPS_INS_BMNZ = 48;
-	public static final int MIPS_INS_BMZI = 49;
-	public static final int MIPS_INS_BMZ = 50;
-	public static final int MIPS_INS_BNE = 51;
-	public static final int MIPS_INS_BNEGI = 52;
-	public static final int MIPS_INS_BNEG = 53;
-	public static final int MIPS_INS_BNZ = 54;
-	public static final int MIPS_INS_BPOSGE32 = 55;
-	public static final int MIPS_INS_BREAK = 56;
-	public static final int MIPS_INS_BSELI = 57;
-	public static final int MIPS_INS_BSEL = 58;
-	public static final int MIPS_INS_BSETI = 59;
-	public static final int MIPS_INS_BSET = 60;
-	public static final int MIPS_INS_BZ = 61;
-	public static final int MIPS_INS_BEQZ = 62;
-	public static final int MIPS_INS_B = 63;
-	public static final int MIPS_INS_BNEZ = 64;
-	public static final int MIPS_INS_BTEQZ = 65;
-	public static final int MIPS_INS_BTNEZ = 66;
-	public static final int MIPS_INS_CEIL = 67;
-	public static final int MIPS_INS_CEQI = 68;
-	public static final int MIPS_INS_CEQ = 69;
-	public static final int MIPS_INS_CFC1 = 70;
-	public static final int MIPS_INS_CFCMSA = 71;
-	public static final int MIPS_INS_CLEI_S = 72;
-	public static final int MIPS_INS_CLEI_U = 73;
-	public static final int MIPS_INS_CLE_S = 74;
-	public static final int MIPS_INS_CLE_U = 75;
-	public static final int MIPS_INS_CLO = 76;
-	public static final int MIPS_INS_CLTI_S = 77;
-	public static final int MIPS_INS_CLTI_U = 78;
-	public static final int MIPS_INS_CLT_S = 79;
-	public static final int MIPS_INS_CLT_U = 80;
-	public static final int MIPS_INS_CLZ = 81;
-	public static final int MIPS_INS_CMPGDU = 82;
-	public static final int MIPS_INS_CMPGU = 83;
-	public static final int MIPS_INS_CMPU = 84;
-	public static final int MIPS_INS_CMP = 85;
-	public static final int MIPS_INS_COPY_S = 86;
-	public static final int MIPS_INS_COPY_U = 87;
-	public static final int MIPS_INS_CTC1 = 88;
-	public static final int MIPS_INS_CTCMSA = 89;
-	public static final int MIPS_INS_CVT = 90;
-	public static final int MIPS_INS_C = 91;
-	public static final int MIPS_INS_CMPI = 92;
-	public static final int MIPS_INS_DADD = 93;
-	public static final int MIPS_INS_DADDI = 94;
-	public static final int MIPS_INS_DADDIU = 95;
-	public static final int MIPS_INS_DADDU = 96;
-	public static final int MIPS_INS_DCLO = 97;
-	public static final int MIPS_INS_DCLZ = 98;
-	public static final int MIPS_INS_DERET = 99;
-	public static final int MIPS_INS_DEXT = 100;
-	public static final int MIPS_INS_DEXTM = 101;
-	public static final int MIPS_INS_DEXTU = 102;
-	public static final int MIPS_INS_DI = 103;
-	public static final int MIPS_INS_DINS = 104;
-	public static final int MIPS_INS_DINSM = 105;
-	public static final int MIPS_INS_DINSU = 106;
-	public static final int MIPS_INS_DIV_S = 107;
-	public static final int MIPS_INS_DIV_U = 108;
-	public static final int MIPS_INS_DLSA = 109;
-	public static final int MIPS_INS_DMFC0 = 110;
-	public static final int MIPS_INS_DMFC1 = 111;
-	public static final int MIPS_INS_DMFC2 = 112;
-	public static final int MIPS_INS_DMTC0 = 113;
-	public static final int MIPS_INS_DMTC1 = 114;
-	public static final int MIPS_INS_DMTC2 = 115;
-	public static final int MIPS_INS_DMULT = 116;
-	public static final int MIPS_INS_DMULTU = 117;
-	public static final int MIPS_INS_DOTP_S = 118;
-	public static final int MIPS_INS_DOTP_U = 119;
-	public static final int MIPS_INS_DPADD_S = 120;
-	public static final int MIPS_INS_DPADD_U = 121;
-	public static final int MIPS_INS_DPAQX_SA = 122;
-	public static final int MIPS_INS_DPAQX_S = 123;
-	public static final int MIPS_INS_DPAQ_SA = 124;
-	public static final int MIPS_INS_DPAQ_S = 125;
-	public static final int MIPS_INS_DPAU = 126;
-	public static final int MIPS_INS_DPAX = 127;
-	public static final int MIPS_INS_DPA = 128;
-	public static final int MIPS_INS_DPSQX_SA = 129;
-	public static final int MIPS_INS_DPSQX_S = 130;
-	public static final int MIPS_INS_DPSQ_SA = 131;
-	public static final int MIPS_INS_DPSQ_S = 132;
-	public static final int MIPS_INS_DPSUB_S = 133;
-	public static final int MIPS_INS_DPSUB_U = 134;
-	public static final int MIPS_INS_DPSU = 135;
-	public static final int MIPS_INS_DPSX = 136;
-	public static final int MIPS_INS_DPS = 137;
-	public static final int MIPS_INS_DROTR = 138;
-	public static final int MIPS_INS_DROTR32 = 139;
-	public static final int MIPS_INS_DROTRV = 140;
-	public static final int MIPS_INS_DSBH = 141;
+	public static final int MIPS_INS_ADDIUPC = 3;
+	public static final int MIPS_INS_ADDQH = 4;
+	public static final int MIPS_INS_ADDQH_R = 5;
+	public static final int MIPS_INS_ADDQ = 6;
+	public static final int MIPS_INS_ADDQ_S = 7;
+	public static final int MIPS_INS_ADDSC = 8;
+	public static final int MIPS_INS_ADDS_A = 9;
+	public static final int MIPS_INS_ADDS_S = 10;
+	public static final int MIPS_INS_ADDS_U = 11;
+	public static final int MIPS_INS_ADDUH = 12;
+	public static final int MIPS_INS_ADDUH_R = 13;
+	public static final int MIPS_INS_ADDU = 14;
+	public static final int MIPS_INS_ADDU_S = 15;
+	public static final int MIPS_INS_ADDVI = 16;
+	public static final int MIPS_INS_ADDV = 17;
+	public static final int MIPS_INS_ADDWC = 18;
+	public static final int MIPS_INS_ADD_A = 19;
+	public static final int MIPS_INS_ADDI = 20;
+	public static final int MIPS_INS_ADDIU = 21;
+	public static final int MIPS_INS_ALIGN = 22;
+	public static final int MIPS_INS_ALUIPC = 23;
+	public static final int MIPS_INS_AND = 24;
+	public static final int MIPS_INS_ANDI = 25;
+	public static final int MIPS_INS_APPEND = 26;
+	public static final int MIPS_INS_ASUB_S = 27;
+	public static final int MIPS_INS_ASUB_U = 28;
+	public static final int MIPS_INS_AUI = 29;
+	public static final int MIPS_INS_AUIPC = 30;
+	public static final int MIPS_INS_AVER_S = 31;
+	public static final int MIPS_INS_AVER_U = 32;
+	public static final int MIPS_INS_AVE_S = 33;
+	public static final int MIPS_INS_AVE_U = 34;
+	public static final int MIPS_INS_BADDU = 35;
+	public static final int MIPS_INS_BAL = 36;
+	public static final int MIPS_INS_BALC = 37;
+	public static final int MIPS_INS_BALIGN = 38;
+	public static final int MIPS_INS_BC = 39;
+	public static final int MIPS_INS_BC1EQZ = 40;
+	public static final int MIPS_INS_BC1F = 41;
+	public static final int MIPS_INS_BC1NEZ = 42;
+	public static final int MIPS_INS_BC1T = 43;
+	public static final int MIPS_INS_BC2EQZ = 44;
+	public static final int MIPS_INS_BC2NEZ = 45;
+	public static final int MIPS_INS_BCLRI = 46;
+	public static final int MIPS_INS_BCLR = 47;
+	public static final int MIPS_INS_BEQ = 48;
+	public static final int MIPS_INS_BEQC = 49;
+	public static final int MIPS_INS_BEQZALC = 50;
+	public static final int MIPS_INS_BEQZC = 51;
+	public static final int MIPS_INS_BGEC = 52;
+	public static final int MIPS_INS_BGEUC = 53;
+	public static final int MIPS_INS_BGEZ = 54;
+	public static final int MIPS_INS_BGEZAL = 55;
+	public static final int MIPS_INS_BGEZALC = 56;
+	public static final int MIPS_INS_BGEZC = 57;
+	public static final int MIPS_INS_BGTZ = 58;
+	public static final int MIPS_INS_BGTZALC = 59;
+	public static final int MIPS_INS_BGTZC = 60;
+	public static final int MIPS_INS_BINSLI = 61;
+	public static final int MIPS_INS_BINSL = 62;
+	public static final int MIPS_INS_BINSRI = 63;
+	public static final int MIPS_INS_BINSR = 64;
+	public static final int MIPS_INS_BITREV = 65;
+	public static final int MIPS_INS_BITSWAP = 66;
+	public static final int MIPS_INS_BLEZ = 67;
+	public static final int MIPS_INS_BLEZALC = 68;
+	public static final int MIPS_INS_BLEZC = 69;
+	public static final int MIPS_INS_BLTC = 70;
+	public static final int MIPS_INS_BLTUC = 71;
+	public static final int MIPS_INS_BLTZ = 72;
+	public static final int MIPS_INS_BLTZAL = 73;
+	public static final int MIPS_INS_BLTZALC = 74;
+	public static final int MIPS_INS_BLTZC = 75;
+	public static final int MIPS_INS_BMNZI = 76;
+	public static final int MIPS_INS_BMNZ = 77;
+	public static final int MIPS_INS_BMZI = 78;
+	public static final int MIPS_INS_BMZ = 79;
+	public static final int MIPS_INS_BNE = 80;
+	public static final int MIPS_INS_BNEC = 81;
+	public static final int MIPS_INS_BNEGI = 82;
+	public static final int MIPS_INS_BNEG = 83;
+	public static final int MIPS_INS_BNEZALC = 84;
+	public static final int MIPS_INS_BNEZC = 85;
+	public static final int MIPS_INS_BNVC = 86;
+	public static final int MIPS_INS_BNZ = 87;
+	public static final int MIPS_INS_BOVC = 88;
+	public static final int MIPS_INS_BPOSGE32 = 89;
+	public static final int MIPS_INS_BREAK = 90;
+	public static final int MIPS_INS_BSELI = 91;
+	public static final int MIPS_INS_BSEL = 92;
+	public static final int MIPS_INS_BSETI = 93;
+	public static final int MIPS_INS_BSET = 94;
+	public static final int MIPS_INS_BZ = 95;
+	public static final int MIPS_INS_BEQZ = 96;
+	public static final int MIPS_INS_B = 97;
+	public static final int MIPS_INS_BNEZ = 98;
+	public static final int MIPS_INS_BTEQZ = 99;
+	public static final int MIPS_INS_BTNEZ = 100;
+	public static final int MIPS_INS_CACHE = 101;
+	public static final int MIPS_INS_CEIL = 102;
+	public static final int MIPS_INS_CEQI = 103;
+	public static final int MIPS_INS_CEQ = 104;
+	public static final int MIPS_INS_CFC1 = 105;
+	public static final int MIPS_INS_CFCMSA = 106;
+	public static final int MIPS_INS_CINS = 107;
+	public static final int MIPS_INS_CINS32 = 108;
+	public static final int MIPS_INS_CLASS = 109;
+	public static final int MIPS_INS_CLEI_S = 110;
+	public static final int MIPS_INS_CLEI_U = 111;
+	public static final int MIPS_INS_CLE_S = 112;
+	public static final int MIPS_INS_CLE_U = 113;
+	public static final int MIPS_INS_CLO = 114;
+	public static final int MIPS_INS_CLTI_S = 115;
+	public static final int MIPS_INS_CLTI_U = 116;
+	public static final int MIPS_INS_CLT_S = 117;
+	public static final int MIPS_INS_CLT_U = 118;
+	public static final int MIPS_INS_CLZ = 119;
+	public static final int MIPS_INS_CMPGDU = 120;
+	public static final int MIPS_INS_CMPGU = 121;
+	public static final int MIPS_INS_CMPU = 122;
+	public static final int MIPS_INS_CMP = 123;
+	public static final int MIPS_INS_COPY_S = 124;
+	public static final int MIPS_INS_COPY_U = 125;
+	public static final int MIPS_INS_CTC1 = 126;
+	public static final int MIPS_INS_CTCMSA = 127;
+	public static final int MIPS_INS_CVT = 128;
+	public static final int MIPS_INS_C = 129;
+	public static final int MIPS_INS_CMPI = 130;
+	public static final int MIPS_INS_DADD = 131;
+	public static final int MIPS_INS_DADDI = 132;
+	public static final int MIPS_INS_DADDIU = 133;
+	public static final int MIPS_INS_DADDU = 134;
+	public static final int MIPS_INS_DAHI = 135;
+	public static final int MIPS_INS_DALIGN = 136;
+	public static final int MIPS_INS_DATI = 137;
+	public static final int MIPS_INS_DAUI = 138;
+	public static final int MIPS_INS_DBITSWAP = 139;
+	public static final int MIPS_INS_DCLO = 140;
+	public static final int MIPS_INS_DCLZ = 141;
 	public static final int MIPS_INS_DDIV = 142;
-	public static final int MIPS_INS_DSHD = 143;
-	public static final int MIPS_INS_DSLL = 144;
-	public static final int MIPS_INS_DSLL32 = 145;
-	public static final int MIPS_INS_DSLLV = 146;
-	public static final int MIPS_INS_DSRA = 147;
-	public static final int MIPS_INS_DSRA32 = 148;
-	public static final int MIPS_INS_DSRAV = 149;
-	public static final int MIPS_INS_DSRL = 150;
-	public static final int MIPS_INS_DSRL32 = 151;
-	public static final int MIPS_INS_DSRLV = 152;
-	public static final int MIPS_INS_DSUBU = 153;
-	public static final int MIPS_INS_DDIVU = 154;
-	public static final int MIPS_INS_DIV = 155;
-	public static final int MIPS_INS_DIVU = 156;
-	public static final int MIPS_INS_EI = 157;
-	public static final int MIPS_INS_ERET = 158;
-	public static final int MIPS_INS_EXT = 159;
-	public static final int MIPS_INS_EXTP = 160;
-	public static final int MIPS_INS_EXTPDP = 161;
-	public static final int MIPS_INS_EXTPDPV = 162;
-	public static final int MIPS_INS_EXTPV = 163;
-	public static final int MIPS_INS_EXTRV_RS = 164;
-	public static final int MIPS_INS_EXTRV_R = 165;
-	public static final int MIPS_INS_EXTRV_S = 166;
-	public static final int MIPS_INS_EXTRV = 167;
-	public static final int MIPS_INS_EXTR_RS = 168;
-	public static final int MIPS_INS_EXTR_R = 169;
-	public static final int MIPS_INS_EXTR_S = 170;
-	public static final int MIPS_INS_EXTR = 171;
-	public static final int MIPS_INS_ABS = 172;
-	public static final int MIPS_INS_FADD = 173;
-	public static final int MIPS_INS_FCAF = 174;
-	public static final int MIPS_INS_FCEQ = 175;
-	public static final int MIPS_INS_FCLASS = 176;
-	public static final int MIPS_INS_FCLE = 177;
-	public static final int MIPS_INS_FCLT = 178;
-	public static final int MIPS_INS_FCNE = 179;
-	public static final int MIPS_INS_FCOR = 180;
-	public static final int MIPS_INS_FCUEQ = 181;
-	public static final int MIPS_INS_FCULE = 182;
-	public static final int MIPS_INS_FCULT = 183;
-	public static final int MIPS_INS_FCUNE = 184;
-	public static final int MIPS_INS_FCUN = 185;
-	public static final int MIPS_INS_FDIV = 186;
-	public static final int MIPS_INS_FEXDO = 187;
-	public static final int MIPS_INS_FEXP2 = 188;
-	public static final int MIPS_INS_FEXUPL = 189;
-	public static final int MIPS_INS_FEXUPR = 190;
-	public static final int MIPS_INS_FFINT_S = 191;
-	public static final int MIPS_INS_FFINT_U = 192;
-	public static final int MIPS_INS_FFQL = 193;
-	public static final int MIPS_INS_FFQR = 194;
-	public static final int MIPS_INS_FILL = 195;
-	public static final int MIPS_INS_FLOG2 = 196;
-	public static final int MIPS_INS_FLOOR = 197;
-	public static final int MIPS_INS_FMADD = 198;
-	public static final int MIPS_INS_FMAX_A = 199;
-	public static final int MIPS_INS_FMAX = 200;
-	public static final int MIPS_INS_FMIN_A = 201;
-	public static final int MIPS_INS_FMIN = 202;
-	public static final int MIPS_INS_MOV = 203;
-	public static final int MIPS_INS_FMSUB = 204;
-	public static final int MIPS_INS_FMUL = 205;
-	public static final int MIPS_INS_MUL = 206;
-	public static final int MIPS_INS_NEG = 207;
-	public static final int MIPS_INS_FRCP = 208;
-	public static final int MIPS_INS_FRINT = 209;
-	public static final int MIPS_INS_FRSQRT = 210;
-	public static final int MIPS_INS_FSAF = 211;
-	public static final int MIPS_INS_FSEQ = 212;
-	public static final int MIPS_INS_FSLE = 213;
-	public static final int MIPS_INS_FSLT = 214;
-	public static final int MIPS_INS_FSNE = 215;
-	public static final int MIPS_INS_FSOR = 216;
-	public static final int MIPS_INS_FSQRT = 217;
-	public static final int MIPS_INS_SQRT = 218;
-	public static final int MIPS_INS_FSUB = 219;
-	public static final int MIPS_INS_SUB = 220;
-	public static final int MIPS_INS_FSUEQ = 221;
-	public static final int MIPS_INS_FSULE = 222;
-	public static final int MIPS_INS_FSULT = 223;
-	public static final int MIPS_INS_FSUNE = 224;
-	public static final int MIPS_INS_FSUN = 225;
-	public static final int MIPS_INS_FTINT_S = 226;
-	public static final int MIPS_INS_FTINT_U = 227;
-	public static final int MIPS_INS_FTQ = 228;
-	public static final int MIPS_INS_FTRUNC_S = 229;
-	public static final int MIPS_INS_FTRUNC_U = 230;
-	public static final int MIPS_INS_HADD_S = 231;
-	public static final int MIPS_INS_HADD_U = 232;
-	public static final int MIPS_INS_HSUB_S = 233;
-	public static final int MIPS_INS_HSUB_U = 234;
-	public static final int MIPS_INS_ILVEV = 235;
-	public static final int MIPS_INS_ILVL = 236;
-	public static final int MIPS_INS_ILVOD = 237;
-	public static final int MIPS_INS_ILVR = 238;
-	public static final int MIPS_INS_INS = 239;
-	public static final int MIPS_INS_INSERT = 240;
-	public static final int MIPS_INS_INSV = 241;
-	public static final int MIPS_INS_INSVE = 242;
-	public static final int MIPS_INS_J = 243;
-	public static final int MIPS_INS_JAL = 244;
-	public static final int MIPS_INS_JALR = 245;
-	public static final int MIPS_INS_JR = 246;
-	public static final int MIPS_INS_JRC = 247;
-	public static final int MIPS_INS_JALRC = 248;
-	public static final int MIPS_INS_LB = 249;
-	public static final int MIPS_INS_LBUX = 250;
-	public static final int MIPS_INS_LBU = 251;
-	public static final int MIPS_INS_LD = 252;
-	public static final int MIPS_INS_LDC1 = 253;
-	public static final int MIPS_INS_LDC2 = 254;
-	public static final int MIPS_INS_LDI = 255;
-	public static final int MIPS_INS_LDL = 256;
-	public static final int MIPS_INS_LDR = 257;
-	public static final int MIPS_INS_LDXC1 = 258;
-	public static final int MIPS_INS_LH = 259;
-	public static final int MIPS_INS_LHX = 260;
-	public static final int MIPS_INS_LHU = 261;
-	public static final int MIPS_INS_LL = 262;
-	public static final int MIPS_INS_LLD = 263;
-	public static final int MIPS_INS_LSA = 264;
-	public static final int MIPS_INS_LUXC1 = 265;
-	public static final int MIPS_INS_LUI = 266;
-	public static final int MIPS_INS_LW = 267;
-	public static final int MIPS_INS_LWC1 = 268;
-	public static final int MIPS_INS_LWC2 = 269;
-	public static final int MIPS_INS_LWL = 270;
-	public static final int MIPS_INS_LWR = 271;
-	public static final int MIPS_INS_LWU = 272;
-	public static final int MIPS_INS_LWX = 273;
-	public static final int MIPS_INS_LWXC1 = 274;
-	public static final int MIPS_INS_LI = 275;
-	public static final int MIPS_INS_MADD = 276;
-	public static final int MIPS_INS_MADDR_Q = 277;
-	public static final int MIPS_INS_MADDU = 278;
-	public static final int MIPS_INS_MADDV = 279;
-	public static final int MIPS_INS_MADD_Q = 280;
-	public static final int MIPS_INS_MAQ_SA = 281;
-	public static final int MIPS_INS_MAQ_S = 282;
-	public static final int MIPS_INS_MAXI_S = 283;
-	public static final int MIPS_INS_MAXI_U = 284;
-	public static final int MIPS_INS_MAX_A = 285;
-	public static final int MIPS_INS_MAX_S = 286;
-	public static final int MIPS_INS_MAX_U = 287;
-	public static final int MIPS_INS_MFC0 = 288;
-	public static final int MIPS_INS_MFC1 = 289;
-	public static final int MIPS_INS_MFC2 = 290;
-	public static final int MIPS_INS_MFHC1 = 291;
-	public static final int MIPS_INS_MFHI = 292;
-	public static final int MIPS_INS_MFLO = 293;
-	public static final int MIPS_INS_MINI_S = 294;
-	public static final int MIPS_INS_MINI_U = 295;
-	public static final int MIPS_INS_MIN_A = 296;
-	public static final int MIPS_INS_MIN_S = 297;
-	public static final int MIPS_INS_MIN_U = 298;
-	public static final int MIPS_INS_MODSUB = 299;
-	public static final int MIPS_INS_MOD_S = 300;
-	public static final int MIPS_INS_MOD_U = 301;
-	public static final int MIPS_INS_MOVE = 302;
-	public static final int MIPS_INS_MOVF = 303;
-	public static final int MIPS_INS_MOVN = 304;
-	public static final int MIPS_INS_MOVT = 305;
-	public static final int MIPS_INS_MOVZ = 306;
-	public static final int MIPS_INS_MSUB = 307;
-	public static final int MIPS_INS_MSUBR_Q = 308;
-	public static final int MIPS_INS_MSUBU = 309;
-	public static final int MIPS_INS_MSUBV = 310;
-	public static final int MIPS_INS_MSUB_Q = 311;
-	public static final int MIPS_INS_MTC0 = 312;
-	public static final int MIPS_INS_MTC1 = 313;
-	public static final int MIPS_INS_MTC2 = 314;
-	public static final int MIPS_INS_MTHC1 = 315;
-	public static final int MIPS_INS_MTHI = 316;
-	public static final int MIPS_INS_MTHLIP = 317;
-	public static final int MIPS_INS_MTLO = 318;
-	public static final int MIPS_INS_MULEQ_S = 319;
-	public static final int MIPS_INS_MULEU_S = 320;
-	public static final int MIPS_INS_MULQ_RS = 321;
-	public static final int MIPS_INS_MULQ_S = 322;
-	public static final int MIPS_INS_MULR_Q = 323;
-	public static final int MIPS_INS_MULSAQ_S = 324;
-	public static final int MIPS_INS_MULSA = 325;
-	public static final int MIPS_INS_MULT = 326;
-	public static final int MIPS_INS_MULTU = 327;
-	public static final int MIPS_INS_MULV = 328;
-	public static final int MIPS_INS_MUL_Q = 329;
-	public static final int MIPS_INS_MUL_S = 330;
-	public static final int MIPS_INS_NLOC = 331;
-	public static final int MIPS_INS_NLZC = 332;
-	public static final int MIPS_INS_NMADD = 333;
-	public static final int MIPS_INS_NMSUB = 334;
-	public static final int MIPS_INS_NOR = 335;
-	public static final int MIPS_INS_NORI = 336;
-	public static final int MIPS_INS_NOT = 337;
-	public static final int MIPS_INS_OR = 338;
-	public static final int MIPS_INS_ORI = 339;
-	public static final int MIPS_INS_PACKRL = 340;
-	public static final int MIPS_INS_PCKEV = 341;
-	public static final int MIPS_INS_PCKOD = 342;
-	public static final int MIPS_INS_PCNT = 343;
-	public static final int MIPS_INS_PICK = 344;
-	public static final int MIPS_INS_PRECEQU = 345;
-	public static final int MIPS_INS_PRECEQ = 346;
-	public static final int MIPS_INS_PRECEU = 347;
-	public static final int MIPS_INS_PRECRQU_S = 348;
-	public static final int MIPS_INS_PRECRQ = 349;
-	public static final int MIPS_INS_PRECRQ_RS = 350;
-	public static final int MIPS_INS_PRECR = 351;
-	public static final int MIPS_INS_PRECR_SRA = 352;
-	public static final int MIPS_INS_PRECR_SRA_R = 353;
-	public static final int MIPS_INS_PREPEND = 354;
-	public static final int MIPS_INS_RADDU = 355;
-	public static final int MIPS_INS_RDDSP = 356;
-	public static final int MIPS_INS_RDHWR = 357;
-	public static final int MIPS_INS_REPLV = 358;
-	public static final int MIPS_INS_REPL = 359;
-	public static final int MIPS_INS_ROTR = 360;
-	public static final int MIPS_INS_ROTRV = 361;
-	public static final int MIPS_INS_ROUND = 362;
-	public static final int MIPS_INS_SAT_S = 363;
-	public static final int MIPS_INS_SAT_U = 364;
-	public static final int MIPS_INS_SB = 365;
-	public static final int MIPS_INS_SC = 366;
-	public static final int MIPS_INS_SCD = 367;
-	public static final int MIPS_INS_SD = 368;
-	public static final int MIPS_INS_SDC1 = 369;
-	public static final int MIPS_INS_SDC2 = 370;
-	public static final int MIPS_INS_SDL = 371;
-	public static final int MIPS_INS_SDR = 372;
-	public static final int MIPS_INS_SDXC1 = 373;
-	public static final int MIPS_INS_SEB = 374;
-	public static final int MIPS_INS_SEH = 375;
-	public static final int MIPS_INS_SH = 376;
-	public static final int MIPS_INS_SHF = 377;
-	public static final int MIPS_INS_SHILO = 378;
-	public static final int MIPS_INS_SHILOV = 379;
-	public static final int MIPS_INS_SHLLV = 380;
-	public static final int MIPS_INS_SHLLV_S = 381;
-	public static final int MIPS_INS_SHLL = 382;
-	public static final int MIPS_INS_SHLL_S = 383;
-	public static final int MIPS_INS_SHRAV = 384;
-	public static final int MIPS_INS_SHRAV_R = 385;
-	public static final int MIPS_INS_SHRA = 386;
-	public static final int MIPS_INS_SHRA_R = 387;
-	public static final int MIPS_INS_SHRLV = 388;
-	public static final int MIPS_INS_SHRL = 389;
-	public static final int MIPS_INS_SLDI = 390;
-	public static final int MIPS_INS_SLD = 391;
-	public static final int MIPS_INS_SLL = 392;
-	public static final int MIPS_INS_SLLI = 393;
-	public static final int MIPS_INS_SLLV = 394;
-	public static final int MIPS_INS_SLT = 395;
-	public static final int MIPS_INS_SLTI = 396;
-	public static final int MIPS_INS_SLTIU = 397;
-	public static final int MIPS_INS_SLTU = 398;
-	public static final int MIPS_INS_SPLATI = 399;
-	public static final int MIPS_INS_SPLAT = 400;
-	public static final int MIPS_INS_SRA = 401;
-	public static final int MIPS_INS_SRAI = 402;
-	public static final int MIPS_INS_SRARI = 403;
-	public static final int MIPS_INS_SRAR = 404;
-	public static final int MIPS_INS_SRAV = 405;
-	public static final int MIPS_INS_SRL = 406;
-	public static final int MIPS_INS_SRLI = 407;
-	public static final int MIPS_INS_SRLRI = 408;
-	public static final int MIPS_INS_SRLR = 409;
-	public static final int MIPS_INS_SRLV = 410;
-	public static final int MIPS_INS_ST = 411;
-	public static final int MIPS_INS_SUBQH = 412;
-	public static final int MIPS_INS_SUBQH_R = 413;
-	public static final int MIPS_INS_SUBQ = 414;
-	public static final int MIPS_INS_SUBQ_S = 415;
-	public static final int MIPS_INS_SUBSUS_U = 416;
-	public static final int MIPS_INS_SUBSUU_S = 417;
-	public static final int MIPS_INS_SUBS_S = 418;
-	public static final int MIPS_INS_SUBS_U = 419;
-	public static final int MIPS_INS_SUBUH = 420;
-	public static final int MIPS_INS_SUBUH_R = 421;
-	public static final int MIPS_INS_SUBU = 422;
-	public static final int MIPS_INS_SUBU_S = 423;
-	public static final int MIPS_INS_SUBVI = 424;
-	public static final int MIPS_INS_SUBV = 425;
-	public static final int MIPS_INS_SUXC1 = 426;
-	public static final int MIPS_INS_SW = 427;
-	public static final int MIPS_INS_SWC1 = 428;
-	public static final int MIPS_INS_SWC2 = 429;
-	public static final int MIPS_INS_SWL = 430;
-	public static final int MIPS_INS_SWR = 431;
-	public static final int MIPS_INS_SWXC1 = 432;
-	public static final int MIPS_INS_SYNC = 433;
-	public static final int MIPS_INS_SYSCALL = 434;
-	public static final int MIPS_INS_TEQ = 435;
-	public static final int MIPS_INS_TEQI = 436;
-	public static final int MIPS_INS_TGE = 437;
-	public static final int MIPS_INS_TGEI = 438;
-	public static final int MIPS_INS_TGEIU = 439;
-	public static final int MIPS_INS_TGEU = 440;
-	public static final int MIPS_INS_TLT = 441;
-	public static final int MIPS_INS_TLTI = 442;
-	public static final int MIPS_INS_TLTIU = 443;
-	public static final int MIPS_INS_TLTU = 444;
-	public static final int MIPS_INS_TNE = 445;
-	public static final int MIPS_INS_TNEI = 446;
-	public static final int MIPS_INS_TRUNC = 447;
-	public static final int MIPS_INS_VSHF = 448;
-	public static final int MIPS_INS_WAIT = 449;
-	public static final int MIPS_INS_WRDSP = 450;
-	public static final int MIPS_INS_WSBH = 451;
-	public static final int MIPS_INS_XOR = 452;
-	public static final int MIPS_INS_XORI = 453;
+	public static final int MIPS_INS_DDIVU = 143;
+	public static final int MIPS_INS_DERET = 144;
+	public static final int MIPS_INS_DEXT = 145;
+	public static final int MIPS_INS_DEXTM = 146;
+	public static final int MIPS_INS_DEXTU = 147;
+	public static final int MIPS_INS_DI = 148;
+	public static final int MIPS_INS_DINS = 149;
+	public static final int MIPS_INS_DINSM = 150;
+	public static final int MIPS_INS_DINSU = 151;
+	public static final int MIPS_INS_DIV = 152;
+	public static final int MIPS_INS_DIVU = 153;
+	public static final int MIPS_INS_DIV_S = 154;
+	public static final int MIPS_INS_DIV_U = 155;
+	public static final int MIPS_INS_DLSA = 156;
+	public static final int MIPS_INS_DMFC0 = 157;
+	public static final int MIPS_INS_DMFC1 = 158;
+	public static final int MIPS_INS_DMFC2 = 159;
+	public static final int MIPS_INS_DMOD = 160;
+	public static final int MIPS_INS_DMODU = 161;
+	public static final int MIPS_INS_DMTC0 = 162;
+	public static final int MIPS_INS_DMTC1 = 163;
+	public static final int MIPS_INS_DMTC2 = 164;
+	public static final int MIPS_INS_DMUH = 165;
+	public static final int MIPS_INS_DMUHU = 166;
+	public static final int MIPS_INS_DMUL = 167;
+	public static final int MIPS_INS_DMULT = 168;
+	public static final int MIPS_INS_DMULTU = 169;
+	public static final int MIPS_INS_DMULU = 170;
+	public static final int MIPS_INS_DOTP_S = 171;
+	public static final int MIPS_INS_DOTP_U = 172;
+	public static final int MIPS_INS_DPADD_S = 173;
+	public static final int MIPS_INS_DPADD_U = 174;
+	public static final int MIPS_INS_DPAQX_SA = 175;
+	public static final int MIPS_INS_DPAQX_S = 176;
+	public static final int MIPS_INS_DPAQ_SA = 177;
+	public static final int MIPS_INS_DPAQ_S = 178;
+	public static final int MIPS_INS_DPAU = 179;
+	public static final int MIPS_INS_DPAX = 180;
+	public static final int MIPS_INS_DPA = 181;
+	public static final int MIPS_INS_DPOP = 182;
+	public static final int MIPS_INS_DPSQX_SA = 183;
+	public static final int MIPS_INS_DPSQX_S = 184;
+	public static final int MIPS_INS_DPSQ_SA = 185;
+	public static final int MIPS_INS_DPSQ_S = 186;
+	public static final int MIPS_INS_DPSUB_S = 187;
+	public static final int MIPS_INS_DPSUB_U = 188;
+	public static final int MIPS_INS_DPSU = 189;
+	public static final int MIPS_INS_DPSX = 190;
+	public static final int MIPS_INS_DPS = 191;
+	public static final int MIPS_INS_DROTR = 192;
+	public static final int MIPS_INS_DROTR32 = 193;
+	public static final int MIPS_INS_DROTRV = 194;
+	public static final int MIPS_INS_DSBH = 195;
+	public static final int MIPS_INS_DSHD = 196;
+	public static final int MIPS_INS_DSLL = 197;
+	public static final int MIPS_INS_DSLL32 = 198;
+	public static final int MIPS_INS_DSLLV = 199;
+	public static final int MIPS_INS_DSRA = 200;
+	public static final int MIPS_INS_DSRA32 = 201;
+	public static final int MIPS_INS_DSRAV = 202;
+	public static final int MIPS_INS_DSRL = 203;
+	public static final int MIPS_INS_DSRL32 = 204;
+	public static final int MIPS_INS_DSRLV = 205;
+	public static final int MIPS_INS_DSUB = 206;
+	public static final int MIPS_INS_DSUBU = 207;
+	public static final int MIPS_INS_EHB = 208;
+	public static final int MIPS_INS_EI = 209;
+	public static final int MIPS_INS_ERET = 210;
+	public static final int MIPS_INS_EXT = 211;
+	public static final int MIPS_INS_EXTP = 212;
+	public static final int MIPS_INS_EXTPDP = 213;
+	public static final int MIPS_INS_EXTPDPV = 214;
+	public static final int MIPS_INS_EXTPV = 215;
+	public static final int MIPS_INS_EXTRV_RS = 216;
+	public static final int MIPS_INS_EXTRV_R = 217;
+	public static final int MIPS_INS_EXTRV_S = 218;
+	public static final int MIPS_INS_EXTRV = 219;
+	public static final int MIPS_INS_EXTR_RS = 220;
+	public static final int MIPS_INS_EXTR_R = 221;
+	public static final int MIPS_INS_EXTR_S = 222;
+	public static final int MIPS_INS_EXTR = 223;
+	public static final int MIPS_INS_EXTS = 224;
+	public static final int MIPS_INS_EXTS32 = 225;
+	public static final int MIPS_INS_ABS = 226;
+	public static final int MIPS_INS_FADD = 227;
+	public static final int MIPS_INS_FCAF = 228;
+	public static final int MIPS_INS_FCEQ = 229;
+	public static final int MIPS_INS_FCLASS = 230;
+	public static final int MIPS_INS_FCLE = 231;
+	public static final int MIPS_INS_FCLT = 232;
+	public static final int MIPS_INS_FCNE = 233;
+	public static final int MIPS_INS_FCOR = 234;
+	public static final int MIPS_INS_FCUEQ = 235;
+	public static final int MIPS_INS_FCULE = 236;
+	public static final int MIPS_INS_FCULT = 237;
+	public static final int MIPS_INS_FCUNE = 238;
+	public static final int MIPS_INS_FCUN = 239;
+	public static final int MIPS_INS_FDIV = 240;
+	public static final int MIPS_INS_FEXDO = 241;
+	public static final int MIPS_INS_FEXP2 = 242;
+	public static final int MIPS_INS_FEXUPL = 243;
+	public static final int MIPS_INS_FEXUPR = 244;
+	public static final int MIPS_INS_FFINT_S = 245;
+	public static final int MIPS_INS_FFINT_U = 246;
+	public static final int MIPS_INS_FFQL = 247;
+	public static final int MIPS_INS_FFQR = 248;
+	public static final int MIPS_INS_FILL = 249;
+	public static final int MIPS_INS_FLOG2 = 250;
+	public static final int MIPS_INS_FLOOR = 251;
+	public static final int MIPS_INS_FMADD = 252;
+	public static final int MIPS_INS_FMAX_A = 253;
+	public static final int MIPS_INS_FMAX = 254;
+	public static final int MIPS_INS_FMIN_A = 255;
+	public static final int MIPS_INS_FMIN = 256;
+	public static final int MIPS_INS_MOV = 257;
+	public static final int MIPS_INS_FMSUB = 258;
+	public static final int MIPS_INS_FMUL = 259;
+	public static final int MIPS_INS_MUL = 260;
+	public static final int MIPS_INS_NEG = 261;
+	public static final int MIPS_INS_FRCP = 262;
+	public static final int MIPS_INS_FRINT = 263;
+	public static final int MIPS_INS_FRSQRT = 264;
+	public static final int MIPS_INS_FSAF = 265;
+	public static final int MIPS_INS_FSEQ = 266;
+	public static final int MIPS_INS_FSLE = 267;
+	public static final int MIPS_INS_FSLT = 268;
+	public static final int MIPS_INS_FSNE = 269;
+	public static final int MIPS_INS_FSOR = 270;
+	public static final int MIPS_INS_FSQRT = 271;
+	public static final int MIPS_INS_SQRT = 272;
+	public static final int MIPS_INS_FSUB = 273;
+	public static final int MIPS_INS_SUB = 274;
+	public static final int MIPS_INS_FSUEQ = 275;
+	public static final int MIPS_INS_FSULE = 276;
+	public static final int MIPS_INS_FSULT = 277;
+	public static final int MIPS_INS_FSUNE = 278;
+	public static final int MIPS_INS_FSUN = 279;
+	public static final int MIPS_INS_FTINT_S = 280;
+	public static final int MIPS_INS_FTINT_U = 281;
+	public static final int MIPS_INS_FTQ = 282;
+	public static final int MIPS_INS_FTRUNC_S = 283;
+	public static final int MIPS_INS_FTRUNC_U = 284;
+	public static final int MIPS_INS_HADD_S = 285;
+	public static final int MIPS_INS_HADD_U = 286;
+	public static final int MIPS_INS_HSUB_S = 287;
+	public static final int MIPS_INS_HSUB_U = 288;
+	public static final int MIPS_INS_ILVEV = 289;
+	public static final int MIPS_INS_ILVL = 290;
+	public static final int MIPS_INS_ILVOD = 291;
+	public static final int MIPS_INS_ILVR = 292;
+	public static final int MIPS_INS_INS = 293;
+	public static final int MIPS_INS_INSERT = 294;
+	public static final int MIPS_INS_INSV = 295;
+	public static final int MIPS_INS_INSVE = 296;
+	public static final int MIPS_INS_J = 297;
+	public static final int MIPS_INS_JAL = 298;
+	public static final int MIPS_INS_JALR = 299;
+	public static final int MIPS_INS_JALX = 300;
+	public static final int MIPS_INS_JIALC = 301;
+	public static final int MIPS_INS_JIC = 302;
+	public static final int MIPS_INS_JR = 303;
+	public static final int MIPS_INS_JRC = 304;
+	public static final int MIPS_INS_JALRC = 305;
+	public static final int MIPS_INS_LB = 306;
+	public static final int MIPS_INS_LBUX = 307;
+	public static final int MIPS_INS_LBU = 308;
+	public static final int MIPS_INS_LD = 309;
+	public static final int MIPS_INS_LDC1 = 310;
+	public static final int MIPS_INS_LDC2 = 311;
+	public static final int MIPS_INS_LDC3 = 312;
+	public static final int MIPS_INS_LDI = 313;
+	public static final int MIPS_INS_LDL = 314;
+	public static final int MIPS_INS_LDPC = 315;
+	public static final int MIPS_INS_LDR = 316;
+	public static final int MIPS_INS_LDXC1 = 317;
+	public static final int MIPS_INS_LH = 318;
+	public static final int MIPS_INS_LHX = 319;
+	public static final int MIPS_INS_LHU = 320;
+	public static final int MIPS_INS_LL = 321;
+	public static final int MIPS_INS_LLD = 322;
+	public static final int MIPS_INS_LSA = 323;
+	public static final int MIPS_INS_LUXC1 = 324;
+	public static final int MIPS_INS_LUI = 325;
+	public static final int MIPS_INS_LW = 326;
+	public static final int MIPS_INS_LWC1 = 327;
+	public static final int MIPS_INS_LWC2 = 328;
+	public static final int MIPS_INS_LWC3 = 329;
+	public static final int MIPS_INS_LWL = 330;
+	public static final int MIPS_INS_LWPC = 331;
+	public static final int MIPS_INS_LWR = 332;
+	public static final int MIPS_INS_LWUPC = 333;
+	public static final int MIPS_INS_LWU = 334;
+	public static final int MIPS_INS_LWX = 335;
+	public static final int MIPS_INS_LWXC1 = 336;
+	public static final int MIPS_INS_LI = 337;
+	public static final int MIPS_INS_MADD = 338;
+	public static final int MIPS_INS_MADDF = 339;
+	public static final int MIPS_INS_MADDR_Q = 340;
+	public static final int MIPS_INS_MADDU = 341;
+	public static final int MIPS_INS_MADDV = 342;
+	public static final int MIPS_INS_MADD_Q = 343;
+	public static final int MIPS_INS_MAQ_SA = 344;
+	public static final int MIPS_INS_MAQ_S = 345;
+	public static final int MIPS_INS_MAXA = 346;
+	public static final int MIPS_INS_MAXI_S = 347;
+	public static final int MIPS_INS_MAXI_U = 348;
+	public static final int MIPS_INS_MAX_A = 349;
+	public static final int MIPS_INS_MAX = 350;
+	public static final int MIPS_INS_MAX_S = 351;
+	public static final int MIPS_INS_MAX_U = 352;
+	public static final int MIPS_INS_MFC0 = 353;
+	public static final int MIPS_INS_MFC1 = 354;
+	public static final int MIPS_INS_MFC2 = 355;
+	public static final int MIPS_INS_MFHC1 = 356;
+	public static final int MIPS_INS_MFHI = 357;
+	public static final int MIPS_INS_MFLO = 358;
+	public static final int MIPS_INS_MINA = 359;
+	public static final int MIPS_INS_MINI_S = 360;
+	public static final int MIPS_INS_MINI_U = 361;
+	public static final int MIPS_INS_MIN_A = 362;
+	public static final int MIPS_INS_MIN = 363;
+	public static final int MIPS_INS_MIN_S = 364;
+	public static final int MIPS_INS_MIN_U = 365;
+	public static final int MIPS_INS_MOD = 366;
+	public static final int MIPS_INS_MODSUB = 367;
+	public static final int MIPS_INS_MODU = 368;
+	public static final int MIPS_INS_MOD_S = 369;
+	public static final int MIPS_INS_MOD_U = 370;
+	public static final int MIPS_INS_MOVE = 371;
+	public static final int MIPS_INS_MOVF = 372;
+	public static final int MIPS_INS_MOVN = 373;
+	public static final int MIPS_INS_MOVT = 374;
+	public static final int MIPS_INS_MOVZ = 375;
+	public static final int MIPS_INS_MSUB = 376;
+	public static final int MIPS_INS_MSUBF = 377;
+	public static final int MIPS_INS_MSUBR_Q = 378;
+	public static final int MIPS_INS_MSUBU = 379;
+	public static final int MIPS_INS_MSUBV = 380;
+	public static final int MIPS_INS_MSUB_Q = 381;
+	public static final int MIPS_INS_MTC0 = 382;
+	public static final int MIPS_INS_MTC1 = 383;
+	public static final int MIPS_INS_MTC2 = 384;
+	public static final int MIPS_INS_MTHC1 = 385;
+	public static final int MIPS_INS_MTHI = 386;
+	public static final int MIPS_INS_MTHLIP = 387;
+	public static final int MIPS_INS_MTLO = 388;
+	public static final int MIPS_INS_MTM0 = 389;
+	public static final int MIPS_INS_MTM1 = 390;
+	public static final int MIPS_INS_MTM2 = 391;
+	public static final int MIPS_INS_MTP0 = 392;
+	public static final int MIPS_INS_MTP1 = 393;
+	public static final int MIPS_INS_MTP2 = 394;
+	public static final int MIPS_INS_MUH = 395;
+	public static final int MIPS_INS_MUHU = 396;
+	public static final int MIPS_INS_MULEQ_S = 397;
+	public static final int MIPS_INS_MULEU_S = 398;
+	public static final int MIPS_INS_MULQ_RS = 399;
+	public static final int MIPS_INS_MULQ_S = 400;
+	public static final int MIPS_INS_MULR_Q = 401;
+	public static final int MIPS_INS_MULSAQ_S = 402;
+	public static final int MIPS_INS_MULSA = 403;
+	public static final int MIPS_INS_MULT = 404;
+	public static final int MIPS_INS_MULTU = 405;
+	public static final int MIPS_INS_MULU = 406;
+	public static final int MIPS_INS_MULV = 407;
+	public static final int MIPS_INS_MUL_Q = 408;
+	public static final int MIPS_INS_MUL_S = 409;
+	public static final int MIPS_INS_NLOC = 410;
+	public static final int MIPS_INS_NLZC = 411;
+	public static final int MIPS_INS_NMADD = 412;
+	public static final int MIPS_INS_NMSUB = 413;
+	public static final int MIPS_INS_NOR = 414;
+	public static final int MIPS_INS_NORI = 415;
+	public static final int MIPS_INS_NOT = 416;
+	public static final int MIPS_INS_OR = 417;
+	public static final int MIPS_INS_ORI = 418;
+	public static final int MIPS_INS_PACKRL = 419;
+	public static final int MIPS_INS_PAUSE = 420;
+	public static final int MIPS_INS_PCKEV = 421;
+	public static final int MIPS_INS_PCKOD = 422;
+	public static final int MIPS_INS_PCNT = 423;
+	public static final int MIPS_INS_PICK = 424;
+	public static final int MIPS_INS_POP = 425;
+	public static final int MIPS_INS_PRECEQU = 426;
+	public static final int MIPS_INS_PRECEQ = 427;
+	public static final int MIPS_INS_PRECEU = 428;
+	public static final int MIPS_INS_PRECRQU_S = 429;
+	public static final int MIPS_INS_PRECRQ = 430;
+	public static final int MIPS_INS_PRECRQ_RS = 431;
+	public static final int MIPS_INS_PRECR = 432;
+	public static final int MIPS_INS_PRECR_SRA = 433;
+	public static final int MIPS_INS_PRECR_SRA_R = 434;
+	public static final int MIPS_INS_PREF = 435;
+	public static final int MIPS_INS_PREPEND = 436;
+	public static final int MIPS_INS_RADDU = 437;
+	public static final int MIPS_INS_RDDSP = 438;
+	public static final int MIPS_INS_RDHWR = 439;
+	public static final int MIPS_INS_REPLV = 440;
+	public static final int MIPS_INS_REPL = 441;
+	public static final int MIPS_INS_RINT = 442;
+	public static final int MIPS_INS_ROTR = 443;
+	public static final int MIPS_INS_ROTRV = 444;
+	public static final int MIPS_INS_ROUND = 445;
+	public static final int MIPS_INS_SAT_S = 446;
+	public static final int MIPS_INS_SAT_U = 447;
+	public static final int MIPS_INS_SB = 448;
+	public static final int MIPS_INS_SC = 449;
+	public static final int MIPS_INS_SCD = 450;
+	public static final int MIPS_INS_SD = 451;
+	public static final int MIPS_INS_SDBBP = 452;
+	public static final int MIPS_INS_SDC1 = 453;
+	public static final int MIPS_INS_SDC2 = 454;
+	public static final int MIPS_INS_SDC3 = 455;
+	public static final int MIPS_INS_SDL = 456;
+	public static final int MIPS_INS_SDR = 457;
+	public static final int MIPS_INS_SDXC1 = 458;
+	public static final int MIPS_INS_SEB = 459;
+	public static final int MIPS_INS_SEH = 460;
+	public static final int MIPS_INS_SELEQZ = 461;
+	public static final int MIPS_INS_SELNEZ = 462;
+	public static final int MIPS_INS_SEL = 463;
+	public static final int MIPS_INS_SEQ = 464;
+	public static final int MIPS_INS_SEQI = 465;
+	public static final int MIPS_INS_SH = 466;
+	public static final int MIPS_INS_SHF = 467;
+	public static final int MIPS_INS_SHILO = 468;
+	public static final int MIPS_INS_SHILOV = 469;
+	public static final int MIPS_INS_SHLLV = 470;
+	public static final int MIPS_INS_SHLLV_S = 471;
+	public static final int MIPS_INS_SHLL = 472;
+	public static final int MIPS_INS_SHLL_S = 473;
+	public static final int MIPS_INS_SHRAV = 474;
+	public static final int MIPS_INS_SHRAV_R = 475;
+	public static final int MIPS_INS_SHRA = 476;
+	public static final int MIPS_INS_SHRA_R = 477;
+	public static final int MIPS_INS_SHRLV = 478;
+	public static final int MIPS_INS_SHRL = 479;
+	public static final int MIPS_INS_SLDI = 480;
+	public static final int MIPS_INS_SLD = 481;
+	public static final int MIPS_INS_SLL = 482;
+	public static final int MIPS_INS_SLLI = 483;
+	public static final int MIPS_INS_SLLV = 484;
+	public static final int MIPS_INS_SLT = 485;
+	public static final int MIPS_INS_SLTI = 486;
+	public static final int MIPS_INS_SLTIU = 487;
+	public static final int MIPS_INS_SLTU = 488;
+	public static final int MIPS_INS_SNE = 489;
+	public static final int MIPS_INS_SNEI = 490;
+	public static final int MIPS_INS_SPLATI = 491;
+	public static final int MIPS_INS_SPLAT = 492;
+	public static final int MIPS_INS_SRA = 493;
+	public static final int MIPS_INS_SRAI = 494;
+	public static final int MIPS_INS_SRARI = 495;
+	public static final int MIPS_INS_SRAR = 496;
+	public static final int MIPS_INS_SRAV = 497;
+	public static final int MIPS_INS_SRL = 498;
+	public static final int MIPS_INS_SRLI = 499;
+	public static final int MIPS_INS_SRLRI = 500;
+	public static final int MIPS_INS_SRLR = 501;
+	public static final int MIPS_INS_SRLV = 502;
+	public static final int MIPS_INS_SSNOP = 503;
+	public static final int MIPS_INS_ST = 504;
+	public static final int MIPS_INS_SUBQH = 505;
+	public static final int MIPS_INS_SUBQH_R = 506;
+	public static final int MIPS_INS_SUBQ = 507;
+	public static final int MIPS_INS_SUBQ_S = 508;
+	public static final int MIPS_INS_SUBSUS_U = 509;
+	public static final int MIPS_INS_SUBSUU_S = 510;
+	public static final int MIPS_INS_SUBS_S = 511;
+	public static final int MIPS_INS_SUBS_U = 512;
+	public static final int MIPS_INS_SUBUH = 513;
+	public static final int MIPS_INS_SUBUH_R = 514;
+	public static final int MIPS_INS_SUBU = 515;
+	public static final int MIPS_INS_SUBU_S = 516;
+	public static final int MIPS_INS_SUBVI = 517;
+	public static final int MIPS_INS_SUBV = 518;
+	public static final int MIPS_INS_SUXC1 = 519;
+	public static final int MIPS_INS_SW = 520;
+	public static final int MIPS_INS_SWC1 = 521;
+	public static final int MIPS_INS_SWC2 = 522;
+	public static final int MIPS_INS_SWC3 = 523;
+	public static final int MIPS_INS_SWL = 524;
+	public static final int MIPS_INS_SWR = 525;
+	public static final int MIPS_INS_SWXC1 = 526;
+	public static final int MIPS_INS_SYNC = 527;
+	public static final int MIPS_INS_SYSCALL = 528;
+	public static final int MIPS_INS_TEQ = 529;
+	public static final int MIPS_INS_TEQI = 530;
+	public static final int MIPS_INS_TGE = 531;
+	public static final int MIPS_INS_TGEI = 532;
+	public static final int MIPS_INS_TGEIU = 533;
+	public static final int MIPS_INS_TGEU = 534;
+	public static final int MIPS_INS_TLBP = 535;
+	public static final int MIPS_INS_TLBR = 536;
+	public static final int MIPS_INS_TLBWI = 537;
+	public static final int MIPS_INS_TLBWR = 538;
+	public static final int MIPS_INS_TLT = 539;
+	public static final int MIPS_INS_TLTI = 540;
+	public static final int MIPS_INS_TLTIU = 541;
+	public static final int MIPS_INS_TLTU = 542;
+	public static final int MIPS_INS_TNE = 543;
+	public static final int MIPS_INS_TNEI = 544;
+	public static final int MIPS_INS_TRUNC = 545;
+	public static final int MIPS_INS_V3MULU = 546;
+	public static final int MIPS_INS_VMM0 = 547;
+	public static final int MIPS_INS_VMULU = 548;
+	public static final int MIPS_INS_VSHF = 549;
+	public static final int MIPS_INS_WAIT = 550;
+	public static final int MIPS_INS_WRDSP = 551;
+	public static final int MIPS_INS_WSBH = 552;
+	public static final int MIPS_INS_XOR = 553;
+	public static final int MIPS_INS_XORI = 554;
 
 	// some alias instructions
-	public static final int MIPS_INS_NOP = 454;
-	public static final int MIPS_INS_NEGU = 455;
-	public static final int MIPS_INS_MAX = 456;
+	public static final int MIPS_INS_NOP = 555;
+	public static final int MIPS_INS_NEGU = 556;
+	public static final int MIPS_INS_MAXIMUM = 557;
 
 	// Group of MIPS instructions
 
@@ -661,6 +768,21 @@
 	public static final int MIPS_GRP_NOTFP64BIT = 16;
 	public static final int MIPS_GRP_NOTINMICROMIPS = 17;
 	public static final int MIPS_GRP_NOTNACL = 18;
-	public static final int MIPS_GRP_JUMP = 19;
-	public static final int MIPS_GRP_MAX = 20;
+	public static final int MIPS_GRP_NOTMIPS32R6 = 19;
+	public static final int MIPS_GRP_NOTMIPS64R6 = 20;
+	public static final int MIPS_GRP_CNMIPS = 21;
+	public static final int MIPS_GRP_MIPS32 = 22;
+	public static final int MIPS_GRP_MIPS32R6 = 23;
+	public static final int MIPS_GRP_MIPS64R6 = 24;
+	public static final int MIPS_GRP_MIPS2 = 25;
+	public static final int MIPS_GRP_MIPS3 = 26;
+	public static final int MIPS_GRP_MIPS3_32 = 27;
+	public static final int MIPS_GRP_MIPS3_32R2 = 28;
+	public static final int MIPS_GRP_MIPS4_32 = 29;
+	public static final int MIPS_GRP_MIPS4_32R2 = 30;
+	public static final int MIPS_GRP_MIPS5_32R2 = 31;
+	public static final int MIPS_GRP_GP32BIT = 32;
+	public static final int MIPS_GRP_GP64BIT = 33;
+	public static final int MIPS_GRP_JUMP = 34;
+	public static final int MIPS_GRP_MAX = 35;
 }
\ No newline at end of file
diff --git a/bindings/python/capstone/__init__.py b/bindings/python/capstone/__init__.py
index 897f557..d41889f 100644
--- a/bindings/python/capstone/__init__.py
+++ b/bindings/python/capstone/__init__.py
@@ -39,6 +39,9 @@
     'CS_MODE_MCLASS',
     'CS_MODE_MICRO',
     'CS_MODE_N64',
+    'CS_MODE_MIPS3',
+    'CS_MODE_MIPS32R6',
+    'CS_MODE_MIPSGP64',
     'CS_MODE_V9',
 
     'CS_OPT_SYNTAX',
@@ -100,6 +103,9 @@
 CS_MODE_MCLASS = (1 << 5)      # ARM's Cortex-M series
 CS_MODE_MICRO = (1 << 4)       # MicroMips mode (MIPS architecture)
 CS_MODE_N64 = (1 << 5)         # Nintendo-64 mode (MIPS architecture)
+CS_MODE_MIPS3 = 1 << 6         # Mips III ISA
+CS_MODE_MIPS32R6 = 1 << 7      # Mips32r6 ISA
+CS_MODE_MIPSGP64 = 1 << 8      # General Purpose Registers are 64-bit wide (MIPS arch)
 CS_MODE_V9 = (1 << 4)          # Nintendo-64 mode (MIPS architecture)
 CS_MODE_BIG_ENDIAN = (1 << 31) # big-endian mode
 
diff --git a/bindings/python/capstone/mips_const.py b/bindings/python/capstone/mips_const.py
index 023936f..2f59a6e 100644
--- a/bindings/python/capstone/mips_const.py
+++ b/bindings/python/capstone/mips_const.py
@@ -132,7 +132,13 @@
 MIPS_REG_HI = 120
 MIPS_REG_LO = 121
 MIPS_REG_PC = 122
-MIPS_REG_MAX = 123
+MIPS_REG_P0 = 123
+MIPS_REG_P1 = 124
+MIPS_REG_P2 = 125
+MIPS_REG_MPL0 = 126
+MIPS_REG_MPL1 = 127
+MIPS_REG_MPL2 = 128
+MIPS_REG_MAX = 129
 MIPS_REG_ZERO = MIPS_REG_0
 MIPS_REG_AT = MIPS_REG_1
 MIPS_REG_V0 = MIPS_REG_2
@@ -180,462 +186,563 @@
 MIPS_INS_INVALID = 0
 MIPS_INS_ABSQ_S = 1
 MIPS_INS_ADD = 2
-MIPS_INS_ADDQH = 3
-MIPS_INS_ADDQH_R = 4
-MIPS_INS_ADDQ = 5
-MIPS_INS_ADDQ_S = 6
-MIPS_INS_ADDSC = 7
-MIPS_INS_ADDS_A = 8
-MIPS_INS_ADDS_S = 9
-MIPS_INS_ADDS_U = 10
-MIPS_INS_ADDUH = 11
-MIPS_INS_ADDUH_R = 12
-MIPS_INS_ADDU = 13
-MIPS_INS_ADDU_S = 14
-MIPS_INS_ADDVI = 15
-MIPS_INS_ADDV = 16
-MIPS_INS_ADDWC = 17
-MIPS_INS_ADD_A = 18
-MIPS_INS_ADDI = 19
-MIPS_INS_ADDIU = 20
-MIPS_INS_AND = 21
-MIPS_INS_ANDI = 22
-MIPS_INS_APPEND = 23
-MIPS_INS_ASUB_S = 24
-MIPS_INS_ASUB_U = 25
-MIPS_INS_AVER_S = 26
-MIPS_INS_AVER_U = 27
-MIPS_INS_AVE_S = 28
-MIPS_INS_AVE_U = 29
-MIPS_INS_BALIGN = 30
-MIPS_INS_BC1F = 31
-MIPS_INS_BC1T = 32
-MIPS_INS_BCLRI = 33
-MIPS_INS_BCLR = 34
-MIPS_INS_BEQ = 35
-MIPS_INS_BGEZ = 36
-MIPS_INS_BGEZAL = 37
-MIPS_INS_BGTZ = 38
-MIPS_INS_BINSLI = 39
-MIPS_INS_BINSL = 40
-MIPS_INS_BINSRI = 41
-MIPS_INS_BINSR = 42
-MIPS_INS_BITREV = 43
-MIPS_INS_BLEZ = 44
-MIPS_INS_BLTZ = 45
-MIPS_INS_BLTZAL = 46
-MIPS_INS_BMNZI = 47
-MIPS_INS_BMNZ = 48
-MIPS_INS_BMZI = 49
-MIPS_INS_BMZ = 50
-MIPS_INS_BNE = 51
-MIPS_INS_BNEGI = 52
-MIPS_INS_BNEG = 53
-MIPS_INS_BNZ = 54
-MIPS_INS_BPOSGE32 = 55
-MIPS_INS_BREAK = 56
-MIPS_INS_BSELI = 57
-MIPS_INS_BSEL = 58
-MIPS_INS_BSETI = 59
-MIPS_INS_BSET = 60
-MIPS_INS_BZ = 61
-MIPS_INS_BEQZ = 62
-MIPS_INS_B = 63
-MIPS_INS_BNEZ = 64
-MIPS_INS_BTEQZ = 65
-MIPS_INS_BTNEZ = 66
-MIPS_INS_CEIL = 67
-MIPS_INS_CEQI = 68
-MIPS_INS_CEQ = 69
-MIPS_INS_CFC1 = 70
-MIPS_INS_CFCMSA = 71
-MIPS_INS_CLEI_S = 72
-MIPS_INS_CLEI_U = 73
-MIPS_INS_CLE_S = 74
-MIPS_INS_CLE_U = 75
-MIPS_INS_CLO = 76
-MIPS_INS_CLTI_S = 77
-MIPS_INS_CLTI_U = 78
-MIPS_INS_CLT_S = 79
-MIPS_INS_CLT_U = 80
-MIPS_INS_CLZ = 81
-MIPS_INS_CMPGDU = 82
-MIPS_INS_CMPGU = 83
-MIPS_INS_CMPU = 84
-MIPS_INS_CMP = 85
-MIPS_INS_COPY_S = 86
-MIPS_INS_COPY_U = 87
-MIPS_INS_CTC1 = 88
-MIPS_INS_CTCMSA = 89
-MIPS_INS_CVT = 90
-MIPS_INS_C = 91
-MIPS_INS_CMPI = 92
-MIPS_INS_DADD = 93
-MIPS_INS_DADDI = 94
-MIPS_INS_DADDIU = 95
-MIPS_INS_DADDU = 96
-MIPS_INS_DCLO = 97
-MIPS_INS_DCLZ = 98
-MIPS_INS_DERET = 99
-MIPS_INS_DEXT = 100
-MIPS_INS_DEXTM = 101
-MIPS_INS_DEXTU = 102
-MIPS_INS_DI = 103
-MIPS_INS_DINS = 104
-MIPS_INS_DINSM = 105
-MIPS_INS_DINSU = 106
-MIPS_INS_DIV_S = 107
-MIPS_INS_DIV_U = 108
-MIPS_INS_DLSA = 109
-MIPS_INS_DMFC0 = 110
-MIPS_INS_DMFC1 = 111
-MIPS_INS_DMFC2 = 112
-MIPS_INS_DMTC0 = 113
-MIPS_INS_DMTC1 = 114
-MIPS_INS_DMTC2 = 115
-MIPS_INS_DMULT = 116
-MIPS_INS_DMULTU = 117
-MIPS_INS_DOTP_S = 118
-MIPS_INS_DOTP_U = 119
-MIPS_INS_DPADD_S = 120
-MIPS_INS_DPADD_U = 121
-MIPS_INS_DPAQX_SA = 122
-MIPS_INS_DPAQX_S = 123
-MIPS_INS_DPAQ_SA = 124
-MIPS_INS_DPAQ_S = 125
-MIPS_INS_DPAU = 126
-MIPS_INS_DPAX = 127
-MIPS_INS_DPA = 128
-MIPS_INS_DPSQX_SA = 129
-MIPS_INS_DPSQX_S = 130
-MIPS_INS_DPSQ_SA = 131
-MIPS_INS_DPSQ_S = 132
-MIPS_INS_DPSUB_S = 133
-MIPS_INS_DPSUB_U = 134
-MIPS_INS_DPSU = 135
-MIPS_INS_DPSX = 136
-MIPS_INS_DPS = 137
-MIPS_INS_DROTR = 138
-MIPS_INS_DROTR32 = 139
-MIPS_INS_DROTRV = 140
-MIPS_INS_DSBH = 141
+MIPS_INS_ADDIUPC = 3
+MIPS_INS_ADDQH = 4
+MIPS_INS_ADDQH_R = 5
+MIPS_INS_ADDQ = 6
+MIPS_INS_ADDQ_S = 7
+MIPS_INS_ADDSC = 8
+MIPS_INS_ADDS_A = 9
+MIPS_INS_ADDS_S = 10
+MIPS_INS_ADDS_U = 11
+MIPS_INS_ADDUH = 12
+MIPS_INS_ADDUH_R = 13
+MIPS_INS_ADDU = 14
+MIPS_INS_ADDU_S = 15
+MIPS_INS_ADDVI = 16
+MIPS_INS_ADDV = 17
+MIPS_INS_ADDWC = 18
+MIPS_INS_ADD_A = 19
+MIPS_INS_ADDI = 20
+MIPS_INS_ADDIU = 21
+MIPS_INS_ALIGN = 22
+MIPS_INS_ALUIPC = 23
+MIPS_INS_AND = 24
+MIPS_INS_ANDI = 25
+MIPS_INS_APPEND = 26
+MIPS_INS_ASUB_S = 27
+MIPS_INS_ASUB_U = 28
+MIPS_INS_AUI = 29
+MIPS_INS_AUIPC = 30
+MIPS_INS_AVER_S = 31
+MIPS_INS_AVER_U = 32
+MIPS_INS_AVE_S = 33
+MIPS_INS_AVE_U = 34
+MIPS_INS_BADDU = 35
+MIPS_INS_BAL = 36
+MIPS_INS_BALC = 37
+MIPS_INS_BALIGN = 38
+MIPS_INS_BC = 39
+MIPS_INS_BC1EQZ = 40
+MIPS_INS_BC1F = 41
+MIPS_INS_BC1NEZ = 42
+MIPS_INS_BC1T = 43
+MIPS_INS_BC2EQZ = 44
+MIPS_INS_BC2NEZ = 45
+MIPS_INS_BCLRI = 46
+MIPS_INS_BCLR = 47
+MIPS_INS_BEQ = 48
+MIPS_INS_BEQC = 49
+MIPS_INS_BEQZALC = 50
+MIPS_INS_BEQZC = 51
+MIPS_INS_BGEC = 52
+MIPS_INS_BGEUC = 53
+MIPS_INS_BGEZ = 54
+MIPS_INS_BGEZAL = 55
+MIPS_INS_BGEZALC = 56
+MIPS_INS_BGEZC = 57
+MIPS_INS_BGTZ = 58
+MIPS_INS_BGTZALC = 59
+MIPS_INS_BGTZC = 60
+MIPS_INS_BINSLI = 61
+MIPS_INS_BINSL = 62
+MIPS_INS_BINSRI = 63
+MIPS_INS_BINSR = 64
+MIPS_INS_BITREV = 65
+MIPS_INS_BITSWAP = 66
+MIPS_INS_BLEZ = 67
+MIPS_INS_BLEZALC = 68
+MIPS_INS_BLEZC = 69
+MIPS_INS_BLTC = 70
+MIPS_INS_BLTUC = 71
+MIPS_INS_BLTZ = 72
+MIPS_INS_BLTZAL = 73
+MIPS_INS_BLTZALC = 74
+MIPS_INS_BLTZC = 75
+MIPS_INS_BMNZI = 76
+MIPS_INS_BMNZ = 77
+MIPS_INS_BMZI = 78
+MIPS_INS_BMZ = 79
+MIPS_INS_BNE = 80
+MIPS_INS_BNEC = 81
+MIPS_INS_BNEGI = 82
+MIPS_INS_BNEG = 83
+MIPS_INS_BNEZALC = 84
+MIPS_INS_BNEZC = 85
+MIPS_INS_BNVC = 86
+MIPS_INS_BNZ = 87
+MIPS_INS_BOVC = 88
+MIPS_INS_BPOSGE32 = 89
+MIPS_INS_BREAK = 90
+MIPS_INS_BSELI = 91
+MIPS_INS_BSEL = 92
+MIPS_INS_BSETI = 93
+MIPS_INS_BSET = 94
+MIPS_INS_BZ = 95
+MIPS_INS_BEQZ = 96
+MIPS_INS_B = 97
+MIPS_INS_BNEZ = 98
+MIPS_INS_BTEQZ = 99
+MIPS_INS_BTNEZ = 100
+MIPS_INS_CACHE = 101
+MIPS_INS_CEIL = 102
+MIPS_INS_CEQI = 103
+MIPS_INS_CEQ = 104
+MIPS_INS_CFC1 = 105
+MIPS_INS_CFCMSA = 106
+MIPS_INS_CINS = 107
+MIPS_INS_CINS32 = 108
+MIPS_INS_CLASS = 109
+MIPS_INS_CLEI_S = 110
+MIPS_INS_CLEI_U = 111
+MIPS_INS_CLE_S = 112
+MIPS_INS_CLE_U = 113
+MIPS_INS_CLO = 114
+MIPS_INS_CLTI_S = 115
+MIPS_INS_CLTI_U = 116
+MIPS_INS_CLT_S = 117
+MIPS_INS_CLT_U = 118
+MIPS_INS_CLZ = 119
+MIPS_INS_CMPGDU = 120
+MIPS_INS_CMPGU = 121
+MIPS_INS_CMPU = 122
+MIPS_INS_CMP = 123
+MIPS_INS_COPY_S = 124
+MIPS_INS_COPY_U = 125
+MIPS_INS_CTC1 = 126
+MIPS_INS_CTCMSA = 127
+MIPS_INS_CVT = 128
+MIPS_INS_C = 129
+MIPS_INS_CMPI = 130
+MIPS_INS_DADD = 131
+MIPS_INS_DADDI = 132
+MIPS_INS_DADDIU = 133
+MIPS_INS_DADDU = 134
+MIPS_INS_DAHI = 135
+MIPS_INS_DALIGN = 136
+MIPS_INS_DATI = 137
+MIPS_INS_DAUI = 138
+MIPS_INS_DBITSWAP = 139
+MIPS_INS_DCLO = 140
+MIPS_INS_DCLZ = 141
 MIPS_INS_DDIV = 142
-MIPS_INS_DSHD = 143
-MIPS_INS_DSLL = 144
-MIPS_INS_DSLL32 = 145
-MIPS_INS_DSLLV = 146
-MIPS_INS_DSRA = 147
-MIPS_INS_DSRA32 = 148
-MIPS_INS_DSRAV = 149
-MIPS_INS_DSRL = 150
-MIPS_INS_DSRL32 = 151
-MIPS_INS_DSRLV = 152
-MIPS_INS_DSUBU = 153
-MIPS_INS_DDIVU = 154
-MIPS_INS_DIV = 155
-MIPS_INS_DIVU = 156
-MIPS_INS_EI = 157
-MIPS_INS_ERET = 158
-MIPS_INS_EXT = 159
-MIPS_INS_EXTP = 160
-MIPS_INS_EXTPDP = 161
-MIPS_INS_EXTPDPV = 162
-MIPS_INS_EXTPV = 163
-MIPS_INS_EXTRV_RS = 164
-MIPS_INS_EXTRV_R = 165
-MIPS_INS_EXTRV_S = 166
-MIPS_INS_EXTRV = 167
-MIPS_INS_EXTR_RS = 168
-MIPS_INS_EXTR_R = 169
-MIPS_INS_EXTR_S = 170
-MIPS_INS_EXTR = 171
-MIPS_INS_ABS = 172
-MIPS_INS_FADD = 173
-MIPS_INS_FCAF = 174
-MIPS_INS_FCEQ = 175
-MIPS_INS_FCLASS = 176
-MIPS_INS_FCLE = 177
-MIPS_INS_FCLT = 178
-MIPS_INS_FCNE = 179
-MIPS_INS_FCOR = 180
-MIPS_INS_FCUEQ = 181
-MIPS_INS_FCULE = 182
-MIPS_INS_FCULT = 183
-MIPS_INS_FCUNE = 184
-MIPS_INS_FCUN = 185
-MIPS_INS_FDIV = 186
-MIPS_INS_FEXDO = 187
-MIPS_INS_FEXP2 = 188
-MIPS_INS_FEXUPL = 189
-MIPS_INS_FEXUPR = 190
-MIPS_INS_FFINT_S = 191
-MIPS_INS_FFINT_U = 192
-MIPS_INS_FFQL = 193
-MIPS_INS_FFQR = 194
-MIPS_INS_FILL = 195
-MIPS_INS_FLOG2 = 196
-MIPS_INS_FLOOR = 197
-MIPS_INS_FMADD = 198
-MIPS_INS_FMAX_A = 199
-MIPS_INS_FMAX = 200
-MIPS_INS_FMIN_A = 201
-MIPS_INS_FMIN = 202
-MIPS_INS_MOV = 203
-MIPS_INS_FMSUB = 204
-MIPS_INS_FMUL = 205
-MIPS_INS_MUL = 206
-MIPS_INS_NEG = 207
-MIPS_INS_FRCP = 208
-MIPS_INS_FRINT = 209
-MIPS_INS_FRSQRT = 210
-MIPS_INS_FSAF = 211
-MIPS_INS_FSEQ = 212
-MIPS_INS_FSLE = 213
-MIPS_INS_FSLT = 214
-MIPS_INS_FSNE = 215
-MIPS_INS_FSOR = 216
-MIPS_INS_FSQRT = 217
-MIPS_INS_SQRT = 218
-MIPS_INS_FSUB = 219
-MIPS_INS_SUB = 220
-MIPS_INS_FSUEQ = 221
-MIPS_INS_FSULE = 222
-MIPS_INS_FSULT = 223
-MIPS_INS_FSUNE = 224
-MIPS_INS_FSUN = 225
-MIPS_INS_FTINT_S = 226
-MIPS_INS_FTINT_U = 227
-MIPS_INS_FTQ = 228
-MIPS_INS_FTRUNC_S = 229
-MIPS_INS_FTRUNC_U = 230
-MIPS_INS_HADD_S = 231
-MIPS_INS_HADD_U = 232
-MIPS_INS_HSUB_S = 233
-MIPS_INS_HSUB_U = 234
-MIPS_INS_ILVEV = 235
-MIPS_INS_ILVL = 236
-MIPS_INS_ILVOD = 237
-MIPS_INS_ILVR = 238
-MIPS_INS_INS = 239
-MIPS_INS_INSERT = 240
-MIPS_INS_INSV = 241
-MIPS_INS_INSVE = 242
-MIPS_INS_J = 243
-MIPS_INS_JAL = 244
-MIPS_INS_JALR = 245
-MIPS_INS_JR = 246
-MIPS_INS_JRC = 247
-MIPS_INS_JALRC = 248
-MIPS_INS_LB = 249
-MIPS_INS_LBUX = 250
-MIPS_INS_LBU = 251
-MIPS_INS_LD = 252
-MIPS_INS_LDC1 = 253
-MIPS_INS_LDC2 = 254
-MIPS_INS_LDI = 255
-MIPS_INS_LDL = 256
-MIPS_INS_LDR = 257
-MIPS_INS_LDXC1 = 258
-MIPS_INS_LH = 259
-MIPS_INS_LHX = 260
-MIPS_INS_LHU = 261
-MIPS_INS_LL = 262
-MIPS_INS_LLD = 263
-MIPS_INS_LSA = 264
-MIPS_INS_LUXC1 = 265
-MIPS_INS_LUI = 266
-MIPS_INS_LW = 267
-MIPS_INS_LWC1 = 268
-MIPS_INS_LWC2 = 269
-MIPS_INS_LWL = 270
-MIPS_INS_LWR = 271
-MIPS_INS_LWU = 272
-MIPS_INS_LWX = 273
-MIPS_INS_LWXC1 = 274
-MIPS_INS_LI = 275
-MIPS_INS_MADD = 276
-MIPS_INS_MADDR_Q = 277
-MIPS_INS_MADDU = 278
-MIPS_INS_MADDV = 279
-MIPS_INS_MADD_Q = 280
-MIPS_INS_MAQ_SA = 281
-MIPS_INS_MAQ_S = 282
-MIPS_INS_MAXI_S = 283
-MIPS_INS_MAXI_U = 284
-MIPS_INS_MAX_A = 285
-MIPS_INS_MAX_S = 286
-MIPS_INS_MAX_U = 287
-MIPS_INS_MFC0 = 288
-MIPS_INS_MFC1 = 289
-MIPS_INS_MFC2 = 290
-MIPS_INS_MFHC1 = 291
-MIPS_INS_MFHI = 292
-MIPS_INS_MFLO = 293
-MIPS_INS_MINI_S = 294
-MIPS_INS_MINI_U = 295
-MIPS_INS_MIN_A = 296
-MIPS_INS_MIN_S = 297
-MIPS_INS_MIN_U = 298
-MIPS_INS_MODSUB = 299
-MIPS_INS_MOD_S = 300
-MIPS_INS_MOD_U = 301
-MIPS_INS_MOVE = 302
-MIPS_INS_MOVF = 303
-MIPS_INS_MOVN = 304
-MIPS_INS_MOVT = 305
-MIPS_INS_MOVZ = 306
-MIPS_INS_MSUB = 307
-MIPS_INS_MSUBR_Q = 308
-MIPS_INS_MSUBU = 309
-MIPS_INS_MSUBV = 310
-MIPS_INS_MSUB_Q = 311
-MIPS_INS_MTC0 = 312
-MIPS_INS_MTC1 = 313
-MIPS_INS_MTC2 = 314
-MIPS_INS_MTHC1 = 315
-MIPS_INS_MTHI = 316
-MIPS_INS_MTHLIP = 317
-MIPS_INS_MTLO = 318
-MIPS_INS_MULEQ_S = 319
-MIPS_INS_MULEU_S = 320
-MIPS_INS_MULQ_RS = 321
-MIPS_INS_MULQ_S = 322
-MIPS_INS_MULR_Q = 323
-MIPS_INS_MULSAQ_S = 324
-MIPS_INS_MULSA = 325
-MIPS_INS_MULT = 326
-MIPS_INS_MULTU = 327
-MIPS_INS_MULV = 328
-MIPS_INS_MUL_Q = 329
-MIPS_INS_MUL_S = 330
-MIPS_INS_NLOC = 331
-MIPS_INS_NLZC = 332
-MIPS_INS_NMADD = 333
-MIPS_INS_NMSUB = 334
-MIPS_INS_NOR = 335
-MIPS_INS_NORI = 336
-MIPS_INS_NOT = 337
-MIPS_INS_OR = 338
-MIPS_INS_ORI = 339
-MIPS_INS_PACKRL = 340
-MIPS_INS_PCKEV = 341
-MIPS_INS_PCKOD = 342
-MIPS_INS_PCNT = 343
-MIPS_INS_PICK = 344
-MIPS_INS_PRECEQU = 345
-MIPS_INS_PRECEQ = 346
-MIPS_INS_PRECEU = 347
-MIPS_INS_PRECRQU_S = 348
-MIPS_INS_PRECRQ = 349
-MIPS_INS_PRECRQ_RS = 350
-MIPS_INS_PRECR = 351
-MIPS_INS_PRECR_SRA = 352
-MIPS_INS_PRECR_SRA_R = 353
-MIPS_INS_PREPEND = 354
-MIPS_INS_RADDU = 355
-MIPS_INS_RDDSP = 356
-MIPS_INS_RDHWR = 357
-MIPS_INS_REPLV = 358
-MIPS_INS_REPL = 359
-MIPS_INS_ROTR = 360
-MIPS_INS_ROTRV = 361
-MIPS_INS_ROUND = 362
-MIPS_INS_SAT_S = 363
-MIPS_INS_SAT_U = 364
-MIPS_INS_SB = 365
-MIPS_INS_SC = 366
-MIPS_INS_SCD = 367
-MIPS_INS_SD = 368
-MIPS_INS_SDC1 = 369
-MIPS_INS_SDC2 = 370
-MIPS_INS_SDL = 371
-MIPS_INS_SDR = 372
-MIPS_INS_SDXC1 = 373
-MIPS_INS_SEB = 374
-MIPS_INS_SEH = 375
-MIPS_INS_SH = 376
-MIPS_INS_SHF = 377
-MIPS_INS_SHILO = 378
-MIPS_INS_SHILOV = 379
-MIPS_INS_SHLLV = 380
-MIPS_INS_SHLLV_S = 381
-MIPS_INS_SHLL = 382
-MIPS_INS_SHLL_S = 383
-MIPS_INS_SHRAV = 384
-MIPS_INS_SHRAV_R = 385
-MIPS_INS_SHRA = 386
-MIPS_INS_SHRA_R = 387
-MIPS_INS_SHRLV = 388
-MIPS_INS_SHRL = 389
-MIPS_INS_SLDI = 390
-MIPS_INS_SLD = 391
-MIPS_INS_SLL = 392
-MIPS_INS_SLLI = 393
-MIPS_INS_SLLV = 394
-MIPS_INS_SLT = 395
-MIPS_INS_SLTI = 396
-MIPS_INS_SLTIU = 397
-MIPS_INS_SLTU = 398
-MIPS_INS_SPLATI = 399
-MIPS_INS_SPLAT = 400
-MIPS_INS_SRA = 401
-MIPS_INS_SRAI = 402
-MIPS_INS_SRARI = 403
-MIPS_INS_SRAR = 404
-MIPS_INS_SRAV = 405
-MIPS_INS_SRL = 406
-MIPS_INS_SRLI = 407
-MIPS_INS_SRLRI = 408
-MIPS_INS_SRLR = 409
-MIPS_INS_SRLV = 410
-MIPS_INS_ST = 411
-MIPS_INS_SUBQH = 412
-MIPS_INS_SUBQH_R = 413
-MIPS_INS_SUBQ = 414
-MIPS_INS_SUBQ_S = 415
-MIPS_INS_SUBSUS_U = 416
-MIPS_INS_SUBSUU_S = 417
-MIPS_INS_SUBS_S = 418
-MIPS_INS_SUBS_U = 419
-MIPS_INS_SUBUH = 420
-MIPS_INS_SUBUH_R = 421
-MIPS_INS_SUBU = 422
-MIPS_INS_SUBU_S = 423
-MIPS_INS_SUBVI = 424
-MIPS_INS_SUBV = 425
-MIPS_INS_SUXC1 = 426
-MIPS_INS_SW = 427
-MIPS_INS_SWC1 = 428
-MIPS_INS_SWC2 = 429
-MIPS_INS_SWL = 430
-MIPS_INS_SWR = 431
-MIPS_INS_SWXC1 = 432
-MIPS_INS_SYNC = 433
-MIPS_INS_SYSCALL = 434
-MIPS_INS_TEQ = 435
-MIPS_INS_TEQI = 436
-MIPS_INS_TGE = 437
-MIPS_INS_TGEI = 438
-MIPS_INS_TGEIU = 439
-MIPS_INS_TGEU = 440
-MIPS_INS_TLT = 441
-MIPS_INS_TLTI = 442
-MIPS_INS_TLTIU = 443
-MIPS_INS_TLTU = 444
-MIPS_INS_TNE = 445
-MIPS_INS_TNEI = 446
-MIPS_INS_TRUNC = 447
-MIPS_INS_VSHF = 448
-MIPS_INS_WAIT = 449
-MIPS_INS_WRDSP = 450
-MIPS_INS_WSBH = 451
-MIPS_INS_XOR = 452
-MIPS_INS_XORI = 453
+MIPS_INS_DDIVU = 143
+MIPS_INS_DERET = 144
+MIPS_INS_DEXT = 145
+MIPS_INS_DEXTM = 146
+MIPS_INS_DEXTU = 147
+MIPS_INS_DI = 148
+MIPS_INS_DINS = 149
+MIPS_INS_DINSM = 150
+MIPS_INS_DINSU = 151
+MIPS_INS_DIV = 152
+MIPS_INS_DIVU = 153
+MIPS_INS_DIV_S = 154
+MIPS_INS_DIV_U = 155
+MIPS_INS_DLSA = 156
+MIPS_INS_DMFC0 = 157
+MIPS_INS_DMFC1 = 158
+MIPS_INS_DMFC2 = 159
+MIPS_INS_DMOD = 160
+MIPS_INS_DMODU = 161
+MIPS_INS_DMTC0 = 162
+MIPS_INS_DMTC1 = 163
+MIPS_INS_DMTC2 = 164
+MIPS_INS_DMUH = 165
+MIPS_INS_DMUHU = 166
+MIPS_INS_DMUL = 167
+MIPS_INS_DMULT = 168
+MIPS_INS_DMULTU = 169
+MIPS_INS_DMULU = 170
+MIPS_INS_DOTP_S = 171
+MIPS_INS_DOTP_U = 172
+MIPS_INS_DPADD_S = 173
+MIPS_INS_DPADD_U = 174
+MIPS_INS_DPAQX_SA = 175
+MIPS_INS_DPAQX_S = 176
+MIPS_INS_DPAQ_SA = 177
+MIPS_INS_DPAQ_S = 178
+MIPS_INS_DPAU = 179
+MIPS_INS_DPAX = 180
+MIPS_INS_DPA = 181
+MIPS_INS_DPOP = 182
+MIPS_INS_DPSQX_SA = 183
+MIPS_INS_DPSQX_S = 184
+MIPS_INS_DPSQ_SA = 185
+MIPS_INS_DPSQ_S = 186
+MIPS_INS_DPSUB_S = 187
+MIPS_INS_DPSUB_U = 188
+MIPS_INS_DPSU = 189
+MIPS_INS_DPSX = 190
+MIPS_INS_DPS = 191
+MIPS_INS_DROTR = 192
+MIPS_INS_DROTR32 = 193
+MIPS_INS_DROTRV = 194
+MIPS_INS_DSBH = 195
+MIPS_INS_DSHD = 196
+MIPS_INS_DSLL = 197
+MIPS_INS_DSLL32 = 198
+MIPS_INS_DSLLV = 199
+MIPS_INS_DSRA = 200
+MIPS_INS_DSRA32 = 201
+MIPS_INS_DSRAV = 202
+MIPS_INS_DSRL = 203
+MIPS_INS_DSRL32 = 204
+MIPS_INS_DSRLV = 205
+MIPS_INS_DSUB = 206
+MIPS_INS_DSUBU = 207
+MIPS_INS_EHB = 208
+MIPS_INS_EI = 209
+MIPS_INS_ERET = 210
+MIPS_INS_EXT = 211
+MIPS_INS_EXTP = 212
+MIPS_INS_EXTPDP = 213
+MIPS_INS_EXTPDPV = 214
+MIPS_INS_EXTPV = 215
+MIPS_INS_EXTRV_RS = 216
+MIPS_INS_EXTRV_R = 217
+MIPS_INS_EXTRV_S = 218
+MIPS_INS_EXTRV = 219
+MIPS_INS_EXTR_RS = 220
+MIPS_INS_EXTR_R = 221
+MIPS_INS_EXTR_S = 222
+MIPS_INS_EXTR = 223
+MIPS_INS_EXTS = 224
+MIPS_INS_EXTS32 = 225
+MIPS_INS_ABS = 226
+MIPS_INS_FADD = 227
+MIPS_INS_FCAF = 228
+MIPS_INS_FCEQ = 229
+MIPS_INS_FCLASS = 230
+MIPS_INS_FCLE = 231
+MIPS_INS_FCLT = 232
+MIPS_INS_FCNE = 233
+MIPS_INS_FCOR = 234
+MIPS_INS_FCUEQ = 235
+MIPS_INS_FCULE = 236
+MIPS_INS_FCULT = 237
+MIPS_INS_FCUNE = 238
+MIPS_INS_FCUN = 239
+MIPS_INS_FDIV = 240
+MIPS_INS_FEXDO = 241
+MIPS_INS_FEXP2 = 242
+MIPS_INS_FEXUPL = 243
+MIPS_INS_FEXUPR = 244
+MIPS_INS_FFINT_S = 245
+MIPS_INS_FFINT_U = 246
+MIPS_INS_FFQL = 247
+MIPS_INS_FFQR = 248
+MIPS_INS_FILL = 249
+MIPS_INS_FLOG2 = 250
+MIPS_INS_FLOOR = 251
+MIPS_INS_FMADD = 252
+MIPS_INS_FMAX_A = 253
+MIPS_INS_FMAX = 254
+MIPS_INS_FMIN_A = 255
+MIPS_INS_FMIN = 256
+MIPS_INS_MOV = 257
+MIPS_INS_FMSUB = 258
+MIPS_INS_FMUL = 259
+MIPS_INS_MUL = 260
+MIPS_INS_NEG = 261
+MIPS_INS_FRCP = 262
+MIPS_INS_FRINT = 263
+MIPS_INS_FRSQRT = 264
+MIPS_INS_FSAF = 265
+MIPS_INS_FSEQ = 266
+MIPS_INS_FSLE = 267
+MIPS_INS_FSLT = 268
+MIPS_INS_FSNE = 269
+MIPS_INS_FSOR = 270
+MIPS_INS_FSQRT = 271
+MIPS_INS_SQRT = 272
+MIPS_INS_FSUB = 273
+MIPS_INS_SUB = 274
+MIPS_INS_FSUEQ = 275
+MIPS_INS_FSULE = 276
+MIPS_INS_FSULT = 277
+MIPS_INS_FSUNE = 278
+MIPS_INS_FSUN = 279
+MIPS_INS_FTINT_S = 280
+MIPS_INS_FTINT_U = 281
+MIPS_INS_FTQ = 282
+MIPS_INS_FTRUNC_S = 283
+MIPS_INS_FTRUNC_U = 284
+MIPS_INS_HADD_S = 285
+MIPS_INS_HADD_U = 286
+MIPS_INS_HSUB_S = 287
+MIPS_INS_HSUB_U = 288
+MIPS_INS_ILVEV = 289
+MIPS_INS_ILVL = 290
+MIPS_INS_ILVOD = 291
+MIPS_INS_ILVR = 292
+MIPS_INS_INS = 293
+MIPS_INS_INSERT = 294
+MIPS_INS_INSV = 295
+MIPS_INS_INSVE = 296
+MIPS_INS_J = 297
+MIPS_INS_JAL = 298
+MIPS_INS_JALR = 299
+MIPS_INS_JALX = 300
+MIPS_INS_JIALC = 301
+MIPS_INS_JIC = 302
+MIPS_INS_JR = 303
+MIPS_INS_JRC = 304
+MIPS_INS_JALRC = 305
+MIPS_INS_LB = 306
+MIPS_INS_LBUX = 307
+MIPS_INS_LBU = 308
+MIPS_INS_LD = 309
+MIPS_INS_LDC1 = 310
+MIPS_INS_LDC2 = 311
+MIPS_INS_LDC3 = 312
+MIPS_INS_LDI = 313
+MIPS_INS_LDL = 314
+MIPS_INS_LDPC = 315
+MIPS_INS_LDR = 316
+MIPS_INS_LDXC1 = 317
+MIPS_INS_LH = 318
+MIPS_INS_LHX = 319
+MIPS_INS_LHU = 320
+MIPS_INS_LL = 321
+MIPS_INS_LLD = 322
+MIPS_INS_LSA = 323
+MIPS_INS_LUXC1 = 324
+MIPS_INS_LUI = 325
+MIPS_INS_LW = 326
+MIPS_INS_LWC1 = 327
+MIPS_INS_LWC2 = 328
+MIPS_INS_LWC3 = 329
+MIPS_INS_LWL = 330
+MIPS_INS_LWPC = 331
+MIPS_INS_LWR = 332
+MIPS_INS_LWUPC = 333
+MIPS_INS_LWU = 334
+MIPS_INS_LWX = 335
+MIPS_INS_LWXC1 = 336
+MIPS_INS_LI = 337
+MIPS_INS_MADD = 338
+MIPS_INS_MADDF = 339
+MIPS_INS_MADDR_Q = 340
+MIPS_INS_MADDU = 341
+MIPS_INS_MADDV = 342
+MIPS_INS_MADD_Q = 343
+MIPS_INS_MAQ_SA = 344
+MIPS_INS_MAQ_S = 345
+MIPS_INS_MAXA = 346
+MIPS_INS_MAXI_S = 347
+MIPS_INS_MAXI_U = 348
+MIPS_INS_MAX_A = 349
+MIPS_INS_MAX = 350
+MIPS_INS_MAX_S = 351
+MIPS_INS_MAX_U = 352
+MIPS_INS_MFC0 = 353
+MIPS_INS_MFC1 = 354
+MIPS_INS_MFC2 = 355
+MIPS_INS_MFHC1 = 356
+MIPS_INS_MFHI = 357
+MIPS_INS_MFLO = 358
+MIPS_INS_MINA = 359
+MIPS_INS_MINI_S = 360
+MIPS_INS_MINI_U = 361
+MIPS_INS_MIN_A = 362
+MIPS_INS_MIN = 363
+MIPS_INS_MIN_S = 364
+MIPS_INS_MIN_U = 365
+MIPS_INS_MOD = 366
+MIPS_INS_MODSUB = 367
+MIPS_INS_MODU = 368
+MIPS_INS_MOD_S = 369
+MIPS_INS_MOD_U = 370
+MIPS_INS_MOVE = 371
+MIPS_INS_MOVF = 372
+MIPS_INS_MOVN = 373
+MIPS_INS_MOVT = 374
+MIPS_INS_MOVZ = 375
+MIPS_INS_MSUB = 376
+MIPS_INS_MSUBF = 377
+MIPS_INS_MSUBR_Q = 378
+MIPS_INS_MSUBU = 379
+MIPS_INS_MSUBV = 380
+MIPS_INS_MSUB_Q = 381
+MIPS_INS_MTC0 = 382
+MIPS_INS_MTC1 = 383
+MIPS_INS_MTC2 = 384
+MIPS_INS_MTHC1 = 385
+MIPS_INS_MTHI = 386
+MIPS_INS_MTHLIP = 387
+MIPS_INS_MTLO = 388
+MIPS_INS_MTM0 = 389
+MIPS_INS_MTM1 = 390
+MIPS_INS_MTM2 = 391
+MIPS_INS_MTP0 = 392
+MIPS_INS_MTP1 = 393
+MIPS_INS_MTP2 = 394
+MIPS_INS_MUH = 395
+MIPS_INS_MUHU = 396
+MIPS_INS_MULEQ_S = 397
+MIPS_INS_MULEU_S = 398
+MIPS_INS_MULQ_RS = 399
+MIPS_INS_MULQ_S = 400
+MIPS_INS_MULR_Q = 401
+MIPS_INS_MULSAQ_S = 402
+MIPS_INS_MULSA = 403
+MIPS_INS_MULT = 404
+MIPS_INS_MULTU = 405
+MIPS_INS_MULU = 406
+MIPS_INS_MULV = 407
+MIPS_INS_MUL_Q = 408
+MIPS_INS_MUL_S = 409
+MIPS_INS_NLOC = 410
+MIPS_INS_NLZC = 411
+MIPS_INS_NMADD = 412
+MIPS_INS_NMSUB = 413
+MIPS_INS_NOR = 414
+MIPS_INS_NORI = 415
+MIPS_INS_NOT = 416
+MIPS_INS_OR = 417
+MIPS_INS_ORI = 418
+MIPS_INS_PACKRL = 419
+MIPS_INS_PAUSE = 420
+MIPS_INS_PCKEV = 421
+MIPS_INS_PCKOD = 422
+MIPS_INS_PCNT = 423
+MIPS_INS_PICK = 424
+MIPS_INS_POP = 425
+MIPS_INS_PRECEQU = 426
+MIPS_INS_PRECEQ = 427
+MIPS_INS_PRECEU = 428
+MIPS_INS_PRECRQU_S = 429
+MIPS_INS_PRECRQ = 430
+MIPS_INS_PRECRQ_RS = 431
+MIPS_INS_PRECR = 432
+MIPS_INS_PRECR_SRA = 433
+MIPS_INS_PRECR_SRA_R = 434
+MIPS_INS_PREF = 435
+MIPS_INS_PREPEND = 436
+MIPS_INS_RADDU = 437
+MIPS_INS_RDDSP = 438
+MIPS_INS_RDHWR = 439
+MIPS_INS_REPLV = 440
+MIPS_INS_REPL = 441
+MIPS_INS_RINT = 442
+MIPS_INS_ROTR = 443
+MIPS_INS_ROTRV = 444
+MIPS_INS_ROUND = 445
+MIPS_INS_SAT_S = 446
+MIPS_INS_SAT_U = 447
+MIPS_INS_SB = 448
+MIPS_INS_SC = 449
+MIPS_INS_SCD = 450
+MIPS_INS_SD = 451
+MIPS_INS_SDBBP = 452
+MIPS_INS_SDC1 = 453
+MIPS_INS_SDC2 = 454
+MIPS_INS_SDC3 = 455
+MIPS_INS_SDL = 456
+MIPS_INS_SDR = 457
+MIPS_INS_SDXC1 = 458
+MIPS_INS_SEB = 459
+MIPS_INS_SEH = 460
+MIPS_INS_SELEQZ = 461
+MIPS_INS_SELNEZ = 462
+MIPS_INS_SEL = 463
+MIPS_INS_SEQ = 464
+MIPS_INS_SEQI = 465
+MIPS_INS_SH = 466
+MIPS_INS_SHF = 467
+MIPS_INS_SHILO = 468
+MIPS_INS_SHILOV = 469
+MIPS_INS_SHLLV = 470
+MIPS_INS_SHLLV_S = 471
+MIPS_INS_SHLL = 472
+MIPS_INS_SHLL_S = 473
+MIPS_INS_SHRAV = 474
+MIPS_INS_SHRAV_R = 475
+MIPS_INS_SHRA = 476
+MIPS_INS_SHRA_R = 477
+MIPS_INS_SHRLV = 478
+MIPS_INS_SHRL = 479
+MIPS_INS_SLDI = 480
+MIPS_INS_SLD = 481
+MIPS_INS_SLL = 482
+MIPS_INS_SLLI = 483
+MIPS_INS_SLLV = 484
+MIPS_INS_SLT = 485
+MIPS_INS_SLTI = 486
+MIPS_INS_SLTIU = 487
+MIPS_INS_SLTU = 488
+MIPS_INS_SNE = 489
+MIPS_INS_SNEI = 490
+MIPS_INS_SPLATI = 491
+MIPS_INS_SPLAT = 492
+MIPS_INS_SRA = 493
+MIPS_INS_SRAI = 494
+MIPS_INS_SRARI = 495
+MIPS_INS_SRAR = 496
+MIPS_INS_SRAV = 497
+MIPS_INS_SRL = 498
+MIPS_INS_SRLI = 499
+MIPS_INS_SRLRI = 500
+MIPS_INS_SRLR = 501
+MIPS_INS_SRLV = 502
+MIPS_INS_SSNOP = 503
+MIPS_INS_ST = 504
+MIPS_INS_SUBQH = 505
+MIPS_INS_SUBQH_R = 506
+MIPS_INS_SUBQ = 507
+MIPS_INS_SUBQ_S = 508
+MIPS_INS_SUBSUS_U = 509
+MIPS_INS_SUBSUU_S = 510
+MIPS_INS_SUBS_S = 511
+MIPS_INS_SUBS_U = 512
+MIPS_INS_SUBUH = 513
+MIPS_INS_SUBUH_R = 514
+MIPS_INS_SUBU = 515
+MIPS_INS_SUBU_S = 516
+MIPS_INS_SUBVI = 517
+MIPS_INS_SUBV = 518
+MIPS_INS_SUXC1 = 519
+MIPS_INS_SW = 520
+MIPS_INS_SWC1 = 521
+MIPS_INS_SWC2 = 522
+MIPS_INS_SWC3 = 523
+MIPS_INS_SWL = 524
+MIPS_INS_SWR = 525
+MIPS_INS_SWXC1 = 526
+MIPS_INS_SYNC = 527
+MIPS_INS_SYSCALL = 528
+MIPS_INS_TEQ = 529
+MIPS_INS_TEQI = 530
+MIPS_INS_TGE = 531
+MIPS_INS_TGEI = 532
+MIPS_INS_TGEIU = 533
+MIPS_INS_TGEU = 534
+MIPS_INS_TLBP = 535
+MIPS_INS_TLBR = 536
+MIPS_INS_TLBWI = 537
+MIPS_INS_TLBWR = 538
+MIPS_INS_TLT = 539
+MIPS_INS_TLTI = 540
+MIPS_INS_TLTIU = 541
+MIPS_INS_TLTU = 542
+MIPS_INS_TNE = 543
+MIPS_INS_TNEI = 544
+MIPS_INS_TRUNC = 545
+MIPS_INS_V3MULU = 546
+MIPS_INS_VMM0 = 547
+MIPS_INS_VMULU = 548
+MIPS_INS_VSHF = 549
+MIPS_INS_WAIT = 550
+MIPS_INS_WRDSP = 551
+MIPS_INS_WSBH = 552
+MIPS_INS_XOR = 553
+MIPS_INS_XORI = 554
 
 # some alias instructions
-MIPS_INS_NOP = 454
-MIPS_INS_NEGU = 455
-MIPS_INS_MAX = 456
+MIPS_INS_NOP = 555
+MIPS_INS_NEGU = 556
+MIPS_INS_MAXIMUM = 557
 
 # Group of MIPS instructions
 
@@ -658,5 +765,20 @@
 MIPS_GRP_NOTFP64BIT = 16
 MIPS_GRP_NOTINMICROMIPS = 17
 MIPS_GRP_NOTNACL = 18
-MIPS_GRP_JUMP = 19
-MIPS_GRP_MAX = 20
+MIPS_GRP_NOTMIPS32R6 = 19
+MIPS_GRP_NOTMIPS64R6 = 20
+MIPS_GRP_CNMIPS = 21
+MIPS_GRP_MIPS32 = 22
+MIPS_GRP_MIPS32R6 = 23
+MIPS_GRP_MIPS64R6 = 24
+MIPS_GRP_MIPS2 = 25
+MIPS_GRP_MIPS3 = 26
+MIPS_GRP_MIPS3_32 = 27
+MIPS_GRP_MIPS3_32R2 = 28
+MIPS_GRP_MIPS4_32 = 29
+MIPS_GRP_MIPS4_32R2 = 30
+MIPS_GRP_MIPS5_32R2 = 31
+MIPS_GRP_GP32BIT = 32
+MIPS_GRP_GP64BIT = 33
+MIPS_GRP_JUMP = 34
+MIPS_GRP_MAX = 35
diff --git a/include/capstone.h b/include/capstone.h
index 92c90b5..c2deab8 100644
--- a/include/capstone.h
+++ b/include/capstone.h
@@ -73,6 +73,9 @@
 	CS_MODE_MCLASS = 1 << 5,	// ARM's Cortex-M series
 	CS_MODE_MICRO = 1 << 4, // MicroMips mode (MIPS architecture)
 	CS_MODE_N64 = 1 << 5, // Nintendo-64 mode (MIPS architecture)
+	CS_MODE_MIPS3 = 1 << 6, // Mips III ISA
+	CS_MODE_MIPS32R6 = 1 << 7, // Mips32r6 ISA
+	CS_MODE_MIPSGP64 = 1 << 8, // General Purpose Registers are 64-bit wide (MIPS arch)
 	CS_MODE_V9 = 1 << 4, // SparcV9 mode (Sparc architecture)
 	CS_MODE_BIG_ENDIAN = 1 << 31	// big endian mode
 } cs_mode;
diff --git a/include/mips.h b/include/mips.h
index 8a7a55a..78269f6 100644
--- a/include/mips.h
+++ b/include/mips.h
@@ -189,6 +189,14 @@
 	MIPS_REG_LO,
 	MIPS_REG_PC,
 
+	MIPS_REG_P0,
+	MIPS_REG_P1,
+	MIPS_REG_P2,
+
+	MIPS_REG_MPL0,
+	MIPS_REG_MPL1,
+	MIPS_REG_MPL2,
+
 	MIPS_REG_MAX,	// <-- mark the end of the list or registers
 
 	// alias registers
@@ -242,6 +250,7 @@
 
 	MIPS_INS_ABSQ_S,
 	MIPS_INS_ADD,
+	MIPS_INS_ADDIUPC,
 	MIPS_INS_ADDQH,
 	MIPS_INS_ADDQH_R,
 	MIPS_INS_ADDQ,
@@ -260,40 +269,73 @@
 	MIPS_INS_ADD_A,
 	MIPS_INS_ADDI,
 	MIPS_INS_ADDIU,
+	MIPS_INS_ALIGN,
+	MIPS_INS_ALUIPC,
 	MIPS_INS_AND,
 	MIPS_INS_ANDI,
 	MIPS_INS_APPEND,
 	MIPS_INS_ASUB_S,
 	MIPS_INS_ASUB_U,
+	MIPS_INS_AUI,
+	MIPS_INS_AUIPC,
 	MIPS_INS_AVER_S,
 	MIPS_INS_AVER_U,
 	MIPS_INS_AVE_S,
 	MIPS_INS_AVE_U,
+	MIPS_INS_BADDU,
+	MIPS_INS_BAL,
+	MIPS_INS_BALC,
 	MIPS_INS_BALIGN,
+	MIPS_INS_BC,
+	MIPS_INS_BC1EQZ,
 	MIPS_INS_BC1F,
+	MIPS_INS_BC1NEZ,
 	MIPS_INS_BC1T,
+	MIPS_INS_BC2EQZ,
+	MIPS_INS_BC2NEZ,
 	MIPS_INS_BCLRI,
 	MIPS_INS_BCLR,
 	MIPS_INS_BEQ,
+	MIPS_INS_BEQC,
+	MIPS_INS_BEQZALC,
+	MIPS_INS_BEQZC,
+	MIPS_INS_BGEC,
+	MIPS_INS_BGEUC,
 	MIPS_INS_BGEZ,
 	MIPS_INS_BGEZAL,
+	MIPS_INS_BGEZALC,
+	MIPS_INS_BGEZC,
 	MIPS_INS_BGTZ,
+	MIPS_INS_BGTZALC,
+	MIPS_INS_BGTZC,
 	MIPS_INS_BINSLI,
 	MIPS_INS_BINSL,
 	MIPS_INS_BINSRI,
 	MIPS_INS_BINSR,
 	MIPS_INS_BITREV,
+	MIPS_INS_BITSWAP,
 	MIPS_INS_BLEZ,
+	MIPS_INS_BLEZALC,
+	MIPS_INS_BLEZC,
+	MIPS_INS_BLTC,
+	MIPS_INS_BLTUC,
 	MIPS_INS_BLTZ,
 	MIPS_INS_BLTZAL,
+	MIPS_INS_BLTZALC,
+	MIPS_INS_BLTZC,
 	MIPS_INS_BMNZI,
 	MIPS_INS_BMNZ,
 	MIPS_INS_BMZI,
 	MIPS_INS_BMZ,
 	MIPS_INS_BNE,
+	MIPS_INS_BNEC,
 	MIPS_INS_BNEGI,
 	MIPS_INS_BNEG,
+	MIPS_INS_BNEZALC,
+	MIPS_INS_BNEZC,
+	MIPS_INS_BNVC,
 	MIPS_INS_BNZ,
+	MIPS_INS_BOVC,
 	MIPS_INS_BPOSGE32,
 	MIPS_INS_BREAK,
 	MIPS_INS_BSELI,
@@ -306,11 +348,15 @@
 	MIPS_INS_BNEZ,
 	MIPS_INS_BTEQZ,
 	MIPS_INS_BTNEZ,
+	MIPS_INS_CACHE,
 	MIPS_INS_CEIL,
 	MIPS_INS_CEQI,
 	MIPS_INS_CEQ,
 	MIPS_INS_CFC1,
 	MIPS_INS_CFCMSA,
+	MIPS_INS_CINS,
+	MIPS_INS_CINS32,
+	MIPS_INS_CLASS,
 	MIPS_INS_CLEI_S,
 	MIPS_INS_CLEI_U,
 	MIPS_INS_CLE_S,
@@ -336,8 +382,15 @@
 	MIPS_INS_DADDI,
 	MIPS_INS_DADDIU,
 	MIPS_INS_DADDU,
+	MIPS_INS_DAHI,
+	MIPS_INS_DALIGN,
+	MIPS_INS_DATI,
+	MIPS_INS_DAUI,
+	MIPS_INS_DBITSWAP,
 	MIPS_INS_DCLO,
 	MIPS_INS_DCLZ,
+	MIPS_INS_DDIV,
+	MIPS_INS_DDIVU,
 	MIPS_INS_DERET,
 	MIPS_INS_DEXT,
 	MIPS_INS_DEXTM,
@@ -346,17 +399,25 @@
 	MIPS_INS_DINS,
 	MIPS_INS_DINSM,
 	MIPS_INS_DINSU,
+	MIPS_INS_DIV,
+	MIPS_INS_DIVU,
 	MIPS_INS_DIV_S,
 	MIPS_INS_DIV_U,
 	MIPS_INS_DLSA,
 	MIPS_INS_DMFC0,
 	MIPS_INS_DMFC1,
 	MIPS_INS_DMFC2,
+	MIPS_INS_DMOD,
+	MIPS_INS_DMODU,
 	MIPS_INS_DMTC0,
 	MIPS_INS_DMTC1,
 	MIPS_INS_DMTC2,
+	MIPS_INS_DMUH,
+	MIPS_INS_DMUHU,
+	MIPS_INS_DMUL,
 	MIPS_INS_DMULT,
 	MIPS_INS_DMULTU,
+	MIPS_INS_DMULU,
 	MIPS_INS_DOTP_S,
 	MIPS_INS_DOTP_U,
 	MIPS_INS_DPADD_S,
@@ -368,6 +429,7 @@
 	MIPS_INS_DPAU,
 	MIPS_INS_DPAX,
 	MIPS_INS_DPA,
+	MIPS_INS_DPOP,
 	MIPS_INS_DPSQX_SA,
 	MIPS_INS_DPSQX_S,
 	MIPS_INS_DPSQ_SA,
@@ -381,7 +443,6 @@
 	MIPS_INS_DROTR32,
 	MIPS_INS_DROTRV,
 	MIPS_INS_DSBH,
-	MIPS_INS_DDIV,
 	MIPS_INS_DSHD,
 	MIPS_INS_DSLL,
 	MIPS_INS_DSLL32,
@@ -392,10 +453,9 @@
 	MIPS_INS_DSRL,
 	MIPS_INS_DSRL32,
 	MIPS_INS_DSRLV,
+	MIPS_INS_DSUB,
 	MIPS_INS_DSUBU,
-	MIPS_INS_DDIVU,
-	MIPS_INS_DIV,
-	MIPS_INS_DIVU,
+	MIPS_INS_EHB,
 	MIPS_INS_EI,
 	MIPS_INS_ERET,
 	MIPS_INS_EXT,
@@ -411,6 +471,8 @@
 	MIPS_INS_EXTR_R,
 	MIPS_INS_EXTR_S,
 	MIPS_INS_EXTR,
+	MIPS_INS_EXTS,
+	MIPS_INS_EXTS32,
 	MIPS_INS_ABS,
 	MIPS_INS_FADD,
 	MIPS_INS_FCAF,
@@ -485,6 +547,9 @@
 	MIPS_INS_J,
 	MIPS_INS_JAL,
 	MIPS_INS_JALR,
+	MIPS_INS_JALX,
+	MIPS_INS_JIALC,
+	MIPS_INS_JIC,
 	MIPS_INS_JR,
 	MIPS_INS_JRC,
 	MIPS_INS_JALRC,
@@ -494,8 +559,10 @@
 	MIPS_INS_LD,
 	MIPS_INS_LDC1,
 	MIPS_INS_LDC2,
+	MIPS_INS_LDC3,
 	MIPS_INS_LDI,
 	MIPS_INS_LDL,
+	MIPS_INS_LDPC,
 	MIPS_INS_LDR,
 	MIPS_INS_LDXC1,
 	MIPS_INS_LH,
@@ -509,22 +576,28 @@
 	MIPS_INS_LW,
 	MIPS_INS_LWC1,
 	MIPS_INS_LWC2,
+	MIPS_INS_LWC3,
 	MIPS_INS_LWL,
+	MIPS_INS_LWPC,
 	MIPS_INS_LWR,
+	MIPS_INS_LWUPC,
 	MIPS_INS_LWU,
 	MIPS_INS_LWX,
 	MIPS_INS_LWXC1,
 	MIPS_INS_LI,
 	MIPS_INS_MADD,
+	MIPS_INS_MADDF,
 	MIPS_INS_MADDR_Q,
 	MIPS_INS_MADDU,
 	MIPS_INS_MADDV,
 	MIPS_INS_MADD_Q,
 	MIPS_INS_MAQ_SA,
 	MIPS_INS_MAQ_S,
+	MIPS_INS_MAXA,
 	MIPS_INS_MAXI_S,
 	MIPS_INS_MAXI_U,
 	MIPS_INS_MAX_A,
+	MIPS_INS_MAX,
 	MIPS_INS_MAX_S,
 	MIPS_INS_MAX_U,
 	MIPS_INS_MFC0,
@@ -533,12 +606,16 @@
 	MIPS_INS_MFHC1,
 	MIPS_INS_MFHI,
 	MIPS_INS_MFLO,
+	MIPS_INS_MINA,
 	MIPS_INS_MINI_S,
 	MIPS_INS_MINI_U,
 	MIPS_INS_MIN_A,
+	MIPS_INS_MIN,
 	MIPS_INS_MIN_S,
 	MIPS_INS_MIN_U,
+	MIPS_INS_MOD,
 	MIPS_INS_MODSUB,
+	MIPS_INS_MODU,
 	MIPS_INS_MOD_S,
 	MIPS_INS_MOD_U,
 	MIPS_INS_MOVE,
@@ -547,6 +624,7 @@
 	MIPS_INS_MOVT,
 	MIPS_INS_MOVZ,
 	MIPS_INS_MSUB,
+	MIPS_INS_MSUBF,
 	MIPS_INS_MSUBR_Q,
 	MIPS_INS_MSUBU,
 	MIPS_INS_MSUBV,
@@ -558,6 +636,14 @@
 	MIPS_INS_MTHI,
 	MIPS_INS_MTHLIP,
 	MIPS_INS_MTLO,
+	MIPS_INS_MTM0,
+	MIPS_INS_MTM1,
+	MIPS_INS_MTM2,
+	MIPS_INS_MTP0,
+	MIPS_INS_MTP1,
+	MIPS_INS_MTP2,
+	MIPS_INS_MUH,
+	MIPS_INS_MUHU,
 	MIPS_INS_MULEQ_S,
 	MIPS_INS_MULEU_S,
 	MIPS_INS_MULQ_RS,
@@ -567,6 +653,7 @@
 	MIPS_INS_MULSA,
 	MIPS_INS_MULT,
 	MIPS_INS_MULTU,
+	MIPS_INS_MULU,
 	MIPS_INS_MULV,
 	MIPS_INS_MUL_Q,
 	MIPS_INS_MUL_S,
@@ -580,10 +667,12 @@
 	MIPS_INS_OR,
 	MIPS_INS_ORI,
 	MIPS_INS_PACKRL,
+	MIPS_INS_PAUSE,
 	MIPS_INS_PCKEV,
 	MIPS_INS_PCKOD,
 	MIPS_INS_PCNT,
 	MIPS_INS_PICK,
+	MIPS_INS_POP,
 	MIPS_INS_PRECEQU,
 	MIPS_INS_PRECEQ,
 	MIPS_INS_PRECEU,
@@ -593,12 +682,14 @@
 	MIPS_INS_PRECR,
 	MIPS_INS_PRECR_SRA,
 	MIPS_INS_PRECR_SRA_R,
+	MIPS_INS_PREF,
 	MIPS_INS_PREPEND,
 	MIPS_INS_RADDU,
 	MIPS_INS_RDDSP,
 	MIPS_INS_RDHWR,
 	MIPS_INS_REPLV,
 	MIPS_INS_REPL,
+	MIPS_INS_RINT,
 	MIPS_INS_ROTR,
 	MIPS_INS_ROTRV,
 	MIPS_INS_ROUND,
@@ -608,13 +699,20 @@
 	MIPS_INS_SC,
 	MIPS_INS_SCD,
 	MIPS_INS_SD,
+	MIPS_INS_SDBBP,
 	MIPS_INS_SDC1,
 	MIPS_INS_SDC2,
+	MIPS_INS_SDC3,
 	MIPS_INS_SDL,
 	MIPS_INS_SDR,
 	MIPS_INS_SDXC1,
 	MIPS_INS_SEB,
 	MIPS_INS_SEH,
+	MIPS_INS_SELEQZ,
+	MIPS_INS_SELNEZ,
+	MIPS_INS_SEL,
+	MIPS_INS_SEQ,
+	MIPS_INS_SEQI,
 	MIPS_INS_SH,
 	MIPS_INS_SHF,
 	MIPS_INS_SHILO,
@@ -638,6 +736,8 @@
 	MIPS_INS_SLTI,
 	MIPS_INS_SLTIU,
 	MIPS_INS_SLTU,
+	MIPS_INS_SNE,
+	MIPS_INS_SNEI,
 	MIPS_INS_SPLATI,
 	MIPS_INS_SPLAT,
 	MIPS_INS_SRA,
@@ -650,6 +750,7 @@
 	MIPS_INS_SRLRI,
 	MIPS_INS_SRLR,
 	MIPS_INS_SRLV,
+	MIPS_INS_SSNOP,
 	MIPS_INS_ST,
 	MIPS_INS_SUBQH,
 	MIPS_INS_SUBQH_R,
@@ -669,6 +770,7 @@
 	MIPS_INS_SW,
 	MIPS_INS_SWC1,
 	MIPS_INS_SWC2,
+	MIPS_INS_SWC3,
 	MIPS_INS_SWL,
 	MIPS_INS_SWR,
 	MIPS_INS_SWXC1,
@@ -680,6 +782,10 @@
 	MIPS_INS_TGEI,
 	MIPS_INS_TGEIU,
 	MIPS_INS_TGEU,
+	MIPS_INS_TLBP,
+	MIPS_INS_TLBR,
+	MIPS_INS_TLBWI,
+	MIPS_INS_TLBWR,
 	MIPS_INS_TLT,
 	MIPS_INS_TLTI,
 	MIPS_INS_TLTIU,
@@ -687,6 +793,9 @@
 	MIPS_INS_TNE,
 	MIPS_INS_TNEI,
 	MIPS_INS_TRUNC,
+	MIPS_INS_V3MULU,
+	MIPS_INS_VMM0,
+	MIPS_INS_VMULU,
 	MIPS_INS_VSHF,
 	MIPS_INS_WAIT,
 	MIPS_INS_WRDSP,
@@ -698,7 +807,7 @@
 	MIPS_INS_NOP,
 	MIPS_INS_NEGU,
 
-	MIPS_INS_MAX,
+	MIPS_INS_MAXIMUM,
 } mips_insn;
 
 //> Group of MIPS instructions
@@ -723,6 +832,21 @@
 	MIPS_GRP_NOTFP64BIT,
 	MIPS_GRP_NOTINMICROMIPS,
 	MIPS_GRP_NOTNACL,
+	MIPS_GRP_NOTMIPS32R6,
+	MIPS_GRP_NOTMIPS64R6,
+	MIPS_GRP_CNMIPS,
+	MIPS_GRP_MIPS32,
+	MIPS_GRP_MIPS32R6,
+	MIPS_GRP_MIPS64R6,
+	MIPS_GRP_MIPS2,
+	MIPS_GRP_MIPS3,
+	MIPS_GRP_MIPS3_32,
+	MIPS_GRP_MIPS3_32R2,
+	MIPS_GRP_MIPS4_32,
+	MIPS_GRP_MIPS4_32R2,
+	MIPS_GRP_MIPS5_32R2,
+	MIPS_GRP_GP32BIT,
+	MIPS_GRP_GP64BIT,
 
 	MIPS_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)