x86: upgrade core
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
index d4ddf31..387eb79 100644
--- a/arch/X86/X86GenAsmWriter1.inc
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -9,6 +9,8 @@
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
 /* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
 
+/// printInstruction - This method is automatically generated by tablegen
+/// from the instruction set description.
 static void printInstruction(MCInst *MI, SStream *O, MCRegisterInfo *MRI)
 {
   static const uint32_t OpInfo[] = {
@@ -23,129 +25,129 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    9714U,	// DBG_VALUE
+    11661U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    9707U,	// BUNDLE
-    9779U,	// LIFETIME_START
-    9694U,	// LIFETIME_END
+    11654U,	// BUNDLE
+    11726U,	// LIFETIME_START
+    11641U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    9794U,	// AAA
-    17386U,	// AAD8i8
-    20111U,	// AAM8i8
-    10543U,	// AAS
-    10551U,	// ABS_F
+    11741U,	// AAA
+    20100U,	// AAD8i8
+    22477U,	// AAM8i8
+    12422U,	// AAS
+    12430U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    9409U,	// ACQUIRE_MOV16rm
-    9409U,	// ACQUIRE_MOV32rm
-    9409U,	// ACQUIRE_MOV64rm
-    9409U,	// ACQUIRE_MOV8rm
-    24233U,	// ADC16i16
-    1082255U,	// ADC16mi
-    1082255U,	// ADC16mi8
-    1082255U,	// ADC16mr
-    35701647U,	// ADC16ri
-    35701647U,	// ADC16ri8
-    3195791U,	// ADC16rm
-    35701647U,	// ADC16rr
-    68174735U,	// ADC16rr_REV
-    24347U,	// ADC32i32
-    1115023U,	// ADC32mi
-    1115023U,	// ADC32mi8
-    1115023U,	// ADC32mr
-    35701647U,	// ADC32ri
-    35701647U,	// ADC32ri8
-    4244367U,	// ADC32rm
-    35701647U,	// ADC32rr
-    68174735U,	// ADC32rr_REV
-    24471U,	// ADC64i32
-    1131407U,	// ADC64mi32
-    1131407U,	// ADC64mi8
-    1131407U,	// ADC64mr
-    35701647U,	// ADC64ri32
-    35701647U,	// ADC64ri8
-    5292943U,	// ADC64rm
-    35701647U,	// ADC64rr
-    68174735U,	// ADC64rr_REV
-    24131U,	// ADC8i8
-    1147791U,	// ADC8mi
-    1147791U,	// ADC8mr
-    35701647U,	// ADC8ri
-    6341519U,	// ADC8rm
-    35701647U,	// ADC8rr
-    68174735U,	// ADC8rr_REV
-    101735746U,	// ADCX32rm
-    135290178U,	// ADCX32rr
-    168844610U,	// ADCX64rm
-    135290178U,	// ADCX64rr
-    24242U,	// ADD16i16
-    1082437U,	// ADD16mi
-    1082437U,	// ADD16mi8
-    1082437U,	// ADD16mr
-    35701829U,	// ADD16ri
-    35701829U,	// ADD16ri8
+    11356U,	// ACQUIRE_MOV16rm
+    11356U,	// ACQUIRE_MOV32rm
+    11356U,	// ACQUIRE_MOV64rm
+    11356U,	// ACQUIRE_MOV8rm
+    26077U,	// ADC16i16
+    1084996U,	// ADC16mi
+    1084996U,	// ADC16mi8
+    1084996U,	// ADC16mr
+    35704388U,	// ADC16ri
+    35704388U,	// ADC16ri8
+    3198532U,	// ADC16rm
+    35704388U,	// ADC16rr
+    68177476U,	// ADC16rr_REV
+    26213U,	// ADC32i32
+    1117764U,	// ADC32mi
+    1117764U,	// ADC32mi8
+    1117764U,	// ADC32mr
+    35704388U,	// ADC32ri
+    35704388U,	// ADC32ri8
+    4247108U,	// ADC32rm
+    35704388U,	// ADC32rr
+    68177476U,	// ADC32rr_REV
+    26361U,	// ADC64i32
+    1134148U,	// ADC64mi32
+    1134148U,	// ADC64mi8
+    1134148U,	// ADC64mr
+    35704388U,	// ADC64ri32
+    35704388U,	// ADC64ri8
+    5295684U,	// ADC64rm
+    35704388U,	// ADC64rr
+    68177476U,	// ADC64rr_REV
+    25975U,	// ADC8i8
+    1150532U,	// ADC8mi
+    1150532U,	// ADC8mr
+    35704388U,	// ADC8ri
+    6344260U,	// ADC8rm
+    35704388U,	// ADC8rr
+    68177476U,	// ADC8rr_REV
+    101737568U,	// ADCX32rm
+    135292000U,	// ADCX32rr
+    168846432U,	// ADCX64rm
+    135292000U,	// ADCX64rr
+    26086U,	// ADD16i16
+    1085151U,	// ADD16mi
+    1085151U,	// ADD16mi8
+    1085151U,	// ADD16mr
+    35704543U,	// ADD16ri
+    35704543U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    3195973U,	// ADD16rm
-    35701829U,	// ADD16rr
+    3198687U,	// ADD16rm
+    35704543U,	// ADD16rr
     0U,	// ADD16rr_DB
-    68174917U,	// ADD16rr_REV
-    24357U,	// ADD32i32
-    1115205U,	// ADD32mi
-    1115205U,	// ADD32mi8
-    1115205U,	// ADD32mr
-    35701829U,	// ADD32ri
-    35701829U,	// ADD32ri8
+    68177631U,	// ADD16rr_REV
+    26223U,	// ADD32i32
+    1117919U,	// ADD32mi
+    1117919U,	// ADD32mi8
+    1117919U,	// ADD32mr
+    35704543U,	// ADD32ri
+    35704543U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    4244549U,	// ADD32rm
-    35701829U,	// ADD32rr
+    4247263U,	// ADD32rm
+    35704543U,	// ADD32rr
     0U,	// ADD32rr_DB
-    68174917U,	// ADD32rr_REV
-    24481U,	// ADD64i32
-    1131589U,	// ADD64mi32
-    1131589U,	// ADD64mi8
-    1131589U,	// ADD64mr
-    35701829U,	// ADD64ri32
+    68177631U,	// ADD32rr_REV
+    26371U,	// ADD64i32
+    1134303U,	// ADD64mi32
+    1134303U,	// ADD64mi8
+    1134303U,	// ADD64mr
+    35704543U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    35701829U,	// ADD64ri8
+    35704543U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    5293125U,	// ADD64rm
-    35701829U,	// ADD64rr
+    5295839U,	// ADD64rm
+    35704543U,	// ADD64rr
     0U,	// ADD64rr_DB
-    68174917U,	// ADD64rr_REV
-    24140U,	// ADD8i8
-    1147973U,	// ADD8mi
-    1147973U,	// ADD8mr
-    35701829U,	// ADD8ri
-    6341701U,	// ADD8rm
-    35701829U,	// ADD8rr
-    68174917U,	// ADD8rr_REV
-    202393392U,	// ADDPDrm
-    68175664U,	// ADDPDrr
-    202397105U,	// ADDPSrm
-    68179377U,	// ADDPSrr
-    235948583U,	// ADDSDrm
-    235948583U,	// ADDSDrm_Int
-    68176423U,	// ADDSDrr
-    68176423U,	// ADDSDrr_Int
-    269506669U,	// ADDSSrm
-    269506669U,	// ADDSSrm_Int
-    68180077U,	// ADDSSrr
-    68180077U,	// ADDSSrr_Int
-    202393327U,	// ADDSUBPDrm
-    68175599U,	// ADDSUBPDrr
-    202397040U,	// ADDSUBPSrm
-    68179312U,	// ADDSUBPSrr
-    115780U,	// ADD_F32m
-    132164U,	// ADD_F64m
-    33866U,	// ADD_FI16m
-    66634U,	// ADD_FI32m
-    20256U,	// ADD_FPrST0
-    17476U,	// ADD_FST0r
+    68177631U,	// ADD64rr_REV
+    25984U,	// ADD8i8
+    1150687U,	// ADD8mi
+    1150687U,	// ADD8mr
+    35704543U,	// ADD8ri
+    6344415U,	// ADD8rm
+    35704543U,	// ADD8rr
+    68177631U,	// ADD8rr_REV
+    202395979U,	// ADDPDrm
+    68178251U,	// ADDPDrr
+    202399192U,	// ADDPSrm
+    68181464U,	// ADDPSrr
+    235951018U,	// ADDSDrm
+    235951018U,	// ADDSDrm_Int
+    68178858U,	// ADDSDrr
+    68178858U,	// ADDSDrr_Int
+    269508662U,	// ADDSSrm
+    269508662U,	// ADDSSrm_Int
+    68182070U,	// ADDSSrr
+    68182070U,	// ADDSSrr_Int
+    202395914U,	// ADDSUBPDrm
+    68178186U,	// ADDSUBPDrr
+    202399127U,	// ADDSUBPSrm
+    68181399U,	// ADDSUBPSrr
+    118494U,	// ADD_F32m
+    134878U,	// ADD_F64m
+    36580U,	// ADD_FI16m
+    69348U,	// ADD_FI32m
+    22622U,	// ADD_FPrST0
+    20190U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -160,582 +162,585 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    7357508U,	// ADD_FrST0
-    9733U,	// ADJCALLSTACKDOWN32
-    9733U,	// ADJCALLSTACKDOWN64
-    9751U,	// ADJCALLSTACKUP32
-    9751U,	// ADJCALLSTACKUP64
-    101735764U,	// ADOX32rm
-    135290196U,	// ADOX32rr
-    168844628U,	// ADOX64rm
-    135290196U,	// ADOX64rr
-    303061423U,	// AESDECLASTrm
-    68180399U,	// AESDECLASTrr
-    303055765U,	// AESDECrm
-    68174741U,	// AESDECrr
-    303061436U,	// AESENCLASTrm
-    68180412U,	// AESENCLASTrr
-    303055805U,	// AESENCrm
-    68174781U,	// AESENCrr
-    336610228U,	// AESIMCrm
-    135283636U,	// AESIMCrr
-    1410357724U,	// AESKEYGENASSIST128rm
-    1209031132U,	// AESKEYGENASSIST128rr
-    24251U,	// AND16i16
-    1082683U,	// AND16mi
-    1082683U,	// AND16mi8
-    1082683U,	// AND16mr
-    35702075U,	// AND16ri
-    35702075U,	// AND16ri8
-    3196219U,	// AND16rm
-    35702075U,	// AND16rr
-    68175163U,	// AND16rr_REV
-    24367U,	// AND32i32
-    1115451U,	// AND32mi
-    1115451U,	// AND32mi8
-    1115451U,	// AND32mr
-    35702075U,	// AND32ri
-    35702075U,	// AND32ri8
-    4244795U,	// AND32rm
-    35702075U,	// AND32rr
-    68175163U,	// AND32rr_REV
-    24491U,	// AND64i32
-    1131835U,	// AND64mi32
-    1131835U,	// AND64mi8
-    1131835U,	// AND64mr
-    35702075U,	// AND64ri32
-    35702075U,	// AND64ri8
-    5293371U,	// AND64rm
-    35702075U,	// AND64rr
-    68175163U,	// AND64rr_REV
-    24149U,	// AND8i8
-    1148219U,	// AND8mi
-    1148219U,	// AND8mr
-    35702075U,	// AND8ri
-    6341947U,	// AND8rm
-    35702075U,	// AND8rr
-    68175163U,	// AND8rr_REV
-    1209028298U,	// ANDN32rm
-    1209028298U,	// ANDN32rr
-    1209028298U,	// ANDN64rm
-    1209028298U,	// ANDN64rr
-    202393611U,	// ANDNPDrm
-    68175883U,	// ANDNPDrr
-    202397336U,	// ANDNPSrm
-    68179608U,	// ANDNPSrr
-    202393438U,	// ANDPDrm
-    68175710U,	// ANDPDrr
-    202397151U,	// ANDPSrm
-    68179423U,	// ANDPSrr
-    1085010U,	// ARPL16mr
-    135286354U,	// ARPL16rr
-    8311U,	// ATOMADD6432
-    9091U,	// ATOMAND16
-    8524U,	// ATOMAND32
-    8778U,	// ATOMAND64
-    8332U,	// ATOMAND6432
-    9245U,	// ATOMAND8
-    9206U,	// ATOMMAX16
-    8739U,	// ATOMMAX32
-    9052U,	// ATOMMAX64
-    8481U,	// ATOMMAX6432
-    9372U,	// ATOMMAX8
-    9130U,	// ATOMMIN16
-    8624U,	// ATOMMIN32
-    8937U,	// ATOMMIN64
-    8375U,	// ATOMMIN6432
-    9282U,	// ATOMMIN8
-    9110U,	// ATOMNAND16
-    8543U,	// ATOMNAND32
-    8797U,	// ATOMNAND64
-    8353U,	// ATOMNAND6432
-    9263U,	// ATOMNAND8
-    9169U,	// ATOMOR16
-    8702U,	// ATOMOR32
-    9015U,	// ATOMOR64
-    8440U,	// ATOMOR6432
-    9337U,	// ATOMOR8
-    8290U,	// ATOMSUB6432
-    8418U,	// ATOMSWAP6432
-    9225U,	// ATOMUMAX16
-    8758U,	// ATOMUMAX32
-    9071U,	// ATOMUMAX64
-    8502U,	// ATOMUMAX6432
-    9390U,	// ATOMUMAX8
-    9149U,	// ATOMUMIN16
-    8643U,	// ATOMUMIN32
-    8956U,	// ATOMUMIN64
-    8396U,	// ATOMUMIN6432
-    9300U,	// ATOMUMIN8
-    9187U,	// ATOMXOR16
-    8720U,	// ATOMXOR32
-    9033U,	// ATOMXOR64
-    8460U,	// ATOMXOR6432
-    9354U,	// ATOMXOR8
+    7360222U,	// ADD_FrST0
+    11680U,	// ADJCALLSTACKDOWN32
+    11680U,	// ADJCALLSTACKDOWN64
+    11698U,	// ADJCALLSTACKUP32
+    11698U,	// ADJCALLSTACKUP64
+    101737586U,	// ADOX32rm
+    135292018U,	// ADOX32rr
+    168846450U,	// ADOX64rm
+    135292018U,	// ADOX64rr
+    303063396U,	// AESDECLASTrm
+    68182372U,	// AESDECLASTrr
+    303058506U,	// AESDECrm
+    68177482U,	// AESDECrr
+    303063409U,	// AESENCLASTrm
+    68182385U,	// AESENCLASTrr
+    303058546U,	// AESENCrm
+    68177522U,	// AESENCrr
+    336612969U,	// AESIMCrm
+    135286377U,	// AESIMCrr
+    2484101521U,	// AESKEYGENASSIST128rm
+    2282774929U,	// AESKEYGENASSIST128rr
+    26095U,	// AND16i16
+    1085355U,	// AND16mi
+    1085355U,	// AND16mi8
+    1085355U,	// AND16mr
+    35704747U,	// AND16ri
+    35704747U,	// AND16ri8
+    3198891U,	// AND16rm
+    35704747U,	// AND16rr
+    68177835U,	// AND16rr_REV
+    26233U,	// AND32i32
+    1118123U,	// AND32mi
+    1118123U,	// AND32mi8
+    1118123U,	// AND32mr
+    35704747U,	// AND32ri
+    35704747U,	// AND32ri8
+    4247467U,	// AND32rm
+    35704747U,	// AND32rr
+    68177835U,	// AND32rr_REV
+    26381U,	// AND64i32
+    1134507U,	// AND64mi32
+    1134507U,	// AND64mi8
+    1134507U,	// AND64mr
+    35704747U,	// AND64ri32
+    35704747U,	// AND64ri8
+    5296043U,	// AND64rm
+    35704747U,	// AND64rr
+    68177835U,	// AND64rr_REV
+    25993U,	// AND8i8
+    1150891U,	// AND8mi
+    1150891U,	// AND8mr
+    35704747U,	// AND8ri
+    6344619U,	// AND8rm
+    35704747U,	// AND8rr
+    68177835U,	// AND8rr_REV
+    2282772488U,	// ANDN32rm
+    2282772488U,	// ANDN32rr
+    2282772488U,	// ANDN64rm
+    2282772488U,	// ANDN64rr
+    202396161U,	// ANDNPDrm
+    68178433U,	// ANDNPDrr
+    202399386U,	// ANDNPSrm
+    68181658U,	// ANDNPSrr
+    202396025U,	// ANDPDrm
+    68178297U,	// ANDPDrr
+    202399238U,	// ANDPSrm
+    68181510U,	// ANDPSrr
+    1087376U,	// ARPL16mr
+    135288720U,	// ARPL16rr
+    10258U,	// ATOMADD6432
+    11038U,	// ATOMAND16
+    10471U,	// ATOMAND32
+    10725U,	// ATOMAND64
+    10279U,	// ATOMAND6432
+    11192U,	// ATOMAND8
+    11153U,	// ATOMMAX16
+    10686U,	// ATOMMAX32
+    10999U,	// ATOMMAX64
+    10428U,	// ATOMMAX6432
+    11319U,	// ATOMMAX8
+    11077U,	// ATOMMIN16
+    10571U,	// ATOMMIN32
+    10884U,	// ATOMMIN64
+    10322U,	// ATOMMIN6432
+    11229U,	// ATOMMIN8
+    11057U,	// ATOMNAND16
+    10490U,	// ATOMNAND32
+    10744U,	// ATOMNAND64
+    10300U,	// ATOMNAND6432
+    11210U,	// ATOMNAND8
+    11116U,	// ATOMOR16
+    10649U,	// ATOMOR32
+    10962U,	// ATOMOR64
+    10387U,	// ATOMOR6432
+    11284U,	// ATOMOR8
+    10237U,	// ATOMSUB6432
+    10365U,	// ATOMSWAP6432
+    11172U,	// ATOMUMAX16
+    10705U,	// ATOMUMAX32
+    11018U,	// ATOMUMAX64
+    10449U,	// ATOMUMAX6432
+    11337U,	// ATOMUMAX8
+    11096U,	// ATOMUMIN16
+    10590U,	// ATOMUMIN32
+    10903U,	// ATOMUMIN64
+    10343U,	// ATOMUMIN6432
+    11247U,	// ATOMUMIN8
+    11134U,	// ATOMXOR16
+    10667U,	// ATOMXOR32
+    10980U,	// ATOMXOR64
+    10407U,	// ATOMXOR6432
+    11301U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    1175475096U,	// BEXTR32rm
-    1209029528U,	// BEXTR32rr
-    1242583960U,	// BEXTR64rm
-    1209029528U,	// BEXTR64rr
-    1175475096U,	// BEXTRI32mi
-    1209029528U,	// BEXTRI32ri
-    1242583960U,	// BEXTRI64mi
-    1209029528U,	// BEXTRI64ri
-    101731899U,	// BLCFILL32rm
-    135286331U,	// BLCFILL32rr
-    168840763U,	// BLCFILL64rm
-    135286331U,	// BLCFILL64rr
-    101731635U,	// BLCI32rm
-    135286067U,	// BLCI32rr
-    168840499U,	// BLCI64rm
-    135286067U,	// BLCI64rr
-    101729181U,	// BLCIC32rm
-    135283613U,	// BLCIC32rr
-    168838045U,	// BLCIC64rm
-    135283613U,	// BLCIC64rr
-    101731855U,	// BLCMSK32rm
-    135286287U,	// BLCMSK32rr
-    168840719U,	// BLCMSK64rm
-    135286287U,	// BLCMSK64rr
-    101733302U,	// BLCS32rm
-    135287734U,	// BLCS32rr
-    168842166U,	// BLCS64rm
-    135287734U,	// BLCS64rr
-    1276135270U,	// BLENDPDrmi
-    1141917542U,	// BLENDPDrri
-    1276138983U,	// BLENDPSrmi
-    1141921255U,	// BLENDPSrri
-    202393720U,	// BLENDVPDrm0
-    68175992U,	// BLENDVPDrr0
-    202397486U,	// BLENDVPSrm0
-    68179758U,	// BLENDVPSrr0
-    101731908U,	// BLSFILL32rm
-    135286340U,	// BLSFILL32rr
-    168840772U,	// BLSFILL64rm
-    135286340U,	// BLSFILL64rr
-    101731791U,	// BLSI32rm
-    135286223U,	// BLSI32rr
-    168840655U,	// BLSI64rm
-    135286223U,	// BLSI64rr
-    101729188U,	// BLSIC32rm
-    135283620U,	// BLSIC32rr
-    168838052U,	// BLSIC64rm
-    135283620U,	// BLSIC64rr
-    101731863U,	// BLSMSK32rm
-    135286295U,	// BLSMSK32rr
-    168840727U,	// BLSMSK64rm
-    135286295U,	// BLSMSK64rr
-    101733251U,	// BLSR32rm
-    135287683U,	// BLSR32rr
-    168842115U,	// BLSR64rm
-    135287683U,	// BLSR64rr
-    370165091U,	// BOUNDS16rm
-    101729635U,	// BOUNDS32rm
-    370166976U,	// BSF16rm
-    135285952U,	// BSF16rr
-    101731520U,	// BSF32rm
-    135285952U,	// BSF32rr
-    168840384U,	// BSF64rm
-    135285952U,	// BSF64rr
-    370168682U,	// BSR16rm
-    135287658U,	// BSR16rr
-    101733226U,	// BSR32rm
-    135287658U,	// BSR32rr
-    168842090U,	// BSR64rm
-    135287658U,	// BSR64rr
-    20235U,	// BSWAP32r
-    20235U,	// BSWAP64r
-    1087790U,	// BT16mi8
-    1087790U,	// BT16mr
-    135289134U,	// BT16ri8
-    135289134U,	// BT16rr
-    1120558U,	// BT32mi8
-    1120558U,	// BT32mr
-    135289134U,	// BT32ri8
-    135289134U,	// BT32rr
-    1136942U,	// BT64mi8
-    1136942U,	// BT64mr
-    135289134U,	// BT64ri8
-    135289134U,	// BT64rr
-    1082314U,	// BTC16mi8
-    1082314U,	// BTC16mr
-    135283658U,	// BTC16ri8
-    135283658U,	// BTC16rr
-    1115082U,	// BTC32mi8
-    1115082U,	// BTC32mr
-    135283658U,	// BTC32ri8
-    135283658U,	// BTC32rr
-    1131466U,	// BTC64mi8
-    1131466U,	// BTC64mr
-    135283658U,	// BTC64ri8
-    135283658U,	// BTC64rr
-    1086345U,	// BTR16mi8
-    1086345U,	// BTR16mr
-    135287689U,	// BTR16ri8
-    135287689U,	// BTR16rr
-    1119113U,	// BTR32mi8
-    1119113U,	// BTR32mr
-    135287689U,	// BTR32ri8
-    135287689U,	// BTR32rr
-    1135497U,	// BTR64mi8
-    1135497U,	// BTR64mr
-    135287689U,	// BTR64ri8
-    135287689U,	// BTR64rr
-    1087772U,	// BTS16mi8
-    1087772U,	// BTS16mr
-    135289116U,	// BTS16ri8
-    135289116U,	// BTS16rr
-    1120540U,	// BTS32mi8
-    1120540U,	// BTS32mr
-    135289116U,	// BTS32ri8
-    135289116U,	// BTS32rr
-    1136924U,	// BTS64mi8
-    1136924U,	// BTS64mr
-    135289116U,	// BTS64ri8
-    135289116U,	// BTS64rr
-    1175473465U,	// BZHI32rm
-    1209027897U,	// BZHI32rr
-    1242582329U,	// BZHI64rm
-    1209027897U,	// BZHI64rr
-    69173U,	// CALL32m
-    20021U,	// CALL32r
-    85557U,	// CALL64m
-    151093U,	// CALL64pcrel32
-    20021U,	// CALL64r
-    154506U,	// CALLpcrel16
-    151093U,	// CALLpcrel32
-    10811U,	// CBW
-    10432U,	// CDQ
-    10119U,	// CDQE
-    10631U,	// CHS_F
+    2249219074U,	// BEXTR32rm
+    2282773506U,	// BEXTR32rr
+    2316327938U,	// BEXTR64rm
+    2282773506U,	// BEXTR64rr
+    2249219074U,	// BEXTRI32mi
+    2282773506U,	// BEXTRI32ri
+    2316327938U,	// BEXTRI64mi
+    2282773506U,	// BEXTRI64ri
+    101734265U,	// BLCFILL32rm
+    135288697U,	// BLCFILL32rr
+    168843129U,	// BLCFILL64rm
+    135288697U,	// BLCFILL64rr
+    101734051U,	// BLCI32rm
+    135288483U,	// BLCI32rr
+    168842915U,	// BLCI64rm
+    135288483U,	// BLCI64rr
+    101731922U,	// BLCIC32rm
+    135286354U,	// BLCIC32rr
+    168840786U,	// BLCIC64rm
+    135286354U,	// BLCIC64rr
+    101734221U,	// BLCMSK32rm
+    135288653U,	// BLCMSK32rr
+    168843085U,	// BLCMSK64rm
+    135288653U,	// BLCMSK64rr
+    101735456U,	// BLCS32rm
+    135289888U,	// BLCS32rr
+    168844320U,	// BLCS64rm
+    135289888U,	// BLCS64rr
+    2349879681U,	// BLENDPDrmi
+    2215661953U,	// BLENDPDrri
+    2349882894U,	// BLENDPSrmi
+    2215665166U,	// BLENDPSrri
+    202396257U,	// BLENDVPDrm0
+    68178529U,	// BLENDVPDrr0
+    202399523U,	// BLENDVPSrm0
+    68181795U,	// BLENDVPSrr0
+    101734274U,	// BLSFILL32rm
+    135288706U,	// BLSFILL32rr
+    168843138U,	// BLSFILL64rm
+    135288706U,	// BLSFILL64rr
+    101734207U,	// BLSI32rm
+    135288639U,	// BLSI32rr
+    168843071U,	// BLSI64rm
+    135288639U,	// BLSI64rr
+    101731929U,	// BLSIC32rm
+    135286361U,	// BLSIC32rr
+    168840793U,	// BLSIC64rm
+    135286361U,	// BLSIC64rr
+    101734229U,	// BLSMSK32rm
+    135288661U,	// BLSMSK32rr
+    168843093U,	// BLSMSK64rm
+    135288661U,	// BLSMSK64rr
+    101735405U,	// BLSR32rm
+    135289837U,	// BLSR32rr
+    168844269U,	// BLSR64rm
+    135289837U,	// BLSR64rr
+    370167745U,	// BOUNDS16rm
+    101732289U,	// BOUNDS32rm
+    370169392U,	// BSF16rm
+    135288368U,	// BSF16rr
+    101733936U,	// BSF32rm
+    135288368U,	// BSF32rr
+    168842800U,	// BSF64rm
+    135288368U,	// BSF64rr
+    370170836U,	// BSR16rm
+    135289812U,	// BSR16rr
+    101735380U,	// BSR32rm
+    135289812U,	// BSR32rr
+    168844244U,	// BSR64rm
+    135289812U,	// BSR64rr
+    22601U,	// BSWAP32r
+    22601U,	// BSWAP64r
+    1089770U,	// BT16mi8
+    1089770U,	// BT16mr
+    135291114U,	// BT16ri8
+    135291114U,	// BT16rr
+    1122538U,	// BT32mi8
+    1122538U,	// BT32mr
+    135291114U,	// BT32ri8
+    135291114U,	// BT32rr
+    1138922U,	// BT64mi8
+    1138922U,	// BT64mr
+    135291114U,	// BT64ri8
+    135291114U,	// BT64rr
+    1085055U,	// BTC16mi8
+    1085055U,	// BTC16mr
+    135286399U,	// BTC16ri8
+    135286399U,	// BTC16rr
+    1117823U,	// BTC32mi8
+    1117823U,	// BTC32mr
+    135286399U,	// BTC32ri8
+    135286399U,	// BTC32rr
+    1134207U,	// BTC64mi8
+    1134207U,	// BTC64mr
+    135286399U,	// BTC64ri8
+    135286399U,	// BTC64rr
+    1088499U,	// BTR16mi8
+    1088499U,	// BTR16mr
+    135289843U,	// BTR16ri8
+    135289843U,	// BTR16rr
+    1121267U,	// BTR32mi8
+    1121267U,	// BTR32mr
+    135289843U,	// BTR32ri8
+    135289843U,	// BTR32rr
+    1137651U,	// BTR64mi8
+    1137651U,	// BTR64mr
+    135289843U,	// BTR64ri8
+    135289843U,	// BTR64rr
+    1089752U,	// BTS16mi8
+    1089752U,	// BTS16mr
+    135291096U,	// BTS16ri8
+    135291096U,	// BTS16rr
+    1122520U,	// BTS32mi8
+    1122520U,	// BTS32mr
+    135291096U,	// BTS32ri8
+    135291096U,	// BTS32rr
+    1138904U,	// BTS64mi8
+    1138904U,	// BTS64mr
+    135291096U,	// BTS64ri8
+    135291096U,	// BTS64rr
+    2249217705U,	// BZHI32rm
+    2282772137U,	// BZHI32rr
+    2316326569U,	// BZHI64rm
+    2282772137U,	// BZHI64rr
+    38771U,	// CALL16m
+    22387U,	// CALL16r
+    71539U,	// CALL32m
+    22387U,	// CALL32r
+    87923U,	// CALL64m
+    153459U,	// CALL64pcrel32
+    22387U,	// CALL64r
+    153459U,	// CALLpcrel16
+    153459U,	// CALLpcrel32
+    12703U,	// CBW
+    12323U,	// CDQ
+    11997U,	// CDQE
+    12510U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    9903U,	// CLAC
-    9935U,	// CLC
-    9985U,	// CLD
-    101668U,	// CLFLUSH
-    10203U,	// CLGI
-    10213U,	// CLI
-    10715U,	// CLTS
-    9939U,	// CMC
-    403718635U,	// CMOVA16rm
-    68174315U,	// CMOVA16rr
-    437273067U,	// CMOVA32rm
-    68174315U,	// CMOVA32rr
-    470827499U,	// CMOVA64rm
-    68174315U,	// CMOVA64rr
-    403721196U,	// CMOVAE16rm
-    68176876U,	// CMOVAE16rr
-    437275628U,	// CMOVAE32rm
-    68176876U,	// CMOVAE32rr
-    470830060U,	// CMOVAE64rm
-    68176876U,	// CMOVAE64rr
-    403719002U,	// CMOVB16rm
-    68174682U,	// CMOVB16rr
-    437273434U,	// CMOVB32rm
-    68174682U,	// CMOVB32rr
-    470827866U,	// CMOVB64rm
-    68174682U,	// CMOVB64rr
-    403721216U,	// CMOVBE16rm
-    68176896U,	// CMOVBE16rr
-    437275648U,	// CMOVBE32rm
-    68176896U,	// CMOVBE32rr
-    470830080U,	// CMOVBE64rm
-    68176896U,	// CMOVBE64rr
-    24035U,	// CMOVBE_F
+    11810U,	// CLAC
+    11842U,	// CLC
+    11892U,	// CLD
+    104084U,	// CLFLUSH
+    12081U,	// CLGI
+    12091U,	// CLI
+    12594U,	// CLTS
+    11846U,	// CMC
+    403721415U,	// CMOVA16rm
+    68177095U,	// CMOVA16rr
+    437275847U,	// CMOVA32rm
+    68177095U,	// CMOVA32rr
+    470830279U,	// CMOVA64rm
+    68177095U,	// CMOVA64rr
+    403723612U,	// CMOVAE16rm
+    68179292U,	// CMOVAE16rr
+    437278044U,	// CMOVAE32rm
+    68179292U,	// CMOVAE32rr
+    470832476U,	// CMOVAE64rm
+    68179292U,	// CMOVAE64rr
+    403721743U,	// CMOVB16rm
+    68177423U,	// CMOVB16rr
+    437276175U,	// CMOVB32rm
+    68177423U,	// CMOVB32rr
+    470830607U,	// CMOVB64rm
+    68177423U,	// CMOVB64rr
+    403723632U,	// CMOVBE16rm
+    68179312U,	// CMOVBE16rr
+    437278064U,	// CMOVBE32rm
+    68179312U,	// CMOVBE32rr
+    470832496U,	// CMOVBE64rm
+    68179312U,	// CMOVBE64rr
+    25857U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    24003U,	// CMOVB_F
+    25825U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    403721401U,	// CMOVE16rm
-    68177081U,	// CMOVE16rr
-    437275833U,	// CMOVE32rm
-    68177081U,	// CMOVE32rr
-    470830265U,	// CMOVE64rm
-    68177081U,	// CMOVE64rr
-    24067U,	// CMOVE_F
+    403723817U,	// CMOVE16rm
+    68179497U,	// CMOVE16rr
+    437278249U,	// CMOVE32rm
+    68179497U,	// CMOVE32rr
+    470832681U,	// CMOVE64rm
+    68179497U,	// CMOVE64rr
+    25889U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    403721451U,	// CMOVG16rm
-    68177131U,	// CMOVG16rr
-    437275883U,	// CMOVG32rm
-    68177131U,	// CMOVG32rr
-    470830315U,	// CMOVG64rm
-    68177131U,	// CMOVG64rr
-    403721252U,	// CMOVGE16rm
-    68176932U,	// CMOVGE16rr
-    437275684U,	// CMOVGE32rm
-    68176932U,	// CMOVGE32rr
-    470830116U,	// CMOVGE64rm
-    68176932U,	// CMOVGE64rr
-    403721864U,	// CMOVL16rm
-    68177544U,	// CMOVL16rr
-    437276296U,	// CMOVL32rm
-    68177544U,	// CMOVL32rr
-    470830728U,	// CMOVL64rm
-    68177544U,	// CMOVL64rr
-    403721276U,	// CMOVLE16rm
-    68176956U,	// CMOVLE16rr
-    437275708U,	// CMOVLE32rm
-    68176956U,	// CMOVLE32rr
-    470830140U,	// CMOVLE64rm
-    68176956U,	// CMOVLE64rr
-    24018U,	// CMOVNBE_F
+    403723867U,	// CMOVG16rm
+    68179547U,	// CMOVG16rr
+    437278299U,	// CMOVG32rm
+    68179547U,	// CMOVG32rr
+    470832731U,	// CMOVG64rm
+    68179547U,	// CMOVG64rr
+    403723668U,	// CMOVGE16rm
+    68179348U,	// CMOVGE16rr
+    437278100U,	// CMOVGE32rm
+    68179348U,	// CMOVGE32rr
+    470832532U,	// CMOVGE64rm
+    68179348U,	// CMOVGE64rr
+    403724230U,	// CMOVL16rm
+    68179910U,	// CMOVL16rr
+    437278662U,	// CMOVL32rm
+    68179910U,	// CMOVL32rr
+    470833094U,	// CMOVL64rm
+    68179910U,	// CMOVL64rr
+    403723692U,	// CMOVLE16rm
+    68179372U,	// CMOVLE16rr
+    437278124U,	// CMOVLE32rm
+    68179372U,	// CMOVLE32rr
+    470832556U,	// CMOVLE64rm
+    68179372U,	// CMOVLE64rr
+    25840U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    23987U,	// CMOVNB_F
+    25809U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    403721304U,	// CMOVNE16rm
-    68176984U,	// CMOVNE16rr
-    437275736U,	// CMOVNE32rm
-    68176984U,	// CMOVNE32rr
-    470830168U,	// CMOVNE64rm
-    68176984U,	// CMOVNE64rr
-    24051U,	// CMOVNE_F
+    403723720U,	// CMOVNE16rm
+    68179400U,	// CMOVNE16rr
+    437278152U,	// CMOVNE32rm
+    68179400U,	// CMOVNE32rr
+    470832584U,	// CMOVNE64rm
+    68179400U,	// CMOVNE64rr
+    25873U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    403721974U,	// CMOVNO16rm
-    68177654U,	// CMOVNO16rr
-    437276406U,	// CMOVNO32rm
-    68177654U,	// CMOVNO32rr
-    470830838U,	// CMOVNO64rm
-    68177654U,	// CMOVNO64rr
-    403722086U,	// CMOVNP16rm
-    68177766U,	// CMOVNP16rr
-    437276518U,	// CMOVNP32rm
-    68177766U,	// CMOVNP32rr
-    470830950U,	// CMOVNP64rm
-    68177766U,	// CMOVNP64rr
-    24082U,	// CMOVNP_F
+    403724340U,	// CMOVNO16rm
+    68180020U,	// CMOVNO16rr
+    437278772U,	// CMOVNO32rm
+    68180020U,	// CMOVNO32rr
+    470833204U,	// CMOVNO64rm
+    68180020U,	// CMOVNO64rr
+    403724452U,	// CMOVNP16rm
+    68180132U,	// CMOVNP16rr
+    437278884U,	// CMOVNP32rm
+    68180132U,	// CMOVNP32rr
+    470833316U,	// CMOVNP64rm
+    68180132U,	// CMOVNP64rr
+    25904U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    403723232U,	// CMOVNS16rm
-    68178912U,	// CMOVNS16rr
-    437277664U,	// CMOVNS32rm
-    68178912U,	// CMOVNS32rr
-    470832096U,	// CMOVNS64rm
-    68178912U,	// CMOVNS64rr
-    403721988U,	// CMOVO16rm
-    68177668U,	// CMOVO16rr
-    437276420U,	// CMOVO32rm
-    68177668U,	// CMOVO32rr
-    470830852U,	// CMOVO64rm
-    68177668U,	// CMOVO64rr
-    403722215U,	// CMOVP16rm
-    68177895U,	// CMOVP16rr
-    437276647U,	// CMOVP32rm
-    68177895U,	// CMOVP32rr
-    470831079U,	// CMOVP64rm
-    68177895U,	// CMOVP64rr
-    24098U,	// CMOVP_F
+    403725386U,	// CMOVNS16rm
+    68181066U,	// CMOVNS16rr
+    437279818U,	// CMOVNS32rm
+    68181066U,	// CMOVNS32rr
+    470834250U,	// CMOVNS64rm
+    68181066U,	// CMOVNS64rr
+    403724354U,	// CMOVO16rm
+    68180034U,	// CMOVO16rr
+    437278786U,	// CMOVO32rm
+    68180034U,	// CMOVO32rr
+    470833218U,	// CMOVO64rm
+    68180034U,	// CMOVO64rr
+    403724581U,	// CMOVP16rm
+    68180261U,	// CMOVP16rr
+    437279013U,	// CMOVP32rm
+    68180261U,	// CMOVP32rr
+    470833445U,	// CMOVP64rm
+    68180261U,	// CMOVP64rr
+    25920U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    403724583U,	// CMOVS16rm
-    68180263U,	// CMOVS16rr
-    437279015U,	// CMOVS32rm
-    68180263U,	// CMOVS32rr
-    470833447U,	// CMOVS64rm
-    68180263U,	// CMOVS64rr
-    8683U,	// CMOV_FR32
-    8996U,	// CMOV_FR64
-    8250U,	// CMOV_GR16
-    8230U,	// CMOV_GR32
-    9319U,	// CMOV_GR8
-    8663U,	// CMOV_RFP32
-    8976U,	// CMOV_RFP64
-    8270U,	// CMOV_RFP80
-    8583U,	// CMOV_V16F32
-    8817U,	// CMOV_V2F64
-    8877U,	// CMOV_V2I64
-    8563U,	// CMOV_V4F32
-    8837U,	// CMOV_V4F64
-    8897U,	// CMOV_V4I64
-    8604U,	// CMOV_V8F32
-    8857U,	// CMOV_V8F64
-    8917U,	// CMOV_V8I64
-    24278U,	// CMP16i16
-    1085240U,	// CMP16mi
-    1085240U,	// CMP16mi8
-    1085240U,	// CMP16mr
-    135286584U,	// CMP16ri
-    135286584U,	// CMP16ri8
-    370167608U,	// CMP16rm
-    135286584U,	// CMP16rr
-    135286584U,	// CMP16rr_REV
-    24397U,	// CMP32i32
-    1118008U,	// CMP32mi
-    1118008U,	// CMP32mi8
-    1118008U,	// CMP32mr
-    135286584U,	// CMP32ri
-    135286584U,	// CMP32ri8
-    101732152U,	// CMP32rm
-    135286584U,	// CMP32rr
-    135286584U,	// CMP32rr_REV
-    24512U,	// CMP64i32
-    1134392U,	// CMP64mi32
-    1134392U,	// CMP64mi8
-    1134392U,	// CMP64mr
-    135286584U,	// CMP64ri32
-    135286584U,	// CMP64ri8
-    168841016U,	// CMP64rm
-    135286584U,	// CMP64rr
-    135286584U,	// CMP64rr_REV
-    24166U,	// CMP8i8
-    1150776U,	// CMP8mi
-    1150776U,	// CMP8mr
-    135286584U,	// CMP8ri
-    504385336U,	// CMP8rm
-    135286584U,	// CMP8rr
-    135286584U,	// CMP8rr_REV
-    209889427U,	// CMPPDrmi
-    1276135459U,	// CMPPDrmi_alt
-    75688083U,	// CMPPDrri
-    1141917731U,	// CMPPDrri_alt
-    210938003U,	// CMPPSrmi
-    1276139192U,	// CMPPSrmi_alt
-    76736659U,	// CMPPSrri
-    1141921464U,	// CMPPSrri_alt
-    10842U,	// CMPS16
-    10021U,	// CMPS32
-    10471U,	// CMPS64
-    9875U,	// CMPS8
-    245541011U,	// CMPSDrm
-    1309690501U,	// CMPSDrm_alt
-    77785235U,	// CMPSDrr
-    1141918341U,	// CMPSDrr_alt
-    280144019U,	// CMPSSrm
-    1343248586U,	// CMPSSrm_alt
-    78833811U,	// CMPSSrr
-    1141921994U,	// CMPSSrr_alt
-    197106U,	// CMPXCHG16B
-    1084624U,	// CMPXCHG16rm
-    135285968U,	// CMPXCHG16rr
-    1117392U,	// CMPXCHG32rm
-    135285968U,	// CMPXCHG32rr
-    1133776U,	// CMPXCHG64rm
-    135285968U,	// CMPXCHG64rr
-    82430U,	// CMPXCHG8B
-    1150160U,	// CMPXCHG8rm
-    135285968U,	// CMPXCHG8rr
-    537938522U,	// COMISDrm
-    135285338U,	// COMISDrr
-    537942176U,	// COMISSrm
-    135288992U,	// COMISSrr
-    20291U,	// COMP_FST0r
-    19832U,	// COM_FIPr
-    19775U,	// COM_FIr
-    20116U,	// COM_FST0r
-    10687U,	// COS_F
+    403726563U,	// CMOVS16rm
+    68182243U,	// CMOVS16rr
+    437280995U,	// CMOVS32rm
+    68182243U,	// CMOVS32rr
+    470835427U,	// CMOVS64rm
+    68182243U,	// CMOVS64rr
+    10630U,	// CMOV_FR32
+    10943U,	// CMOV_FR64
+    10197U,	// CMOV_GR16
+    10177U,	// CMOV_GR32
+    11266U,	// CMOV_GR8
+    10610U,	// CMOV_RFP32
+    10923U,	// CMOV_RFP64
+    10217U,	// CMOV_RFP80
+    10530U,	// CMOV_V16F32
+    10764U,	// CMOV_V2F64
+    10824U,	// CMOV_V2I64
+    10510U,	// CMOV_V4F32
+    10784U,	// CMOV_V4F64
+    10844U,	// CMOV_V4I64
+    10551U,	// CMOV_V8F32
+    10804U,	// CMOV_V8F64
+    10864U,	// CMOV_V8I64
+    26122U,	// CMP16i16
+    1087606U,	// CMP16mi
+    1087606U,	// CMP16mi8
+    1087606U,	// CMP16mr
+    135288950U,	// CMP16ri
+    135288950U,	// CMP16ri8
+    370169974U,	// CMP16rm
+    135288950U,	// CMP16rr
+    135288950U,	// CMP16rr_REV
+    26287U,	// CMP32i32
+    1120374U,	// CMP32mi
+    1120374U,	// CMP32mi8
+    1120374U,	// CMP32mr
+    135288950U,	// CMP32ri
+    135288950U,	// CMP32ri8
+    101734518U,	// CMP32rm
+    135288950U,	// CMP32rr
+    135288950U,	// CMP32rr_REV
+    26402U,	// CMP64i32
+    1136758U,	// CMP64mi32
+    1136758U,	// CMP64mi8
+    1136758U,	// CMP64mr
+    135288950U,	// CMP64ri32
+    135288950U,	// CMP64ri8
+    168843382U,	// CMP64rm
+    135288950U,	// CMP64rr
+    135288950U,	// CMP64rr_REV
+    26010U,	// CMP8i8
+    1153142U,	// CMP8mi
+    1153142U,	// CMP8mr
+    135288950U,	// CMP8ri
+    504387702U,	// CMP8rm
+    135288950U,	// CMP8rr
+    135288950U,	// CMP8rr_REV
+    209891318U,	// CMPPDrmi
+    2349879833U,	// CMPPDrmi_alt
+    75689974U,	// CMPPDrri
+    2215662105U,	// CMPPDrri_alt
+    210939894U,	// CMPPSrmi
+    2349883066U,	// CMPPSrmi_alt
+    76738550U,	// CMPPSrri
+    2215665338U,	// CMPPSrri_alt
+    205748U,	// CMPS16
+    218114U,	// CMPS32
+    236236U,	// CMPS64
+    249217U,	// CMPS8
+    245542902U,	// CMPSDrm
+    2383434754U,	// CMPSDrm_alt
+    77787126U,	// CMPSDrr
+    2215662594U,	// CMPSDrr_alt
+    280145910U,	// CMPSSrm
+    2416992390U,	// CMPSSrm_alt
+    78835702U,	// CMPSSrr
+    2215665798U,	// CMPSSrr_alt
+    265422U,	// CMPXCHG16B
+    1087040U,	// CMPXCHG16rm
+    135288384U,	// CMPXCHG16rr
+    1119808U,	// CMPXCHG32rm
+    135288384U,	// CMPXCHG32rr
+    1136192U,	// CMPXCHG64rm
+    135288384U,	// CMPXCHG64rr
+    85210U,	// CMPXCHG8B
+    1152576U,	// CMPXCHG8rm
+    135288384U,	// CMPXCHG8rr
+    537940944U,	// COMISDrm
+    135287760U,	// COMISDrr
+    537944156U,	// COMISSrm
+    135290972U,	// COMISSrr
+    22657U,	// COMP_FST0r
+    22248U,	// COM_FIPr
+    22191U,	// COM_FIr
+    22482U,	// COM_FST0r
+    12566U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    9979U,	// CPUID
-    10365U,	// CQO
-    3194958U,	// CRC32r32m16
-    4243534U,	// CRC32r32m32
-    6340686U,	// CRC32r32m8
-    35700814U,	// CRC32r32r16
-    35700814U,	// CRC32r32r32
-    35700814U,	// CRC32r32r8
-    5292110U,	// CRC32r64m64
-    6340686U,	// CRC32r64m8
-    35700814U,	// CRC32r64r64
-    35700814U,	// CRC32r64r8
-    10561U,	// CS_PREFIX
-    168838712U,	// CVTDQ2PDrm
-    135284280U,	// CVTDQ2PDrr
-    336614604U,	// CVTDQ2PSrm
-    135288012U,	// CVTDQ2PSrr
-    537940066U,	// CVTPD2DQrm
-    135286882U,	// CVTPD2DQrr
-    537941141U,	// CVTPD2PSrm
-    135287957U,	// CVTPD2PSrr
-    537940098U,	// CVTPS2DQrm
-    135286914U,	// CVTPS2DQrr
-    571491919U,	// CVTPS2PDrm
-    135284303U,	// CVTPS2PDrr
-    571493806U,	// CVTSD2SI64rm
-    135286190U,	// CVTSD2SI64rr
-    571493806U,	// CVTSD2SIrm
-    135286190U,	// CVTSD2SIrr
-    571496394U,	// CVTSD2SSrm
-    135288778U,	// CVTSD2SSrr
-    168839548U,	// CVTSI2SD64rm
-    135285116U,	// CVTSI2SD64rr
-    101730684U,	// CVTSI2SDrm
-    135285116U,	// CVTSI2SDrr
-    168843221U,	// CVTSI2SS64rm
-    135288789U,	// CVTSI2SS64rr
-    101734357U,	// CVTSI2SSrm
-    135288789U,	// CVTSI2SSrr
-    605047187U,	// CVTSS2SDrm
-    135285139U,	// CVTSS2SDrr
-    605048261U,	// CVTSS2SI64rm
-    135286213U,	// CVTSS2SI64rr
-    605048261U,	// CVTSS2SIrm
-    135286213U,	// CVTSS2SIrr
-    537940054U,	// CVTTPD2DQrm
-    135286870U,	// CVTTPD2DQrr
-    537940086U,	// CVTTPS2DQrm
-    135286902U,	// CVTTPS2DQrr
-    571493794U,	// CVTTSD2SI64rm
-    135286178U,	// CVTTSD2SI64rr
-    571493794U,	// CVTTSD2SIrm
-    135286178U,	// CVTTSD2SIrr
-    605048249U,	// CVTTSS2SI64rm
-    135286201U,	// CVTTSS2SI64rr
-    605048249U,	// CVTTSS2SIrm
-    135286201U,	// CVTTSS2SIrr
-    10060U,	// CWD
-    10092U,	// CWDE
-    9798U,	// DAA
-    10547U,	// DAS
-    9679U,	// DATA16_PREFIX
-    33688U,	// DEC16m
-    17304U,	// DEC16r
-    17304U,	// DEC32_16r
-    17304U,	// DEC32_32r
-    66456U,	// DEC32m
-    17304U,	// DEC32r
-    33688U,	// DEC64_16m
-    17304U,	// DEC64_16r
-    66456U,	// DEC64_32m
-    17304U,	// DEC64_32r
-    82840U,	// DEC64m
-    17304U,	// DEC64r
-    99224U,	// DEC8m
-    17304U,	// DEC8r
-    39456U,	// DIV16m
-    23072U,	// DIV16r
-    72224U,	// DIV32m
-    23072U,	// DIV32r
-    88608U,	// DIV64m
-    23072U,	// DIV64r
-    104992U,	// DIV8m
-    23072U,	// DIV8r
-    202393731U,	// DIVPDrm
-    68176003U,	// DIVPDrr
-    202397497U,	// DIVPSrm
-    68179769U,	// DIVPSrr
-    119711U,	// DIVR_F32m
-    136095U,	// DIVR_F64m
-    37798U,	// DIVR_FI16m
-    70566U,	// DIVR_FI32m
-    20358U,	// DIVR_FPrST0
-    21407U,	// DIVR_FST0r
+    11886U,	// CPUID32
+    11886U,	// CPUID64
+    12256U,	// CQO
+    3197758U,	// CRC32r32m16
+    4246334U,	// CRC32r32m32
+    6343486U,	// CRC32r32m8
+    35703614U,	// CRC32r32r16
+    35703614U,	// CRC32r32r32
+    35703614U,	// CRC32r32r8
+    5294910U,	// CRC32r64m64
+    6343486U,	// CRC32r64m8
+    35703614U,	// CRC32r64r64
+    35703614U,	// CRC32r64r8
+    12440U,	// CS_PREFIX
+    168841355U,	// CVTDQ2PDrm
+    135286923U,	// CVTDQ2PDrr
+    336616747U,	// CVTDQ2PSrm
+    135290155U,	// CVTDQ2PSrr
+    537942397U,	// CVTPD2DQrm
+    135289213U,	// CVTPD2DQrr
+    537943295U,	// CVTPD2PSrm
+    135290111U,	// CVTPD2PSrr
+    537942429U,	// CVTPS2DQrm
+    135289245U,	// CVTPS2DQrr
+    571494550U,	// CVTPS2PDrm
+    135286934U,	// CVTPS2PDrr
+    571496222U,	// CVTSD2SI64rm
+    135288606U,	// CVTSD2SI64rr
+    571496222U,	// CVTSD2SIrm
+    135288606U,	// CVTSD2SIrr
+    571498431U,	// CVTSD2SSrm
+    135290815U,	// CVTSD2SSrr
+    168842027U,	// CVTSI2SD64rm
+    135287595U,	// CVTSI2SD64rr
+    101733163U,	// CVTSI2SDrm
+    135287595U,	// CVTSI2SDrr
+    168845258U,	// CVTSI2SS64rm
+    135290826U,	// CVTSI2SS64rr
+    101736394U,	// CVTSI2SSrm
+    135290826U,	// CVTSI2SSrr
+    605049666U,	// CVTSS2SDrm
+    135287618U,	// CVTSS2SDrr
+    605050677U,	// CVTSS2SI64rm
+    135288629U,	// CVTSS2SI64rr
+    605050677U,	// CVTSS2SIrm
+    135288629U,	// CVTSS2SIrr
+    537942385U,	// CVTTPD2DQrm
+    135289201U,	// CVTTPD2DQrr
+    537942417U,	// CVTTPS2DQrm
+    135289233U,	// CVTTPS2DQrr
+    571496210U,	// CVTTSD2SI64rm
+    135288594U,	// CVTTSD2SI64rr
+    571496210U,	// CVTTSD2SIrm
+    135288594U,	// CVTTSD2SIrr
+    605050665U,	// CVTTSS2SI64rm
+    135288617U,	// CVTTSS2SI64rr
+    605050665U,	// CVTTSS2SIrm
+    135288617U,	// CVTTSS2SIrr
+    11938U,	// CWD
+    11970U,	// CWDE
+    11745U,	// DAA
+    12426U,	// DAS
+    11626U,	// DATA16_PREFIX
+    36429U,	// DEC16m
+    20045U,	// DEC16r
+    20045U,	// DEC32_16r
+    20045U,	// DEC32_32r
+    69197U,	// DEC32m
+    20045U,	// DEC32r
+    36429U,	// DEC64_16m
+    20045U,	// DEC64_16r
+    69197U,	// DEC64_32m
+    20045U,	// DEC64_32r
+    85581U,	// DEC64m
+    20045U,	// DEC64r
+    101965U,	// DEC8m
+    20045U,	// DEC8r
+    41429U,	// DIV16m
+    25045U,	// DIV16r
+    74197U,	// DIV32m
+    25045U,	// DIV32r
+    90581U,	// DIV64m
+    25045U,	// DIV64r
+    106965U,	// DIV8m
+    25045U,	// DIV8r
+    202396268U,	// DIVPDrm
+    68178540U,	// DIVPDrr
+    202399534U,	// DIVPSrm
+    68181806U,	// DIVPSrr
+    121865U,	// DIVR_F32m
+    138249U,	// DIVR_F64m
+    39952U,	// DIVR_FI16m
+    72720U,	// DIVR_FI32m
+    22724U,	// DIVR_FPrST0
+    23561U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -747,21 +752,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    7361439U,	// DIVR_FrST0
-    235948717U,	// DIVSDrm
-    235948717U,	// DIVSDrm_Int
-    68176557U,	// DIVSDrr
-    68176557U,	// DIVSDrr_Int
-    269506812U,	// DIVSSrm
-    269506812U,	// DIVSSrm_Int
-    68180220U,	// DIVSSrr
-    68180220U,	// DIVSSrr_Int
-    121375U,	// DIV_F32m
-    137759U,	// DIV_F64m
-    39461U,	// DIV_FI16m
-    72229U,	// DIV_FI32m
-    20448U,	// DIV_FPrST0
-    23071U,	// DIV_FST0r
+    7363593U,	// DIVR_FrST0
+    235951146U,	// DIVSDrm
+    235951146U,	// DIVSDrm_Int
+    68178986U,	// DIVSDrr
+    68178986U,	// DIVSDrr_Int
+    269508792U,	// DIVSSrm
+    269508792U,	// DIVSSrm_Int
+    68182200U,	// DIVSSrr
+    68182200U,	// DIVSSrr_Int
+    123348U,	// DIV_F32m
+    139732U,	// DIV_F64m
+    41434U,	// DIV_FI16m
+    74202U,	// DIV_FI32m
+    22814U,	// DIV_FPrST0
+    25044U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -776,64 +781,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    7363103U,	// DIV_FrST0
-    1276135452U,	// DPPDrmi
-    1141917724U,	// DPPDrri
-    1276139185U,	// DPPSrmi
-    1141921457U,	// DPPSrri
-    10569U,	// DS_PREFIX
-    24567U,	// EH_RETURN
-    24567U,	// EH_RETURN64
-    9488U,	// EH_SjLj_LongJmp32
-    9592U,	// EH_SjLj_LongJmp64
-    9507U,	// EH_SjLj_SetJmp32
-    9611U,	// EH_SjLj_SetJmp64
-    151504U,	// EH_SjLj_Setup
-    135287595U,	// ENTER
-    10584U,	// ES_PREFIX
-    1074910952U,	// EXTRACTPSmr
-    1209030376U,	// EXTRACTPSrr
-    35705383U,	// EXTRQ
-    639685159U,	// EXTRQI
-    9469U,	// F2XM1
-    135286324U,	// FARCALL16i
-    216628U,	// FARCALL16m
-    135286324U,	// FARCALL32i
-    216628U,	// FARCALL32m
-    216628U,	// FARCALL64
-    135286589U,	// FARJMP16i
-    216893U,	// FARJMP16m
-    135286589U,	// FARJMP32i
-    216893U,	// FARJMP32m
-    216893U,	// FARJMP64
-    115929U,	// FBLDm
-    118676U,	// FBSTPm
-    118420U,	// FCOM32m
-    134804U,	// FCOM64m
-    118595U,	// FCOMP32m
-    134979U,	// FCOMP64m
-    10401U,	// FCOMPP
-    10416U,	// FDECSTP
-    10681U,	// FEMMS
-    19464U,	// FFREE
-    36506U,	// FICOM16m
-    69274U,	// FICOM32m
-    36682U,	// FICOMP16m
-    69450U,	// FICOMP32m
-    10424U,	// FINCSTP
-    39632U,	// FLDCW16m
-    121388U,	// FLDENVm
-    10064U,	// FLDL2E
-    10720U,	// FLDL2T
-    9573U,	// FLDLG2
-    9580U,	// FLDLN2
-    10217U,	// FLDPI
-    11043U,	// FNCLEX
-    10753U,	// FNINIT
-    10396U,	// FNOP
-    39639U,	// FNSTCW16m
-    10870U,	// FNSTSW16r
-    121999U,	// FNSTSWm
+    7365076U,	// DIV_FrST0
+    2349879826U,	// DPPDrmi
+    2215662098U,	// DPPDrri
+    2349883059U,	// DPPSrmi
+    2215665331U,	// DPPSrri
+    12448U,	// DS_PREFIX
+    26514U,	// EH_RETURN
+    26514U,	// EH_RETURN64
+    11435U,	// EH_SjLj_LongJmp32
+    11539U,	// EH_SjLj_LongJmp64
+    11454U,	// EH_SjLj_SetJmp32
+    11558U,	// EH_SjLj_SetJmp64
+    153870U,	// EH_SjLj_Setup
+    135289749U,	// ENTER
+    12463U,	// ES_PREFIX
+    2148654813U,	// EXTRACTPSmr
+    2282774237U,	// EXTRACTPSrr
+    35707582U,	// EXTRQ
+    639687358U,	// EXTRQI
+    11416U,	// F2XM1
+    135288690U,	// FARCALL16i
+    284530U,	// FARCALL16m
+    135288690U,	// FARCALL32i
+    284530U,	// FARCALL32m
+    284530U,	// FARCALL64
+    135288955U,	// FARJMP16i
+    284795U,	// FARJMP16m
+    135288955U,	// FARJMP32i
+    284795U,	// FARJMP32m
+    284795U,	// FARJMP64
+    118622U,	// FBLDm
+    121042U,	// FBSTPm
+    120786U,	// FCOM32m
+    137170U,	// FCOM64m
+    120961U,	// FCOMP32m
+    137345U,	// FCOMP64m
+    12292U,	// FCOMPP
+    12307U,	// FDECSTP
+    12560U,	// FEMMS
+    21880U,	// FFREE
+    38872U,	// FICOM16m
+    71640U,	// FICOM32m
+    39048U,	// FICOMP16m
+    71816U,	// FICOMP32m
+    12315U,	// FINCSTP
+    41595U,	// FLDCW16m
+    123361U,	// FLDENVm
+    11942U,	// FLDL2E
+    12599U,	// FLDL2T
+    11520U,	// FLDLG2
+    11527U,	// FLDLN2
+    12095U,	// FLDPI
+    12906U,	// FNCLEX
+    12632U,	// FNINIT
+    12287U,	// FNOP
+    41602U,	// FNSTCW16m
+    12733U,	// FNSTSW16r
+    123846U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -843,71 +848,71 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    10320U,	// FPATAN
-    10303U,	// FPREM
-    9462U,	// FPREM1
-    10327U,	// FPTAN
-    10772U,	// FRNDINT
-    119628U,	// FRSTORm
-    117929U,	// FSAVEm
-    10097U,	// FSCALE
-    10309U,	// FSETPM
-    10692U,	// FSINCOS
-    121396U,	// FSTENVm
-    10599U,	// FS_PREFIX
-    10298U,	// FXAM
-    217940U,	// FXRSTOR
-    217610U,	// FXRSTOR64
-    216241U,	// FXSAVE
-    217466U,	// FXSAVE64
-    10727U,	// FXTRACT
-    10864U,	// FYL2X
-    9475U,	// FYL2XP1
+    12211U,	// FPATAN
+    12194U,	// FPREM
+    11409U,	// FPREM1
+    12218U,	// FPTAN
+    12651U,	// FRNDINT
+    121782U,	// FRSTORm
+    120345U,	// FSAVEm
+    11975U,	// FSCALE
+    12200U,	// FSETPM
+    12571U,	// FSINCOS
+    123369U,	// FSTENVm
+    12478U,	// FS_PREFIX
+    12189U,	// FXAM
+    285630U,	// FXRSTOR
+    281491U,	// FXRSTOR64
+    284193U,	// FXSAVE
+    281481U,	// FXSAVE64
+    12606U,	// FXTRACT
+    12727U,	// FYL2X
+    11422U,	// FYL2XP1
     0U,	// FpPOP_RETVAL
-    202393611U,	// FsANDNPDrm
-    68175883U,	// FsANDNPDrr
-    202397336U,	// FsANDNPSrm
-    68179608U,	// FsANDNPSrr
-    202393438U,	// FsANDPDrm
-    68175710U,	// FsANDPDrr
-    202397151U,	// FsANDPSrm
-    68179423U,	// FsANDPSrr
+    202396161U,	// FsANDNPDrm
+    68178433U,	// FsANDNPDrr
+    202399386U,	// FsANDNPSrm
+    68181658U,	// FsANDNPSrr
+    202396025U,	// FsANDPDrm
+    68178297U,	// FsANDPDrr
+    202399238U,	// FsANDPSrm
+    68181510U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    537937628U,	// FsMOVAPDrm
-    537941349U,	// FsMOVAPSrm
-    202393668U,	// FsORPDrm
-    68175940U,	// FsORPDrr
-    202397401U,	// FsORPSrm
-    68179673U,	// FsORPSrr
-    537937627U,	// FsVMOVAPDrm
-    537941348U,	// FsVMOVAPSrm
-    202393675U,	// FsXORPDrm
-    68175947U,	// FsXORPDrr
-    202397408U,	// FsXORPSrm
-    68179680U,	// FsXORPSrr
-    9923U,	// GETSEC
-    10614U,	// GS_PREFIX
-    202393400U,	// HADDPDrm
-    68175672U,	// HADDPDrr
-    202397113U,	// HADDPSrm
-    68179385U,	// HADDPSrr
-    10768U,	// HLT
-    202393349U,	// HSUBPDrm
-    68175621U,	// HSUBPDrr
-    202397062U,	// HSUBPSrm
-    68179334U,	// HSUBPSrr
-    39462U,	// IDIV16m
-    23078U,	// IDIV16r
-    72230U,	// IDIV32m
-    23078U,	// IDIV32r
-    88614U,	// IDIV64m
-    23078U,	// IDIV64r
-    104998U,	// IDIV8m
-    23078U,	// IDIV8r
-    34028U,	// ILD_F16m
-    66796U,	// ILD_F32m
-    83180U,	// ILD_F64m
+    537940215U,	// FsMOVAPDrm
+    537943436U,	// FsMOVAPSrm
+    202396205U,	// FsORPDrm
+    68178477U,	// FsORPDrr
+    202399438U,	// FsORPSrm
+    68181710U,	// FsORPSrr
+    537940214U,	// FsVMOVAPDrm
+    537943435U,	// FsVMOVAPSrm
+    202396212U,	// FsXORPDrm
+    68178484U,	// FsXORPDrr
+    202399445U,	// FsXORPSrm
+    68181717U,	// FsXORPSrr
+    11830U,	// GETSEC
+    12493U,	// GS_PREFIX
+    202395987U,	// HADDPDrm
+    68178259U,	// HADDPDrr
+    202399200U,	// HADDPSrm
+    68181472U,	// HADDPSrr
+    12647U,	// HLT
+    202395936U,	// HSUBPDrm
+    68178208U,	// HSUBPDrr
+    202399149U,	// HSUBPSrm
+    68181421U,	// HSUBPSrr
+    41435U,	// IDIV16m
+    25051U,	// IDIV16r
+    74203U,	// IDIV32m
+    25051U,	// IDIV32r
+    90587U,	// IDIV64m
+    25051U,	// IDIV64r
+    106971U,	// IDIV8m
+    25051U,	// IDIV8r
+    36721U,	// ILD_F16m
+    69489U,	// ILD_F32m
+    85873U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -917,79 +922,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    36482U,	// IMUL16m
-    20098U,	// IMUL16r
-    403721858U,	// IMUL16rm
-    1443909250U,	// IMUL16rmi
-    1443909250U,	// IMUL16rmi8
-    68177538U,	// IMUL16rr
-    1209028226U,	// IMUL16rri
-    1209028226U,	// IMUL16rri8
-    69250U,	// IMUL32m
-    20098U,	// IMUL32r
-    437276290U,	// IMUL32rm
-    1175473794U,	// IMUL32rmi
-    1175473794U,	// IMUL32rmi8
-    68177538U,	// IMUL32rr
-    1209028226U,	// IMUL32rri
-    1209028226U,	// IMUL32rri8
-    85634U,	// IMUL64m
-    20098U,	// IMUL64r
-    470830722U,	// IMUL64rm
-    1242582658U,	// IMUL64rmi32
-    1242582658U,	// IMUL64rmi8
-    68177538U,	// IMUL64rr
-    1209028226U,	// IMUL64rri32
-    1209028226U,	// IMUL64rri8
-    102018U,	// IMUL8m
-    20098U,	// IMUL8r
-    10827U,	// IN16
-    24270U,	// IN16ri
-    11022U,	// IN16rr
-    10006U,	// IN32
-    24388U,	// IN32ri
-    11032U,	// IN32rr
-    9860U,	// IN8
-    24158U,	// IN8ri
-    11012U,	// IN8rr
-    33733U,	// INC16m
-    17349U,	// INC16r
-    17349U,	// INC32_16r
-    17349U,	// INC32_32r
-    66501U,	// INC32m
-    17349U,	// INC32r
-    33733U,	// INC64_16m
-    17349U,	// INC64_16r
-    66501U,	// INC64_32m
-    17349U,	// INC64_32r
-    82885U,	// INC64m
-    17349U,	// INC64r
-    99269U,	// INC8m
-    17349U,	// INC8r
-    1343248126U,	// INSERTPSrm
-    1141921534U,	// INSERTPSrr
-    35705455U,	// INSERTQ
-    1713427055U,	// INSERTQI
-    22906U,	// INT
-    9483U,	// INT1
-    9587U,	// INT3
-    10369U,	// INTO
-    10055U,	// INVD
-    336615812U,	// INVEPT32
-    336615812U,	// INVEPT64
-    101597U,	// INVLPG
-    10978U,	// INVLPGA32
-    10995U,	// INVLPGA64
-    336610503U,	// INVPCID32
-    336610503U,	// INVPCID64
-    336610512U,	// INVVPID32
-    336610512U,	// INVVPID64
-    10735U,	// IRET16
-    10043U,	// IRET32
-    10487U,	// IRET64
-    36776U,	// ISTT_FP16m
-    69544U,	// ISTT_FP32m
-    85928U,	// ISTT_FP64m
+    38848U,	// IMUL16m
+    22464U,	// IMUL16r
+    403724224U,	// IMUL16rm
+    2517653440U,	// IMUL16rmi
+    2517653440U,	// IMUL16rmi8
+    68179904U,	// IMUL16rr
+    2282772416U,	// IMUL16rri
+    2282772416U,	// IMUL16rri8
+    71616U,	// IMUL32m
+    22464U,	// IMUL32r
+    437278656U,	// IMUL32rm
+    2249217984U,	// IMUL32rmi
+    2249217984U,	// IMUL32rmi8
+    68179904U,	// IMUL32rr
+    2282772416U,	// IMUL32rri
+    2282772416U,	// IMUL32rri8
+    88000U,	// IMUL64m
+    22464U,	// IMUL64r
+    470833088U,	// IMUL64rm
+    2316326848U,	// IMUL64rmi32
+    2316326848U,	// IMUL64rmi8
+    68179904U,	// IMUL64rr
+    2282772416U,	// IMUL64rri32
+    2282772416U,	// IMUL64rri8
+    104384U,	// IMUL8m
+    22464U,	// IMUL8r
+    12886951U,	// IN16
+    26114U,	// IN16ri
+    12885U,	// IN16rr
+    12899308U,	// IN32
+    26278U,	// IN32ri
+    12895U,	// IN32rr
+    12914036U,	// IN8
+    26002U,	// IN8ri
+    12875U,	// IN8rr
+    36474U,	// INC16m
+    20090U,	// INC16r
+    20090U,	// INC32_16r
+    20090U,	// INC32_32r
+    69242U,	// INC32m
+    20090U,	// INC32r
+    36474U,	// INC64_16m
+    20090U,	// INC64_16r
+    69242U,	// INC64_32m
+    20090U,	// INC64_32r
+    85626U,	// INC64m
+    20090U,	// INC64r
+    102010U,	// INC8m
+    20090U,	// INC8r
+    2416991987U,	// INSERTPSrm
+    2215665395U,	// INSERTPSrr
+    35707636U,	// INSERTQ
+    2787171060U,	// INSERTQI
+    24886U,	// INT
+    11430U,	// INT1
+    11534U,	// INT3
+    12260U,	// INTO
+    11933U,	// INVD
+    336617792U,	// INVEPT32
+    336617792U,	// INVEPT64
+    104013U,	// INVLPG
+    12841U,	// INVLPGA32
+    12858U,	// INVLPGA64
+    336613196U,	// INVPCID32
+    336613196U,	// INVPCID64
+    336613205U,	// INVVPID32
+    336613205U,	// INVVPID64
+    12614U,	// IRET16
+    11921U,	// IRET32
+    12366U,	// IRET64
+    39142U,	// ISTT_FP16m
+    71910U,	// ISTT_FP32m
+    88294U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -999,11 +1004,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    39381U,	// IST_F16m
-    72149U,	// IST_F32m
-    36769U,	// IST_FP16m
-    69537U,	// IST_FP32m
-    85921U,	// IST_FP64m
+    41354U,	// IST_F16m
+    74122U,	// IST_F32m
+    39135U,	// IST_FP16m
+    71903U,	// IST_FP32m
+    88287U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -1013,197 +1018,213 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    245541011U,	// Int_CMPSDrm
-    77785235U,	// Int_CMPSDrr
-    280144019U,	// Int_CMPSSrm
-    78833811U,	// Int_CMPSSrr
-    537938522U,	// Int_COMISDrm
-    135285338U,	// Int_COMISDrr
-    537942176U,	// Int_COMISSrm
-    135288992U,	// Int_COMISSrr
-    235952074U,	// Int_CVTSD2SSrm
-    68179914U,	// Int_CVTSD2SSrr
-    470829436U,	// Int_CVTSI2SD64rm
-    68176252U,	// Int_CVTSI2SD64rr
-    437275004U,	// Int_CVTSI2SDrm
-    68176252U,	// Int_CVTSI2SDrr
-    470833109U,	// Int_CVTSI2SS64rm
-    68179925U,	// Int_CVTSI2SS64rr
-    437278677U,	// Int_CVTSI2SSrm
-    68179925U,	// Int_CVTSI2SSrr
-    269502867U,	// Int_CVTSS2SDrm
-    68176275U,	// Int_CVTSS2SDrr
-    571493794U,	// Int_CVTTSD2SI64rm
-    135286178U,	// Int_CVTTSD2SI64rr
-    571493794U,	// Int_CVTTSD2SIrm
-    135286178U,	// Int_CVTTSD2SIrr
-    605048249U,	// Int_CVTTSS2SI64rm
-    135286201U,	// Int_CVTTSS2SI64rr
-    605048249U,	// Int_CVTTSS2SIrm
-    135286201U,	// Int_CVTTSS2SIrr
-    9767U,	// Int_MemBarrier
-    537938521U,	// Int_UCOMISDrm
-    135285337U,	// Int_UCOMISDrr
-    537942175U,	// Int_UCOMISSrm
-    135288991U,	// Int_UCOMISSrr
-    1218685079U,	// Int_VCMPSDrm
-    1218701463U,	// Int_VCMPSDrr
-    1219733655U,	// Int_VCMPSSrm
-    1219750039U,	// Int_VCMPSSrr
-    537938530U,	// Int_VCOMISDZrm
-    135285346U,	// Int_VCOMISDZrr
-    537938530U,	// Int_VCOMISDrm
-    135285346U,	// Int_VCOMISDrr
-    537942184U,	// Int_VCOMISSZrm
-    135289000U,	// Int_VCOMISSZrr
-    537942184U,	// Int_VCOMISSrm
-    135289000U,	// Int_VCOMISSrr
-    1209030601U,	// Int_VCVTSD2SSrm
-    1209030601U,	// Int_VCVTSD2SSrr
-    1209026939U,	// Int_VCVTSI2SD64Zrm
-    1209026939U,	// Int_VCVTSI2SD64Zrr
-    1209026939U,	// Int_VCVTSI2SD64rm
-    1209026939U,	// Int_VCVTSI2SD64rr
-    1209026939U,	// Int_VCVTSI2SDZrm
-    1209026939U,	// Int_VCVTSI2SDZrr
-    1209026939U,	// Int_VCVTSI2SDrm
-    1209026939U,	// Int_VCVTSI2SDrr
-    1209030612U,	// Int_VCVTSI2SS64Zrm
-    1209030612U,	// Int_VCVTSI2SS64Zrr
-    1209030612U,	// Int_VCVTSI2SS64rm
-    1209030612U,	// Int_VCVTSI2SS64rr
-    1209030612U,	// Int_VCVTSI2SSZrm
-    1209030612U,	// Int_VCVTSI2SSZrr
-    1209030612U,	// Int_VCVTSI2SSrm
-    1209030612U,	// Int_VCVTSI2SSrr
-    1209026962U,	// Int_VCVTSS2SDrm
-    1209026962U,	// Int_VCVTSS2SDrr
-    571493793U,	// Int_VCVTTSD2SI64Zrm
-    135286177U,	// Int_VCVTTSD2SI64Zrr
-    571493793U,	// Int_VCVTTSD2SI64rm
-    135286177U,	// Int_VCVTTSD2SI64rr
-    571493793U,	// Int_VCVTTSD2SIZrm
-    135286177U,	// Int_VCVTTSD2SIZrr
-    571493793U,	// Int_VCVTTSD2SIrm
-    135286177U,	// Int_VCVTTSD2SIrr
-    571493845U,	// Int_VCVTTSD2USI64Zrm
-    135286229U,	// Int_VCVTTSD2USI64Zrr
-    571493845U,	// Int_VCVTTSD2USIZrm
-    135286229U,	// Int_VCVTTSD2USIZrr
-    605048248U,	// Int_VCVTTSS2SI64Zrm
-    135286200U,	// Int_VCVTTSS2SI64Zrr
-    605048248U,	// Int_VCVTTSS2SI64rm
-    135286200U,	// Int_VCVTTSS2SI64rr
-    605048248U,	// Int_VCVTTSS2SIZrm
-    135286200U,	// Int_VCVTTSS2SIZrr
-    605048248U,	// Int_VCVTTSS2SIrm
-    135286200U,	// Int_VCVTTSS2SIrr
-    605048302U,	// Int_VCVTTSS2USI64Zrm
-    135286254U,	// Int_VCVTTSS2USI64Zrr
-    605048248U,	// Int_VCVTTSS2USIZrm
-    135286200U,	// Int_VCVTTSS2USIZrr
-    1209026950U,	// Int_VCVTUSI2SD64Zrm
-    1209026950U,	// Int_VCVTUSI2SD64Zrr
-    1209026950U,	// Int_VCVTUSI2SDZrm
-    1209026950U,	// Int_VCVTUSI2SDZrr
-    1209030623U,	// Int_VCVTUSI2SS64Zrm
-    1209030623U,	// Int_VCVTUSI2SS64Zrr
-    1209030623U,	// Int_VCVTUSI2SSZrm
-    1209030623U,	// Int_VCVTUSI2SSZrr
-    537938520U,	// Int_VUCOMISDZrm
-    135285336U,	// Int_VUCOMISDZrr
-    537938520U,	// Int_VUCOMISDrm
-    135285336U,	// Int_VUCOMISDrr
-    537942174U,	// Int_VUCOMISSZrm
-    135288990U,	// Int_VUCOMISSZrr
-    537942174U,	// Int_VUCOMISSrm
-    135288990U,	// Int_VUCOMISSrr
-    150496U,	// JAE_1
-    150496U,	// JAE_4
-    147904U,	// JA_1
-    147904U,	// JA_4
-    150516U,	// JBE_1
-    150516U,	// JBE_4
-    148053U,	// JB_1
-    148053U,	// JB_4
-    155046U,	// JCXZ
-    155039U,	// JECXZ_32
-    155039U,	// JECXZ_64
-    150572U,	// JE_1
-    150572U,	// JE_4
-    150543U,	// JGE_1
-    150543U,	// JGE_4
-    150745U,	// JG_1
-    150745U,	// JG_4
-    150576U,	// JLE_1
-    150576U,	// JLE_4
-    151088U,	// JL_1
-    151088U,	// JL_4
-    69438U,	// JMP32m
-    20286U,	// JMP32r
-    85822U,	// JMP64m
-    152026U,	// JMP64pcrel32
-    20286U,	// JMP64r
-    151358U,	// JMP_1
-    151358U,	// JMP_4
-    150596U,	// JNE_1
-    150596U,	// JNE_4
-    151274U,	// JNO_1
-    151274U,	// JNO_4
-    151386U,	// JNP_1
-    151386U,	// JNP_4
-    152532U,	// JNS_1
-    152532U,	// JNS_4
-    151270U,	// JO_1
-    151270U,	// JO_4
-    151341U,	// JP_1
-    151341U,	// JP_4
-    155052U,	// JRCXZ
-    152528U,	// JS_1
-    152528U,	// JS_4
-    1209031400U,	// KADDWrr
-    1209031612U,	// KANDNWrr
-    1209031415U,	// KANDWrr
-    135290143U,	// KMOVWkk
-    370171167U,	// KMOVWkm
-    135290143U,	// KMOVWkr
-    1088799U,	// KMOVWmk
-    135290143U,	// KMOVWrk
-    135290046U,	// KNOTWrr
-    135290083U,	// KORTESTWrr
-    1209031684U,	// KORWrr
+    245542902U,	// Int_CMPSDrm
+    77787126U,	// Int_CMPSDrr
+    280145910U,	// Int_CMPSSrm
+    78835702U,	// Int_CMPSSrr
+    537940944U,	// Int_COMISDrm
+    135287760U,	// Int_COMISDrr
+    537944156U,	// Int_COMISSrm
+    135290972U,	// Int_COMISSrr
+    235954111U,	// Int_CVTSD2SSrm
+    68181951U,	// Int_CVTSD2SSrr
+    470831915U,	// Int_CVTSI2SD64rm
+    68178731U,	// Int_CVTSI2SD64rr
+    437277483U,	// Int_CVTSI2SDrm
+    68178731U,	// Int_CVTSI2SDrr
+    470835146U,	// Int_CVTSI2SS64rm
+    68181962U,	// Int_CVTSI2SS64rr
+    437280714U,	// Int_CVTSI2SSrm
+    68181962U,	// Int_CVTSI2SSrr
+    269505346U,	// Int_CVTSS2SDrm
+    68178754U,	// Int_CVTSS2SDrr
+    571496210U,	// Int_CVTTSD2SI64rm
+    135288594U,	// Int_CVTTSD2SI64rr
+    571496210U,	// Int_CVTTSD2SIrm
+    135288594U,	// Int_CVTTSD2SIrr
+    605050665U,	// Int_CVTTSS2SI64rm
+    135288617U,	// Int_CVTTSS2SI64rr
+    605050665U,	// Int_CVTTSS2SIrm
+    135288617U,	// Int_CVTTSS2SIrr
+    11714U,	// Int_MemBarrier
+    537940943U,	// Int_UCOMISDrm
+    135287759U,	// Int_UCOMISDrr
+    537944155U,	// Int_UCOMISSrm
+    135290971U,	// Int_UCOMISSrr
+    2292543482U,	// Int_VCMPSDrm
+    2292559866U,	// Int_VCMPSDrr
+    2293592058U,	// Int_VCMPSSrm
+    2293608442U,	// Int_VCMPSSrr
+    537940952U,	// Int_VCOMISDZrm
+    135287768U,	// Int_VCOMISDZrr
+    537940952U,	// Int_VCOMISDrm
+    135287768U,	// Int_VCOMISDrr
+    537944164U,	// Int_VCOMISSZrm
+    135290980U,	// Int_VCOMISSZrr
+    537944164U,	// Int_VCOMISSrm
+    135290980U,	// Int_VCOMISSrr
+    2282774462U,	// Int_VCVTSD2SSrm
+    2282774462U,	// Int_VCVTSD2SSrr
+    2282771242U,	// Int_VCVTSI2SD64Zrm
+    2282771242U,	// Int_VCVTSI2SD64Zrr
+    2282771242U,	// Int_VCVTSI2SD64rm
+    2282771242U,	// Int_VCVTSI2SD64rr
+    2282771242U,	// Int_VCVTSI2SDZrm
+    2282771242U,	// Int_VCVTSI2SDZrr
+    2282771242U,	// Int_VCVTSI2SDrm
+    2282771242U,	// Int_VCVTSI2SDrr
+    2282774473U,	// Int_VCVTSI2SS64Zrm
+    2282774473U,	// Int_VCVTSI2SS64Zrr
+    2282774473U,	// Int_VCVTSI2SS64rm
+    2282774473U,	// Int_VCVTSI2SS64rr
+    2282774473U,	// Int_VCVTSI2SSZrm
+    2282774473U,	// Int_VCVTSI2SSZrr
+    2282774473U,	// Int_VCVTSI2SSrm
+    2282774473U,	// Int_VCVTSI2SSrr
+    2282771265U,	// Int_VCVTSS2SDrm
+    2282771265U,	// Int_VCVTSS2SDrr
+    571491524U,	// Int_VCVTTSD2SI64Zrm
+    135283908U,	// Int_VCVTTSD2SI64Zrr
+    571496209U,	// Int_VCVTTSD2SI64rm
+    135288593U,	// Int_VCVTTSD2SI64rr
+    571491524U,	// Int_VCVTTSD2SIZrm
+    135283908U,	// Int_VCVTTSD2SIZrr
+    571496209U,	// Int_VCVTTSD2SIrm
+    135288593U,	// Int_VCVTTSD2SIrr
+    571491574U,	// Int_VCVTTSD2USI64Zrm
+    135283958U,	// Int_VCVTTSD2USI64Zrr
+    571491574U,	// Int_VCVTTSD2USIZrm
+    135283958U,	// Int_VCVTTSD2USIZrr
+    605045981U,	// Int_VCVTTSS2SI64Zrm
+    135283933U,	// Int_VCVTTSS2SI64Zrr
+    605050664U,	// Int_VCVTTSS2SI64rm
+    135288616U,	// Int_VCVTTSS2SI64rr
+    605045981U,	// Int_VCVTTSS2SIZrm
+    135283933U,	// Int_VCVTTSS2SIZrr
+    605050664U,	// Int_VCVTTSS2SIrm
+    135288616U,	// Int_VCVTTSS2SIrr
+    605046033U,	// Int_VCVTTSS2USI64Zrm
+    135283985U,	// Int_VCVTTSS2USI64Zrr
+    605046033U,	// Int_VCVTTSS2USIZrm
+    135283985U,	// Int_VCVTTSS2USIZrr
+    2282771253U,	// Int_VCVTUSI2SD64Zrm
+    2282771253U,	// Int_VCVTUSI2SD64Zrr
+    2282771253U,	// Int_VCVTUSI2SDZrm
+    2282771253U,	// Int_VCVTUSI2SDZrr
+    2282774484U,	// Int_VCVTUSI2SS64Zrm
+    2282774484U,	// Int_VCVTUSI2SS64Zrr
+    2282774484U,	// Int_VCVTUSI2SSZrm
+    2282774484U,	// Int_VCVTUSI2SSZrr
+    537940942U,	// Int_VUCOMISDZrm
+    135287758U,	// Int_VUCOMISDZrr
+    537940942U,	// Int_VUCOMISDrm
+    135287758U,	// Int_VUCOMISDrr
+    537944154U,	// Int_VUCOMISSZrm
+    135290970U,	// Int_VUCOMISSZrr
+    537944154U,	// Int_VUCOMISSrm
+    135290970U,	// Int_VUCOMISSrr
+    152912U,	// JAE_1
+    152912U,	// JAE_2
+    152912U,	// JAE_4
+    150684U,	// JA_1
+    150684U,	// JA_2
+    150684U,	// JA_4
+    152932U,	// JBE_1
+    152932U,	// JBE_2
+    152932U,	// JBE_4
+    150803U,	// JB_1
+    150803U,	// JB_2
+    150803U,	// JB_4
+    156868U,	// JCXZ
+    156861U,	// JECXZ_32
+    156861U,	// JECXZ_64
+    152988U,	// JE_1
+    152988U,	// JE_2
+    152988U,	// JE_4
+    152959U,	// JGE_1
+    152959U,	// JGE_2
+    152959U,	// JGE_4
+    153161U,	// JG_1
+    153161U,	// JG_2
+    153161U,	// JG_4
+    152992U,	// JLE_1
+    152992U,	// JLE_2
+    152992U,	// JLE_4
+    153454U,	// JL_1
+    153454U,	// JL_2
+    153454U,	// JL_4
+    39036U,	// JMP16m
+    22652U,	// JMP16r
+    71804U,	// JMP32m
+    22652U,	// JMP32r
+    88188U,	// JMP64m
+    22652U,	// JMP64r
+    153724U,	// JMP_1
+    153724U,	// JMP_2
+    153724U,	// JMP_4
+    153012U,	// JNE_1
+    153012U,	// JNE_2
+    153012U,	// JNE_4
+    153640U,	// JNO_1
+    153640U,	// JNO_2
+    153640U,	// JNO_4
+    153752U,	// JNP_1
+    153752U,	// JNP_2
+    153752U,	// JNP_4
+    154686U,	// JNS_1
+    154686U,	// JNS_2
+    154686U,	// JNS_4
+    153636U,	// JO_1
+    153636U,	// JO_2
+    153636U,	// JO_4
+    153707U,	// JP_1
+    153707U,	// JP_2
+    153707U,	// JP_4
+    156874U,	// JRCXZ
+    154682U,	// JS_1
+    154682U,	// JS_2
+    154682U,	// JS_4
+    2282769033U,	// KANDNWrr
+    2282768981U,	// KANDWrr
+    135285481U,	// KMOVWkk
+    370166505U,	// KMOVWkm
+    135285481U,	// KMOVWkr
+    1084137U,	// KMOVWmk
+    135285481U,	// KMOVWrk
+    135285462U,	// KNOTWrr
+    135285470U,	// KORTESTWrr
+    2282769075U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    1209031594U,	// KSHIFTLWri
-    1209031714U,	// KSHIFTRWri
-    135290075U,	// KTESTWrr
-    1209031304U,	// KUNPCKBWrr
-    1209031690U,	// KXNORWrr
-    1209031698U,	// KXORWrr
-    10168U,	// LAHF
-    370168588U,	// LAR16rm
-    135287564U,	// LAR16rr
-    370168588U,	// LAR32rm
-    135287564U,	// LAR32rr
-    370168588U,	// LAR64rm
-    135287564U,	// LAR64rr
-    1084624U,	// LCMPXCHG16
-    197106U,	// LCMPXCHG16B
-    1117392U,	// LCMPXCHG32
-    1133776U,	// LCMPXCHG64
-    1150160U,	// LCMPXCHG8
-    82430U,	// LCMPXCHG8B
-    336615938U,	// LDDQUrm
-    70512U,	// LDMXCSR
-    672158652U,	// LDS16rm
-    672158652U,	// LDS32rm
-    11050U,	// LD_F0
-    9457U,	// LD_F1
-    115935U,	// LD_F32m
-    132319U,	// LD_F64m
-    263391U,	// LD_F80m
+    2282769022U,	// KSHIFTLWri
+    2282769099U,	// KSHIFTRWri
+    2282768970U,	// KUNPCKBWrr
+    2282769082U,	// KXNORWrr
+    2282769091U,	// KXORWrr
+    12046U,	// LAHF
+    370170742U,	// LAR16rm
+    135289718U,	// LAR16rr
+    370170742U,	// LAR32rm
+    135289718U,	// LAR32rr
+    370170742U,	// LAR64rm
+    135289718U,	// LAR64rr
+    1087040U,	// LCMPXCHG16
+    265422U,	// LCMPXCHG16B
+    1119808U,	// LCMPXCHG32
+    1136192U,	// LCMPXCHG64
+    1152576U,	// LCMPXCHG8
+    85210U,	// LCMPXCHG8B
+    336617911U,	// LDDQUrm
+    72666U,	// LDMXCSR
+    672160806U,	// LDS16rm
+    672160806U,	// LDS32rm
+    12913U,	// LD_F0
+    11404U,	// LD_F1
+    118628U,	// LD_F32m
+    135012U,	// LD_F64m
+    380772U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1216,570 +1237,579 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    17631U,	// LD_Frr
-    101728699U,	// LEA16r
-    101728699U,	// LEA32r
-    101728699U,	// LEA64_32r
-    168837563U,	// LEA64r
-    10155U,	// LEAVE
-    10155U,	// LEAVE64
-    672158657U,	// LES16rm
-    672158657U,	// LES32rm
-    10071U,	// LFENCE
-    672158662U,	// LFS16rm
-    672158662U,	// LFS32rm
-    672158662U,	// LFS64rm
-    219442U,	// LGDT16m
-    219442U,	// LGDTm
-    672158667U,	// LGS16rm
-    672158667U,	// LGS32rm
-    672158667U,	// LGS64rm
-    219454U,	// LIDT16m
-    219454U,	// LIDTm
-    39242U,	// LLDT16m
-    22858U,	// LLDT16r
-    40047U,	// LMSW16m
-    23663U,	// LMSW16r
-    1082437U,	// LOCK_ADD16mi
-    1082437U,	// LOCK_ADD16mi8
-    1082437U,	// LOCK_ADD16mr
-    1115205U,	// LOCK_ADD32mi
-    1115205U,	// LOCK_ADD32mi8
-    1115205U,	// LOCK_ADD32mr
-    1131589U,	// LOCK_ADD64mi32
-    1131589U,	// LOCK_ADD64mi8
-    1131589U,	// LOCK_ADD64mr
-    1147973U,	// LOCK_ADD8mi
-    1147973U,	// LOCK_ADD8mr
-    1082683U,	// LOCK_AND16mi
-    1082683U,	// LOCK_AND16mi8
-    1082683U,	// LOCK_AND16mr
-    1115451U,	// LOCK_AND32mi
-    1115451U,	// LOCK_AND32mi8
-    1115451U,	// LOCK_AND32mr
-    1131835U,	// LOCK_AND64mi32
-    1131835U,	// LOCK_AND64mi8
-    1131835U,	// LOCK_AND64mr
-    1148219U,	// LOCK_AND8mi
-    1148219U,	// LOCK_AND8mr
-    33688U,	// LOCK_DEC16m
-    66456U,	// LOCK_DEC32m
-    82840U,	// LOCK_DEC64m
-    99224U,	// LOCK_DEC8m
-    33733U,	// LOCK_INC16m
-    66501U,	// LOCK_INC32m
-    82885U,	// LOCK_INC64m
-    99269U,	// LOCK_INC8m
-    1086275U,	// LOCK_OR16mi
-    1086275U,	// LOCK_OR16mi8
-    1086275U,	// LOCK_OR16mr
-    1119043U,	// LOCK_OR32mi
-    1119043U,	// LOCK_OR32mi8
-    1119043U,	// LOCK_OR32mr
-    1135427U,	// LOCK_OR64mi32
-    1135427U,	// LOCK_OR64mi8
-    1135427U,	// LOCK_OR64mr
-    1151811U,	// LOCK_OR8mi
-    1151811U,	// LOCK_OR8mr
-    10242U,	// LOCK_PREFIX
-    1082170U,	// LOCK_SUB16mi
-    1082170U,	// LOCK_SUB16mi8
-    1082170U,	// LOCK_SUB16mr
-    1114938U,	// LOCK_SUB32mi
-    1114938U,	// LOCK_SUB32mi8
-    1114938U,	// LOCK_SUB32mr
-    1131322U,	// LOCK_SUB64mi32
-    1131322U,	// LOCK_SUB64mi8
-    1131322U,	// LOCK_SUB64mr
-    1147706U,	// LOCK_SUB8mi
-    1147706U,	// LOCK_SUB8mr
-    1086303U,	// LOCK_XOR16mi
-    1086303U,	// LOCK_XOR16mi8
-    1086303U,	// LOCK_XOR16mr
-    1119071U,	// LOCK_XOR32mi
-    1119071U,	// LOCK_XOR32mi8
-    1119071U,	// LOCK_XOR32mr
-    1135455U,	// LOCK_XOR64mi32
-    1135455U,	// LOCK_XOR64mi8
-    1135455U,	// LOCK_XOR64mr
-    1151839U,	// LOCK_XOR8mi
-    1151839U,	// LOCK_XOR8mr
-    9854U,	// LODSB
-    10000U,	// LODSD
-    10455U,	// LODSQ
-    10821U,	// LODSW
-    151411U,	// LOOP
-    150624U,	// LOOPE
-    150601U,	// LOOPNE
-    19653U,	// LRETI
-    19653U,	// LRETIW
-    10189U,	// LRETL
-    10189U,	// LRETQ
-    10189U,	// LRETW
-    370167407U,	// LSL16rm
-    135286383U,	// LSL16rr
-    101731951U,	// LSL32rm
-    135286383U,	// LSL32rr
-    168840815U,	// LSL64rm
-    135286383U,	// LSL64rr
-    672159924U,	// LSS16rm
-    672159924U,	// LSS32rm
-    672159924U,	// LSS64rm
-    37774U,	// LTRm
-    21390U,	// LTRr
-    279633U,	// LXADD16
-    296017U,	// LXADD32
-    312401U,	// LXADD64
-    328785U,	// LXADD8
-    370170220U,	// LZCNT16rm
-    135289196U,	// LZCNT16rr
-    101734764U,	// LZCNT32rm
-    135289196U,	// LZCNT32rr
-    168843628U,	// LZCNT64rm
-    135289196U,	// LZCNT64rr
-    135289354U,	// MASKMOVDQU
-    135289354U,	// MASKMOVDQU64
-    202393751U,	// MAXCPDrm
-    68176023U,	// MAXCPDrr
-    202397517U,	// MAXCPSrm
-    68179789U,	// MAXCPSrr
-    235948734U,	// MAXCSDrm
-    68176574U,	// MAXCSDrr
-    269506828U,	// MAXCSSrm
-    68180236U,	// MAXCSSrr
-    202393751U,	// MAXPDrm
-    68176023U,	// MAXPDrr
-    202397517U,	// MAXPSrm
-    68179789U,	// MAXPSrr
-    235948734U,	// MAXSDrm
-    235948734U,	// MAXSDrm_Int
-    68176574U,	// MAXSDrr
-    68176574U,	// MAXSDrr_Int
-    269506828U,	// MAXSSrm
-    269506828U,	// MAXSSrm_Int
-    68180236U,	// MAXSSrr
-    68180236U,	// MAXSSrr_Int
-    10078U,	// MFENCE
-    202393620U,	// MINCPDrm
-    68175892U,	// MINCPDrr
-    202397345U,	// MINCPSrm
-    68179617U,	// MINCPSrr
-    235948661U,	// MINCSDrm
-    68176501U,	// MINCSDrr
-    269506746U,	// MINCSSrm
-    68180154U,	// MINCSSrr
-    202393620U,	// MINPDrm
-    68175892U,	// MINPDrr
-    202397345U,	// MINPSrm
-    68179617U,	// MINPSrr
-    235948661U,	// MINSDrm
-    235948661U,	// MINSDrm_Int
-    68176501U,	// MINSDrr
-    68176501U,	// MINSDrr_Int
-    269506746U,	// MINSSrm
-    269506746U,	// MINSSrm_Int
-    68180154U,	// MINSSrr
-    68180154U,	// MINSSrr_Int
-    537939289U,	// MMX_CVTPD2PIirm
-    135286105U,	// MMX_CVTPD2PIirr
-    168838689U,	// MMX_CVTPI2PDirm
-    135284257U,	// MMX_CVTPI2PDirr
-    470832309U,	// MMX_CVTPI2PSirm
-    68179125U,	// MMX_CVTPI2PSirr
-    571493742U,	// MMX_CVTPS2PIirm
-    135286126U,	// MMX_CVTPS2PIirr
-    537939278U,	// MMX_CVTTPD2PIirm
-    135286094U,	// MMX_CVTTPD2PIirr
-    571493731U,	// MMX_CVTTPS2PIirm
-    135286115U,	// MMX_CVTTPS2PIirr
-    10682U,	// MMX_EMMS
-    135287495U,	// MMX_MASKMOVQ
-    135287495U,	// MMX_MASKMOVQ64
-    135285576U,	// MMX_MOVD64from64rr
-    135285576U,	// MMX_MOVD64grr
-    1117000U,	// MMX_MOVD64mr
-    101731144U,	// MMX_MOVD64rm
-    135285576U,	// MMX_MOVD64rr
-    135285576U,	// MMX_MOVD64to64rr
-    135286793U,	// MMX_MOVDQ2Qrr
-    135286793U,	// MMX_MOVFR642Qrr
-    1135199U,	// MMX_MOVNTQmr
-    135286892U,	// MMX_MOVQ2DQrr
-    135286892U,	// MMX_MOVQ2FR64rr
-    1135307U,	// MMX_MOVQ64mr
-    168841931U,	// MMX_MOVQ64rm
-    135287499U,	// MMX_MOVQ64rr
-    168837816U,	// MMX_PABSBrm64
-    135283384U,	// MMX_PABSBrr64
-    168839680U,	// MMX_PABSDrm64
-    135285248U,	// MMX_PABSDrr64
-    168844342U,	// MMX_PABSWrm64
-    135289910U,	// MMX_PABSWrr64
-    470833929U,	// MMX_PACKSSDWirm
-    68180745U,	// MMX_PACKSSDWirr
-    470827874U,	// MMX_PACKSSWBirm
-    68174690U,	// MMX_PACKSSWBirr
-    470827885U,	// MMX_PACKUSWBirm
-    68174701U,	// MMX_PACKUSWBirr
-    470827551U,	// MMX_PADDBirm
-    68174367U,	// MMX_PADDBirr
-    470828129U,	// MMX_PADDDirm
-    68174945U,	// MMX_PADDDirr
-    470831255U,	// MMX_PADDQirm
-    68178071U,	// MMX_PADDQirr
-    470827721U,	// MMX_PADDSBirm
-    68174537U,	// MMX_PADDSBirr
-    470834279U,	// MMX_PADDSWirm
-    68181095U,	// MMX_PADDSWirr
-    470827749U,	// MMX_PADDUSBirm
-    68174565U,	// MMX_PADDUSBirr
-    470834338U,	// MMX_PADDUSWirm
-    68181154U,	// MMX_PADDUSWirr
-    470833904U,	// MMX_PADDWirm
-    68180720U,	// MMX_PADDWirr
-    1544573752U,	// MMX_PALIGNR64irm
-    1141920568U,	// MMX_PALIGNR64irr
-    470830793U,	// MMX_PANDNirm
-    68177609U,	// MMX_PANDNirr
-    470828346U,	// MMX_PANDirm
-    68175162U,	// MMX_PANDirr
-    470827598U,	// MMX_PAVGBirm
-    68174414U,	// MMX_PAVGBirr
-    470833996U,	// MMX_PAVGWirm
-    68180812U,	// MMX_PAVGWirr
-    470827646U,	// MMX_PCMPEQBirm
-    68174462U,	// MMX_PCMPEQBirr
-    470829224U,	// MMX_PCMPEQDirm
-    68176040U,	// MMX_PCMPEQDirr
-    470834126U,	// MMX_PCMPEQWirm
-    68180942U,	// MMX_PCMPEQWirr
-    470827783U,	// MMX_PCMPGTBirm
-    68174599U,	// MMX_PCMPGTBirr
-    470829796U,	// MMX_PCMPGTDirm
-    68176612U,	// MMX_PCMPGTDirr
-    470834357U,	// MMX_PCMPGTWirm
-    68181173U,	// MMX_PCMPGTWirr
-    1209031725U,	// MMX_PEXTRWirri
-    470834269U,	// MMX_PHADDSWrm64
-    68181085U,	// MMX_PHADDSWrr64
-    470833888U,	// MMX_PHADDWrm64
-    68180704U,	// MMX_PHADDWrr64
-    470828120U,	// MMX_PHADDrm64
-    68174936U,	// MMX_PHADDrr64
-    470828061U,	// MMX_PHSUBDrm64
-    68174877U,	// MMX_PHSUBDrr64
-    470834250U,	// MMX_PHSUBSWrm64
-    68181066U,	// MMX_PHSUBSWrr64
-    470833834U,	// MMX_PHSUBWrm64
-    68180650U,	// MMX_PHSUBWrr64
-    1477467162U,	// MMX_PINSRWirmi
-    1141922842U,	// MMX_PINSRWirri
-    470834238U,	// MMX_PMADDUBSWrm64
-    68181054U,	// MMX_PMADDUBSWrr64
-    470829930U,	// MMX_PMADDWDirm
-    68176746U,	// MMX_PMADDWDirr
-    470834348U,	// MMX_PMAXSWirm
-    68181164U,	// MMX_PMAXSWirr
-    470827847U,	// MMX_PMAXUBirm
-    68174663U,	// MMX_PMAXUBirr
-    470834300U,	// MMX_PMINSWirm
-    68181116U,	// MMX_PMINSWirr
-    470827824U,	// MMX_PMINUBirm
-    68174640U,	// MMX_PMINUBirr
-    135283290U,	// MMX_PMOVMSKBrr
-    470834309U,	// MMX_PMULHRSWrm64
-    68181125U,	// MMX_PMULHRSWrr64
-    470834414U,	// MMX_PMULHUWirm
-    68181230U,	// MMX_PMULHUWirr
-    470834025U,	// MMX_PMULHWirm
-    68180841U,	// MMX_PMULHWirr
-    470834074U,	// MMX_PMULLWirm
-    68180890U,	// MMX_PMULLWirr
-    470831442U,	// MMX_PMULUDQirm
-    68178258U,	// MMX_PMULUDQirr
-    470831938U,	// MMX_PORirm
-    68178754U,	// MMX_PORirr
-    470833761U,	// MMX_PSADBWirm
-    68180577U,	// MMX_PSADBWirr
-    470827589U,	// MMX_PSHUFBrm64
-    68174405U,	// MMX_PSHUFBrr64
-    1242585923U,	// MMX_PSHUFWmi
-    1209031491U,	// MMX_PSHUFWri
-    470827637U,	// MMX_PSIGNBrm64
-    68174453U,	// MMX_PSIGNBrr64
-    470828379U,	// MMX_PSIGNDrm64
-    68175195U,	// MMX_PSIGNDrr64
-    470834117U,	// MMX_PSIGNWrm64
-    68180933U,	// MMX_PSIGNWrr64
-    68175091U,	// MMX_PSLLDri
-    470828275U,	// MMX_PSLLDrm
-    68175091U,	// MMX_PSLLDrr
-    68178316U,	// MMX_PSLLQri
-    470831500U,	// MMX_PSLLQrm
-    68178316U,	// MMX_PSLLQrr
-    68180882U,	// MMX_PSLLWri
-    470834066U,	// MMX_PSLLWrm
-    68180882U,	// MMX_PSLLWrr
-    68174848U,	// MMX_PSRADri
-    470828032U,	// MMX_PSRADrm
-    68174848U,	// MMX_PSRADrr
-    68180558U,	// MMX_PSRAWri
-    470833742U,	// MMX_PSRAWrm
-    68180558U,	// MMX_PSRAWrr
-    68175108U,	// MMX_PSRLDri
-    470828292U,	// MMX_PSRLDrm
-    68175108U,	// MMX_PSRLDrr
-    68178324U,	// MMX_PSRLQri
-    470831508U,	// MMX_PSRLQrm
-    68178324U,	// MMX_PSRLQrr
-    68180899U,	// MMX_PSRLWri
-    470834083U,	// MMX_PSRLWrm
-    68180899U,	// MMX_PSRLWrr
-    470827543U,	// MMX_PSUBBirm
-    68174359U,	// MMX_PSUBBirr
-    470828070U,	// MMX_PSUBDirm
-    68174886U,	// MMX_PSUBDirr
-    470831160U,	// MMX_PSUBQirm
-    68177976U,	// MMX_PSUBQirr
-    470827712U,	// MMX_PSUBSBirm
-    68174528U,	// MMX_PSUBSBirr
-    470834260U,	// MMX_PSUBSWirm
-    68181076U,	// MMX_PSUBSWirr
-    470827739U,	// MMX_PSUBUSBirm
-    68174555U,	// MMX_PSUBUSBirr
-    470834328U,	// MMX_PSUBUSWirm
-    68181144U,	// MMX_PSUBUSWirr
-    470833843U,	// MMX_PSUBWirm
-    68180659U,	// MMX_PSUBWirr
-    470833789U,	// MMX_PUNPCKHBWirm
-    68180605U,	// MMX_PUNPCKHBWirr
-    470831273U,	// MMX_PUNPCKHDQirm
-    68178089U,	// MMX_PUNPCKHDQirr
-    470829940U,	// MMX_PUNPCKHWDirm
-    68176756U,	// MMX_PUNPCKHWDirr
-    470833811U,	// MMX_PUNPCKLBWirm
-    68180627U,	// MMX_PUNPCKLBWirr
-    470831285U,	// MMX_PUNPCKLDQirm
-    68178101U,	// MMX_PUNPCKLDQirr
-    470829952U,	// MMX_PUNPCKLWDirm
-    68176768U,	// MMX_PUNPCKLWDirr
-    470831966U,	// MMX_PXORirm
-    68178782U,	// MMX_PXORirr
+    20324U,	// LD_Frr
+    101731479U,	// LEA16r
+    101731479U,	// LEA32r
+    101731479U,	// LEA64_32r
+    168840343U,	// LEA64r
+    12033U,	// LEAVE
+    12033U,	// LEAVE64
+    672160811U,	// LES16rm
+    672160811U,	// LES32rm
+    11949U,	// LFENCE
+    672160816U,	// LFS16rm
+    672160816U,	// LFS32rm
+    672160816U,	// LFS64rm
+    286958U,	// LGDT16m
+    286958U,	// LGDT32m
+    286958U,	// LGDT64m
+    672160821U,	// LGS16rm
+    672160821U,	// LGS32rm
+    672160821U,	// LGS64rm
+    286970U,	// LIDT16m
+    286970U,	// LIDT32m
+    286970U,	// LIDT64m
+    41222U,	// LLDT16m
+    24838U,	// LLDT16r
+    41880U,	// LMSW16m
+    25496U,	// LMSW16r
+    1085151U,	// LOCK_ADD16mi
+    1085151U,	// LOCK_ADD16mi8
+    1085151U,	// LOCK_ADD16mr
+    1117919U,	// LOCK_ADD32mi
+    1117919U,	// LOCK_ADD32mi8
+    1117919U,	// LOCK_ADD32mr
+    1134303U,	// LOCK_ADD64mi32
+    1134303U,	// LOCK_ADD64mi8
+    1134303U,	// LOCK_ADD64mr
+    1150687U,	// LOCK_ADD8mi
+    1150687U,	// LOCK_ADD8mr
+    1085355U,	// LOCK_AND16mi
+    1085355U,	// LOCK_AND16mi8
+    1085355U,	// LOCK_AND16mr
+    1118123U,	// LOCK_AND32mi
+    1118123U,	// LOCK_AND32mi8
+    1118123U,	// LOCK_AND32mr
+    1134507U,	// LOCK_AND64mi32
+    1134507U,	// LOCK_AND64mi8
+    1134507U,	// LOCK_AND64mr
+    1150891U,	// LOCK_AND8mi
+    1150891U,	// LOCK_AND8mr
+    36429U,	// LOCK_DEC16m
+    69197U,	// LOCK_DEC32m
+    85581U,	// LOCK_DEC64m
+    101965U,	// LOCK_DEC8m
+    36474U,	// LOCK_INC16m
+    69242U,	// LOCK_INC32m
+    85626U,	// LOCK_INC64m
+    102010U,	// LOCK_INC8m
+    1088429U,	// LOCK_OR16mi
+    1088429U,	// LOCK_OR16mi8
+    1088429U,	// LOCK_OR16mr
+    1121197U,	// LOCK_OR32mi
+    1121197U,	// LOCK_OR32mi8
+    1121197U,	// LOCK_OR32mr
+    1137581U,	// LOCK_OR64mi32
+    1137581U,	// LOCK_OR64mi8
+    1137581U,	// LOCK_OR64mr
+    1153965U,	// LOCK_OR8mi
+    1153965U,	// LOCK_OR8mr
+    12120U,	// LOCK_PREFIX
+    1084911U,	// LOCK_SUB16mi
+    1084911U,	// LOCK_SUB16mi8
+    1084911U,	// LOCK_SUB16mr
+    1117679U,	// LOCK_SUB32mi
+    1117679U,	// LOCK_SUB32mi8
+    1117679U,	// LOCK_SUB32mr
+    1134063U,	// LOCK_SUB64mi32
+    1134063U,	// LOCK_SUB64mi8
+    1134063U,	// LOCK_SUB64mr
+    1150447U,	// LOCK_SUB8mi
+    1150447U,	// LOCK_SUB8mr
+    1088457U,	// LOCK_XOR16mi
+    1088457U,	// LOCK_XOR16mi8
+    1088457U,	// LOCK_XOR16mr
+    1121225U,	// LOCK_XOR32mi
+    1121225U,	// LOCK_XOR32mi8
+    1121225U,	// LOCK_XOR32mr
+    1137609U,	// LOCK_XOR64mi32
+    1137609U,	// LOCK_XOR64mi8
+    1137609U,	// LOCK_XOR64mr
+    1153993U,	// LOCK_XOR8mi
+    1153993U,	// LOCK_XOR8mr
+    402787U,	// LODSB
+    419471U,	// LODSL
+    436024U,	// LODSQ
+    452166U,	// LODSW
+    153777U,	// LOOP
+    153040U,	// LOOPE
+    153017U,	// LOOPNE
+    22069U,	// LRETIL
+    23142U,	// LRETIQ
+    22069U,	// LRETIW
+    12067U,	// LRETL
+    12340U,	// LRETQ
+    12067U,	// LRETW
+    370169773U,	// LSL16rm
+    135288749U,	// LSL16rr
+    101734317U,	// LSL32rm
+    135288749U,	// LSL32rr
+    168843181U,	// LSL64rm
+    135288749U,	// LSL64rr
+    672161904U,	// LSS16rm
+    672161904U,	// LSS32rm
+    672161904U,	// LSS64rm
+    39928U,	// LTRm
+    23544U,	// LTRr
+    462571U,	// LXADD16
+    478955U,	// LXADD32
+    495339U,	// LXADD64
+    511723U,	// LXADD8
+    370172200U,	// LZCNT16rm
+    135291176U,	// LZCNT16rr
+    101736744U,	// LZCNT32rm
+    135291176U,	// LZCNT32rr
+    168845608U,	// LZCNT64rm
+    135291176U,	// LZCNT64rr
+    135291327U,	// MASKMOVDQU
+    135291327U,	// MASKMOVDQU64
+    202396288U,	// MAXCPDrm
+    68178560U,	// MAXCPDrr
+    202399554U,	// MAXCPSrm
+    68181826U,	// MAXCPSrr
+    235951163U,	// MAXCSDrm
+    68179003U,	// MAXCSDrr
+    269508808U,	// MAXCSSrm
+    68182216U,	// MAXCSSrr
+    202396288U,	// MAXPDrm
+    68178560U,	// MAXPDrr
+    202399554U,	// MAXPSrm
+    68181826U,	// MAXPSrr
+    235951163U,	// MAXSDrm
+    235951163U,	// MAXSDrm_Int
+    68179003U,	// MAXSDrr
+    68179003U,	// MAXSDrr_Int
+    269508808U,	// MAXSSrm
+    269508808U,	// MAXSSrm_Int
+    68182216U,	// MAXSSrr
+    68182216U,	// MAXSSrr_Int
+    11956U,	// MFENCE
+    202396170U,	// MINCPDrm
+    68178442U,	// MINCPDrr
+    202399395U,	// MINCPSrm
+    68181667U,	// MINCPSrr
+    235951083U,	// MINCSDrm
+    68178923U,	// MINCSDrr
+    269508726U,	// MINCSSrm
+    68182134U,	// MINCSSrr
+    202396170U,	// MINPDrm
+    68178442U,	// MINPDrr
+    202399395U,	// MINPSrm
+    68181667U,	// MINPSrr
+    235951083U,	// MINSDrm
+    235951083U,	// MINSDrm_Int
+    68178923U,	// MINSDrr
+    68178923U,	// MINSDrr_Int
+    269508726U,	// MINSSrm
+    269508726U,	// MINSSrm_Int
+    68182134U,	// MINSSrr
+    68182134U,	// MINSSrr_Int
+    537941705U,	// MMX_CVTPD2PIirm
+    135288521U,	// MMX_CVTPD2PIirr
+    168841332U,	// MMX_CVTPI2PDirm
+    135286900U,	// MMX_CVTPI2PDirr
+    470834452U,	// MMX_CVTPI2PSirm
+    68181268U,	// MMX_CVTPI2PSirr
+    571496158U,	// MMX_CVTPS2PIirm
+    135288542U,	// MMX_CVTPS2PIirr
+    537941694U,	// MMX_CVTTPD2PIirm
+    135288510U,	// MMX_CVTTPD2PIirr
+    571496147U,	// MMX_CVTTPS2PIirm
+    135288531U,	// MMX_CVTTPS2PIirr
+    12561U,	// MMX_EMMS
+    135289649U,	// MMX_MASKMOVQ
+    135289649U,	// MMX_MASKMOVQ64
+    135287992U,	// MMX_MOVD64from64rr
+    135287992U,	// MMX_MOVD64grr
+    1119416U,	// MMX_MOVD64mr
+    101733560U,	// MMX_MOVD64rm
+    135287992U,	// MMX_MOVD64rr
+    135287992U,	// MMX_MOVD64to64rr
+    135289132U,	// MMX_MOVDQ2Qrr
+    135289132U,	// MMX_MOVFR642Qrr
+    1137380U,	// MMX_MOVNTQmr
+    135289223U,	// MMX_MOVQ2DQrr
+    135289223U,	// MMX_MOVQ2FR64rr
+    1137461U,	// MMX_MOVQ64mr
+    168844085U,	// MMX_MOVQ64rm
+    135289653U,	// MMX_MOVQ64rr
+    168840536U,	// MMX_PABSBrm64
+    135286104U,	// MMX_PABSBrr64
+    168842115U,	// MMX_PABSDrm64
+    135287683U,	// MMX_PABSDrr64
+    168846175U,	// MMX_PABSWrm64
+    135291743U,	// MMX_PABSWrr64
+    470835878U,	// MMX_PACKSSDWirm
+    68182694U,	// MMX_PACKSSDWirr
+    470830615U,	// MMX_PACKSSWBirm
+    68177431U,	// MMX_PACKSSWBirr
+    470830626U,	// MMX_PACKUSWBirm
+    68177442U,	// MMX_PACKUSWBirr
+    470830331U,	// MMX_PADDBirm
+    68177147U,	// MMX_PADDBirr
+    470830843U,	// MMX_PADDDirm
+    68177659U,	// MMX_PADDDirr
+    470833586U,	// MMX_PADDQirm
+    68180402U,	// MMX_PADDQirr
+    470830441U,	// MMX_PADDSBirm
+    68177257U,	// MMX_PADDSBirr
+    470836112U,	// MMX_PADDSWirm
+    68182928U,	// MMX_PADDSWirr
+    470830483U,	// MMX_PADDUSBirm
+    68177299U,	// MMX_PADDUSBirr
+    470836185U,	// MMX_PADDUSWirm
+    68183001U,	// MMX_PADDUSWirr
+    470835860U,	// MMX_PADDWirm
+    68182676U,	// MMX_PADDWirr
+    2618317730U,	// MMX_PALIGNR64irm
+    2215664546U,	// MMX_PALIGNR64irr
+    470833159U,	// MMX_PANDNirm
+    68179975U,	// MMX_PANDNirr
+    470831018U,	// MMX_PANDirm
+    68177834U,	// MMX_PANDirr
+    470830348U,	// MMX_PAVGBirm
+    68177164U,	// MMX_PAVGBirr
+    470835915U,	// MMX_PAVGWirm
+    68182731U,	// MMX_PAVGWirr
+    470830396U,	// MMX_PCMPEQBirm
+    68177212U,	// MMX_PCMPEQBirr
+    470831761U,	// MMX_PCMPEQDirm
+    68178577U,	// MMX_PCMPEQDirr
+    470836020U,	// MMX_PCMPEQWirm
+    68182836U,	// MMX_PCMPEQWirr
+    470830524U,	// MMX_PCMPGTBirm
+    68177340U,	// MMX_PCMPGTBirr
+    470832212U,	// MMX_PCMPGTDirm
+    68179028U,	// MMX_PCMPGTDirr
+    470836211U,	// MMX_PCMPGTWirm
+    68183027U,	// MMX_PCMPGTWirr
+    2282775382U,	// MMX_PEXTRWirri
+    470836102U,	// MMX_PHADDSWrm64
+    68182918U,	// MMX_PHADDSWrr64
+    470835851U,	// MMX_PHADDWrm64
+    68182667U,	// MMX_PHADDWrr64
+    470830834U,	// MMX_PHADDrm64
+    68177650U,	// MMX_PHADDrr64
+    470830775U,	// MMX_PHSUBDrm64
+    68177591U,	// MMX_PHSUBDrr64
+    470836083U,	// MMX_PHSUBSWrm64
+    68182899U,	// MMX_PHSUBSWrr64
+    470835797U,	// MMX_PHSUBWrm64
+    68182613U,	// MMX_PHSUBWrr64
+    2551210829U,	// MMX_PINSRWirmi
+    2215666509U,	// MMX_PINSRWirri
+    470836071U,	// MMX_PMADDUBSWrm64
+    68182887U,	// MMX_PMADDUBSWrr64
+    470832346U,	// MMX_PMADDWDirm
+    68179162U,	// MMX_PMADDWDirr
+    470836202U,	// MMX_PMAXSWirm
+    68183018U,	// MMX_PMAXSWirr
+    470830588U,	// MMX_PMAXUBirm
+    68177404U,	// MMX_PMAXUBirr
+    470836133U,	// MMX_PMINSWirm
+    68182949U,	// MMX_PMINSWirr
+    470830565U,	// MMX_PMINUBirm
+    68177381U,	// MMX_PMINUBirr
+    135286040U,	// MMX_PMOVMSKBrr
+    470836156U,	// MMX_PMULHRSWrm64
+    68182972U,	// MMX_PMULHRSWrr64
+    470836243U,	// MMX_PMULHUWirm
+    68183059U,	// MMX_PMULHUWirr
+    470835944U,	// MMX_PMULHWirm
+    68182760U,	// MMX_PMULHWirr
+    470835986U,	// MMX_PMULLWirm
+    68182802U,	// MMX_PMULLWirr
+    470833726U,	// MMX_PMULUDQirm
+    68180542U,	// MMX_PMULUDQirr
+    470834092U,	// MMX_PORirm
+    68180908U,	// MMX_PORirr
+    470835734U,	// MMX_PSADBWirm
+    68182550U,	// MMX_PSADBWirr
+    470830339U,	// MMX_PSHUFBrm64
+    68177155U,	// MMX_PSHUFBrr64
+    2316329666U,	// MMX_PSHUFWmi
+    2282775234U,	// MMX_PSHUFWri
+    470830387U,	// MMX_PSIGNBrm64
+    68177203U,	// MMX_PSIGNBrr64
+    470831033U,	// MMX_PSIGNDrm64
+    68177849U,	// MMX_PSIGNDrr64
+    470836011U,	// MMX_PSIGNWrm64
+    68182827U,	// MMX_PSIGNWrr64
+    68177784U,	// MMX_PSLLDri
+    470830968U,	// MMX_PSLLDrm
+    68177784U,	// MMX_PSLLDrr
+    68180598U,	// MMX_PSLLQri
+    470833782U,	// MMX_PSLLQrm
+    68180598U,	// MMX_PSLLQrr
+    68182794U,	// MMX_PSLLWri
+    470835978U,	// MMX_PSLLWrm
+    68182794U,	// MMX_PSLLWrr
+    68177562U,	// MMX_PSRADri
+    470830746U,	// MMX_PSRADrm
+    68177562U,	// MMX_PSRADrr
+    68182531U,	// MMX_PSRAWri
+    470835715U,	// MMX_PSRAWrm
+    68182531U,	// MMX_PSRAWrr
+    68177801U,	// MMX_PSRLDri
+    470830985U,	// MMX_PSRLDrm
+    68177801U,	// MMX_PSRLDrr
+    68180606U,	// MMX_PSRLQri
+    470833790U,	// MMX_PSRLQrm
+    68180606U,	// MMX_PSRLQrr
+    68182811U,	// MMX_PSRLWri
+    470835995U,	// MMX_PSRLWrm
+    68182811U,	// MMX_PSRLWrr
+    470830323U,	// MMX_PSUBBirm
+    68177139U,	// MMX_PSUBBirr
+    470830784U,	// MMX_PSUBDirm
+    68177600U,	// MMX_PSUBDirr
+    470833491U,	// MMX_PSUBQirm
+    68180307U,	// MMX_PSUBQirr
+    470830432U,	// MMX_PSUBSBirm
+    68177248U,	// MMX_PSUBSBirr
+    470836093U,	// MMX_PSUBSWirm
+    68182909U,	// MMX_PSUBSWirr
+    470830473U,	// MMX_PSUBUSBirm
+    68177289U,	// MMX_PSUBUSBirr
+    470836175U,	// MMX_PSUBUSWirm
+    68182991U,	// MMX_PSUBUSWirr
+    470835806U,	// MMX_PSUBWirm
+    68182622U,	// MMX_PSUBWirr
+    470835762U,	// MMX_PUNPCKHBWirm
+    68182578U,	// MMX_PUNPCKHBWirr
+    470833604U,	// MMX_PUNPCKHDQirm
+    68180420U,	// MMX_PUNPCKHDQirr
+    470832356U,	// MMX_PUNPCKHWDirm
+    68179172U,	// MMX_PUNPCKHWDirr
+    470835774U,	// MMX_PUNPCKLBWirm
+    68182590U,	// MMX_PUNPCKLBWirr
+    470833616U,	// MMX_PUNPCKLDQirm
+    68180432U,	// MMX_PUNPCKLDQirr
+    470832368U,	// MMX_PUNPCKLWDirm
+    68179184U,	// MMX_PUNPCKLWDirr
+    470834120U,	// MMX_PXORirm
+    68180936U,	// MMX_PXORirr
     0U,	// MONITOR
-    10513U,	// MONITORrrr
-    10290U,	// MONTMUL
+    12392U,	// MONITORrrr
+    12181U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    12933696U,	// MOV16ao16
-    1088064U,	// MOV16mi
-    1088064U,	// MOV16mr
-    1088064U,	// MOV16ms
-    351998U,	// MOV16o16a
-    135289408U,	// MOV16ri
-    370170432U,	// MOV16rm
-    135289408U,	// MOV16rr
-    135289408U,	// MOV16rr_REV
-    135289408U,	// MOV16rs
-    370170432U,	// MOV16sm
-    135289408U,	// MOV16sr
-    13998656U,	// MOV32ao32
-    135289408U,	// MOV32cr
-    135289408U,	// MOV32dr
-    1120832U,	// MOV32mi
-    1120832U,	// MOV32mr
-    1120832U,	// MOV32ms
-    368505U,	// MOV32o32a
+    14164469U,	// MOV16ao16
+    14164469U,	// MOV16ao16_16
+    1090037U,	// MOV16mi
+    1090037U,	// MOV16mr
+    1090037U,	// MOV16ms
+    534066U,	// MOV16o16a
+    534066U,	// MOV16o16a_16
+    135291381U,	// MOV16ri
+    370172405U,	// MOV16rm
+    135291381U,	// MOV16rr
+    135291381U,	// MOV16rr_REV
+    135291381U,	// MOV16rs
+    370172405U,	// MOV16sm
+    135291381U,	// MOV16sr
+    15229429U,	// MOV32ao32
+    15229429U,	// MOV32ao32_16
+    135291381U,	// MOV32cr
+    135291381U,	// MOV32dr
+    1122805U,	// MOV32mi
+    1122805U,	// MOV32mr
+    1122805U,	// MOV32ms
+    550619U,	// MOV32o32a
+    550619U,	// MOV32o32a_16
     0U,	// MOV32r0
-    135289408U,	// MOV32rc
-    135289408U,	// MOV32rd
-    135289408U,	// MOV32ri
+    135291381U,	// MOV32rc
+    135291381U,	// MOV32rd
+    135291381U,	// MOV32ri
     0U,	// MOV32ri64
-    101734976U,	// MOV32rm
-    135289408U,	// MOV32rr
-    135289408U,	// MOV32rr_REV
-    135289408U,	// MOV32rs
-    101734976U,	// MOV32sm
-    135289408U,	// MOV32sr
-    12932014U,	// MOV64ao16
-    13996974U,	// MOV64ao32
-    15061934U,	// MOV64ao64
-    16126894U,	// MOV64ao8
-    135289408U,	// MOV64cr
-    135289408U,	// MOV64dr
-    1137216U,	// MOV64mi32
-    1137216U,	// MOV64mr
-    1137216U,	// MOV64ms
-    351976U,	// MOV64o16a
-    368481U,	// MOV64o32a
-    384980U,	// MOV64o64a
-    401016U,	// MOV64o8a
-    135289408U,	// MOV64rc
-    135289408U,	// MOV64rd
-    135287726U,	// MOV64ri
-    135289408U,	// MOV64ri32
-    168843840U,	// MOV64rm
-    135289408U,	// MOV64rr
-    135289408U,	// MOV64rr_REV
-    135289408U,	// MOV64rs
-    168843840U,	// MOV64sm
-    135289408U,	// MOV64sr
-    135287499U,	// MOV64toPQIrr
-    168841931U,	// MOV64toSDrm
-    135287499U,	// MOV64toSDrr
-    16128576U,	// MOV8ao8
-    1153600U,	// MOV8mi
-    1153600U,	// MOV8mr
-    2148637248U,	// MOV8mr_NOREX
-    401038U,	// MOV8o8a
-    135289408U,	// MOV8ri
-    504388160U,	// MOV8rm
-    2651871808U,	// MOV8rm_NOREX
-    135289408U,	// MOV8rr
-    2282773056U,	// MOV8rr_NOREX
-    135289408U,	// MOV8rr_REV
-    411356U,	// MOVAPDmr
-    537937628U,	// MOVAPDrm
-    135284444U,	// MOVAPDrr
-    135284444U,	// MOVAPDrr_REV
-    415077U,	// MOVAPSmr
-    537941349U,	// MOVAPSrm
-    135288165U,	// MOVAPSrr
-    135288165U,	// MOVAPSrr_REV
-    1084417U,	// MOVBE16mr
-    370166785U,	// MOVBE16rm
-    1117185U,	// MOVBE32mr
-    101731329U,	// MOVBE32rm
-    1133569U,	// MOVBE64mr
-    168840193U,	// MOVBE64rm
-    571494321U,	// MOVDDUPrm
-    135286705U,	// MOVDDUPrr
-    101731144U,	// MOVDI2PDIrm
-    135285576U,	// MOVDI2PDIrr
-    101731144U,	// MOVDI2SSrm
-    135285576U,	// MOVDI2SSrr
-    1245648U,	// MOVDQAmr
-    336609744U,	// MOVDQArm
-    135283152U,	// MOVDQArr
-    135283152U,	// MOVDQArr_REV
-    1251854U,	// MOVDQUmr
-    336615950U,	// MOVDQUrm
-    135289358U,	// MOVDQUrr
-    135289358U,	// MOVDQUrr_REV
-    68179539U,	// MOVHLPSrr
-    1181620U,	// MOVHPDmr
-    235947956U,	// MOVHPDrm
-    1185343U,	// MOVHPSmr
-    235951679U,	// MOVHPSrm
-    68179509U,	// MOVLHPSrr
-    1181670U,	// MOVLPDmr
-    235948006U,	// MOVLPDrm
-    1185403U,	// MOVLPSmr
-    235951739U,	// MOVLPSrm
-    1135307U,	// MOVLQ128mr
-    135284669U,	// MOVMSKPDrr
-    135288392U,	// MOVMSKPSrr
-    336609733U,	// MOVNTDQArm
-    413987U,	// MOVNTDQmr
-    1134087U,	// MOVNTI_64mr
-    1117703U,	// MOVNTImr
-    411731U,	// MOVNTPDmr
-    415476U,	// MOVNTPSmr
-    1182348U,	// MOVNTSD
-    1169617U,	// MOVNTSS
+    101736949U,	// MOV32rm
+    135291381U,	// MOV32rr
+    135291381U,	// MOV32rr_REV
+    135291381U,	// MOV32rs
+    101736949U,	// MOV32sm
+    135291381U,	// MOV32sr
+    14162968U,	// MOV64ao16
+    15227928U,	// MOV64ao32
+    16292888U,	// MOV64ao64
+    17357848U,	// MOV64ao8
+    135291381U,	// MOV64cr
+    135291381U,	// MOV64dr
+    1139189U,	// MOV64mi32
+    1139189U,	// MOV64mr
+    1139189U,	// MOV64ms
+    534044U,	// MOV64o16a
+    550595U,	// MOV64o32a
+    567118U,	// MOV64o64a
+    583084U,	// MOV64o8a
+    135291381U,	// MOV64rc
+    135291381U,	// MOV64rd
+    135289880U,	// MOV64ri
+    135291381U,	// MOV64ri32
+    168845813U,	// MOV64rm
+    135291381U,	// MOV64rr
+    135291381U,	// MOV64rr_REV
+    135291381U,	// MOV64rs
+    168845813U,	// MOV64sm
+    135291381U,	// MOV64sr
+    135289653U,	// MOV64toPQIrr
+    168844085U,	// MOV64toSDrm
+    135289653U,	// MOV64toSDrr
+    17359349U,	// MOV8ao8
+    17359349U,	// MOV8ao8_16
+    1155573U,	// MOV8mi
+    1155573U,	// MOV8mr
+    1155573U,	// MOV8mr_NOREX
+    583106U,	// MOV8o8a
+    583106U,	// MOV8o8a_16
+    135291381U,	// MOV8ri
+    504390133U,	// MOV8rm
+    504390133U,	// MOV8rm_NOREX
+    135291381U,	// MOV8rr
+    135291381U,	// MOV8rr_NOREX
+    135291381U,	// MOV8rr_REV
+    594167U,	// MOVAPDmr
+    537940215U,	// MOVAPDrm
+    135287031U,	// MOVAPDrr
+    135287031U,	// MOVAPDrr_REV
+    597388U,	// MOVAPSmr
+    537943436U,	// MOVAPSrm
+    135290252U,	// MOVAPSrr
+    135290252U,	// MOVAPSrr_REV
+    1086833U,	// MOVBE16mr
+    370169201U,	// MOVBE16rm
+    1119601U,	// MOVBE32mr
+    101733745U,	// MOVBE32rm
+    1135985U,	// MOVBE64mr
+    168842609U,	// MOVBE64rm
+    571496687U,	// MOVDDUPrm
+    135289071U,	// MOVDDUPrr
+    101733560U,	// MOVDI2PDIrm
+    135287992U,	// MOVDI2PDIrr
+    101733560U,	// MOVDI2SSrm
+    135287992U,	// MOVDI2SSrr
+    1313964U,	// MOVDQAmr
+    336612524U,	// MOVDQArm
+    135285932U,	// MOVDQArr
+    135285932U,	// MOVDQArr_REV
+    1319363U,	// MOVDQUmr
+    336617923U,	// MOVDQUrm
+    135291331U,	// MOVDQUrr
+    135291331U,	// MOVDQUrr_REV
+    68181600U,	// MOVHLPSrr
+    1184181U,	// MOVHPDmr
+    235950517U,	// MOVHPDrm
+    1187404U,	// MOVHPSmr
+    235953740U,	// MOVHPSrm
+    68181570U,	// MOVLHPSrr
+    1184231U,	// MOVLPDmr
+    235950567U,	// MOVLPDrm
+    1187464U,	// MOVLPSmr
+    235953800U,	// MOVLPSrm
+    135287230U,	// MOVMSKPDrr
+    135290453U,	// MOVMSKPSrr
+    336612513U,	// MOVNTDQArm
+    596521U,	// MOVNTDQmr
+    1136453U,	// MOVNTI_64mr
+    1120069U,	// MOVNTImr
+    594492U,	// MOVNTPDmr
+    597737U,	// MOVNTPSmr
+    1184777U,	// MOVNTSD
+    1171597U,	// MOVNTSS
     0U,	// MOVPC32r
-    1117000U,	// MOVPDI2DImr
-    135285576U,	// MOVPDI2DIrr
-    1135307U,	// MOVPQI2QImr
-    135287499U,	// MOVPQIto64rr
-    168841931U,	// MOVQI2PQIrm
-    9891U,	// MOVSB
-    10037U,	// MOVSD
-    1182389U,	// MOVSDmr
-    571493045U,	// MOVSDrm
-    68176565U,	// MOVSDrr
-    68176565U,	// MOVSDrr_REV
-    1135307U,	// MOVSDto64mr
-    135287499U,	// MOVSDto64rr
-    537939899U,	// MOVSHDUPrm
-    135286715U,	// MOVSHDUPrr
-    537939910U,	// MOVSLDUPrm
-    135286726U,	// MOVSLDUPrr
-    10481U,	// MOVSQ
-    1117000U,	// MOVSS2DImr
-    135285576U,	// MOVSS2DIrr
-    1169668U,	// MOVSSmr
-    605051140U,	// MOVSSrm
-    68180228U,	// MOVSSrr
-    68180228U,	// MOVSSrr_REV
-    10858U,	// MOVSW
-    504389009U,	// MOVSX16rm8
-    135290257U,	// MOVSX16rr8
-    370171281U,	// MOVSX32rm16
-    504389009U,	// MOVSX32rm8
-    135290257U,	// MOVSX32rr16
-    135290257U,	// MOVSX32rr8
-    370171281U,	// MOVSX64rm16
-    101731288U,	// MOVSX64rm32
-    504389009U,	// MOVSX64rm8
-    135290257U,	// MOVSX64rr16
-    135285720U,	// MOVSX64rr32
-    135290257U,	// MOVSX64rr8
-    411759U,	// MOVUPDmr
-    537938031U,	// MOVUPDrm
-    135284847U,	// MOVUPDrr
-    135284847U,	// MOVUPDrr_REV
-    415525U,	// MOVUPSmr
-    537941797U,	// MOVUPSrm
-    135288613U,	// MOVUPSrr
-    135288613U,	// MOVUPSrr_REV
-    336614091U,	// MOVZPQILo2PQIrm
-    135287499U,	// MOVZPQILo2PQIrr
-    168841931U,	// MOVZQI2PQIrm
-    135287499U,	// MOVZQI2PQIrr
-    504389016U,	// MOVZX16rm8
-    135290264U,	// MOVZX16rr8
-    504389016U,	// MOVZX32_NOREXrm8
-    135290264U,	// MOVZX32_NOREXrr8
-    370171288U,	// MOVZX32rm16
-    504389016U,	// MOVZX32rm8
-    135290264U,	// MOVZX32rr16
-    135290264U,	// MOVZX32rr8
-    370171288U,	// MOVZX64rm16_Q
-    504389016U,	// MOVZX64rm8_Q
-    135290264U,	// MOVZX64rr16_Q
-    135290264U,	// MOVZX64rr8_Q
-    1376803424U,	// MPSADBWrmi
-    1141922400U,	// MPSADBWrri
-    36476U,	// MUL16m
-    20092U,	// MUL16r
-    69244U,	// MUL32m
-    20092U,	// MUL32r
-    85628U,	// MUL64m
-    20092U,	// MUL64r
-    102012U,	// MUL8m
-    20092U,	// MUL8r
-    202393566U,	// MULPDrm
-    68175838U,	// MULPDrr
-    202397299U,	// MULPSrm
-    68179571U,	// MULPSrr
-    235948652U,	// MULSDrm
-    235948652U,	// MULSDrm_Int
-    68176492U,	// MULSDrr
-    68176492U,	// MULSDrr_Int
-    269506738U,	// MULSSrm
-    269506738U,	// MULSSrm_Int
-    68180146U,	// MULSSrr
-    68180146U,	// MULSSrr_Int
-    1209032014U,	// MULX32rm
-    1209032014U,	// MULX32rr
-    1209032014U,	// MULX64rm
-    1209032014U,	// MULX64rr
-    118395U,	// MUL_F32m
-    134779U,	// MUL_F64m
-    36481U,	// MUL_FI16m
-    69249U,	// MUL_FI32m
-    20273U,	// MUL_FPrST0
-    20091U,	// MUL_FST0r
+    1119416U,	// MOVPDI2DImr
+    135287992U,	// MOVPDI2DIrr
+    1137461U,	// MOVPQI2QImr
+    135289653U,	// MOVPQI2QIrr
+    135289653U,	// MOVPQIto64rr
+    168844085U,	// MOVQI2PQIrm
+    706022821U,	// MOVSB
+    1184818U,	// MOVSDmr
+    571495474U,	// MOVSDrm
+    68178994U,	// MOVSDrr
+    68178994U,	// MOVSDrr_REV
+    1137461U,	// MOVSDto64mr
+    135289653U,	// MOVSDto64rr
+    537942265U,	// MOVSHDUPrm
+    135289081U,	// MOVSHDUPrr
+    739562546U,	// MOVSL
+    537942276U,	// MOVSLDUPrm
+    135289092U,	// MOVSLDUPrr
+    773413587U,	// MOVSQ
+    1119416U,	// MOVSS2DImr
+    135287992U,	// MOVSS2DIrr
+    1171648U,	// MOVSSmr
+    605053120U,	// MOVSSrm
+    68182208U,	// MOVSSrr
+    68182208U,	// MOVSSrr_REV
+    806659042U,	// MOVSW
+    504390831U,	// MOVSX16rm8
+    135292079U,	// MOVSX16rr8
+    370173103U,	// MOVSX32rm16
+    504390831U,	// MOVSX32rm8
+    135292079U,	// MOVSX32rr16
+    135292079U,	// MOVSX32rr8
+    370173103U,	// MOVSX64rm16
+    101733704U,	// MOVSX64rm32
+    504390831U,	// MOVSX64rm8
+    135292079U,	// MOVSX64rr16
+    135288136U,	// MOVSX64rr32
+    135292079U,	// MOVSX64rr8
+    594520U,	// MOVUPDmr
+    537940568U,	// MOVUPDrm
+    135287384U,	// MOVUPDrr
+    135287384U,	// MOVUPDrr_REV
+    597786U,	// MOVUPSmr
+    537943834U,	// MOVUPSrm
+    135290650U,	// MOVUPSrr
+    135290650U,	// MOVUPSrr_REV
+    336616245U,	// MOVZPQILo2PQIrm
+    135289653U,	// MOVZPQILo2PQIrr
+    168844085U,	// MOVZQI2PQIrm
+    135289653U,	// MOVZQI2PQIrr
+    504390838U,	// MOVZX16rm8
+    135292086U,	// MOVZX16rr8
+    504390838U,	// MOVZX32_NOREXrm8
+    135292086U,	// MOVZX32_NOREXrr8
+    370173110U,	// MOVZX32rm16
+    504390838U,	// MOVZX32rm8
+    135292086U,	// MOVZX32rr16
+    135292086U,	// MOVZX32rr8
+    370173110U,	// MOVZX64rm16_Q
+    504390838U,	// MOVZX64rm8_Q
+    135292086U,	// MOVZX64rr16_Q
+    135292086U,	// MOVZX64rr8_Q
+    2450547221U,	// MPSADBWrmi
+    2215666197U,	// MPSADBWrri
+    38842U,	// MUL16m
+    22458U,	// MUL16r
+    71610U,	// MUL32m
+    22458U,	// MUL32r
+    87994U,	// MUL64m
+    22458U,	// MUL64r
+    104378U,	// MUL8m
+    22458U,	// MUL8r
+    202396127U,	// MULPDrm
+    68178399U,	// MULPDrr
+    202399360U,	// MULPSrm
+    68181632U,	// MULPSrr
+    235951074U,	// MULSDrm
+    235951074U,	// MULSDrm_Int
+    68178914U,	// MULSDrr
+    68178914U,	// MULSDrr_Int
+    269508718U,	// MULSSrm
+    269508718U,	// MULSSrm_Int
+    68182126U,	// MULSSrr
+    68182126U,	// MULSSrr_Int
+    2282775660U,	// MULX32rm
+    2282775660U,	// MULX32rr
+    2282775660U,	// MULX64rm
+    2282775660U,	// MULX64rr
+    120761U,	// MUL_F32m
+    137145U,	// MUL_F64m
+    38847U,	// MUL_FI16m
+    71615U,	// MUL_FI32m
+    22639U,	// MUL_FPrST0
+    22457U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1794,884 +1824,891 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    7360123U,	// MUL_FrST0
-    10747U,	// MWAITrr
-    36043U,	// NEG16m
-    19659U,	// NEG16r
-    68811U,	// NEG32m
-    19659U,	// NEG32r
-    85195U,	// NEG64m
-    19659U,	// NEG64r
-    101579U,	// NEG8m
-    19659U,	// NEG8r
-    10397U,	// NOOP
-    69486U,	// NOOPL
-    36718U,	// NOOPW
-    39295U,	// NOT16m
-    22911U,	// NOT16r
-    72063U,	// NOT32m
-    22911U,	// NOT32r
-    88447U,	// NOT64m
-    22911U,	// NOT64r
-    104831U,	// NOT8m
-    22911U,	// NOT8r
-    24288U,	// OR16i16
-    1086275U,	// OR16mi
-    1086275U,	// OR16mi8
-    1086275U,	// OR16mr
-    35705667U,	// OR16ri
-    35705667U,	// OR16ri8
-    3199811U,	// OR16rm
-    35705667U,	// OR16rr
-    68178755U,	// OR16rr_REV
-    24408U,	// OR32i32
-    1119043U,	// OR32mi
-    1119043U,	// OR32mi8
-    1119043U,	// OR32mr
-    1119043U,	// OR32mrLocked
-    35705667U,	// OR32ri
-    35705667U,	// OR32ri8
-    4248387U,	// OR32rm
-    35705667U,	// OR32rr
-    68178755U,	// OR32rr_REV
-    24523U,	// OR64i32
-    1135427U,	// OR64mi32
-    1135427U,	// OR64mi8
-    1135427U,	// OR64mr
-    35705667U,	// OR64ri32
-    35705667U,	// OR64ri8
-    5296963U,	// OR64rm
-    35705667U,	// OR64rr
-    68178755U,	// OR64rr_REV
-    24176U,	// OR8i8
-    1151811U,	// OR8mi
-    1151811U,	// OR8mr
-    35705667U,	// OR8ri
-    6345539U,	// OR8rm
-    35705667U,	// OR8rr
-    68178755U,	// OR8rr_REV
-    202393668U,	// ORPDrm
-    68175940U,	// ORPDrr
-    202397401U,	// ORPSrm
-    68179673U,	// ORPSrr
-    12605942U,	// OUT16ir
-    10880U,	// OUT16rr
-    13654518U,	// OUT32ir
-    10934U,	// OUT32rr
-    15751670U,	// OUT8ir
-    10247U,	// OUT8rr
-    9881U,	// OUTSB
-    10027U,	// OUTSD
-    10848U,	// OUTSW
-    336609976U,	// PABSBrm128
-    135283384U,	// PABSBrr128
-    336611840U,	// PABSDrm128
-    135285248U,	// PABSDrr128
-    336616502U,	// PABSWrm128
-    135289910U,	// PABSWrr128
-    303061769U,	// PACKSSDWrm
-    68180745U,	// PACKSSDWrr
-    303055714U,	// PACKSSWBrm
-    68174690U,	// PACKSSWBrr
-    303061780U,	// PACKUSDWrm
-    68180756U,	// PACKUSDWrr
-    303055725U,	// PACKUSWBrm
-    68174701U,	// PACKUSWBrr
-    303055391U,	// PADDBrm
-    68174367U,	// PADDBrr
-    303055969U,	// PADDDrm
-    68174945U,	// PADDDrr
-    303059095U,	// PADDQrm
-    68178071U,	// PADDQrr
-    303055561U,	// PADDSBrm
-    68174537U,	// PADDSBrr
-    303062119U,	// PADDSWrm
-    68181095U,	// PADDSWrr
-    303055589U,	// PADDUSBrm
-    68174565U,	// PADDUSBrr
-    303062178U,	// PADDUSWrm
-    68181154U,	// PADDUSWrr
-    303061744U,	// PADDWrm
-    68180720U,	// PADDWrr
-    1376801592U,	// PALIGNR128rm
-    1141920568U,	// PALIGNR128rr
-    303058633U,	// PANDNrm
-    68177609U,	// PANDNrr
-    303056186U,	// PANDrm
-    68175162U,	// PANDrr
-    10149U,	// PAUSE
-    303055438U,	// PAVGBrm
-    68174414U,	// PAVGBrr
-    470827758U,	// PAVGUSBrm
-    68174574U,	// PAVGUSBrr
-    303061836U,	// PAVGWrm
-    68180812U,	// PAVGWrr
-    303055696U,	// PBLENDVBrm0
-    68174672U,	// PBLENDVBrr0
-    1376803583U,	// PBLENDWrmi
-    1141922559U,	// PBLENDWrri
-    1376801022U,	// PCLMULQDQrm
-    1141919998U,	// PCLMULQDQrr
-    303055486U,	// PCMPEQBrm
-    68174462U,	// PCMPEQBrr
-    303057064U,	// PCMPEQDrm
-    68176040U,	// PCMPEQDrr
-    303059425U,	// PCMPEQQrm
-    68178401U,	// PCMPEQQrr
-    303061966U,	// PCMPEQWrm
-    68180942U,	// PCMPEQWrr
+    7362489U,	// MUL_FrST0
+    12626U,	// MWAITrr
+    38459U,	// NEG16m
+    22075U,	// NEG16r
+    71227U,	// NEG32m
+    22075U,	// NEG32r
+    87611U,	// NEG64m
+    22075U,	// NEG64r
+    103995U,	// NEG8m
+    22075U,	// NEG8r
+    12288U,	// NOOP
+    71852U,	// NOOPL
+    39084U,	// NOOPW
+    41275U,	// NOT16m
+    24891U,	// NOT16r
+    74043U,	// NOT32m
+    24891U,	// NOT32r
+    90427U,	// NOT64m
+    24891U,	// NOT64r
+    106811U,	// NOT8m
+    24891U,	// NOT8r
+    26132U,	// OR16i16
+    1088429U,	// OR16mi
+    1088429U,	// OR16mi8
+    1088429U,	// OR16mr
+    35707821U,	// OR16ri
+    35707821U,	// OR16ri8
+    3201965U,	// OR16rm
+    35707821U,	// OR16rr
+    68180909U,	// OR16rr_REV
+    26298U,	// OR32i32
+    1121197U,	// OR32mi
+    1121197U,	// OR32mi8
+    1121197U,	// OR32mr
+    1121197U,	// OR32mrLocked
+    35707821U,	// OR32ri
+    35707821U,	// OR32ri8
+    4250541U,	// OR32rm
+    35707821U,	// OR32rr
+    68180909U,	// OR32rr_REV
+    26437U,	// OR64i32
+    1137581U,	// OR64mi32
+    1137581U,	// OR64mi8
+    1137581U,	// OR64mr
+    35707821U,	// OR64ri32
+    35707821U,	// OR64ri8
+    5299117U,	// OR64rm
+    35707821U,	// OR64rr
+    68180909U,	// OR64rr_REV
+    26020U,	// OR8i8
+    1153965U,	// OR8mi
+    1153965U,	// OR8mr
+    35707821U,	// OR8ri
+    6347693U,	// OR8rm
+    35707821U,	// OR8rr
+    68180909U,	// OR8rr_REV
+    202396205U,	// ORPDrm
+    68178477U,	// ORPDrr
+    202399438U,	// ORPSrm
+    68181710U,	// ORPSrr
+    13656491U,	// OUT16ir
+    12743U,	// OUT16rr
+    14705067U,	// OUT32ir
+    12797U,	// OUT32rr
+    16802219U,	// OUT8ir
+    12125U,	// OUT8rr
+    403302U,	// OUTSB
+    419697U,	// OUTSL
+    452476U,	// OUTSW
+    336612696U,	// PABSBrm128
+    135286104U,	// PABSBrr128
+    336614275U,	// PABSDrm128
+    135287683U,	// PABSDrr128
+    336618335U,	// PABSWrm128
+    135291743U,	// PABSWrr128
+    303063718U,	// PACKSSDWrm
+    68182694U,	// PACKSSDWrr
+    303058455U,	// PACKSSWBrm
+    68177431U,	// PACKSSWBrr
+    303063729U,	// PACKUSDWrm
+    68182705U,	// PACKUSDWrr
+    303058466U,	// PACKUSWBrm
+    68177442U,	// PACKUSWBrr
+    303058171U,	// PADDBrm
+    68177147U,	// PADDBrr
+    303058683U,	// PADDDrm
+    68177659U,	// PADDDrr
+    303061426U,	// PADDQrm
+    68180402U,	// PADDQrr
+    303058281U,	// PADDSBrm
+    68177257U,	// PADDSBrr
+    303063952U,	// PADDSWrm
+    68182928U,	// PADDSWrr
+    303058323U,	// PADDUSBrm
+    68177299U,	// PADDUSBrr
+    303064025U,	// PADDUSWrm
+    68183001U,	// PADDUSWrr
+    303063700U,	// PADDWrm
+    68182676U,	// PADDWrr
+    2450545570U,	// PALIGNR128rm
+    2215664546U,	// PALIGNR128rr
+    303060999U,	// PANDNrm
+    68179975U,	// PANDNrr
+    303058858U,	// PANDrm
+    68177834U,	// PANDrr
+    12027U,	// PAUSE
+    303058188U,	// PAVGBrm
+    68177164U,	// PAVGBrr
+    470830492U,	// PAVGUSBrm
+    68177308U,	// PAVGUSBrr
+    303063755U,	// PAVGWrm
+    68182731U,	// PAVGWrr
+    303058437U,	// PBLENDVBrm0
+    68177413U,	// PBLENDVBrr0
+    2450547356U,	// PBLENDWrmi
+    2215666332U,	// PBLENDWrri
+    2450545169U,	// PCLMULQDQrm
+    2215664145U,	// PCLMULQDQrr
+    303058236U,	// PCMPEQBrm
+    68177212U,	// PCMPEQBrr
+    303059601U,	// PCMPEQDrm
+    68178577U,	// PCMPEQDrr
+    303061662U,	// PCMPEQQrm
+    68180638U,	// PCMPEQQrr
+    303063860U,	// PCMPEQWrm
+    68182836U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    1410354570U,	// PCMPESTRIrm
-    1209027978U,	// PCMPESTRIrr
+    2484098810U,	// PCMPESTRIrm
+    2282772218U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    1410354865U,	// PCMPESTRM128rm
-    1209028273U,	// PCMPESTRM128rr
-    303055623U,	// PCMPGTBrm
-    68174599U,	// PCMPGTBrr
-    303057636U,	// PCMPGTDrm
-    68176612U,	// PCMPGTDrr
-    303059542U,	// PCMPGTQrm
-    68178518U,	// PCMPGTQrr
-    303062197U,	// PCMPGTWrm
-    68181173U,	// PCMPGTWrr
+    2484099055U,	// PCMPESTRM128rm
+    2282772463U,	// PCMPESTRM128rr
+    303058364U,	// PCMPGTBrm
+    68177340U,	// PCMPGTBrr
+    303060052U,	// PCMPGTDrm
+    68179028U,	// PCMPGTDrr
+    303061723U,	// PCMPGTQrm
+    68180699U,	// PCMPGTQrr
+    303064051U,	// PCMPGTWrm
+    68183027U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    1410354582U,	// PCMPISTRIrm
-    1209027990U,	// PCMPISTRIrr
+    2484098822U,	// PCMPISTRIrm
+    2282772230U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    1410354877U,	// PCMPISTRM128rm
-    1209028285U,	// PCMPISTRM128rr
-    1209028391U,	// PDEP32rm
-    1209028391U,	// PDEP32rr
-    1209028391U,	// PDEP64rm
-    1209028391U,	// PDEP64rr
-    1209031163U,	// PEXT32rm
-    1209031163U,	// PEXT32rr
-    1209031163U,	// PEXT64rm
-    1209031163U,	// PEXT64rr
-    1074889391U,	// PEXTRBmr
-    1209025199U,	// PEXTRBrr
-    1074858247U,	// PEXTRDmr
-    1209026823U,	// PEXTRDrr
-    1074876966U,	// PEXTRQmr
-    1209029158U,	// PEXTRQrr
-    1074830381U,	// PEXTRWmr
-    1209031725U,	// PEXTRWri
-    1209031725U,	// PEXTRWrr_REV
-    168838336U,	// PF2IDrm
-    135283904U,	// PF2IDrr
-    168844145U,	// PF2IWrm
-    135289713U,	// PF2IWrr
-    470827895U,	// PFACCrm
-    68174711U,	// PFACCrr
-    470828099U,	// PFADDrm
-    68174915U,	// PFADDrr
-    470831473U,	// PFCMPEQrm
-    68178289U,	// PFCMPEQrr
-    470830100U,	// PFCMPGErm
-    68176916U,	// PFCMPGErr
-    470833499U,	// PFCMPGTrm
-    68180315U,	// PFCMPGTrr
-    470834491U,	// PFMAXrm
-    68181307U,	// PFMAXrr
-    470830808U,	// PFMINrm
-    68177624U,	// PFMINrr
-    470830714U,	// PFMULrm
-    68177530U,	// PFMULrr
-    470827902U,	// PFNACCrm
-    68174718U,	// PFNACCrr
-    470827910U,	// PFPNACCrm
-    68174726U,	// PFPNACCrr
-    470827055U,	// PFRCPIT1rm
-    68173871U,	// PFRCPIT1rr
-    470827151U,	// PFRCPIT2rm
-    68173967U,	// PFRCPIT2rr
-    168840985U,	// PFRCPrm
-    135286553U,	// PFRCPrr
-    470827065U,	// PFRSQIT1rm
-    68173881U,	// PFRSQIT1rr
-    168843678U,	// PFRSQRTrm
-    135289246U,	// PFRSQRTrr
-    470831894U,	// PFSUBRrm
-    68178710U,	// PFSUBRrr
-    470827832U,	// PFSUBrm
-    68174648U,	// PFSUBrr
-    303055960U,	// PHADDDrm
-    68174936U,	// PHADDDrr
-    303062109U,	// PHADDSWrm128
-    68181085U,	// PHADDSWrr128
-    303061728U,	// PHADDWrm
-    68180704U,	// PHADDWrr
-    336616714U,	// PHMINPOSUWrm128
-    135290122U,	// PHMINPOSUWrr128
-    303055901U,	// PHSUBDrm
-    68174877U,	// PHSUBDrr
-    303062090U,	// PHSUBSWrm128
-    68181066U,	// PHSUBSWrr128
-    303061674U,	// PHSUBWrm
-    68180650U,	// PHSUBWrr
-    168838320U,	// PI2FDrm
-    135283888U,	// PI2FDrr
-    168844092U,	// PI2FWrm
-    135289660U,	// PI2FWrr
-    705708710U,	// PINSRBrm
-    1141916326U,	// PINSRBrr
-    1511016702U,	// PINSRDrm
-    1141917950U,	// PINSRDrr
-    1544573469U,	// PINSRQrm
-    1141920285U,	// PINSRQrr
-    1477467162U,	// PINSRWrmi
-    1141922842U,	// PINSRWrri
-    303062078U,	// PMADDUBSWrm128
-    68181054U,	// PMADDUBSWrr128
-    303057770U,	// PMADDWDrm
-    68176746U,	// PMADDWDrr
-    303055608U,	// PMAXSBrm
-    68174584U,	// PMAXSBrr
-    303057597U,	// PMAXSDrm
-    68176573U,	// PMAXSDrr
-    303062188U,	// PMAXSWrm
-    68181164U,	// PMAXSWrr
-    303055687U,	// PMAXUBrm
-    68174663U,	// PMAXUBrr
-    303057695U,	// PMAXUDrm
-    68176671U,	// PMAXUDrr
-    303062295U,	// PMAXUWrm
-    68181271U,	// PMAXUWrr
-    303055570U,	// PMINSBrm
-    68174546U,	// PMINSBrr
-    303057524U,	// PMINSDrm
-    68176500U,	// PMINSDrr
-    303062140U,	// PMINSWrm
-    68181116U,	// PMINSWrr
-    303055664U,	// PMINUBrm
-    68174640U,	// PMINUBrr
-    303057677U,	// PMINUDrm
-    68176653U,	// PMINUDrr
-    303062273U,	// PMINUWrm
-    68181249U,	// PMINUWrr
-    135283290U,	// PMOVMSKBrr
-    101729326U,	// PMOVSXBDrm
-    135283758U,	// PMOVSXBDrr
-    370167872U,	// PMOVSXBQrm
-    135286848U,	// PMOVSXBQrr
-    168843963U,	// PMOVSXBWrm
-    135289531U,	// PMOVSXBWrr
-    168841564U,	// PMOVSXDQrm
-    135287132U,	// PMOVSXDQrr
-    168840131U,	// PMOVSXWDrm
-    135285699U,	// PMOVSXWDrr
-    101733102U,	// PMOVSXWQrm
-    135287534U,	// PMOVSXWQrr
-    101729337U,	// PMOVZXBDrm
-    135283769U,	// PMOVZXBDrr
-    370167883U,	// PMOVZXBQrm
-    135286859U,	// PMOVZXBQrr
-    168843974U,	// PMOVZXBWrm
-    135289542U,	// PMOVZXBWrr
-    168841575U,	// PMOVZXDQrm
-    135287143U,	// PMOVZXDQrr
-    168840142U,	// PMOVZXWDrm
-    135285710U,	// PMOVZXWDrr
-    101733113U,	// PMOVZXWQrm
-    135287545U,	// PMOVZXWQrr
-    303059155U,	// PMULDQrm
-    68178131U,	// PMULDQrr
-    303062149U,	// PMULHRSWrm128
-    68181125U,	// PMULHRSWrr128
-    470834171U,	// PMULHRWrm
-    68180987U,	// PMULHRWrr
-    303062254U,	// PMULHUWrm
-    68181230U,	// PMULHUWrr
-    303061865U,	// PMULHWrm
-    68180841U,	// PMULHWrr
-    303056123U,	// PMULLDrm
-    68175099U,	// PMULLDrr
-    303061914U,	// PMULLWrm
-    68180890U,	// PMULLWrr
-    303059282U,	// PMULUDQrm
-    68178258U,	// PMULUDQrr
-    20345U,	// POP16r
-    36729U,	// POP16rmm
-    20345U,	// POP16rmr
-    20345U,	// POP32r
-    69497U,	// POP32rmm
-    20345U,	// POP32rmr
-    20345U,	// POP64r
-    85881U,	// POP64rmm
-    20345U,	// POP64rmr
-    9808U,	// POPA32
-    370170212U,	// POPCNT16rm
-    135289188U,	// POPCNT16rr
-    101734756U,	// POPCNT32rm
-    135289188U,	// POPCNT32rr
-    168843620U,	// POPCNT64rm
-    135289188U,	// POPCNT64rr
-    10572U,	// POPDS16
-    10572U,	// POPDS32
-    10587U,	// POPES16
-    10587U,	// POPES32
-    10184U,	// POPF16
-    9973U,	// POPF32
-    10443U,	// POPF64
-    10602U,	// POPFS16
-    10602U,	// POPFS32
-    10602U,	// POPFS64
-    10617U,	// POPGS16
-    10617U,	// POPGS32
-    10617U,	// POPGS64
-    10708U,	// POPSS16
-    10708U,	// POPSS32
-    303059778U,	// PORrm
-    68178754U,	// PORrr
-    101618U,	// PREFETCH
-    98782U,	// PREFETCHNTA
-    98305U,	// PREFETCHT0
-    98339U,	// PREFETCHT1
-    98435U,	// PREFETCHT2
-    105299U,	// PREFETCHW
-    303061601U,	// PSADBWrm
-    68180577U,	// PSADBWrr
-    303055429U,	// PSHUFBrm
-    68174405U,	// PSHUFBrr
-    1410352312U,	// PSHUFDmi
-    1209025720U,	// PSHUFDri
-    1410358111U,	// PSHUFHWmi
-    1209031519U,	// PSHUFHWri
-    1410358137U,	// PSHUFLWmi
-    1209031545U,	// PSHUFLWri
-    303055477U,	// PSIGNBrm
-    68174453U,	// PSIGNBrr
-    303056219U,	// PSIGNDrm
-    68175195U,	// PSIGNDrr
-    303061957U,	// PSIGNWrm
-    68180933U,	// PSIGNWrr
-    68178113U,	// PSLLDQri
-    68175091U,	// PSLLDri
-    303056115U,	// PSLLDrm
-    68175091U,	// PSLLDrr
-    68178316U,	// PSLLQri
-    303059340U,	// PSLLQrm
-    68178316U,	// PSLLQrr
-    68180882U,	// PSLLWri
-    303061906U,	// PSLLWrm
-    68180882U,	// PSLLWrr
-    68174848U,	// PSRADri
-    303055872U,	// PSRADrm
-    68174848U,	// PSRADrr
-    68180558U,	// PSRAWri
-    303061582U,	// PSRAWrm
-    68180558U,	// PSRAWrr
-    68178122U,	// PSRLDQri
-    68175108U,	// PSRLDri
-    303056132U,	// PSRLDrm
-    68175108U,	// PSRLDrr
-    68178324U,	// PSRLQri
-    303059348U,	// PSRLQrm
-    68178324U,	// PSRLQrr
-    68180899U,	// PSRLWri
-    303061923U,	// PSRLWrm
-    68180899U,	// PSRLWrr
-    303055383U,	// PSUBBrm
-    68174359U,	// PSUBBrr
-    303055910U,	// PSUBDrm
-    68174886U,	// PSUBDrr
-    303059000U,	// PSUBQrm
-    68177976U,	// PSUBQrr
-    303055552U,	// PSUBSBrm
-    68174528U,	// PSUBSBrr
-    303062100U,	// PSUBSWrm
-    68181076U,	// PSUBSWrr
-    303055579U,	// PSUBUSBrm
-    68174555U,	// PSUBUSBrr
-    303062168U,	// PSUBUSWrm
-    68181144U,	// PSUBUSWrr
-    303061683U,	// PSUBWrm
-    68180659U,	// PSUBWrr
-    168838884U,	// PSWAPDrm
-    135284452U,	// PSWAPDrr
-    537942473U,	// PTESTrm
-    135289289U,	// PTESTrr
-    303061629U,	// PUNPCKHBWrm
-    68180605U,	// PUNPCKHBWrr
-    303059113U,	// PUNPCKHDQrm
-    68178089U,	// PUNPCKHDQrr
-    303059172U,	// PUNPCKHQDQrm
-    68178148U,	// PUNPCKHQDQrr
-    303057780U,	// PUNPCKHWDrm
-    68176756U,	// PUNPCKHWDrr
-    303061651U,	// PUNPCKLBWrm
-    68180627U,	// PUNPCKLBWrr
-    303059125U,	// PUNPCKLDQrm
-    68178101U,	// PUNPCKLDQrr
-    303059185U,	// PUNPCKLQDQrm
-    68178161U,	// PUNPCKLQDQrr
-    303057792U,	// PUNPCKLWDrm
-    68176768U,	// PUNPCKLWDrr
-    19757U,	// PUSH16r
-    36141U,	// PUSH16rmm
-    19757U,	// PUSH16rmr
-    19757U,	// PUSH32r
-    68909U,	// PUSH32rmm
-    19757U,	// PUSH32rmr
-    19757U,	// PUSH64i16
-    19757U,	// PUSH64i32
-    19757U,	// PUSH64i8
-    19757U,	// PUSH64r
-    85293U,	// PUSH64rmm
-    19757U,	// PUSH64rmr
-    9802U,	// PUSHA32
-    10556U,	// PUSHCS16
-    10556U,	// PUSHCS32
-    10564U,	// PUSHDS16
-    10564U,	// PUSHDS32
-    10579U,	// PUSHES16
-    10579U,	// PUSHES32
-    10178U,	// PUSHF16
-    9966U,	// PUSHF32
-    10436U,	// PUSHF64
-    10594U,	// PUSHFS16
-    10594U,	// PUSHFS32
-    10594U,	// PUSHFS64
-    10609U,	// PUSHGS16
-    10609U,	// PUSHGS32
-    10609U,	// PUSHGS64
-    10700U,	// PUSHSS16
-    10700U,	// PUSHSS32
-    19757U,	// PUSHi16
-    19757U,	// PUSHi32
-    19757U,	// PUSHi8
-    303059806U,	// PXORrm
-    68178782U,	// PXORrr
-    36390U,	// RCL16m1
-    16813606U,	// RCL16mCL
-    1084966U,	// RCL16mi
-    20006U,	// RCL16r1
-    16797222U,	// RCL16rCL
-    68177446U,	// RCL16ri
-    69158U,	// RCL32m1
-    16846374U,	// RCL32mCL
-    1117734U,	// RCL32mi
-    20006U,	// RCL32r1
-    16797222U,	// RCL32rCL
-    68177446U,	// RCL32ri
-    85542U,	// RCL64m1
-    16862758U,	// RCL64mCL
-    1134118U,	// RCL64mi
-    20006U,	// RCL64r1
-    16797222U,	// RCL64rCL
-    68177446U,	// RCL64ri
-    101926U,	// RCL8m1
-    16879142U,	// RCL8mCL
-    1150502U,	// RCL8mi
-    20006U,	// RCL8r1
-    16797222U,	// RCL8rCL
-    68177446U,	// RCL8ri
-    537941673U,	// RCPPSm
-    537941673U,	// RCPPSm_Int
-    135288489U,	// RCPPSr
-    135288489U,	// RCPPSr_Int
-    605051074U,	// RCPSSm
-    269506754U,	// RCPSSm_Int
-    135289026U,	// RCPSSr
-    68180162U,	// RCPSSr_Int
-    37670U,	// RCR16m1
-    16814886U,	// RCR16mCL
-    1086246U,	// RCR16mi
-    21286U,	// RCR16r1
-    16798502U,	// RCR16rCL
-    68178726U,	// RCR16ri
-    70438U,	// RCR32m1
-    16847654U,	// RCR32mCL
-    1119014U,	// RCR32mi
-    21286U,	// RCR32r1
-    16798502U,	// RCR32rCL
-    68178726U,	// RCR32ri
-    86822U,	// RCR64m1
-    16864038U,	// RCR64mCL
-    1135398U,	// RCR64mi
-    21286U,	// RCR64r1
-    16798502U,	// RCR64rCL
-    68178726U,	// RCR64ri
-    103206U,	// RCR8m1
-    16880422U,	// RCR8mCL
-    1151782U,	// RCR8mi
-    21286U,	// RCR8r1
-    16798502U,	// RCR8rCL
-    68178726U,	// RCR8ri
-    19559U,	// RDFSBASE
-    19559U,	// RDFSBASE64
-    19579U,	// RDGSBASE
-    19579U,	// RDGSBASE64
-    10521U,	// RDMSR
-    9943U,	// RDPMC
-    17728U,	// RDRAND16r
-    17728U,	// RDRAND32r
-    17728U,	// RDRAND64r
-    17576U,	// RDSEED16r
-    17576U,	// RDSEED32r
-    17576U,	// RDSEED64r
-    9956U,	// RDTSC
-    10374U,	// RDTSCP
-    9430U,	// RELEASE_MOV16mr
-    9430U,	// RELEASE_MOV32mr
-    9430U,	// RELEASE_MOV64mr
-    9430U,	// RELEASE_MOV8mr
-    10113U,	// REPNE_PREFIX
-    9887U,	// REP_MOVSB_32
-    9887U,	// REP_MOVSB_64
-    10033U,	// REP_MOVSD_32
-    10033U,	// REP_MOVSD_64
-    10477U,	// REP_MOVSQ_64
-    10854U,	// REP_MOVSW_32
-    10854U,	// REP_MOVSW_64
-    10381U,	// REP_PREFIX
-    9865U,	// REP_STOSB_32
-    9865U,	// REP_STOSB_64
-    10011U,	// REP_STOSD_32
-    10011U,	// REP_STOSD_64
-    10461U,	// REP_STOSQ_64
-    10832U,	// REP_STOSW_32
-    10832U,	// REP_STOSW_64
-    10736U,	// RET
-    22870U,	// RETI
-    22870U,	// RETIW
-    10736U,	// RETW
-    9673U,	// REX64_PREFIX
-    17862221U,	// ROL16m1
-    16813645U,	// ROL16mCL
-    1085005U,	// ROL16mi
-    17845837U,	// ROL16r1
-    16797261U,	// ROL16rCL
-    68177485U,	// ROL16ri
-    17894989U,	// ROL32m1
-    16846413U,	// ROL32mCL
-    1117773U,	// ROL32mi
-    17845837U,	// ROL32r1
-    16797261U,	// ROL32rCL
-    68177485U,	// ROL32ri
-    17911373U,	// ROL64m1
-    16862797U,	// ROL64mCL
-    1134157U,	// ROL64mi
-    17845837U,	// ROL64r1
-    16797261U,	// ROL64rCL
-    68177485U,	// ROL64ri
-    17927757U,	// ROL8m1
-    16879181U,	// ROL8mCL
-    1150541U,	// ROL8mi
-    17845837U,	// ROL8r1
-    16797261U,	// ROL8rCL
-    68177485U,	// ROL8ri
-    17863495U,	// ROR16m1
-    16814919U,	// ROR16mCL
-    1086279U,	// ROR16mi
-    17847111U,	// ROR16r1
-    16798535U,	// ROR16rCL
-    68178759U,	// ROR16ri
-    17896263U,	// ROR32m1
-    16847687U,	// ROR32mCL
-    1119047U,	// ROR32mi
-    17847111U,	// ROR32r1
-    16798535U,	// ROR32rCL
-    68178759U,	// ROR32ri
-    17912647U,	// ROR64m1
-    16864071U,	// ROR64mCL
-    1135431U,	// ROR64mi
-    17847111U,	// ROR64r1
-    16798535U,	// ROR64rCL
-    68178759U,	// ROR64ri
-    17929031U,	// ROR8m1
-    16880455U,	// ROR8mCL
-    1151815U,	// ROR8mi
-    17847111U,	// ROR8r1
-    16798535U,	// ROR8rCL
-    68178759U,	// ROR8ri
-    1175477631U,	// RORX32mi
-    1209032063U,	// RORX32ri
-    1242586495U,	// RORX64mi
-    1209032063U,	// RORX64ri
-    1611679600U,	// ROUNDPDm
-    1209026416U,	// ROUNDPDr
-    1611683313U,	// ROUNDPSm
-    1209030129U,	// ROUNDPSr
-    1309690434U,	// ROUNDSDm
-    1141918274U,	// ROUNDSDr
-    1141918274U,	// ROUNDSDr_Int
-    1343248520U,	// ROUNDSSm
-    1141921928U,	// ROUNDSSr
-    1141921928U,	// ROUNDSSr_Int
-    10316U,	// RSM
-    537941769U,	// RSQRTPSm
-    537941769U,	// RSQRTPSm_Int
-    135288585U,	// RSQRTPSr
-    135288585U,	// RSQRTPSr_Int
-    605051099U,	// RSQRTSSm
-    269506779U,	// RSQRTSSm_Int
-    135289051U,	// RSQRTSSr
-    68180187U,	// RSQRTSSr_Int
-    10173U,	// SAHF
-    9930U,	// SALC
-    17863441U,	// SAR16m1
-    16814865U,	// SAR16mCL
-    1086225U,	// SAR16mi
-    17847057U,	// SAR16r1
-    16798481U,	// SAR16rCL
-    68178705U,	// SAR16ri
-    17896209U,	// SAR32m1
-    16847633U,	// SAR32mCL
-    1118993U,	// SAR32mi
-    17847057U,	// SAR32r1
-    16798481U,	// SAR32rCL
-    68178705U,	// SAR32ri
-    17912593U,	// SAR64m1
-    16864017U,	// SAR64mCL
-    1135377U,	// SAR64mi
-    17847057U,	// SAR64r1
-    16798481U,	// SAR64rCL
-    68178705U,	// SAR64ri
-    17928977U,	// SAR8m1
-    16880401U,	// SAR8mCL
-    1151761U,	// SAR8mi
-    17847057U,	// SAR8r1
-    16798481U,	// SAR8rCL
-    68178705U,	// SAR8ri
-    1175477619U,	// SARX32rm
-    1209032051U,	// SARX32rr
-    1242586483U,	// SARX64rm
-    1209032051U,	// SARX64rr
-    24215U,	// SBB16i16
-    1081873U,	// SBB16mi
-    1081873U,	// SBB16mi8
-    1081873U,	// SBB16mr
-    35701265U,	// SBB16ri
-    35701265U,	// SBB16ri8
-    3195409U,	// SBB16rm
-    35701265U,	// SBB16rr
-    68174353U,	// SBB16rr_REV
-    24327U,	// SBB32i32
-    1114641U,	// SBB32mi
-    1114641U,	// SBB32mi8
-    1114641U,	// SBB32mr
-    35701265U,	// SBB32ri
-    35701265U,	// SBB32ri8
-    4243985U,	// SBB32rm
-    35701265U,	// SBB32rr
-    68174353U,	// SBB32rr_REV
-    24451U,	// SBB64i32
-    1131025U,	// SBB64mi32
-    1131025U,	// SBB64mi8
-    1131025U,	// SBB64mr
-    35701265U,	// SBB64ri32
-    35701265U,	// SBB64ri8
-    5292561U,	// SBB64rm
-    35701265U,	// SBB64rr
-    68174353U,	// SBB64rr_REV
-    24113U,	// SBB8i8
-    1147409U,	// SBB8mi
-    1147409U,	// SBB8mr
-    35701265U,	// SBB8ri
-    6341137U,	// SBB8rm
-    35701265U,	// SBB8rr
-    68174353U,	// SBB8rr_REV
-    10815U,	// SCAS16
-    9994U,	// SCAS32
-    10449U,	// SCAS64
-    9848U,	// SCAS8
-    10636U,	// SEG_ALLOCA_32
-    10636U,	// SEG_ALLOCA_64
-    101349U,	// SETAEm
-    19429U,	// SETAEr
-    98776U,	// SETAm
-    16856U,	// SETAr
-    101369U,	// SETBEm
-    19449U,	// SETBEr
+    2484099067U,	// PCMPISTRM128rm
+    2282772475U,	// PCMPISTRM128rr
+    2282772581U,	// PDEP32rm
+    2282772581U,	// PDEP32rr
+    2282772581U,	// PDEP64rm
+    2282772581U,	// PDEP64rr
+    2282774960U,	// PEXT32rm
+    2282774960U,	// PEXT32rr
+    2282774960U,	// PEXT64rm
+    2282774960U,	// PEXT64rr
+    2148633935U,	// PEXTRBmr
+    2282769743U,	// PEXTRBrr
+    2148602550U,	// PEXTRDmr
+    2282771126U,	// PEXTRDrr
+    2148620989U,	// PEXTRQmr
+    2282773181U,	// PEXTRQrr
+    2148574038U,	// PEXTRWmr
+    2282775382U,	// PEXTRWri
+    2282775382U,	// PEXTRWrr_REV
+    168841029U,	// PF2IDrm
+    135286597U,	// PF2IDrr
+    168846064U,	// PF2IWrm
+    135291632U,	// PF2IWrr
+    470830636U,	// PFACCrm
+    68177452U,	// PFACCrr
+    470830813U,	// PFADDrm
+    68177629U,	// PFADDrr
+    470833757U,	// PFCMPEQrm
+    68180573U,	// PFCMPEQrr
+    470832516U,	// PFCMPGErm
+    68179332U,	// PFCMPGErr
+    470835479U,	// PFCMPGTrm
+    68182295U,	// PFCMPGTrr
+    470836313U,	// PFMAXrm
+    68183129U,	// PFMAXrr
+    470833174U,	// PFMINrm
+    68179990U,	// PFMINrr
+    470833080U,	// PFMULrm
+    68179896U,	// PFMULrr
+    470830643U,	// PFNACCrm
+    68177459U,	// PFNACCrr
+    470830651U,	// PFPNACCrm
+    68177467U,	// PFPNACCrr
+    470829855U,	// PFRCPIT1rm
+    68176671U,	// PFRCPIT1rr
+    470829940U,	// PFRCPIT2rm
+    68176756U,	// PFRCPIT2rr
+    168843351U,	// PFRCPrm
+    135288919U,	// PFRCPrr
+    470829865U,	// PFRSQIT1rm
+    68176681U,	// PFRSQIT1rr
+    168845658U,	// PFRSQRTrm
+    135291226U,	// PFRSQRTrr
+    470834048U,	// PFSUBRrm
+    68180864U,	// PFSUBRrr
+    470830573U,	// PFSUBrm
+    68177389U,	// PFSUBrr
+    303058674U,	// PHADDDrm
+    68177650U,	// PHADDDrr
+    303063942U,	// PHADDSWrm128
+    68182918U,	// PHADDSWrr128
+    303063691U,	// PHADDWrm
+    68182667U,	// PHADDWrr
+    336618543U,	// PHMINPOSUWrm128
+    135291951U,	// PHMINPOSUWrr128
+    303058615U,	// PHSUBDrm
+    68177591U,	// PHSUBDrr
+    303063923U,	// PHSUBSWrm128
+    68182899U,	// PHSUBSWrr128
+    303063637U,	// PHSUBWrm
+    68182613U,	// PHSUBWrr
+    168841013U,	// PI2FDrm
+    135286581U,	// PI2FDrr
+    168846011U,	// PI2FWrm
+    135291579U,	// PI2FWrr
+    839929158U,	// PINSRBrm
+    2215660870U,	// PINSRBrr
+    2584761005U,	// PINSRDrm
+    2215662253U,	// PINSRDrr
+    2618317492U,	// PINSRQrm
+    2215664308U,	// PINSRQrr
+    2551210829U,	// PINSRWrmi
+    2215666509U,	// PINSRWrri
+    303063911U,	// PMADDUBSWrm128
+    68182887U,	// PMADDUBSWrr128
+    303060186U,	// PMADDWDrm
+    68179162U,	// PMADDWDrr
+    303058349U,	// PMAXSBrm
+    68177325U,	// PMAXSBrr
+    303060026U,	// PMAXSDrm
+    68179002U,	// PMAXSDrr
+    303064042U,	// PMAXSWrm
+    68183018U,	// PMAXSWrr
+    303058428U,	// PMAXUBrm
+    68177404U,	// PMAXUBrr
+    303060111U,	// PMAXUDrm
+    68179087U,	// PMAXUDrr
+    303064124U,	// PMAXUWrm
+    68183100U,	// PMAXUWrr
+    303058290U,	// PMINSBrm
+    68177266U,	// PMINSBrr
+    303059946U,	// PMINSDrm
+    68178922U,	// PMINSDrr
+    303063973U,	// PMINSWrm
+    68182949U,	// PMINSWrr
+    303058405U,	// PMINUBrm
+    68177381U,	// PMINUBrr
+    303060093U,	// PMINUDrm
+    68179069U,	// PMINUDrr
+    303064102U,	// PMINUWrm
+    68183078U,	// PMINUWrr
+    135286040U,	// PMOVMSKBrr
+    101732040U,	// PMOVSXBDrm
+    135286472U,	// PMOVSXBDrr
+    370170203U,	// PMOVSXBQrm
+    135289179U,	// PMOVSXBQrr
+    168845926U,	// PMOVSXBWrm
+    135291494U,	// PMOVSXBWrr
+    168843848U,	// PMOVSXDQrm
+    135289416U,	// PMOVSXDQrr
+    168842547U,	// PMOVSXWDrm
+    135288115U,	// PMOVSXWDrr
+    101735256U,	// PMOVSXWQrm
+    135289688U,	// PMOVSXWQrr
+    101732051U,	// PMOVZXBDrm
+    135286483U,	// PMOVZXBDrr
+    370170214U,	// PMOVZXBQrm
+    135289190U,	// PMOVZXBQrr
+    168845937U,	// PMOVZXBWrm
+    135291505U,	// PMOVZXBWrr
+    168843859U,	// PMOVZXDQrm
+    135289427U,	// PMOVZXDQrr
+    168842558U,	// PMOVZXWDrm
+    135288126U,	// PMOVZXWDrr
+    101735267U,	// PMOVZXWQrm
+    135289699U,	// PMOVZXWQrr
+    303061486U,	// PMULDQrm
+    68180462U,	// PMULDQrr
+    303063996U,	// PMULHRSWrm128
+    68182972U,	// PMULHRSWrr128
+    470836035U,	// PMULHRWrm
+    68182851U,	// PMULHRWrr
+    303064083U,	// PMULHUWrm
+    68183059U,	// PMULHUWrr
+    303063784U,	// PMULHWrm
+    68182760U,	// PMULHWrr
+    303058816U,	// PMULLDrm
+    68177792U,	// PMULLDrr
+    303063826U,	// PMULLWrm
+    68182802U,	// PMULLWrr
+    303061566U,	// PMULUDQrm
+    68180542U,	// PMULUDQrr
+    22711U,	// POP16r
+    39095U,	// POP16rmm
+    22711U,	// POP16rmr
+    22711U,	// POP32r
+    71863U,	// POP32rmm
+    22711U,	// POP32rmr
+    22711U,	// POP64r
+    88247U,	// POP64rmm
+    22711U,	// POP64rmr
+    12697U,	// POPA16
+    12143U,	// POPA32
+    370172192U,	// POPCNT16rm
+    135291168U,	// POPCNT16rr
+    101736736U,	// POPCNT32rm
+    135291168U,	// POPCNT32rr
+    168845600U,	// POPCNT64rm
+    135291168U,	// POPCNT64rr
+    12451U,	// POPDS16
+    12451U,	// POPDS32
+    12466U,	// POPES16
+    12466U,	// POPES32
+    12062U,	// POPF16
+    11880U,	// POPF32
+    12334U,	// POPF64
+    12481U,	// POPFS16
+    12481U,	// POPFS32
+    12481U,	// POPFS64
+    12496U,	// POPGS16
+    12496U,	// POPGS32
+    12496U,	// POPGS64
+    12587U,	// POPSS16
+    12587U,	// POPSS32
+    303061932U,	// PORrm
+    68180908U,	// PORrr
+    104034U,	// PREFETCH
+    101562U,	// PREFETCHNTA
+    101105U,	// PREFETCHT0
+    101139U,	// PREFETCHT1
+    101224U,	// PREFETCHT2
+    107218U,	// PREFETCHW
+    303063574U,	// PSADBWrm
+    68182550U,	// PSADBWrr
+    303058179U,	// PSHUFBrm
+    68177155U,	// PSHUFBrr
+    2484096829U,	// PSHUFDmi
+    2282770237U,	// PSHUFDri
+    2484101854U,	// PSHUFHWmi
+    2282775262U,	// PSHUFHWri
+    2484101880U,	// PSHUFLWmi
+    2282775288U,	// PSHUFLWri
+    303058227U,	// PSIGNBrm
+    68177203U,	// PSIGNBrr
+    303058873U,	// PSIGNDrm
+    68177849U,	// PSIGNDrr
+    303063851U,	// PSIGNWrm
+    68182827U,	// PSIGNWrr
+    68180444U,	// PSLLDQri
+    68177784U,	// PSLLDri
+    303058808U,	// PSLLDrm
+    68177784U,	// PSLLDrr
+    68180598U,	// PSLLQri
+    303061622U,	// PSLLQrm
+    68180598U,	// PSLLQrr
+    68182794U,	// PSLLWri
+    303063818U,	// PSLLWrm
+    68182794U,	// PSLLWrr
+    68177562U,	// PSRADri
+    303058586U,	// PSRADrm
+    68177562U,	// PSRADrr
+    68182531U,	// PSRAWri
+    303063555U,	// PSRAWrm
+    68182531U,	// PSRAWrr
+    68180453U,	// PSRLDQri
+    68177801U,	// PSRLDri
+    303058825U,	// PSRLDrm
+    68177801U,	// PSRLDrr
+    68180606U,	// PSRLQri
+    303061630U,	// PSRLQrm
+    68180606U,	// PSRLQrr
+    68182811U,	// PSRLWri
+    303063835U,	// PSRLWrm
+    68182811U,	// PSRLWrr
+    303058163U,	// PSUBBrm
+    68177139U,	// PSUBBrr
+    303058624U,	// PSUBDrm
+    68177600U,	// PSUBDrr
+    303061331U,	// PSUBQrm
+    68180307U,	// PSUBQrr
+    303058272U,	// PSUBSBrm
+    68177248U,	// PSUBSBrr
+    303063933U,	// PSUBSWrm
+    68182909U,	// PSUBSWrr
+    303058313U,	// PSUBUSBrm
+    68177289U,	// PSUBUSBrr
+    303064015U,	// PSUBUSWrm
+    68182991U,	// PSUBUSWrr
+    303063646U,	// PSUBWrm
+    68182622U,	// PSUBWrr
+    168841471U,	// PSWAPDrm
+    135287039U,	// PSWAPDrr
+    537944446U,	// PTESTrm
+    135291262U,	// PTESTrr
+    303063602U,	// PUNPCKHBWrm
+    68182578U,	// PUNPCKHBWrr
+    303061444U,	// PUNPCKHDQrm
+    68180420U,	// PUNPCKHDQrr
+    303061495U,	// PUNPCKHQDQrm
+    68180471U,	// PUNPCKHQDQrr
+    303060196U,	// PUNPCKHWDrm
+    68179172U,	// PUNPCKHWDrr
+    303063614U,	// PUNPCKLBWrm
+    68182590U,	// PUNPCKLBWrr
+    303061456U,	// PUNPCKLDQrm
+    68180432U,	// PUNPCKLDQrr
+    303061508U,	// PUNPCKLQDQrm
+    68180484U,	// PUNPCKLQDQrr
+    303060208U,	// PUNPCKLWDrm
+    68179184U,	// PUNPCKLWDrr
+    22173U,	// PUSH16i8
+    22173U,	// PUSH16r
+    38557U,	// PUSH16rmm
+    22173U,	// PUSH16rmr
+    22173U,	// PUSH32i8
+    22173U,	// PUSH32r
+    71325U,	// PUSH32rmm
+    22173U,	// PUSH32rmr
+    22173U,	// PUSH64i16
+    22173U,	// PUSH64i32
+    22173U,	// PUSH64i8
+    22173U,	// PUSH64r
+    87709U,	// PUSH64rmm
+    22173U,	// PUSH64rmr
+    12690U,	// PUSHA16
+    12136U,	// PUSHA32
+    12435U,	// PUSHCS16
+    12435U,	// PUSHCS32
+    12443U,	// PUSHDS16
+    12443U,	// PUSHDS32
+    12458U,	// PUSHES16
+    12458U,	// PUSHES32
+    12056U,	// PUSHF16
+    11873U,	// PUSHF32
+    12327U,	// PUSHF64
+    12473U,	// PUSHFS16
+    12473U,	// PUSHFS32
+    12473U,	// PUSHFS64
+    12488U,	// PUSHGS16
+    12488U,	// PUSHGS32
+    12488U,	// PUSHGS64
+    12579U,	// PUSHSS16
+    12579U,	// PUSHSS32
+    22173U,	// PUSHi16
+    22173U,	// PUSHi32
+    303061960U,	// PXORrm
+    68180936U,	// PXORrr
+    38756U,	// RCL16m1
+    17864548U,	// RCL16mCL
+    1087332U,	// RCL16mi
+    22372U,	// RCL16r1
+    17848164U,	// RCL16rCL
+    68179812U,	// RCL16ri
+    71524U,	// RCL32m1
+    17897316U,	// RCL32mCL
+    1120100U,	// RCL32mi
+    22372U,	// RCL32r1
+    17848164U,	// RCL32rCL
+    68179812U,	// RCL32ri
+    87908U,	// RCL64m1
+    17913700U,	// RCL64mCL
+    1136484U,	// RCL64mi
+    22372U,	// RCL64r1
+    17848164U,	// RCL64rCL
+    68179812U,	// RCL64ri
+    104292U,	// RCL8m1
+    17930084U,	// RCL8mCL
+    1152868U,	// RCL8mi
+    22372U,	// RCL8r1
+    17848164U,	// RCL8rCL
+    68179812U,	// RCL8ri
+    537943723U,	// RCPPSm
+    537943723U,	// RCPPSm_Int
+    135290539U,	// RCPPSr
+    135290539U,	// RCPPSr_Int
+    605053054U,	// RCPSSm
+    269508734U,	// RCPSSm_Int
+    135291006U,	// RCPSSr
+    68182142U,	// RCPSSr_Int
+    39824U,	// RCR16m1
+    17865616U,	// RCR16mCL
+    1088400U,	// RCR16mi
+    23440U,	// RCR16r1
+    17849232U,	// RCR16rCL
+    68180880U,	// RCR16ri
+    72592U,	// RCR32m1
+    17898384U,	// RCR32mCL
+    1121168U,	// RCR32mi
+    23440U,	// RCR32r1
+    17849232U,	// RCR32rCL
+    68180880U,	// RCR32ri
+    88976U,	// RCR64m1
+    17914768U,	// RCR64mCL
+    1137552U,	// RCR64mi
+    23440U,	// RCR64r1
+    17849232U,	// RCR64rCL
+    68180880U,	// RCR64ri
+    105360U,	// RCR8m1
+    17931152U,	// RCR8mCL
+    1153936U,	// RCR8mi
+    23440U,	// RCR8r1
+    17849232U,	// RCR8rCL
+    68180880U,	// RCR8ri
+    21975U,	// RDFSBASE
+    21975U,	// RDFSBASE64
+    21995U,	// RDGSBASE
+    21995U,	// RDGSBASE64
+    12400U,	// RDMSR
+    11850U,	// RDPMC
+    20400U,	// RDRAND16r
+    20400U,	// RDRAND32r
+    20400U,	// RDRAND64r
+    20269U,	// RDSEED16r
+    20269U,	// RDSEED32r
+    20269U,	// RDSEED64r
+    11863U,	// RDTSC
+    12265U,	// RDTSCP
+    11377U,	// RELEASE_MOV16mr
+    11377U,	// RELEASE_MOV32mr
+    11377U,	// RELEASE_MOV64mr
+    11377U,	// RELEASE_MOV8mr
+    11991U,	// REPNE_PREFIX
+    11794U,	// REP_MOVSB_32
+    11794U,	// REP_MOVSB_64
+    11911U,	// REP_MOVSD_32
+    11911U,	// REP_MOVSD_64
+    12356U,	// REP_MOVSQ_64
+    12717U,	// REP_MOVSW_32
+    12717U,	// REP_MOVSW_64
+    12272U,	// REP_PREFIX
+    11784U,	// REP_STOSB_32
+    11784U,	// REP_STOSB_64
+    11901U,	// REP_STOSD_32
+    11901U,	// REP_STOSD_64
+    12346U,	// REP_STOSQ_64
+    12707U,	// REP_STOSW_32
+    12707U,	// REP_STOSW_64
+    24850U,	// RETIL
+    24850U,	// RETIQ
+    24850U,	// RETIW
+    12615U,	// RETL
+    12615U,	// RETQ
+    12615U,	// RETW
+    11620U,	// REX64_PREFIX
+    18913163U,	// ROL16m1
+    17864587U,	// ROL16mCL
+    1087371U,	// ROL16mi
+    18896779U,	// ROL16r1
+    17848203U,	// ROL16rCL
+    68179851U,	// ROL16ri
+    18945931U,	// ROL32m1
+    17897355U,	// ROL32mCL
+    1120139U,	// ROL32mi
+    18896779U,	// ROL32r1
+    17848203U,	// ROL32rCL
+    68179851U,	// ROL32ri
+    18962315U,	// ROL64m1
+    17913739U,	// ROL64mCL
+    1136523U,	// ROL64mi
+    18896779U,	// ROL64r1
+    17848203U,	// ROL64rCL
+    68179851U,	// ROL64ri
+    18978699U,	// ROL8m1
+    17930123U,	// ROL8mCL
+    1152907U,	// ROL8mi
+    18896779U,	// ROL8r1
+    17848203U,	// ROL8rCL
+    68179851U,	// ROL8ri
+    18914225U,	// ROR16m1
+    17865649U,	// ROR16mCL
+    1088433U,	// ROR16mi
+    18897841U,	// ROR16r1
+    17849265U,	// ROR16rCL
+    68180913U,	// ROR16ri
+    18946993U,	// ROR32m1
+    17898417U,	// ROR32mCL
+    1121201U,	// ROR32mi
+    18897841U,	// ROR32r1
+    17849265U,	// ROR32rCL
+    68180913U,	// ROR32ri
+    18963377U,	// ROR64m1
+    17914801U,	// ROR64mCL
+    1137585U,	// ROR64mi
+    18897841U,	// ROR64r1
+    17849265U,	// ROR64rCL
+    68180913U,	// ROR64ri
+    18979761U,	// ROR8m1
+    17931185U,	// ROR8mCL
+    1153969U,	// ROR8mi
+    18897841U,	// ROR8r1
+    17849265U,	// ROR8rCL
+    68180913U,	// ROR8ri
+    2249221277U,	// RORX32mi
+    2282775709U,	// RORX32ri
+    2316330141U,	// RORX64mi
+    2282775709U,	// RORX64ri
+    2685424011U,	// ROUNDPDm
+    2282770827U,	// ROUNDPDr
+    2685427224U,	// ROUNDPSm
+    2282774040U,	// ROUNDPSr
+    2383434693U,	// ROUNDSDm
+    2215662533U,	// ROUNDSDr
+    2215662533U,	// ROUNDSDr_Int
+    2416992337U,	// ROUNDSSm
+    2215665745U,	// ROUNDSSr
+    2215665745U,	// ROUNDSSr_Int
+    12207U,	// RSM
+    537943806U,	// RSQRTPSm
+    537943806U,	// RSQRTPSm_Int
+    135290622U,	// RSQRTPSr
+    135290622U,	// RSQRTPSr_Int
+    605053079U,	// RSQRTSSm
+    269508759U,	// RSQRTSSm_Int
+    135291031U,	// RSQRTSSr
+    68182167U,	// RSQRTSSr_Int
+    12051U,	// SAHF
+    11837U,	// SALC
+    18914171U,	// SAR16m1
+    17865595U,	// SAR16mCL
+    1088379U,	// SAR16mi
+    18897787U,	// SAR16r1
+    17849211U,	// SAR16rCL
+    68180859U,	// SAR16ri
+    18946939U,	// SAR32m1
+    17898363U,	// SAR32mCL
+    1121147U,	// SAR32mi
+    18897787U,	// SAR32r1
+    17849211U,	// SAR32rCL
+    68180859U,	// SAR32ri
+    18963323U,	// SAR64m1
+    17914747U,	// SAR64mCL
+    1137531U,	// SAR64mi
+    18897787U,	// SAR64r1
+    17849211U,	// SAR64rCL
+    68180859U,	// SAR64ri
+    18979707U,	// SAR8m1
+    17931131U,	// SAR8mCL
+    1153915U,	// SAR8mi
+    18897787U,	// SAR8r1
+    17849211U,	// SAR8rCL
+    68180859U,	// SAR8ri
+    2249221265U,	// SARX32rm
+    2282775697U,	// SARX32rr
+    2316330129U,	// SARX64rm
+    2282775697U,	// SARX64rr
+    26059U,	// SBB16i16
+    1084653U,	// SBB16mi
+    1084653U,	// SBB16mi8
+    1084653U,	// SBB16mr
+    35704045U,	// SBB16ri
+    35704045U,	// SBB16ri8
+    3198189U,	// SBB16rm
+    35704045U,	// SBB16rr
+    68177133U,	// SBB16rr_REV
+    26193U,	// SBB32i32
+    1117421U,	// SBB32mi
+    1117421U,	// SBB32mi8
+    1117421U,	// SBB32mr
+    35704045U,	// SBB32ri
+    35704045U,	// SBB32ri8
+    4246765U,	// SBB32rm
+    35704045U,	// SBB32rr
+    68177133U,	// SBB32rr_REV
+    26341U,	// SBB64i32
+    1133805U,	// SBB64mi32
+    1133805U,	// SBB64mi8
+    1133805U,	// SBB64mr
+    35704045U,	// SBB64ri32
+    35704045U,	// SBB64ri8
+    5295341U,	// SBB64rm
+    35704045U,	// SBB64rr
+    68177133U,	// SBB64rr_REV
+    25935U,	// SBB8i8
+    1150189U,	// SBB8mi
+    1150189U,	// SBB8mr
+    35704045U,	// SBB8ri
+    6343917U,	// SBB8rm
+    35704045U,	// SBB8rr
+    68177133U,	// SBB8rr_REV
+    304699U,	// SCAS16
+    321155U,	// SCAS32
+    616236U,	// SCAS64
+    337240U,	// SCAS8
+    12515U,	// SEG_ALLOCA_32
+    12515U,	// SEG_ALLOCA_64
+    103765U,	// SETAEm
+    21845U,	// SETAEr
+    101556U,	// SETAm
+    19636U,	// SETAr
+    103785U,	// SETBEm
+    21865U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    99072U,	// SETBm
-    17152U,	// SETBr
-    101519U,	// SETEm
-    19599U,	// SETEr
-    101405U,	// SETGEm
-    19485U,	// SETGEr
-    101605U,	// SETGm
-    19685U,	// SETGr
-    101429U,	// SETLEm
-    19509U,	// SETLEr
-    102004U,	// SETLm
-    20084U,	// SETLr
-    101457U,	// SETNEm
-    19537U,	// SETNEr
-    102127U,	// SETNOm
-    20207U,	// SETNOr
-    102239U,	// SETNPm
-    20319U,	// SETNPr
-    103385U,	// SETNSm
-    21465U,	// SETNSr
-    102142U,	// SETOm
-    20222U,	// SETOr
-    102286U,	// SETPm
-    20366U,	// SETPr
-    104737U,	// SETSm
-    22817U,	// SETSr
-    10085U,	// SFENCE
-    219448U,	// SGDT16m
-    219448U,	// SGDTm
-    303054861U,	// SHA1MSG1rm
-    68173837U,	// SHA1MSG1rr
-    303054944U,	// SHA1MSG2rm
-    68173920U,	// SHA1MSG2rr
-    303058078U,	// SHA1NEXTErm
-    68177054U,	// SHA1NEXTErr
-    1376796878U,	// SHA1RNDS4rmi
-    1141915854U,	// SHA1RNDS4rri
-    303054871U,	// SHA256MSG1rm
-    68173847U,	// SHA256MSG1rr
-    303054954U,	// SHA256MSG2rm
-    68173930U,	// SHA256MSG2rr
-    303054966U,	// SHA256RNDS2rm
-    68173942U,	// SHA256RNDS2rr
-    17862187U,	// SHL16m1
-    16813611U,	// SHL16mCL
-    1084971U,	// SHL16mi
-    17845803U,	// SHL16r1
-    16797227U,	// SHL16rCL
-    68177451U,	// SHL16ri
-    17894955U,	// SHL32m1
-    16846379U,	// SHL32mCL
-    1117739U,	// SHL32mi
-    17845803U,	// SHL32r1
-    16797227U,	// SHL32rCL
-    68177451U,	// SHL32ri
-    17911339U,	// SHL64m1
-    16862763U,	// SHL64mCL
-    1134123U,	// SHL64mi
-    17845803U,	// SHL64r1
-    16797227U,	// SHL64rCL
-    68177451U,	// SHL64ri
-    17927723U,	// SHL8m1
-    16879147U,	// SHL8mCL
-    1150507U,	// SHL8mi
-    17845803U,	// SHL8r1
-    16797227U,	// SHL8rCL
-    68177451U,	// SHL8ri
-    3222308070U,	// SHLD16mrCL
-    1074824422U,	// SHLD16mri8
-    3289400550U,	// SHLD16rrCL
-    1141916902U,	// SHLD16rri8
-    3222340838U,	// SHLD32mrCL
-    1074857190U,	// SHLD32mri8
-    3289400550U,	// SHLD32rrCL
-    1141916902U,	// SHLD32rri8
-    3222357222U,	// SHLD64mrCL
-    1074873574U,	// SHLD64mri8
-    3289400550U,	// SHLD64rrCL
-    1141916902U,	// SHLD64rri8
-    1175477576U,	// SHLX32rm
-    1209032008U,	// SHLX32rr
-    1242586440U,	// SHLX64rm
-    1209032008U,	// SHLX64rr
-    17863474U,	// SHR16m1
-    16814898U,	// SHR16mCL
-    1086258U,	// SHR16mi
-    17847090U,	// SHR16r1
-    16798514U,	// SHR16rCL
-    68178738U,	// SHR16ri
-    17896242U,	// SHR32m1
-    16847666U,	// SHR32mCL
-    1119026U,	// SHR32mi
-    17847090U,	// SHR32r1
-    16798514U,	// SHR32rCL
-    68178738U,	// SHR32ri
-    17912626U,	// SHR64m1
-    16864050U,	// SHR64mCL
-    1135410U,	// SHR64mi
-    17847090U,	// SHR64r1
-    16798514U,	// SHR64rCL
-    68178738U,	// SHR64ri
-    17929010U,	// SHR8m1
-    16880434U,	// SHR8mCL
-    1151794U,	// SHR8mi
-    17847090U,	// SHR8r1
-    16798514U,	// SHR8rCL
-    68178738U,	// SHR8ri
-    3222309096U,	// SHRD16mrCL
-    1074825448U,	// SHRD16mri8
-    3289401576U,	// SHRD16rrCL
-    1141917928U,	// SHRD16rri8
-    3222341864U,	// SHRD32mrCL
-    1074858216U,	// SHRD32mri8
-    3289401576U,	// SHRD32rrCL
-    1141917928U,	// SHRD32rri8
-    3222358248U,	// SHRD64mrCL
-    1074874600U,	// SHRD64mri8
-    3289401576U,	// SHRD64rrCL
-    1141917928U,	// SHRD64rri8
-    1175477625U,	// SHRX32rm
-    1209032057U,	// SHRX32rr
-    1242586489U,	// SHRX64rm
-    1209032057U,	// SHRX64rr
-    1276135328U,	// SHUFPDrmi
-    1141917600U,	// SHUFPDrri
-    1276139041U,	// SHUFPSrmi
-    1141921313U,	// SHUFPSrri
-    219460U,	// SIDT16m
-    219460U,	// SIDTm
-    10333U,	// SIN_F
+    101813U,	// SETBm
+    19893U,	// SETBr
+    103935U,	// SETEm
+    22015U,	// SETEr
+    103821U,	// SETGEm
+    21901U,	// SETGEr
+    104021U,	// SETGm
+    22101U,	// SETGr
+    103845U,	// SETLEm
+    21925U,	// SETLEr
+    104370U,	// SETLm
+    22450U,	// SETLr
+    103873U,	// SETNEm
+    21953U,	// SETNEr
+    104493U,	// SETNOm
+    22573U,	// SETNOr
+    104605U,	// SETNPm
+    22685U,	// SETNPr
+    105539U,	// SETNSm
+    23619U,	// SETNSr
+    104508U,	// SETOm
+    22588U,	// SETOr
+    104652U,	// SETPm
+    22732U,	// SETPr
+    106717U,	// SETSm
+    24797U,	// SETSr
+    11963U,	// SFENCE
+    286964U,	// SGDT16m
+    286964U,	// SGDT32m
+    286964U,	// SGDT64m
+    303057661U,	// SHA1MSG1rm
+    68176637U,	// SHA1MSG1rr
+    303057733U,	// SHA1MSG2rm
+    68176709U,	// SHA1MSG2rr
+    303060494U,	// SHA1NEXTErm
+    68179470U,	// SHA1NEXTErr
+    2450541482U,	// SHA1RNDS4rmi
+    2215660458U,	// SHA1RNDS4rri
+    303057671U,	// SHA256MSG1rm
+    68176647U,	// SHA256MSG1rr
+    303057743U,	// SHA256MSG2rm
+    68176719U,	// SHA256MSG2rr
+    303057755U,	// SHA256RNDS2rm
+    68176731U,	// SHA256RNDS2rr
+    18913129U,	// SHL16m1
+    17864553U,	// SHL16mCL
+    1087337U,	// SHL16mi
+    18896745U,	// SHL16r1
+    17848169U,	// SHL16rCL
+    68179817U,	// SHL16ri
+    18945897U,	// SHL32m1
+    17897321U,	// SHL32mCL
+    1120105U,	// SHL32mi
+    18896745U,	// SHL32r1
+    17848169U,	// SHL32rCL
+    68179817U,	// SHL32ri
+    18962281U,	// SHL64m1
+    17913705U,	// SHL64mCL
+    1136489U,	// SHL64mi
+    18896745U,	// SHL64r1
+    17848169U,	// SHL64rCL
+    68179817U,	// SHL64ri
+    18978665U,	// SHL8m1
+    17930089U,	// SHL8mCL
+    1152873U,	// SHL8mi
+    18896745U,	// SHL8r1
+    17848169U,	// SHL8rCL
+    68179817U,	// SHL8ri
+    2148568939U,	// SHLD16mrCL
+    2148568939U,	// SHLD16mri8
+    2215661419U,	// SHLD16rrCL
+    2215661419U,	// SHLD16rri8
+    2148601707U,	// SHLD32mrCL
+    2148601707U,	// SHLD32mri8
+    2215661419U,	// SHLD32rrCL
+    2215661419U,	// SHLD32rri8
+    2148618091U,	// SHLD64mrCL
+    2148618091U,	// SHLD64mri8
+    2215661419U,	// SHLD64rrCL
+    2215661419U,	// SHLD64rri8
+    2249221222U,	// SHLX32rm
+    2282775654U,	// SHLX32rr
+    2316330086U,	// SHLX64rm
+    2282775654U,	// SHLX64rr
+    18914204U,	// SHR16m1
+    17865628U,	// SHR16mCL
+    1088412U,	// SHR16mi
+    18897820U,	// SHR16r1
+    17849244U,	// SHR16rCL
+    68180892U,	// SHR16ri
+    18946972U,	// SHR32m1
+    17898396U,	// SHR32mCL
+    1121180U,	// SHR32mi
+    18897820U,	// SHR32r1
+    17849244U,	// SHR32rCL
+    68180892U,	// SHR32ri
+    18963356U,	// SHR64m1
+    17914780U,	// SHR64mCL
+    1137564U,	// SHR64mi
+    18897820U,	// SHR64r1
+    17849244U,	// SHR64rCL
+    68180892U,	// SHR64ri
+    18979740U,	// SHR8m1
+    17931164U,	// SHR8mCL
+    1153948U,	// SHR8mi
+    18897820U,	// SHR8r1
+    17849244U,	// SHR8rCL
+    68180892U,	// SHR8ri
+    2148569766U,	// SHRD16mrCL
+    2148569766U,	// SHRD16mri8
+    2215662246U,	// SHRD16rrCL
+    2215662246U,	// SHRD16rri8
+    2148602534U,	// SHRD32mrCL
+    2148602534U,	// SHRD32mri8
+    2215662246U,	// SHRD32rrCL
+    2215662246U,	// SHRD32rri8
+    2148618918U,	// SHRD64mrCL
+    2148618918U,	// SHRD64mri8
+    2215662246U,	// SHRD64rrCL
+    2215662246U,	// SHRD64rri8
+    2249221271U,	// SHRX32rm
+    2282775703U,	// SHRX32rr
+    2316330135U,	// SHRX64rm
+    2282775703U,	// SHRX64rr
+    2349879713U,	// SHUFPDrmi
+    2215661985U,	// SHUFPDrri
+    2349882926U,	// SHUFPSrmi
+    2215665198U,	// SHUFPSrri
+    286976U,	// SIDT16m
+    286976U,	// SIDT32m
+    286976U,	// SIDT64m
+    12224U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    10923U,	// SKINIT
-    39248U,	// SLDT16m
-    22864U,	// SLDT16r
-    22864U,	// SLDT32r
-    39248U,	// SLDT64m
-    22864U,	// SLDT64r
-    40053U,	// SMSW16m
-    23669U,	// SMSW16r
-    23669U,	// SMSW32r
-    23669U,	// SMSW64r
-    537938013U,	// SQRTPDm
-    135284829U,	// SQRTPDr
-    537941770U,	// SQRTPSm
-    135288586U,	// SQRTPSr
-    571493014U,	// SQRTSDm
-    571493014U,	// SQRTSDm_Int
-    135285398U,	// SQRTSDr
-    135285398U,	// SQRTSDr_Int
-    605051100U,	// SQRTSSm
-    605051100U,	// SQRTSSm_Int
-    135289052U,	// SQRTSSr
-    135289052U,	// SQRTSSr_Int
-    10780U,	// SQRT_F
+    12786U,	// SKINIT
+    41228U,	// SLDT16m
+    24844U,	// SLDT16r
+    24844U,	// SLDT32r
+    41228U,	// SLDT64m
+    24844U,	// SLDT64r
+    41886U,	// SMSW16m
+    25502U,	// SMSW16r
+    25502U,	// SMSW32r
+    25502U,	// SMSW64r
+    537940550U,	// SQRTPDm
+    135287366U,	// SQRTPDr
+    537943807U,	// SQRTPSm
+    135290623U,	// SQRTPSr
+    571495443U,	// SQRTSDm
+    571495443U,	// SQRTSDm_Int
+    135287827U,	// SQRTSDr
+    135287827U,	// SQRTSDr_Int
+    605053080U,	// SQRTSSm
+    605053080U,	// SQRTSSm_Int
+    135291032U,	// SQRTSSr
+    135291032U,	// SQRTSSr_Int
+    12659U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    10705U,	// SS_PREFIX
-    9908U,	// STAC
-    9962U,	// STC
-    10049U,	// STD
-    10208U,	// STGI
-    10223U,	// STI
-    70522U,	// STMXCSR
-    9869U,	// STOSB
-    10015U,	// STOSD
-    10465U,	// STOSQ
-    10836U,	// STOSW
-    21395U,	// STR16r
-    21395U,	// STR32r
-    21395U,	// STR64r
-    37779U,	// STRm
-    121296U,	// ST_F32m
-    137680U,	// ST_F64m
-    118683U,	// ST_FP32m
-    135067U,	// ST_FP64m
-    266139U,	// ST_FP80m
-    20379U,	// ST_FPrr
+    12584U,	// SS_PREFIX
+    11815U,	// STAC
+    11869U,	// STC
+    11927U,	// STD
+    12086U,	// STGI
+    12101U,	// STI
+    72676U,	// STMXCSR
+    17108346U,	// STOSB
+    14996474U,	// STOSL
+    16341701U,	// STOSQ
+    13935533U,	// STOSW
+    23549U,	// STR16r
+    23549U,	// STR32r
+    23549U,	// STR64r
+    39933U,	// STRm
+    123269U,	// ST_F32m
+    139653U,	// ST_F64m
+    121049U,	// ST_FP32m
+    137433U,	// ST_FP64m
+    383193U,	// ST_FP80m
+    22745U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2683,51 +2720,51 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    22992U,	// ST_Frr
-    24224U,	// SUB16i16
-    1082170U,	// SUB16mi
-    1082170U,	// SUB16mi8
-    1082170U,	// SUB16mr
-    35701562U,	// SUB16ri
-    35701562U,	// SUB16ri8
-    3195706U,	// SUB16rm
-    35701562U,	// SUB16rr
-    68174650U,	// SUB16rr_REV
-    24337U,	// SUB32i32
-    1114938U,	// SUB32mi
-    1114938U,	// SUB32mi8
-    1114938U,	// SUB32mr
-    35701562U,	// SUB32ri
-    35701562U,	// SUB32ri8
-    4244282U,	// SUB32rm
-    35701562U,	// SUB32rr
-    68174650U,	// SUB32rr_REV
-    24461U,	// SUB64i32
-    1131322U,	// SUB64mi32
-    1131322U,	// SUB64mi8
-    1131322U,	// SUB64mr
-    35701562U,	// SUB64ri32
-    35701562U,	// SUB64ri8
-    5292858U,	// SUB64rm
-    35701562U,	// SUB64rr
-    68174650U,	// SUB64rr_REV
-    24122U,	// SUB8i8
-    1147706U,	// SUB8mi
-    1147706U,	// SUB8mr
-    35701562U,	// SUB8ri
-    6341434U,	// SUB8rm
-    35701562U,	// SUB8rr
-    68174650U,	// SUB8rr_REV
-    202393330U,	// SUBPDrm
-    68175602U,	// SUBPDrr
-    202397043U,	// SUBPSrm
-    68179315U,	// SUBPSrr
-    119575U,	// SUBR_F32m
-    135959U,	// SUBR_F64m
-    37662U,	// SUBR_FI16m
-    70430U,	// SUBR_FI32m
-    20350U,	// SUBR_FPrST0
-    21271U,	// SUBR_FST0r
+    24965U,	// ST_Frr
+    26068U,	// SUB16i16
+    1084911U,	// SUB16mi
+    1084911U,	// SUB16mi8
+    1084911U,	// SUB16mr
+    35704303U,	// SUB16ri
+    35704303U,	// SUB16ri8
+    3198447U,	// SUB16rm
+    35704303U,	// SUB16rr
+    68177391U,	// SUB16rr_REV
+    26203U,	// SUB32i32
+    1117679U,	// SUB32mi
+    1117679U,	// SUB32mi8
+    1117679U,	// SUB32mr
+    35704303U,	// SUB32ri
+    35704303U,	// SUB32ri8
+    4247023U,	// SUB32rm
+    35704303U,	// SUB32rr
+    68177391U,	// SUB32rr_REV
+    26351U,	// SUB64i32
+    1134063U,	// SUB64mi32
+    1134063U,	// SUB64mi8
+    1134063U,	// SUB64mr
+    35704303U,	// SUB64ri32
+    35704303U,	// SUB64ri8
+    5295599U,	// SUB64rm
+    35704303U,	// SUB64rr
+    68177391U,	// SUB64rr_REV
+    25966U,	// SUB8i8
+    1150447U,	// SUB8mi
+    1150447U,	// SUB8mr
+    35704303U,	// SUB8ri
+    6344175U,	// SUB8rm
+    35704303U,	// SUB8rr
+    68177391U,	// SUB8rr_REV
+    202395917U,	// SUBPDrm
+    68178189U,	// SUBPDrr
+    202399130U,	// SUBPSrm
+    68181402U,	// SUBPSrr
+    121729U,	// SUBR_F32m
+    138113U,	// SUBR_F64m
+    39816U,	// SUBR_FI16m
+    72584U,	// SUBR_FI32m
+    22716U,	// SUBR_FPrST0
+    23425U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2739,21 +2776,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    7361303U,	// SUBR_FrST0
-    235948554U,	// SUBSDrm
-    235948554U,	// SUBSDrm_Int
-    68176394U,	// SUBSDrr
-    68176394U,	// SUBSDrr_Int
-    269506640U,	// SUBSSrm
-    269506640U,	// SUBSSrm_Int
-    68180048U,	// SUBSSrr
-    68180048U,	// SUBSSrr_Int
-    115513U,	// SUB_F32m
-    131897U,	// SUB_F64m
-    33599U,	// SUB_FI16m
-    66367U,	// SUB_FI32m
-    20242U,	// SUB_FPrST0
-    17209U,	// SUB_FST0r
+    7363457U,	// SUBR_FrST0
+    235950989U,	// SUBSDrm
+    235950989U,	// SUBSDrm_Int
+    68178829U,	// SUBSDrr
+    68178829U,	// SUBSDrr_Int
+    269508633U,	// SUBSSrm
+    269508633U,	// SUBSSrm_Int
+    68182041U,	// SUBSSrr
+    68182041U,	// SUBSSrr_Int
+    118254U,	// SUB_F32m
+    134638U,	// SUB_F64m
+    36340U,	// SUB_FI16m
+    69108U,	// SUB_FI32m
+    22608U,	// SUB_FPrST0
+    19950U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2768,2602 +2805,2599 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    7357241U,	// SUB_FrST0
-    10624U,	// SWAPGS
-    10273U,	// SYSCALL
-    10504U,	// SYSENTER
-    10760U,	// SYSEXIT
-    10760U,	// SYSEXIT64
-    10740U,	// SYSRET
-    10740U,	// SYSRET64
-    101729195U,	// T1MSKC32rm
-    135283627U,	// T1MSKC32rr
-    168838059U,	// T1MSKC64rm
-    135283627U,	// T1MSKC64rr
-    19025726U,	// TAILJMPd
-    19025726U,	// TAILJMPd64
-    18943806U,	// TAILJMPm
-    18960190U,	// TAILJMPm64
+    7359982U,	// SUB_FrST0
+    12503U,	// SWAPGS
+    12164U,	// SYSCALL
+    12383U,	// SYSENTER
+    12639U,	// SYSEXIT
+    12639U,	// SYSEXIT64
+    12619U,	// SYSRET
+    12619U,	// SYSRET64
+    101731936U,	// T1MSKC32rm
+    135286368U,	// T1MSKC32rr
+    168840800U,	// T1MSKC64rm
+    135286368U,	// T1MSKC64rr
+    20076668U,	// TAILJMPd
+    20076668U,	// TAILJMPd64
+    19994748U,	// TAILJMPm
+    20011132U,	// TAILJMPm64
     0U,	// TAILJMPr
-    18894654U,	// TAILJMPr64
+    19945596U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    24308U,	// TEST16i16
-    1087946U,	// TEST16mi
-    135289290U,	// TEST16ri
-    370170314U,	// TEST16rm
-    135289290U,	// TEST16rr
-    24430U,	// TEST32i32
-    1120714U,	// TEST32mi
-    135289290U,	// TEST32ri
-    101734858U,	// TEST32rm
-    135289290U,	// TEST32rr
-    24545U,	// TEST64i32
-    1137098U,	// TEST64mi32
-    135289290U,	// TEST64ri32
-    168843722U,	// TEST64rm
-    135289290U,	// TEST64rr
-    24196U,	// TEST8i8
-    1153482U,	// TEST8mi
-    135289290U,	// TEST8ri
+    26152U,	// TEST16i16
+    1089919U,	// TEST16mi
+    135291263U,	// TEST16ri
+    370172287U,	// TEST16rm
+    135291263U,	// TEST16rr
+    26320U,	// TEST32i32
+    1122687U,	// TEST32mi
+    135291263U,	// TEST32ri
+    101736831U,	// TEST32rm
+    135291263U,	// TEST32rr
+    26459U,	// TEST64i32
+    1139071U,	// TEST64mi32
+    135291263U,	// TEST64ri32
+    168845695U,	// TEST64rm
+    135291263U,	// TEST64rr
+    26040U,	// TEST8i8
+    1155455U,	// TEST8mi
+    135291263U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    504388042U,	// TEST8rm
-    135289290U,	// TEST8rr
-    9525U,	// TLSCall_32
-    9629U,	// TLSCall_64
-    9538U,	// TLS_addr32
-    9642U,	// TLS_addr64
-    9551U,	// TLS_base_addr32
-    9655U,	// TLS_base_addr64
-    9569U,	// TRAP
-    10792U,	// TST_F
+    504390015U,	// TEST8rm
+    135291263U,	// TEST8rr
+    11472U,	// TLSCall_32
+    11576U,	// TLSCall_64
+    11485U,	// TLS_addr32
+    11589U,	// TLS_addr64
+    11498U,	// TLS_base_addr32
+    11602U,	// TLS_base_addr64
+    11516U,	// TRAP
+    12671U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    370170227U,	// TZCNT16rm
-    135289203U,	// TZCNT16rr
-    101734771U,	// TZCNT32rm
-    135289203U,	// TZCNT32rr
-    168843635U,	// TZCNT64rm
-    135289203U,	// TZCNT64rr
-    101731871U,	// TZMSK32rm
-    135286303U,	// TZMSK32rr
-    168840735U,	// TZMSK64rm
-    135286303U,	// TZMSK64rr
-    571492953U,	// UCOMISDrm
-    135285337U,	// UCOMISDrr
-    605051039U,	// UCOMISSrm
-    135288991U,	// UCOMISSrr
-    19840U,	// UCOM_FIPr
-    19782U,	// UCOM_FIr
-    10408U,	// UCOM_FPPr
-    20306U,	// UCOM_FPr
+    370172207U,	// TZCNT16rm
+    135291183U,	// TZCNT16rr
+    101736751U,	// TZCNT32rm
+    135291183U,	// TZCNT32rr
+    168845615U,	// TZCNT64rm
+    135291183U,	// TZCNT64rr
+    101734237U,	// TZMSK32rm
+    135288669U,	// TZMSK32rr
+    168843101U,	// TZMSK64rm
+    135288669U,	// TZMSK64rr
+    571495375U,	// UCOMISDrm
+    135287759U,	// UCOMISDrr
+    605053019U,	// UCOMISSrm
+    135290971U,	// UCOMISSrr
+    22256U,	// UCOM_FIPr
+    22198U,	// UCOM_FIr
+    12299U,	// UCOM_FPPr
+    22672U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    20129U,	// UCOM_Fr
-    9813U,	// UD2B
-    202393513U,	// UNPCKHPDrm
-    68175785U,	// UNPCKHPDrr
-    202397226U,	// UNPCKHPSrm
-    68179498U,	// UNPCKHPSrr
-    202393555U,	// UNPCKLPDrm
-    68175827U,	// UNPCKLPDrr
-    202397288U,	// UNPCKLPSrm
-    68179560U,	// UNPCKLPSrr
-    1578131436U,	// VAARG_64
-    1209026389U,	// VADDPDYrm
-    1209026389U,	// VADDPDYrr
-    1209026389U,	// VADDPDZrm
-    1209026389U,	// VADDPDZrmb
-    1209026389U,	// VADDPDZrr
-    1209026389U,	// VADDPDrm
-    1209026389U,	// VADDPDrr
-    1209030102U,	// VADDPSYrm
-    1209030102U,	// VADDPSYrr
-    1209030102U,	// VADDPSZrm
-    1209030102U,	// VADDPSZrmb
-    1209030102U,	// VADDPSZrr
-    1209030102U,	// VADDPSrm
-    1209030102U,	// VADDPSrr
-    1209027129U,	// VADDSDZrm
-    1209027129U,	// VADDSDZrr
-    1209027129U,	// VADDSDrm
-    1209027129U,	// VADDSDrm_Int
-    1209027129U,	// VADDSDrr
-    1209027129U,	// VADDSDrr_Int
-    1209030783U,	// VADDSSZrm
-    1209030783U,	// VADDSSZrr
-    1209030783U,	// VADDSSrm
-    1209030783U,	// VADDSSrm_Int
-    1209030783U,	// VADDSSrr
-    1209030783U,	// VADDSSrr_Int
-    1209026297U,	// VADDSUBPDYrm
-    1209026297U,	// VADDSUBPDYrr
-    1209026297U,	// VADDSUBPDrm
-    1209026297U,	// VADDSUBPDrr
-    1209030010U,	// VADDSUBPSYrm
-    1209030010U,	// VADDSUBPSYrr
-    1209030010U,	// VADDSUBPSrm
-    1209030010U,	// VADDSUBPSrr
-    1209031086U,	// VAESDECLASTrm
-    1209031086U,	// VAESDECLASTrr
-    1209025428U,	// VAESDECrm
-    1209025428U,	// VAESDECrr
-    1209031099U,	// VAESENCLASTrm
-    1209031099U,	// VAESENCLASTrr
-    1209025468U,	// VAESENCrm
-    1209025468U,	// VAESENCrr
-    336610227U,	// VAESIMCrm
-    135283635U,	// VAESIMCrr
-    1410357723U,	// VAESKEYGENASSIST128rm
-    1209031131U,	// VAESKEYGENASSIST128rr
-    1209025873U,	// VALIGNDrmi
-    1209025873U,	// VALIGNDrri
-    1209029065U,	// VALIGNQrmi
-    1209029065U,	// VALIGNQrri
-    1209026570U,	// VANDNPDYrm
-    1209026570U,	// VANDNPDYrr
-    1209026570U,	// VANDNPDrm
-    1209026570U,	// VANDNPDrr
-    1209030295U,	// VANDNPSYrm
-    1209030295U,	// VANDNPSYrr
-    1209030295U,	// VANDNPSrm
-    1209030295U,	// VANDNPSrr
-    1209026397U,	// VANDPDYrm
-    1209026397U,	// VANDPDYrr
-    1209026397U,	// VANDPDrm
-    1209026397U,	// VANDPDrr
-    1209030110U,	// VANDPSYrm
-    1209030110U,	// VANDPSYrr
-    1209030110U,	// VANDPSrm
-    1209030110U,	// VANDPSrr
-    1209032718U,	// VASTART_SAVE_XMM_REGS
-    1209026550U,	// VBLENDMPDZrm
-    1209026550U,	// VBLENDMPDZrm_Int
-    154159094U,	// VBLENDMPDZrr
-    154159094U,	// VBLENDMPDZrr_Int
-    1209030275U,	// VBLENDMPSZrm
-    1209030275U,	// VBLENDMPSZrm_Int
-    154162819U,	// VBLENDMPSZrr
-    154162819U,	// VBLENDMPSZrr_Int
-    1209026405U,	// VBLENDPDYrmi
-    1209026405U,	// VBLENDPDYrri
-    1209026405U,	// VBLENDPDrmi
-    1209026405U,	// VBLENDPDrri
-    1209030118U,	// VBLENDPSYrmi
-    1209030118U,	// VBLENDPSYrri
-    1209030118U,	// VBLENDPSrmi
-    1209030118U,	// VBLENDPSrri
-    1209026679U,	// VBLENDVPDYrm
-    1209026679U,	// VBLENDVPDYrr
-    1209026679U,	// VBLENDVPDrm
-    1209026679U,	// VBLENDVPDrr
-    1209030445U,	// VBLENDVPSYrm
-    1209030445U,	// VBLENDVPSYrr
-    1209030445U,	// VBLENDVPSrm
-    1209030445U,	// VBLENDVPSrr
-    537936244U,	// VBROADCASTF128
-    336609707U,	// VBROADCASTI128
-    571493022U,	// VBROADCASTSDYrm
-    135285406U,	// VBROADCASTSDYrr
-    571493022U,	// VBROADCASTSDZrm
-    135285406U,	// VBROADCASTSDZrr
-    605051117U,	// VBROADCASTSSYrm
-    135289069U,	// VBROADCASTSSYrr
-    605051117U,	// VBROADCASTSSZrm
-    135289069U,	// VBROADCASTSSZrr
-    605051117U,	// VBROADCASTSSrm
-    135289069U,	// VBROADCASTSSrr
-    1216587927U,	// VCMPPDYrmi
-    1209026594U,	// VCMPPDYrmi_alt
-    1216604311U,	// VCMPPDYrri
-    1209026594U,	// VCMPPDYrri_alt
-    1216587927U,	// VCMPPDZrmi
-    1209026594U,	// VCMPPDZrmi_alt
-    1216604311U,	// VCMPPDZrri
-    1209026594U,	// VCMPPDZrri_alt
-    1216587927U,	// VCMPPDrmi
-    1209026594U,	// VCMPPDrmi_alt
-    1216604311U,	// VCMPPDrri
-    1209026594U,	// VCMPPDrri_alt
-    1217636503U,	// VCMPPSYrmi
-    1209030327U,	// VCMPPSYrmi_alt
-    1217652887U,	// VCMPPSYrri
-    1209030327U,	// VCMPPSYrri_alt
-    1217636503U,	// VCMPPSZrmi
-    1209030327U,	// VCMPPSZrmi_alt
-    1217652887U,	// VCMPPSZrri
-    1209030327U,	// VCMPPSZrri_alt
-    1217636503U,	// VCMPPSrmi
-    1209030327U,	// VCMPPSrmi_alt
-    1217652887U,	// VCMPPSrri
-    1209030327U,	// VCMPPSrri_alt
-    1218685079U,	// VCMPSDrm
-    1209027204U,	// VCMPSDrm_alt
-    1218701463U,	// VCMPSDrr
-    1209027204U,	// VCMPSDrr_alt
-    1219733655U,	// VCMPSSrm
-    1209030857U,	// VCMPSSrm_alt
-    1219750039U,	// VCMPSSrr
-    1209030857U,	// VCMPSSrr_alt
-    537938530U,	// VCOMISDZrm
-    135285346U,	// VCOMISDZrr
-    537938530U,	// VCOMISDrm
-    135285346U,	// VCOMISDrr
-    537942184U,	// VCOMISSZrm
-    135289000U,	// VCOMISSZrr
-    537942184U,	// VCOMISSrm
-    135289000U,	// VCOMISSrr
-    336610871U,	// VCVTDQ2PDYrm
-    135284279U,	// VCVTDQ2PDYrr
-    739264055U,	// VCVTDQ2PDZrm
-    135284279U,	// VCVTDQ2PDZrr
-    168838711U,	// VCVTDQ2PDrm
-    135284279U,	// VCVTDQ2PDrr
-    739267787U,	// VCVTDQ2PSYrm
-    135288011U,	// VCVTDQ2PSYrr
-    772822219U,	// VCVTDQ2PSZrm
-    135288011U,	// VCVTDQ2PSZrr
-    336614603U,	// VCVTDQ2PSrm
-    135288011U,	// VCVTDQ2PSrr
-    537943399U,	// VCVTPD2DQXrm
-    806375521U,	// VCVTPD2DQYrm
-    135286881U,	// VCVTPD2DQYrr
-    135286881U,	// VCVTPD2DQrr
-    537943429U,	// VCVTPD2PSXrm
-    806376596U,	// VCVTPD2PSYrm
-    135287956U,	// VCVTPD2PSYrr
-    839931028U,	// VCVTPD2PSZrm
-    135287956U,	// VCVTPD2PSZrr
-    135287956U,	// VCVTPD2PSrr
-    537941151U,	// VCVTPH2PSYrm
-    135287967U,	// VCVTPH2PSYrr
-    806376607U,	// VCVTPH2PSZrm
-    135287967U,	// VCVTPH2PSZrr
-    571495583U,	// VCVTPH2PSrm
-    135287967U,	// VCVTPH2PSrr
-    806375553U,	// VCVTPS2DQYrm
-    135286913U,	// VCVTPS2DQYrr
-    839929985U,	// VCVTPS2DQZrm
-    135286913U,	// VCVTPS2DQZrr
-    537940097U,	// VCVTPS2DQrm
-    135286913U,	// VCVTPS2DQrr
-    537937486U,	// VCVTPS2PDYrm
-    135284302U,	// VCVTPS2PDYrr
-    806372942U,	// VCVTPS2PDZrm
-    135284302U,	// VCVTPS2PDZrr
-    571491918U,	// VCVTPS2PDrm
-    135284302U,	// VCVTPS2PDrr
-    873876738U,	// VCVTPS2PHYmr
-    1209027842U,	// VCVTPS2PHYrr
-    873893122U,	// VCVTPS2PHZmr
-    1209027842U,	// VCVTPS2PHZrr
-    1074924802U,	// VCVTPS2PHmr
-    1209027842U,	// VCVTPS2PHrr
-    571493805U,	// VCVTSD2SI64Zrm
-    135286189U,	// VCVTSD2SI64Zrr
-    571493805U,	// VCVTSD2SI64rm
-    135286189U,	// VCVTSD2SI64rr
-    571493805U,	// VCVTSD2SIZrm
-    135286189U,	// VCVTSD2SIZrr
-    571493805U,	// VCVTSD2SIrm
-    135286189U,	// VCVTSD2SIrr
-    1209030601U,	// VCVTSD2SSZrm
-    1209030601U,	// VCVTSD2SSZrr
-    1209030601U,	// VCVTSD2SSrm
-    1209030601U,	// VCVTSD2SSrr
-    571493858U,	// VCVTSD2USI64Zrm
-    135286242U,	// VCVTSD2USI64Zrr
-    571493858U,	// VCVTSD2USIZrm
-    135286242U,	// VCVTSD2USIZrr
-    1209026939U,	// VCVTSI2SD64rm
-    1209026939U,	// VCVTSI2SD64rr
-    1209026939U,	// VCVTSI2SDZrm
-    1209026939U,	// VCVTSI2SDZrr
-    1209026939U,	// VCVTSI2SDrm
-    1209026939U,	// VCVTSI2SDrr
-    1209030612U,	// VCVTSI2SS64rm
-    1209030612U,	// VCVTSI2SS64rr
-    1209030612U,	// VCVTSI2SSZrm
-    1209030612U,	// VCVTSI2SSZrr
-    1209030612U,	// VCVTSI2SSrm
-    1209030612U,	// VCVTSI2SSrr
-    1209026939U,	// VCVTSI642SDZrm
-    1209026939U,	// VCVTSI642SDZrr
-    1209030612U,	// VCVTSI642SSZrm
-    1209030612U,	// VCVTSI642SSZrr
-    1209026962U,	// VCVTSS2SDZrm
-    1209026962U,	// VCVTSS2SDZrr
-    1209026962U,	// VCVTSS2SDrm
-    1209026962U,	// VCVTSS2SDrr
-    605048260U,	// VCVTSS2SI64Zrm
-    135286212U,	// VCVTSS2SI64Zrr
-    605048260U,	// VCVTSS2SI64rm
-    135286212U,	// VCVTSS2SI64rr
-    605048260U,	// VCVTSS2SIZrm
-    135286212U,	// VCVTSS2SIZrr
-    605048260U,	// VCVTSS2SIrm
-    135286212U,	// VCVTSS2SIrr
-    605048315U,	// VCVTSS2USI64Zrm
-    135286267U,	// VCVTSS2USI64Zrr
-    605048315U,	// VCVTSS2USIZrm
-    135286267U,	// VCVTSS2USIZrr
-    537943386U,	// VCVTTPD2DQXrm
-    806375509U,	// VCVTTPD2DQYrm
-    135286869U,	// VCVTTPD2DQYrr
-    839929941U,	// VCVTTPD2DQZrm
-    135286869U,	// VCVTTPD2DQZrr
-    135286869U,	// VCVTTPD2DQrr
-    839930156U,	// VCVTTPD2UDQZrm
-    135287084U,	// VCVTTPD2UDQZrr
-    806375541U,	// VCVTTPS2DQYrm
-    135286901U,	// VCVTTPS2DQYrr
-    839929973U,	// VCVTTPS2DQZrm
-    135286901U,	// VCVTTPS2DQZrr
-    537940085U,	// VCVTTPS2DQrm
-    135286901U,	// VCVTTPS2DQrr
-    839930169U,	// VCVTTPS2UDQZrm
-    135287097U,	// VCVTTPS2UDQZrr
-    571493793U,	// VCVTTSD2SI64Zrm
-    135286177U,	// VCVTTSD2SI64Zrr
-    571493793U,	// VCVTTSD2SI64rm
-    135286177U,	// VCVTTSD2SI64rr
-    571493793U,	// VCVTTSD2SIZrm
-    135286177U,	// VCVTTSD2SIZrr
-    571493793U,	// VCVTTSD2SIrm
-    135286177U,	// VCVTTSD2SIrr
-    571493845U,	// VCVTTSD2USI64Zrm
-    135286229U,	// VCVTTSD2USI64Zrr
-    571493845U,	// VCVTTSD2USIZrm
-    135286229U,	// VCVTTSD2USIZrr
-    605048248U,	// VCVTTSS2SI64Zrm
-    135286200U,	// VCVTTSS2SI64Zrr
-    605048248U,	// VCVTTSS2SI64rm
-    135286200U,	// VCVTTSS2SI64rr
-    605048248U,	// VCVTTSS2SIZrm
-    135286200U,	// VCVTTSS2SIZrr
-    605048248U,	// VCVTTSS2SIrm
-    135286200U,	// VCVTTSS2SIrr
-    605048302U,	// VCVTTSS2USI64Zrm
-    135286254U,	// VCVTTSS2USI64Zrr
-    605048302U,	// VCVTTSS2USIZrm
-    135286254U,	// VCVTTSS2USIZrr
-    806372930U,	// VCVTUDQ2PDZrm
-    135284290U,	// VCVTUDQ2PDZrr
-    839931094U,	// VCVTUDQ2PSZrm
-    135288022U,	// VCVTUDQ2PSZrr
-    1209026950U,	// VCVTUSI2SDZrm
-    1209026950U,	// VCVTUSI2SDZrr
-    1209030623U,	// VCVTUSI2SSZrm
-    1209030623U,	// VCVTUSI2SSZrr
-    1209026950U,	// VCVTUSI642SDZrm
-    1209026950U,	// VCVTUSI642SDZrr
-    1209030623U,	// VCVTUSI642SSZrm
-    1209030623U,	// VCVTUSI642SSZrr
-    1209026690U,	// VDIVPDYrm
-    1209026690U,	// VDIVPDYrr
-    1209026690U,	// VDIVPDZrm
-    1209026690U,	// VDIVPDZrmb
-    1209026690U,	// VDIVPDZrr
-    1209026690U,	// VDIVPDrm
-    1209026690U,	// VDIVPDrr
-    1209030456U,	// VDIVPSYrm
-    1209030456U,	// VDIVPSYrr
-    1209030456U,	// VDIVPSZrm
-    1209030456U,	// VDIVPSZrmb
-    1209030456U,	// VDIVPSZrr
-    1209030456U,	// VDIVPSrm
-    1209030456U,	// VDIVPSrr
-    1209027244U,	// VDIVSDZrm
-    1209027244U,	// VDIVSDZrr
-    1209027244U,	// VDIVSDrm
-    1209027244U,	// VDIVSDrm_Int
-    1209027244U,	// VDIVSDrr
-    1209027244U,	// VDIVSDrr_Int
-    1209030907U,	// VDIVSSZrm
-    1209030907U,	// VDIVSSZrr
-    1209030907U,	// VDIVSSrm
-    1209030907U,	// VDIVSSrm_Int
-    1209030907U,	// VDIVSSrr
-    1209030907U,	// VDIVSSrr_Int
-    1209026587U,	// VDPPDrmi
-    1209026587U,	// VDPPDrri
-    1209030320U,	// VDPPSYrmi
-    1209030320U,	// VDPPSYrri
-    1209030320U,	// VDPPSrmi
-    1209030320U,	// VDPPSrri
-    37732U,	// VERRm
-    21348U,	// VERRr
-    39925U,	// VERWm
-    23541U,	// VERWr
-    873873753U,	// VEXTRACTF128mr
-    1209024857U,	// VEXTRACTF128rr
-    873873625U,	// VEXTRACTF32x4mr
-    1209024729U,	// VEXTRACTF32x4rr
-    873890067U,	// VEXTRACTF64x4mr
-    1209024787U,	// VEXTRACTF64x4rr
-    1074987408U,	// VEXTRACTI128mr
-    1209024912U,	// VEXTRACTI128rr
-    1074987254U,	// VEXTRACTI32x4mr
-    1209024758U,	// VEXTRACTI32x4rr
-    873906480U,	// VEXTRACTI64x4mr
-    1209024816U,	// VEXTRACTI64x4rr
-    1074910951U,	// VEXTRACTPSmr
-    1209030375U,	// VEXTRACTPSrr
-    1074910951U,	// VEXTRACTPSzmr
-    1209030375U,	// VEXTRACTPSzrr
-    1141917179U,	// VFMADD132PDZm
-    1141917179U,	// VFMADD132PDZmb
-    1141920889U,	// VFMADD132PSZm
-    1141920889U,	// VFMADD132PSZmb
-    1141917332U,	// VFMADD213PDZm
-    1141917332U,	// VFMADD213PDZmb
-    1141917332U,	// VFMADD213PDZr
-    1141921053U,	// VFMADD213PSZm
-    1141921053U,	// VFMADD213PSZmb
-    1141921053U,	// VFMADD213PSZr
-    1209026368U,	// VFMADDPD4mr
-    1209026368U,	// VFMADDPD4mrY
-    1209026368U,	// VFMADDPD4rm
-    1209026368U,	// VFMADDPD4rmY
-    1209026368U,	// VFMADDPD4rr
-    1209026368U,	// VFMADDPD4rrY
-    1209026368U,	// VFMADDPD4rrY_REV
-    1209026368U,	// VFMADDPD4rr_REV
-    1141917179U,	// VFMADDPDr132m
-    1141917179U,	// VFMADDPDr132mY
-    1141917179U,	// VFMADDPDr132r
-    1141917179U,	// VFMADDPDr132rY
-    1141917332U,	// VFMADDPDr213m
-    1141917332U,	// VFMADDPDr213mY
-    1141917332U,	// VFMADDPDr213r
-    1141917332U,	// VFMADDPDr213rY
-    1141917093U,	// VFMADDPDr231m
-    1141917093U,	// VFMADDPDr231mY
-    1141917093U,	// VFMADDPDr231r
-    1141917093U,	// VFMADDPDr231rY
-    1209030081U,	// VFMADDPS4mr
-    1209030081U,	// VFMADDPS4mrY
-    1209030081U,	// VFMADDPS4rm
-    1209030081U,	// VFMADDPS4rmY
-    1209030081U,	// VFMADDPS4rr
-    1209030081U,	// VFMADDPS4rrY
-    1209030081U,	// VFMADDPS4rrY_REV
-    1209030081U,	// VFMADDPS4rr_REV
-    1141920889U,	// VFMADDPSr132m
-    1141920889U,	// VFMADDPSr132mY
-    1141920889U,	// VFMADDPSr132r
-    1141920889U,	// VFMADDPSr132rY
-    1141921053U,	// VFMADDPSr213m
-    1141921053U,	// VFMADDPSr213mY
-    1141921053U,	// VFMADDPSr213r
-    1141921053U,	// VFMADDPSr213rY
-    1141920803U,	// VFMADDPSr231m
-    1141920803U,	// VFMADDPSr231mY
-    1141920803U,	// VFMADDPSr231r
-    1141920803U,	// VFMADDPSr231rY
-    1209027108U,	// VFMADDSD4mr
-    1209027108U,	// VFMADDSD4mr_Int
-    1209027108U,	// VFMADDSD4rm
-    1209027108U,	// VFMADDSD4rm_Int
-    1209027108U,	// VFMADDSD4rr
-    1209027108U,	// VFMADDSD4rr_Int
-    1209027108U,	// VFMADDSD4rr_REV
-    1141918136U,	// VFMADDSDZm
-    1141918136U,	// VFMADDSDZr
-    1141918048U,	// VFMADDSDr132m
-    1141918048U,	// VFMADDSDr132r
-    1141918136U,	// VFMADDSDr213m
-    1141918136U,	// VFMADDSDr213m_Int
-    1141918136U,	// VFMADDSDr213r
-    1141918136U,	// VFMADDSDr213r_Int
-    1141917994U,	// VFMADDSDr231m
-    1141917994U,	// VFMADDSDr231r
-    1209030762U,	// VFMADDSS4mr
-    1209030762U,	// VFMADDSS4mr_Int
-    1209030762U,	// VFMADDSS4rm
-    1209030762U,	// VFMADDSS4rm_Int
-    1209030762U,	// VFMADDSS4rr
-    1209030762U,	// VFMADDSS4rr_Int
-    1209030762U,	// VFMADDSS4rr_REV
-    1141921798U,	// VFMADDSSZm
-    1141921798U,	// VFMADDSSZr
-    1141921710U,	// VFMADDSSr132m
-    1141921710U,	// VFMADDSSr132r
-    1141921798U,	// VFMADDSSr213m
-    1141921798U,	// VFMADDSSr213m_Int
-    1141921798U,	// VFMADDSSr213r
-    1141921798U,	// VFMADDSSr213r_Int
-    1141921656U,	// VFMADDSSr231m
-    1141921656U,	// VFMADDSSr231r
-    1141917120U,	// VFMADDSUB132PDZm
-    1141917120U,	// VFMADDSUB132PDZmb
-    1141920830U,	// VFMADDSUB132PSZm
-    1141920830U,	// VFMADDSUB132PSZmb
-    1141917273U,	// VFMADDSUB213PDZm
-    1141917273U,	// VFMADDSUB213PDZmb
-    1141917273U,	// VFMADDSUB213PDZr
-    1141920994U,	// VFMADDSUB213PSZm
-    1141920994U,	// VFMADDSUB213PSZmb
-    1141920994U,	// VFMADDSUB213PSZr
-    1209026284U,	// VFMADDSUBPD4mr
-    1209026284U,	// VFMADDSUBPD4mrY
-    1209026284U,	// VFMADDSUBPD4rm
-    1209026284U,	// VFMADDSUBPD4rmY
-    1209026284U,	// VFMADDSUBPD4rr
-    1209026284U,	// VFMADDSUBPD4rrY
-    1209026284U,	// VFMADDSUBPD4rrY_REV
-    1209026284U,	// VFMADDSUBPD4rr_REV
-    1141917120U,	// VFMADDSUBPDr132m
-    1141917120U,	// VFMADDSUBPDr132mY
-    1141917120U,	// VFMADDSUBPDr132r
-    1141917120U,	// VFMADDSUBPDr132rY
-    1141917273U,	// VFMADDSUBPDr213m
-    1141917273U,	// VFMADDSUBPDr213mY
-    1141917273U,	// VFMADDSUBPDr213r
-    1141917273U,	// VFMADDSUBPDr213rY
-    1141917034U,	// VFMADDSUBPDr231m
-    1141917034U,	// VFMADDSUBPDr231mY
-    1141917034U,	// VFMADDSUBPDr231r
-    1141917034U,	// VFMADDSUBPDr231rY
-    1209029997U,	// VFMADDSUBPS4mr
-    1209029997U,	// VFMADDSUBPS4mrY
-    1209029997U,	// VFMADDSUBPS4rm
-    1209029997U,	// VFMADDSUBPS4rmY
-    1209029997U,	// VFMADDSUBPS4rr
-    1209029997U,	// VFMADDSUBPS4rrY
-    1209029997U,	// VFMADDSUBPS4rrY_REV
-    1209029997U,	// VFMADDSUBPS4rr_REV
-    1141920830U,	// VFMADDSUBPSr132m
-    1141920830U,	// VFMADDSUBPSr132mY
-    1141920830U,	// VFMADDSUBPSr132r
-    1141920830U,	// VFMADDSUBPSr132rY
-    1141920994U,	// VFMADDSUBPSr213m
-    1141920994U,	// VFMADDSUBPSr213mY
-    1141920994U,	// VFMADDSUBPSr213r
-    1141920994U,	// VFMADDSUBPSr213rY
-    1141920744U,	// VFMADDSUBPSr231m
-    1141920744U,	// VFMADDSUBPSr231mY
-    1141920744U,	// VFMADDSUBPSr231r
-    1141920744U,	// VFMADDSUBPSr231rY
-    1141917136U,	// VFMSUB132PDZm
-    1141917136U,	// VFMSUB132PDZmb
-    1141920846U,	// VFMSUB132PSZm
-    1141920846U,	// VFMSUB132PSZmb
-    1141917289U,	// VFMSUB213PDZm
-    1141917289U,	// VFMSUB213PDZmb
-    1141917289U,	// VFMSUB213PDZr
-    1141921010U,	// VFMSUB213PSZm
-    1141921010U,	// VFMSUB213PSZmb
-    1141921010U,	// VFMSUB213PSZr
-    1141917163U,	// VFMSUBADD132PDZm
-    1141917163U,	// VFMSUBADD132PDZmb
-    1141920873U,	// VFMSUBADD132PSZm
-    1141920873U,	// VFMSUBADD132PSZmb
-    1141917316U,	// VFMSUBADD213PDZm
-    1141917316U,	// VFMSUBADD213PDZmb
-    1141917316U,	// VFMSUBADD213PDZr
-    1141921037U,	// VFMSUBADD213PSZm
-    1141921037U,	// VFMSUBADD213PSZmb
-    1141921037U,	// VFMSUBADD213PSZr
-    1209026346U,	// VFMSUBADDPD4mr
-    1209026346U,	// VFMSUBADDPD4mrY
-    1209026346U,	// VFMSUBADDPD4rm
-    1209026346U,	// VFMSUBADDPD4rmY
-    1209026346U,	// VFMSUBADDPD4rr
-    1209026346U,	// VFMSUBADDPD4rrY
-    1209026346U,	// VFMSUBADDPD4rrY_REV
-    1209026346U,	// VFMSUBADDPD4rr_REV
-    1141917163U,	// VFMSUBADDPDr132m
-    1141917163U,	// VFMSUBADDPDr132mY
-    1141917163U,	// VFMSUBADDPDr132r
-    1141917163U,	// VFMSUBADDPDr132rY
-    1141917316U,	// VFMSUBADDPDr213m
-    1141917316U,	// VFMSUBADDPDr213mY
-    1141917316U,	// VFMSUBADDPDr213r
-    1141917316U,	// VFMSUBADDPDr213rY
-    1141917077U,	// VFMSUBADDPDr231m
-    1141917077U,	// VFMSUBADDPDr231mY
-    1141917077U,	// VFMSUBADDPDr231r
-    1141917077U,	// VFMSUBADDPDr231rY
-    1209030059U,	// VFMSUBADDPS4mr
-    1209030059U,	// VFMSUBADDPS4mrY
-    1209030059U,	// VFMSUBADDPS4rm
-    1209030059U,	// VFMSUBADDPS4rmY
-    1209030059U,	// VFMSUBADDPS4rr
-    1209030059U,	// VFMSUBADDPS4rrY
-    1209030059U,	// VFMSUBADDPS4rrY_REV
-    1209030059U,	// VFMSUBADDPS4rr_REV
-    1141920873U,	// VFMSUBADDPSr132m
-    1141920873U,	// VFMSUBADDPSr132mY
-    1141920873U,	// VFMSUBADDPSr132r
-    1141920873U,	// VFMSUBADDPSr132rY
-    1141921037U,	// VFMSUBADDPSr213m
-    1141921037U,	// VFMSUBADDPSr213mY
-    1141921037U,	// VFMSUBADDPSr213r
-    1141921037U,	// VFMSUBADDPSr213rY
-    1141920787U,	// VFMSUBADDPSr231m
-    1141920787U,	// VFMSUBADDPSr231mY
-    1141920787U,	// VFMSUBADDPSr231r
-    1141920787U,	// VFMSUBADDPSr231rY
-    1209026317U,	// VFMSUBPD4mr
-    1209026317U,	// VFMSUBPD4mrY
-    1209026317U,	// VFMSUBPD4rm
-    1209026317U,	// VFMSUBPD4rmY
-    1209026317U,	// VFMSUBPD4rr
-    1209026317U,	// VFMSUBPD4rrY
-    1209026317U,	// VFMSUBPD4rrY_REV
-    1209026317U,	// VFMSUBPD4rr_REV
-    1141917136U,	// VFMSUBPDr132m
-    1141917136U,	// VFMSUBPDr132mY
-    1141917136U,	// VFMSUBPDr132r
-    1141917136U,	// VFMSUBPDr132rY
-    1141917289U,	// VFMSUBPDr213m
-    1141917289U,	// VFMSUBPDr213mY
-    1141917289U,	// VFMSUBPDr213r
-    1141917289U,	// VFMSUBPDr213rY
-    1141917050U,	// VFMSUBPDr231m
-    1141917050U,	// VFMSUBPDr231mY
-    1141917050U,	// VFMSUBPDr231r
-    1141917050U,	// VFMSUBPDr231rY
-    1209030030U,	// VFMSUBPS4mr
-    1209030030U,	// VFMSUBPS4mrY
-    1209030030U,	// VFMSUBPS4rm
-    1209030030U,	// VFMSUBPS4rmY
-    1209030030U,	// VFMSUBPS4rr
-    1209030030U,	// VFMSUBPS4rrY
-    1209030030U,	// VFMSUBPS4rrY_REV
-    1209030030U,	// VFMSUBPS4rr_REV
-    1141920846U,	// VFMSUBPSr132m
-    1141920846U,	// VFMSUBPSr132mY
-    1141920846U,	// VFMSUBPSr132r
-    1141920846U,	// VFMSUBPSr132rY
-    1141921010U,	// VFMSUBPSr213m
-    1141921010U,	// VFMSUBPSr213mY
-    1141921010U,	// VFMSUBPSr213r
-    1141921010U,	// VFMSUBPSr213rY
-    1141920760U,	// VFMSUBPSr231m
-    1141920760U,	// VFMSUBPSr231mY
-    1141920760U,	// VFMSUBPSr231r
-    1141920760U,	// VFMSUBPSr231rY
-    1209027079U,	// VFMSUBSD4mr
-    1209027079U,	// VFMSUBSD4mr_Int
-    1209027079U,	// VFMSUBSD4rm
-    1209027079U,	// VFMSUBSD4rm_Int
-    1209027079U,	// VFMSUBSD4rr
-    1209027079U,	// VFMSUBSD4rr_Int
-    1209027079U,	// VFMSUBSD4rr_REV
-    1141918109U,	// VFMSUBSDZm
-    1141918109U,	// VFMSUBSDZr
-    1141918021U,	// VFMSUBSDr132m
-    1141918021U,	// VFMSUBSDr132r
-    1141918109U,	// VFMSUBSDr213m
-    1141918109U,	// VFMSUBSDr213m_Int
-    1141918109U,	// VFMSUBSDr213r
-    1141918109U,	// VFMSUBSDr213r_Int
-    1141917967U,	// VFMSUBSDr231m
-    1141917967U,	// VFMSUBSDr231r
-    1209030733U,	// VFMSUBSS4mr
-    1209030733U,	// VFMSUBSS4mr_Int
-    1209030733U,	// VFMSUBSS4rm
-    1209030733U,	// VFMSUBSS4rm_Int
-    1209030733U,	// VFMSUBSS4rr
-    1209030733U,	// VFMSUBSS4rr_Int
-    1209030733U,	// VFMSUBSS4rr_REV
-    1141921771U,	// VFMSUBSSZm
-    1141921771U,	// VFMSUBSSZr
-    1141921683U,	// VFMSUBSSr132m
-    1141921683U,	// VFMSUBSSr132r
-    1141921771U,	// VFMSUBSSr213m
-    1141921771U,	// VFMSUBSSr213m_Int
-    1141921771U,	// VFMSUBSSr213r
-    1141921771U,	// VFMSUBSSr213r_Int
-    1141921629U,	// VFMSUBSSr231m
-    1141921629U,	// VFMSUBSSr231r
-    1141917192U,	// VFNMADD132PDZm
-    1141917192U,	// VFNMADD132PDZmb
-    1141920902U,	// VFNMADD132PSZm
-    1141920902U,	// VFNMADD132PSZmb
-    1141917345U,	// VFNMADD213PDZm
-    1141917345U,	// VFNMADD213PDZmb
-    1141917345U,	// VFNMADD213PDZr
-    1141921066U,	// VFNMADD213PSZm
-    1141921066U,	// VFNMADD213PSZmb
-    1141921066U,	// VFNMADD213PSZr
-    1209026378U,	// VFNMADDPD4mr
-    1209026378U,	// VFNMADDPD4mrY
-    1209026378U,	// VFNMADDPD4rm
-    1209026378U,	// VFNMADDPD4rmY
-    1209026378U,	// VFNMADDPD4rr
-    1209026378U,	// VFNMADDPD4rrY
-    1209026378U,	// VFNMADDPD4rrY_REV
-    1209026378U,	// VFNMADDPD4rr_REV
-    1141917192U,	// VFNMADDPDr132m
-    1141917192U,	// VFNMADDPDr132mY
-    1141917192U,	// VFNMADDPDr132r
-    1141917192U,	// VFNMADDPDr132rY
-    1141917345U,	// VFNMADDPDr213m
-    1141917345U,	// VFNMADDPDr213mY
-    1141917345U,	// VFNMADDPDr213r
-    1141917345U,	// VFNMADDPDr213rY
-    1141917106U,	// VFNMADDPDr231m
-    1141917106U,	// VFNMADDPDr231mY
-    1141917106U,	// VFNMADDPDr231r
-    1141917106U,	// VFNMADDPDr231rY
-    1209030091U,	// VFNMADDPS4mr
-    1209030091U,	// VFNMADDPS4mrY
-    1209030091U,	// VFNMADDPS4rm
-    1209030091U,	// VFNMADDPS4rmY
-    1209030091U,	// VFNMADDPS4rr
-    1209030091U,	// VFNMADDPS4rrY
-    1209030091U,	// VFNMADDPS4rrY_REV
-    1209030091U,	// VFNMADDPS4rr_REV
-    1141920902U,	// VFNMADDPSr132m
-    1141920902U,	// VFNMADDPSr132mY
-    1141920902U,	// VFNMADDPSr132r
-    1141920902U,	// VFNMADDPSr132rY
-    1141921066U,	// VFNMADDPSr213m
-    1141921066U,	// VFNMADDPSr213mY
-    1141921066U,	// VFNMADDPSr213r
-    1141921066U,	// VFNMADDPSr213rY
-    1141920816U,	// VFNMADDPSr231m
-    1141920816U,	// VFNMADDPSr231mY
-    1141920816U,	// VFNMADDPSr231r
-    1141920816U,	// VFNMADDPSr231rY
-    1209027118U,	// VFNMADDSD4mr
-    1209027118U,	// VFNMADDSD4mr_Int
-    1209027118U,	// VFNMADDSD4rm
-    1209027118U,	// VFNMADDSD4rm_Int
-    1209027118U,	// VFNMADDSD4rr
-    1209027118U,	// VFNMADDSD4rr_Int
-    1209027118U,	// VFNMADDSD4rr_REV
-    1141918149U,	// VFNMADDSDZm
-    1141918149U,	// VFNMADDSDZr
-    1141918061U,	// VFNMADDSDr132m
-    1141918061U,	// VFNMADDSDr132r
-    1141918149U,	// VFNMADDSDr213m
-    1141918149U,	// VFNMADDSDr213m_Int
-    1141918149U,	// VFNMADDSDr213r
-    1141918149U,	// VFNMADDSDr213r_Int
-    1141918007U,	// VFNMADDSDr231m
-    1141918007U,	// VFNMADDSDr231r
-    1209030772U,	// VFNMADDSS4mr
-    1209030772U,	// VFNMADDSS4mr_Int
-    1209030772U,	// VFNMADDSS4rm
-    1209030772U,	// VFNMADDSS4rm_Int
-    1209030772U,	// VFNMADDSS4rr
-    1209030772U,	// VFNMADDSS4rr_Int
-    1209030772U,	// VFNMADDSS4rr_REV
-    1141921811U,	// VFNMADDSSZm
-    1141921811U,	// VFNMADDSSZr
-    1141921723U,	// VFNMADDSSr132m
-    1141921723U,	// VFNMADDSSr132r
-    1141921811U,	// VFNMADDSSr213m
-    1141921811U,	// VFNMADDSSr213m_Int
-    1141921811U,	// VFNMADDSSr213r
-    1141921811U,	// VFNMADDSSr213r_Int
-    1141921669U,	// VFNMADDSSr231m
-    1141921669U,	// VFNMADDSSr231r
-    1141917149U,	// VFNMSUB132PDZm
-    1141917149U,	// VFNMSUB132PDZmb
-    1141920859U,	// VFNMSUB132PSZm
-    1141920859U,	// VFNMSUB132PSZmb
-    1141917302U,	// VFNMSUB213PDZm
-    1141917302U,	// VFNMSUB213PDZmb
-    1141917302U,	// VFNMSUB213PDZr
-    1141921023U,	// VFNMSUB213PSZm
-    1141921023U,	// VFNMSUB213PSZmb
-    1141921023U,	// VFNMSUB213PSZr
-    1209026327U,	// VFNMSUBPD4mr
-    1209026327U,	// VFNMSUBPD4mrY
-    1209026327U,	// VFNMSUBPD4rm
-    1209026327U,	// VFNMSUBPD4rmY
-    1209026327U,	// VFNMSUBPD4rr
-    1209026327U,	// VFNMSUBPD4rrY
-    1209026327U,	// VFNMSUBPD4rrY_REV
-    1209026327U,	// VFNMSUBPD4rr_REV
-    1141917149U,	// VFNMSUBPDr132m
-    1141917149U,	// VFNMSUBPDr132mY
-    1141917149U,	// VFNMSUBPDr132r
-    1141917149U,	// VFNMSUBPDr132rY
-    1141917302U,	// VFNMSUBPDr213m
-    1141917302U,	// VFNMSUBPDr213mY
-    1141917302U,	// VFNMSUBPDr213r
-    1141917302U,	// VFNMSUBPDr213rY
-    1141917063U,	// VFNMSUBPDr231m
-    1141917063U,	// VFNMSUBPDr231mY
-    1141917063U,	// VFNMSUBPDr231r
-    1141917063U,	// VFNMSUBPDr231rY
-    1209030040U,	// VFNMSUBPS4mr
-    1209030040U,	// VFNMSUBPS4mrY
-    1209030040U,	// VFNMSUBPS4rm
-    1209030040U,	// VFNMSUBPS4rmY
-    1209030040U,	// VFNMSUBPS4rr
-    1209030040U,	// VFNMSUBPS4rrY
-    1209030040U,	// VFNMSUBPS4rrY_REV
-    1209030040U,	// VFNMSUBPS4rr_REV
-    1141920859U,	// VFNMSUBPSr132m
-    1141920859U,	// VFNMSUBPSr132mY
-    1141920859U,	// VFNMSUBPSr132r
-    1141920859U,	// VFNMSUBPSr132rY
-    1141921023U,	// VFNMSUBPSr213m
-    1141921023U,	// VFNMSUBPSr213mY
-    1141921023U,	// VFNMSUBPSr213r
-    1141921023U,	// VFNMSUBPSr213rY
-    1141920773U,	// VFNMSUBPSr231m
-    1141920773U,	// VFNMSUBPSr231mY
-    1141920773U,	// VFNMSUBPSr231r
-    1141920773U,	// VFNMSUBPSr231rY
-    1209027089U,	// VFNMSUBSD4mr
-    1209027089U,	// VFNMSUBSD4mr_Int
-    1209027089U,	// VFNMSUBSD4rm
-    1209027089U,	// VFNMSUBSD4rm_Int
-    1209027089U,	// VFNMSUBSD4rr
-    1209027089U,	// VFNMSUBSD4rr_Int
-    1209027089U,	// VFNMSUBSD4rr_REV
-    1141918122U,	// VFNMSUBSDZm
-    1141918122U,	// VFNMSUBSDZr
-    1141918034U,	// VFNMSUBSDr132m
-    1141918034U,	// VFNMSUBSDr132r
-    1141918122U,	// VFNMSUBSDr213m
-    1141918122U,	// VFNMSUBSDr213m_Int
-    1141918122U,	// VFNMSUBSDr213r
-    1141918122U,	// VFNMSUBSDr213r_Int
-    1141917980U,	// VFNMSUBSDr231m
-    1141917980U,	// VFNMSUBSDr231r
-    1209030743U,	// VFNMSUBSS4mr
-    1209030743U,	// VFNMSUBSS4mr_Int
-    1209030743U,	// VFNMSUBSS4rm
-    1209030743U,	// VFNMSUBSS4rm_Int
-    1209030743U,	// VFNMSUBSS4rr
-    1209030743U,	// VFNMSUBSS4rr_Int
-    1209030743U,	// VFNMSUBSS4rr_REV
-    1141921784U,	// VFNMSUBSSZm
-    1141921784U,	// VFNMSUBSSZr
-    1141921696U,	// VFNMSUBSSr132m
-    1141921696U,	// VFNMSUBSSr132r
-    1141921784U,	// VFNMSUBSSr213m
-    1141921784U,	// VFNMSUBSSr213m_Int
-    1141921784U,	// VFNMSUBSSr213r
-    1141921784U,	// VFNMSUBSSr213r_Int
-    1141921642U,	// VFNMSUBSSr231m
-    1141921642U,	// VFNMSUBSSr231r
-    537938078U,	// VFRCZPDrm
-    806373534U,	// VFRCZPDrmY
-    135284894U,	// VFRCZPDrr
-    135284894U,	// VFRCZPDrrY
-    537941844U,	// VFRCZPSrm
-    806377300U,	// VFRCZPSrmY
-    135288660U,	// VFRCZPSrr
-    135288660U,	// VFRCZPSrrY
-    571493069U,	// VFRCZSDrm
-    135285453U,	// VFRCZSDrr
-    605051155U,	// VFRCZSSrm
-    135289107U,	// VFRCZSSrr
-    1209026570U,	// VFsANDNPDrm
-    1209026570U,	// VFsANDNPDrr
-    1209030295U,	// VFsANDNPSrm
-    1209030295U,	// VFsANDNPSrr
-    1209026397U,	// VFsANDPDrm
-    1209026397U,	// VFsANDPDrr
-    1209030110U,	// VFsANDPSrm
-    1209030110U,	// VFsANDPSrr
-    1209026627U,	// VFsORPDrm
-    1209026627U,	// VFsORPDrr
-    1209030360U,	// VFsORPSrm
-    1209030360U,	// VFsORPSrr
-    1209026634U,	// VFsXORPDrm
-    1209026634U,	// VFsXORPDrr
-    1209030367U,	// VFsXORPSrm
-    1209030367U,	// VFsXORPSrr
-    907036537U,	// VGATHERDPDYrm
-    2033207161U,	// VGATHERDPDZrm
-    907036537U,	// VGATHERDPDrm
-    974149114U,	// VGATHERDPSYrm
-    3106952698U,	// VGATHERDPSZrm
-    974149114U,	// VGATHERDPSrm
-    907036714U,	// VGATHERQPDYrm
-    2033207338U,	// VGATHERQPDZrm
-    907036714U,	// VGATHERQPDrm
-    974149311U,	// VGATHERQPSYrm
-    2033211071U,	// VGATHERQPSZrm
-    974149311U,	// VGATHERQPSrm
-    1209026359U,	// VHADDPDYrm
-    1209026359U,	// VHADDPDYrr
-    1209026359U,	// VHADDPDrm
-    1209026359U,	// VHADDPDrr
-    1209030072U,	// VHADDPSYrm
-    1209030072U,	// VHADDPSYrr
-    1209030072U,	// VHADDPSrm
-    1209030072U,	// VHADDPSrr
-    1209026308U,	// VHSUBPDYrm
-    1209026308U,	// VHSUBPDYrr
-    1209026308U,	// VHSUBPDrm
-    1209026308U,	// VHSUBPDrr
-    1209030021U,	// VHSUBPSYrm
-    1209030021U,	// VHSUBPSYrr
-    1209030021U,	// VHSUBPSrm
-    1209030021U,	// VHSUBPSrr
-    1209024871U,	// VINSERTF128rm
-    1209024871U,	// VINSERTF128rr
-    1209024744U,	// VINSERTF32x4rm
-    1209024744U,	// VINSERTF32x4rr
-    1209024802U,	// VINSERTF64x4rm
-    1209024802U,	// VINSERTF64x4rr
-    1209024926U,	// VINSERTI128rm
-    1209024926U,	// VINSERTI128rr
-    1209024773U,	// VINSERTI32x4rm
-    1209024773U,	// VINSERTI32x4rr
-    1209024831U,	// VINSERTI64x4rm
-    1209024831U,	// VINSERTI64x4rr
-    1209030397U,	// VINSERTPSrm
-    1209030397U,	// VINSERTPSrr
-    1209030397U,	// VINSERTPSzrm
-    1209030397U,	// VINSERTPSzrr
-    739269121U,	// VLDDQUYrm
-    336615937U,	// VLDDQUrm
-    70511U,	// VLDMXCSR
-    135289353U,	// VMASKMOVDQU
-    135289353U,	// VMASKMOVDQU64
-    873891978U,	// VMASKMOVPDYmr
-    1209026698U,	// VMASKMOVPDYrm
-    873875594U,	// VMASKMOVPDmr
-    1209026698U,	// VMASKMOVPDrm
-    873895744U,	// VMASKMOVPSYmr
-    1209030464U,	// VMASKMOVPSYrm
-    873879360U,	// VMASKMOVPSmr
-    1209030464U,	// VMASKMOVPSrm
-    1209026710U,	// VMAXCPDYrm
-    1209026710U,	// VMAXCPDYrr
-    1209026710U,	// VMAXCPDrm
-    1209026710U,	// VMAXCPDrr
-    1209030476U,	// VMAXCPSYrm
-    1209030476U,	// VMAXCPSYrr
-    1209030476U,	// VMAXCPSrm
-    1209030476U,	// VMAXCPSrr
-    1209027269U,	// VMAXCSDrm
-    1209027269U,	// VMAXCSDrr
-    1209030923U,	// VMAXCSSrm
-    1209030923U,	// VMAXCSSrr
-    1209026710U,	// VMAXPDYrm
-    1209026710U,	// VMAXPDYrr
-    1209026710U,	// VMAXPDZrm
-    1209026710U,	// VMAXPDZrmb
-    1209026710U,	// VMAXPDZrr
-    1209026710U,	// VMAXPDrm
-    1209026710U,	// VMAXPDrr
-    1209030476U,	// VMAXPSYrm
-    1209030476U,	// VMAXPSYrr
-    1209030476U,	// VMAXPSZrm
-    1209030476U,	// VMAXPSZrmb
-    1209030476U,	// VMAXPSZrr
-    1209030476U,	// VMAXPSrm
-    1209030476U,	// VMAXPSrr
-    1209027269U,	// VMAXSDZrm
-    1209027269U,	// VMAXSDZrr
-    1209027269U,	// VMAXSDrm
-    1209027269U,	// VMAXSDrm_Int
-    1209027269U,	// VMAXSDrr
-    1209027269U,	// VMAXSDrr_Int
-    1209030923U,	// VMAXSSZrm
-    1209030923U,	// VMAXSSZrr
-    1209030923U,	// VMAXSSrm
-    1209030923U,	// VMAXSSrm_Int
-    1209030923U,	// VMAXSSrr
-    1209030923U,	// VMAXSSrr_Int
-    10266U,	// VMCALL
-    86787U,	// VMCLEARm
-    9949U,	// VMFUNC
-    1209026579U,	// VMINCPDYrm
-    1209026579U,	// VMINCPDYrr
-    1209026579U,	// VMINCPDrm
-    1209026579U,	// VMINCPDrr
-    1209030304U,	// VMINCPSYrm
-    1209030304U,	// VMINCPSYrr
-    1209030304U,	// VMINCPSrm
-    1209030304U,	// VMINCPSrr
-    1209027196U,	// VMINCSDrm
-    1209027196U,	// VMINCSDrr
-    1209030841U,	// VMINCSSrm
-    1209030841U,	// VMINCSSrr
-    1209026579U,	// VMINPDYrm
-    1209026579U,	// VMINPDYrr
-    1209026579U,	// VMINPDZrm
-    1209026579U,	// VMINPDZrmb
-    1209026579U,	// VMINPDZrr
-    1209026579U,	// VMINPDrm
-    1209026579U,	// VMINPDrr
-    1209030304U,	// VMINPSYrm
-    1209030304U,	// VMINPSYrr
-    1209030304U,	// VMINPSZrm
-    1209030304U,	// VMINPSZrmb
-    1209030304U,	// VMINPSZrr
-    1209030304U,	// VMINPSrm
-    1209030304U,	// VMINPSrr
-    1209027196U,	// VMINSDZrm
-    1209027196U,	// VMINSDZrr
-    1209027196U,	// VMINSDrm
-    1209027196U,	// VMINSDrm_Int
-    1209027196U,	// VMINSDrr
-    1209027196U,	// VMINSDrr_Int
-    1209030841U,	// VMINSSZrm
-    1209030841U,	// VMINSSZrr
-    1209030841U,	// VMINSSrm
-    1209030841U,	// VMINSSrm_Int
-    1209030841U,	// VMINSSrr
-    1209030841U,	// VMINSSrr_Int
-    10194U,	// VMLAUNCH
-    10891U,	// VMLOAD32
-    10946U,	// VMLOAD64
-    10258U,	// VMMCALL
-    135287505U,	// VMOV64toPQIZrr
-    135287505U,	// VMOV64toPQIrr
-    135287505U,	// VMOV64toSDZrr
-    168841937U,	// VMOV64toSDrm
-    135287505U,	// VMOV64toSDrr
-    427739U,	// VMOVAPDYmr
-    806373083U,	// VMOVAPDYrm
-    135284443U,	// VMOVAPDYrr
-    135284443U,	// VMOVAPDYrr_REV
-    460507U,	// VMOVAPDZmr
-    839927515U,	// VMOVAPDZrm
-    87049947U,	// VMOVAPDZrmk
-    135284443U,	// VMOVAPDZrr
-    87049947U,	// VMOVAPDZrrk
-    411355U,	// VMOVAPDmr
-    537937627U,	// VMOVAPDrm
-    135284443U,	// VMOVAPDrr
-    135284443U,	// VMOVAPDrr_REV
-    431460U,	// VMOVAPSYmr
-    806376804U,	// VMOVAPSYrm
-    135288164U,	// VMOVAPSYrr
-    135288164U,	// VMOVAPSYrr_REV
-    464228U,	// VMOVAPSZmr
-    839931236U,	// VMOVAPSZrm
-    87053668U,	// VMOVAPSZrmk
-    135288164U,	// VMOVAPSZrr
-    87053668U,	// VMOVAPSZrrk
-    415076U,	// VMOVAPSmr
-    537941348U,	// VMOVAPSrm
-    135288164U,	// VMOVAPSrr
-    135288164U,	// VMOVAPSrr_REV
-    806375344U,	// VMOVDDUPYrm
-    135286704U,	// VMOVDDUPYrr
-    839929776U,	// VMOVDDUPZrm
-    135286704U,	// VMOVDDUPZrr
-    571494320U,	// VMOVDDUPrm
-    135286704U,	// VMOVDDUPrr
-    101731150U,	// VMOVDI2PDIZrm
-    135285582U,	// VMOVDI2PDIZrr
-    101731150U,	// VMOVDI2PDIrm
-    135285582U,	// VMOVDI2PDIrr
-    101731150U,	// VMOVDI2SSZrm
-    135285582U,	// VMOVDI2SSZrr
-    101731150U,	// VMOVDI2SSrm
-    135285582U,	// VMOVDI2SSrr
-    475203U,	// VMOVDQA32mr
-    772816963U,	// VMOVDQA32rm
-    135282755U,	// VMOVDQA32rr
-    475289U,	// VMOVDQA64mr
-    772817049U,	// VMOVDQA64rm
-    135282841U,	// VMOVDQA64rr
-    442831U,	// VMOVDQAYmr
-    739262927U,	// VMOVDQAYrm
-    135283151U,	// VMOVDQAYrr
-    135283151U,	// VMOVDQAYrr_REV
-    1245647U,	// VMOVDQAmr
-    336609743U,	// VMOVDQArm
-    135283151U,	// VMOVDQArr
-    135283151U,	// VMOVDQArr_REV
-    475221U,	// VMOVDQU32mr
-    772816981U,	// VMOVDQU32rm
-    87048277U,	// VMOVDQU32rmk
-    135282773U,	// VMOVDQU32rr
-    87048277U,	// VMOVDQU32rrk
-    475331U,	// VMOVDQU64mr
-    772817091U,	// VMOVDQU64rm
-    87048387U,	// VMOVDQU64rmk
-    135282883U,	// VMOVDQU64rr
-    87048387U,	// VMOVDQU64rrk
-    449046U,	// VMOVDQUYmr
-    739269142U,	// VMOVDQUYrm
-    135289366U,	// VMOVDQUYrr
-    135289366U,	// VMOVDQUYrr_REV
-    1251862U,	// VMOVDQUmr
-    336615958U,	// VMOVDQUrm
-    135289366U,	// VMOVDQUrr
-    135289366U,	// VMOVDQUrr_REV
-    1209030226U,	// VMOVHLPSZrr
-    1209030226U,	// VMOVHLPSrr
-    1181619U,	// VMOVHPDmr
-    1209026483U,	// VMOVHPDrm
-    1185342U,	// VMOVHPSmr
-    1209030206U,	// VMOVHPSrm
-    1209030196U,	// VMOVLHPSZrr
-    1209030196U,	// VMOVLHPSrr
-    1181669U,	// VMOVLPDmr
-    1209026533U,	// VMOVLPDrm
-    1185402U,	// VMOVLPSmr
-    1209030266U,	// VMOVLPSrm
-    1135313U,	// VMOVLQ128mr
-    135284668U,	// VMOVMSKPDYrr
-    135284668U,	// VMOVMSKPDrr
-    135288391U,	// VMOVMSKPSYrr
-    135288391U,	// VMOVMSKPSrr
-    739262916U,	// VMOVNTDQAYrm
-    336609732U,	// VMOVNTDQArm
-    430370U,	// VMOVNTDQYmr
-    413986U,	// VMOVNTDQmr
-    428114U,	// VMOVNTPDYmr
-    411730U,	// VMOVNTPDmr
-    431859U,	// VMOVNTPSYmr
-    415475U,	// VMOVNTPSmr
-    1117006U,	// VMOVPDI2DIZmr
-    135285582U,	// VMOVPDI2DIZrr
-    1117006U,	// VMOVPDI2DImr
-    135285582U,	// VMOVPDI2DIrr
-    1135313U,	// VMOVPQI2QImr
-    1135313U,	// VMOVPQIto64Zmr
-    135287505U,	// VMOVPQIto64Zrr
-    135287505U,	// VMOVPQIto64rr
-    168841937U,	// VMOVQI2PQIZrm
-    168841937U,	// VMOVQI2PQIrm
-    1182388U,	// VMOVSDZmr
-    571493044U,	// VMOVSDZrm
-    1209027252U,	// VMOVSDZrr
-    1209027252U,	// VMOVSDZrr_REV
-    1182388U,	// VMOVSDmr
-    571493044U,	// VMOVSDrm
-    1209027252U,	// VMOVSDrr
-    1209027252U,	// VMOVSDrr_REV
-    1135313U,	// VMOVSDto64Zmr
-    135287505U,	// VMOVSDto64Zrr
-    1135313U,	// VMOVSDto64mr
-    135287505U,	// VMOVSDto64rr
-    806375354U,	// VMOVSHDUPYrm
-    135286714U,	// VMOVSHDUPYrr
-    839929786U,	// VMOVSHDUPZrm
-    135286714U,	// VMOVSHDUPZrr
-    537939898U,	// VMOVSHDUPrm
-    135286714U,	// VMOVSHDUPrr
-    806375365U,	// VMOVSLDUPYrm
-    135286725U,	// VMOVSLDUPYrr
-    839929797U,	// VMOVSLDUPZrm
-    135286725U,	// VMOVSLDUPZrr
-    537939909U,	// VMOVSLDUPrm
-    135286725U,	// VMOVSLDUPrr
-    1117006U,	// VMOVSS2DIZmr
-    135285582U,	// VMOVSS2DIZrr
-    1117006U,	// VMOVSS2DImr
-    135285582U,	// VMOVSS2DIrr
-    1169667U,	// VMOVSSZmr
-    605051139U,	// VMOVSSZrm
-    1209030915U,	// VMOVSSZrr
-    1209030915U,	// VMOVSSZrr_REV
-    1169667U,	// VMOVSSmr
-    605051139U,	// VMOVSSrm
-    1209030915U,	// VMOVSSrr
-    1209030915U,	// VMOVSSrr_REV
-    428142U,	// VMOVUPDYmr
-    806373486U,	// VMOVUPDYrm
-    135284846U,	// VMOVUPDYrr
-    135284846U,	// VMOVUPDYrr_REV
-    460910U,	// VMOVUPDZmr
-    839927918U,	// VMOVUPDZrm
-    87050350U,	// VMOVUPDZrmk
-    135284846U,	// VMOVUPDZrr
-    87050350U,	// VMOVUPDZrrk
-    411758U,	// VMOVUPDmr
-    537938030U,	// VMOVUPDrm
-    135284846U,	// VMOVUPDrr
-    135284846U,	// VMOVUPDrr_REV
-    431908U,	// VMOVUPSYmr
-    806377252U,	// VMOVUPSYrm
-    135288612U,	// VMOVUPSYrr
-    135288612U,	// VMOVUPSYrr_REV
-    464676U,	// VMOVUPSZmr
-    839931684U,	// VMOVUPSZrm
-    87054116U,	// VMOVUPSZrmk
-    135288612U,	// VMOVUPSZrr
-    87054116U,	// VMOVUPSZrrk
-    415524U,	// VMOVUPSmr
-    537941796U,	// VMOVUPSrm
-    135288612U,	// VMOVUPSrr
-    135288612U,	// VMOVUPSrr_REV
-    336614097U,	// VMOVZPQILo2PQIZrm
-    135287505U,	// VMOVZPQILo2PQIZrr
-    336614097U,	// VMOVZPQILo2PQIrm
-    135287505U,	// VMOVZPQILo2PQIrr
-    168841937U,	// VMOVZQI2PQIrm
-    135287505U,	// VMOVZQI2PQIrr
-    1209031263U,	// VMPSADBWYrmi
-    1209031263U,	// VMPSADBWYrri
-    1209031263U,	// VMPSADBWrmi
-    1209031263U,	// VMPSADBWrri
-    83211U,	// VMPTRLDm
-    88557U,	// VMPTRSTm
-    1115119U,	// VMREAD32rm
-    135283695U,	// VMREAD32rr
-    1131503U,	// VMREAD64rm
-    135283695U,	// VMREAD64rr
-    10104U,	// VMRESUME
-    10913U,	// VMRUN32
-    10968U,	// VMRUN64
-    10902U,	// VMSAVE32
-    10957U,	// VMSAVE64
-    1209026525U,	// VMULPDYrm
-    1209026525U,	// VMULPDYrr
-    1209026525U,	// VMULPDZrm
-    1209026525U,	// VMULPDZrmb
-    1209026525U,	// VMULPDZrr
-    1209026525U,	// VMULPDrm
-    1209026525U,	// VMULPDrr
-    1209030258U,	// VMULPSYrm
-    1209030258U,	// VMULPSYrr
-    1209030258U,	// VMULPSZrm
-    1209030258U,	// VMULPSZrmb
-    1209030258U,	// VMULPSZrr
-    1209030258U,	// VMULPSrm
-    1209030258U,	// VMULPSrr
-    1209027179U,	// VMULSDZrm
-    1209027179U,	// VMULSDZrr
-    1209027179U,	// VMULSDrm
-    1209027179U,	// VMULSDrm_Int
-    1209027179U,	// VMULSDrr
-    1209027179U,	// VMULSDrr_Int
-    1209030833U,	// VMULSSZrm
-    1209030833U,	// VMULSSZrr
-    1209030833U,	// VMULSSrm
-    1209030833U,	// VMULSSrm_Int
-    1209030833U,	// VMULSSrr
-    1209030833U,	// VMULSSrr_Int
-    101731477U,	// VMWRITE32rm
-    135285909U,	// VMWRITE32rr
-    168840341U,	// VMWRITE64rm
-    135285909U,	// VMWRITE64rr
-    10161U,	// VMXOFF
-    85727U,	// VMXON
-    1209026627U,	// VORPDYrm
-    1209026627U,	// VORPDYrr
-    1209026627U,	// VORPDrm
-    1209026627U,	// VORPDrr
-    1209030360U,	// VORPSYrm
-    1209030360U,	// VORPSYrr
-    1209030360U,	// VORPSrm
-    1209030360U,	// VORPSrr
-    336609975U,	// VPABSBrm128
-    739263159U,	// VPABSBrm256
-    135283383U,	// VPABSBrr128
-    135283383U,	// VPABSBrr256
-    772819455U,	// VPABSDrm
-    336611839U,	// VPABSDrm128
-    739265023U,	// VPABSDrm256
-    135285247U,	// VPABSDrr
-    135285247U,	// VPABSDrr128
-    135285247U,	// VPABSDrr256
-    772821550U,	// VPABSQrm
-    135287342U,	// VPABSQrr
-    336616501U,	// VPABSWrm128
-    739269685U,	// VPABSWrm256
-    135289909U,	// VPABSWrr128
-    135289909U,	// VPABSWrr256
-    1209031432U,	// VPACKSSDWYrm
-    1209031432U,	// VPACKSSDWYrr
-    1209031432U,	// VPACKSSDWrm
-    1209031432U,	// VPACKSSDWrr
-    1209025377U,	// VPACKSSWBYrm
-    1209025377U,	// VPACKSSWBYrr
-    1209025377U,	// VPACKSSWBrm
-    1209025377U,	// VPACKSSWBrr
-    1209031443U,	// VPACKUSDWYrm
-    1209031443U,	// VPACKUSDWYrr
-    1209031443U,	// VPACKUSDWrm
-    1209031443U,	// VPACKUSDWrr
-    1209025388U,	// VPACKUSWBYrm
-    1209025388U,	// VPACKUSWBYrr
-    1209025388U,	// VPACKUSWBrm
-    1209025388U,	// VPACKUSWBrr
-    1209025054U,	// VPADDBYrm
-    1209025054U,	// VPADDBYrr
-    1209025054U,	// VPADDBrm
-    1209025054U,	// VPADDBrr
-    1209025632U,	// VPADDDYrm
-    1209025632U,	// VPADDDYrr
-    1209025632U,	// VPADDDZrm
-    1209025632U,	// VPADDDZrmb
-    1209025632U,	// VPADDDZrr
-    1209025632U,	// VPADDDrm
-    1209025632U,	// VPADDDrr
-    1209028758U,	// VPADDQYrm
-    1209028758U,	// VPADDQYrr
-    1209028758U,	// VPADDQZrm
-    1209028758U,	// VPADDQZrmb
-    1209028758U,	// VPADDQZrr
-    1209028758U,	// VPADDQrm
-    1209028758U,	// VPADDQrr
-    1209025224U,	// VPADDSBYrm
-    1209025224U,	// VPADDSBYrr
-    1209025224U,	// VPADDSBrm
-    1209025224U,	// VPADDSBrr
-    1209031782U,	// VPADDSWYrm
-    1209031782U,	// VPADDSWYrr
-    1209031782U,	// VPADDSWrm
-    1209031782U,	// VPADDSWrr
-    1209025252U,	// VPADDUSBYrm
-    1209025252U,	// VPADDUSBYrr
-    1209025252U,	// VPADDUSBrm
-    1209025252U,	// VPADDUSBrr
-    1209031841U,	// VPADDUSWYrm
-    1209031841U,	// VPADDUSWYrr
-    1209031841U,	// VPADDUSWrm
-    1209031841U,	// VPADDUSWrr
-    1209031407U,	// VPADDWYrm
-    1209031407U,	// VPADDWYrr
-    1209031407U,	// VPADDWrm
-    1209031407U,	// VPADDWrr
-    1209029431U,	// VPALIGNR128rm
-    1209029431U,	// VPALIGNR128rr
-    1209029431U,	// VPALIGNR256rm
-    1209029431U,	// VPALIGNR256rr
-    1209025640U,	// VPANDDZrm
-    1209025640U,	// VPANDDZrmb
-    1209025640U,	// VPANDDZrr
-    1209025864U,	// VPANDNDZrm
-    1209025864U,	// VPANDNDZrmb
-    1209025864U,	// VPANDNDZrr
-    1209029056U,	// VPANDNQZrm
-    1209029056U,	// VPANDNQZrmb
-    1209029056U,	// VPANDNQZrr
-    1209028296U,	// VPANDNYrm
-    1209028296U,	// VPANDNYrr
-    1209028296U,	// VPANDNrm
-    1209028296U,	// VPANDNrr
-    1209028827U,	// VPANDQZrm
-    1209028827U,	// VPANDQZrmb
-    1209028827U,	// VPANDQZrr
-    1209025849U,	// VPANDYrm
-    1209025849U,	// VPANDYrr
-    1209025849U,	// VPANDrm
-    1209025849U,	// VPANDrr
-    1209025101U,	// VPAVGBYrm
-    1209025101U,	// VPAVGBYrr
-    1209025101U,	// VPAVGBrm
-    1209025101U,	// VPAVGBrr
-    1209031499U,	// VPAVGWYrm
-    1209031499U,	// VPAVGWYrr
-    1209031499U,	// VPAVGWrm
-    1209031499U,	// VPAVGWrr
-    1209025648U,	// VPBLENDDYrmi
-    1209025648U,	// VPBLENDDYrri
-    1209025648U,	// VPBLENDDrmi
-    1209025648U,	// VPBLENDDrri
-    1209025812U,	// VPBLENDMDZrm
-    1209025812U,	// VPBLENDMDZrm_Int
-    154158356U,	// VPBLENDMDZrr
-    154158356U,	// VPBLENDMDZrr_Int
-    1209029019U,	// VPBLENDMQZrm
-    1209029019U,	// VPBLENDMQZrm_Int
-    154161563U,	// VPBLENDMQZrr
-    154161563U,	// VPBLENDMQZrr_Int
-    1209025359U,	// VPBLENDVBYrm
-    1209025359U,	// VPBLENDVBYrr
-    1209025359U,	// VPBLENDVBrm
-    1209025359U,	// VPBLENDVBrr
-    1209031422U,	// VPBLENDWYrmi
-    1209031422U,	// VPBLENDWYrri
-    1209031422U,	// VPBLENDWrmi
-    1209031422U,	// VPBLENDWrri
-    504382232U,	// VPBROADCASTBYrm
-    135283480U,	// VPBROADCASTBYrr
-    504382232U,	// VPBROADCASTBrm
-    135283480U,	// VPBROADCASTBrr
-    101731061U,	// VPBROADCASTDYrm
-    135285493U,	// VPBROADCASTDYrr
-    3375385333U,	// VPBROADCASTDZkrm
-    3375385333U,	// VPBROADCASTDZkrr
-    101731061U,	// VPBROADCASTDZrm
-    135285493U,	// VPBROADCASTDZrr
-    3375385333U,	// VPBROADCASTDrZkrr
-    135285493U,	// VPBROADCASTDrZrr
-    101731061U,	// VPBROADCASTDrm
-    135285493U,	// VPBROADCASTDrr
-    135286766U,	// VPBROADCASTMB2Qrr
-    135283673U,	// VPBROADCASTMW2Drr
-    168841848U,	// VPBROADCASTQYrm
-    135287416U,	// VPBROADCASTQYrr
-    3375387256U,	// VPBROADCASTQZkrm
-    3375387256U,	// VPBROADCASTQZkrr
-    168841848U,	// VPBROADCASTQZrm
-    135287416U,	// VPBROADCASTQZrr
-    3375387256U,	// VPBROADCASTQrZkrr
-    135287416U,	// VPBROADCASTQrZrr
-    168841848U,	// VPBROADCASTQrm
-    135287416U,	// VPBROADCASTQrr
-    370171085U,	// VPBROADCASTWYrm
-    135290061U,	// VPBROADCASTWYrr
-    370171085U,	// VPBROADCASTWrm
-    135290061U,	// VPBROADCASTWrr
-    1209028861U,	// VPCLMULQDQrm
-    1209028861U,	// VPCLMULQDQrr
-    1209031229U,	// VPCMOVmr
-    1209031229U,	// VPCMOVmrY
-    1209031229U,	// VPCMOVrm
-    1209031229U,	// VPCMOVrmY
-    1209031229U,	// VPCMOVrr
-    1209031229U,	// VPCMOVrrY
-    1027844241U,	// VPCMPDZrmi
-    1209026542U,	// VPCMPDZrmi_alt
-    88336529U,	// VPCMPDZrri
-    1209026542U,	// VPCMPDZrri_alt
-    1209025149U,	// VPCMPEQBYrm
-    1209025149U,	// VPCMPEQBYrr
-    1209025149U,	// VPCMPEQBrm
-    1209025149U,	// VPCMPEQBrr
-    1209026727U,	// VPCMPEQDYrm
-    1209026727U,	// VPCMPEQDYrr
-    1209026727U,	// VPCMPEQDZrm
-    1209026727U,	// VPCMPEQDZrr
-    1209026727U,	// VPCMPEQDrm
-    1209026727U,	// VPCMPEQDrr
-    1209029088U,	// VPCMPEQQYrm
-    1209029088U,	// VPCMPEQQYrr
-    1209029088U,	// VPCMPEQQZrm
-    1209029088U,	// VPCMPEQQZrr
-    1209029088U,	// VPCMPEQQrm
-    1209029088U,	// VPCMPEQQrr
-    1209031629U,	// VPCMPEQWYrm
-    1209031629U,	// VPCMPEQWYrr
-    1209031629U,	// VPCMPEQWrm
-    1209031629U,	// VPCMPEQWrr
+    22495U,	// UCOM_Fr
+    11749U,	// UD2B
+    202396074U,	// UNPCKHPDrm
+    68178346U,	// UNPCKHPDrr
+    202399287U,	// UNPCKHPSrm
+    68181559U,	// UNPCKHPSrr
+    202396116U,	// UNPCKLPDrm
+    68178388U,	// UNPCKLPDrr
+    202399349U,	// UNPCKLPSrm
+    68181621U,	// UNPCKLPSrr
+    2651875207U,	// VAARG_64
+    2282770800U,	// VADDPDYrm
+    2282770800U,	// VADDPDYrr
+    2282766968U,	// VADDPDZrm
+    2282766968U,	// VADDPDZrmb
+    2282766968U,	// VADDPDZrr
+    2282770800U,	// VADDPDrm
+    2282770800U,	// VADDPDrr
+    2282774013U,	// VADDPSYrm
+    2282774013U,	// VADDPSYrr
+    2282768617U,	// VADDPSZrm
+    2282768617U,	// VADDPSZrmb
+    2282768617U,	// VADDPSZrr
+    2282774013U,	// VADDPSrm
+    2282774013U,	// VADDPSrr
+    2282771388U,	// VADDSDZrm
+    2282771388U,	// VADDSDZrr
+    2282771388U,	// VADDSDrm
+    2282771388U,	// VADDSDrm_Int
+    2282771388U,	// VADDSDrr
+    2282771388U,	// VADDSDrr_Int
+    2282774600U,	// VADDSSZrm
+    2282774600U,	// VADDSSZrr
+    2282774600U,	// VADDSSrm
+    2282774600U,	// VADDSSrm_Int
+    2282774600U,	// VADDSSrr
+    2282774600U,	// VADDSSrr_Int
+    2282770708U,	// VADDSUBPDYrm
+    2282770708U,	// VADDSUBPDYrr
+    2282770708U,	// VADDSUBPDrm
+    2282770708U,	// VADDSUBPDrr
+    2282773921U,	// VADDSUBPSYrm
+    2282773921U,	// VADDSUBPSYrr
+    2282773921U,	// VADDSUBPSrm
+    2282773921U,	// VADDSUBPSrr
+    2282774883U,	// VAESDECLASTrm
+    2282774883U,	// VAESDECLASTrr
+    2282769993U,	// VAESDECrm
+    2282769993U,	// VAESDECrr
+    2282774896U,	// VAESENCLASTrm
+    2282774896U,	// VAESENCLASTrr
+    2282770033U,	// VAESENCrm
+    2282770033U,	// VAESENCrr
+    336612968U,	// VAESIMCrm
+    135286376U,	// VAESIMCrr
+    2484101520U,	// VAESKEYGENASSIST128rm
+    2282774928U,	// VAESKEYGENASSIST128rr
+    2282766646U,	// VALIGNDrmi
+    2282766646U,	// VALIGNDrri
+    2282768097U,	// VALIGNQrmi
+    2282768097U,	// VALIGNQrri
+    2282770944U,	// VANDNPDYrm
+    2282770944U,	// VANDNPDYrr
+    2282770944U,	// VANDNPDrm
+    2282770944U,	// VANDNPDrr
+    2282774169U,	// VANDNPSYrm
+    2282774169U,	// VANDNPSYrr
+    2282774169U,	// VANDNPSrm
+    2282774169U,	// VANDNPSrr
+    2282770808U,	// VANDPDYrm
+    2282770808U,	// VANDPDYrr
+    2282770808U,	// VANDPDrm
+    2282770808U,	// VANDPDrr
+    2282774021U,	// VANDPSYrm
+    2282774021U,	// VANDPSYrr
+    2282774021U,	// VANDPSrm
+    2282774021U,	// VANDPSrr
+    2282776489U,	// VASTART_SAVE_XMM_REGS
+    155206345U,	// VBLENDMPDZrm
+    155206345U,	// VBLENDMPDZrr
+    155207994U,	// VBLENDMPSZrm
+    155207994U,	// VBLENDMPSZrr
+    2282770816U,	// VBLENDPDYrmi
+    2282770816U,	// VBLENDPDYrri
+    2282770816U,	// VBLENDPDrmi
+    2282770816U,	// VBLENDPDrri
+    2282774029U,	// VBLENDPSYrmi
+    2282774029U,	// VBLENDPSYrri
+    2282774029U,	// VBLENDPSrmi
+    2282774029U,	// VBLENDPSrri
+    2282771040U,	// VBLENDVPDYrm
+    2282771040U,	// VBLENDVPDYrr
+    2282771040U,	// VBLENDVPDrm
+    2282771040U,	// VBLENDVPDrr
+    2282774306U,	// VBLENDVPSYrm
+    2282774306U,	// VBLENDVPSYrr
+    2282774306U,	// VBLENDVPSrm
+    2282774306U,	// VBLENDVPSrr
+    537939024U,	// VBROADCASTF128
+    336612487U,	// VBROADCASTI128
+    571495451U,	// VBROADCASTSDYrm
+    135287835U,	// VBROADCASTSDYrr
+    571491364U,	// VBROADCASTSDZrm
+    135283748U,	// VBROADCASTSDZrr
+    605053097U,	// VBROADCASTSSYrm
+    135291049U,	// VBROADCASTSSYrr
+    605047338U,	// VBROADCASTSSZrm
+    135285290U,	// VBROADCASTSSZrr
+    605053097U,	// VBROADCASTSSrm
+    135291049U,	// VBROADCASTSSrr
+    2290446330U,	// VCMPPDYrmi
+    2282770968U,	// VCMPPDYrmi_alt
+    2290462714U,	// VCMPPDYrri
+    2282770968U,	// VCMPPDYrri_alt
+    894791674U,	// VCMPPDZrmi
+    2282767080U,	// VCMPPDZrmi_alt
+    89501690U,	// VCMPPDZrri
+    2282767080U,	// VCMPPDZrri_alt
+    2236985338U,	// VCMPPDZrrib
+    2290446330U,	// VCMPPDrmi
+    2282770968U,	// VCMPPDrmi_alt
+    2290462714U,	// VCMPPDrri
+    2282770968U,	// VCMPPDrri_alt
+    2291494906U,	// VCMPPSYrmi
+    2282774201U,	// VCMPPSYrmi_alt
+    2291511290U,	// VCMPPSYrri
+    2282774201U,	// VCMPPSYrri_alt
+    895840250U,	// VCMPPSZrmi
+    2282768729U,	// VCMPPSZrmi_alt
+    90550266U,	// VCMPPSZrri
+    2282768729U,	// VCMPPSZrri_alt
+    2238033914U,	// VCMPPSZrrib
+    2291494906U,	// VCMPPSrmi
+    2282774201U,	// VCMPPSrmi_alt
+    2291511290U,	// VCMPPSrri
+    2282774201U,	// VCMPPSrri_alt
+    2292543482U,	// VCMPSDZrm
+    2282771457U,	// VCMPSDZrmi_alt
+    2292559866U,	// VCMPSDZrr
+    2282771457U,	// VCMPSDZrri_alt
+    2292543482U,	// VCMPSDrm
+    2282771457U,	// VCMPSDrm_alt
+    2292559866U,	// VCMPSDrr
+    2282771457U,	// VCMPSDrr_alt
+    2293592058U,	// VCMPSSZrm
+    2282774661U,	// VCMPSSZrmi_alt
+    2293608442U,	// VCMPSSZrr
+    2282774661U,	// VCMPSSZrri_alt
+    2293592058U,	// VCMPSSrm
+    2282774661U,	// VCMPSSrm_alt
+    2293608442U,	// VCMPSSrr
+    2282774661U,	// VCMPSSrr_alt
+    537940952U,	// VCOMISDZrm
+    135287768U,	// VCOMISDZrr
+    537940952U,	// VCOMISDrm
+    135287768U,	// VCOMISDrr
+    537944164U,	// VCOMISSZrm
+    135290980U,	// VCOMISSZrr
+    537944164U,	// VCOMISSrm
+    135290980U,	// VCOMISSrr
+    336613514U,	// VCVTDQ2PDYrm
+    135286922U,	// VCVTDQ2PDYrr
+    907035048U,	// VCVTDQ2PDZrm
+    135283112U,	// VCVTDQ2PDZrr
+    168841354U,	// VCVTDQ2PDrm
+    135286922U,	// VCVTDQ2PDrr
+    907042090U,	// VCVTDQ2PSYrm
+    135290154U,	// VCVTDQ2PSYrr
+    940591141U,	// VCVTDQ2PSZrm
+    135284773U,	// VCVTDQ2PSZrr
+    2282768421U,	// VCVTDQ2PSZrrb
+    336616746U,	// VCVTDQ2PSrm
+    135290154U,	// VCVTDQ2PSrr
+    537945221U,	// VCVTPD2DQXrm
+    974150012U,	// VCVTPD2DQYrm
+    135289212U,	// VCVTPD2DQYrr
+    1007699374U,	// VCVTPD2DQZrm
+    135284142U,	// VCVTPD2DQZrr
+    2282767790U,	// VCVTPD2DQZrrb
+    135289212U,	// VCVTPD2DQrr
+    537945251U,	// VCVTPD2PSXrm
+    974150910U,	// VCVTPD2PSYrm
+    135290110U,	// VCVTPD2PSYrr
+    1007699981U,	// VCVTPD2PSZrm
+    135284749U,	// VCVTPD2PSZrr
+    2282768397U,	// VCVTPD2PSZrrb
+    135290110U,	// VCVTPD2PSrr
+    1007699534U,	// VCVTPD2UDQZrm
+    135284302U,	// VCVTPD2UDQZrr
+    2282767950U,	// VCVTPD2UDQZrrb
+    537943305U,	// VCVTPH2PSYrm
+    135290121U,	// VCVTPH2PSYrr
+    974150921U,	// VCVTPH2PSZrm
+    135290121U,	// VCVTPH2PSZrr
+    571497737U,	// VCVTPH2PSrm
+    135290121U,	// VCVTPH2PSrr
+    974150044U,	// VCVTPS2DQYrm
+    135289244U,	// VCVTPS2DQYrr
+    1007699399U,	// VCVTPS2DQZrm
+    135284167U,	// VCVTPS2DQZrr
+    2282767815U,	// VCVTPS2DQZrrb
+    537942428U,	// VCVTPS2DQrm
+    135289244U,	// VCVTPS2DQrr
+    537940117U,	// VCVTPS2PDYrm
+    135286933U,	// VCVTPS2PDYrr
+    974143937U,	// VCVTPS2PDZrm
+    135283137U,	// VCVTPS2PDZrr
+    571494549U,	// VCVTPS2PDrm
+    135286933U,	// VCVTPS2PDrr
+    1041831538U,	// VCVTPS2PHYmr
+    2282772082U,	// VCVTPS2PHYrr
+    1041859768U,	// VCVTPS2PHZmr
+    2282767544U,	// VCVTPS2PHZrr
+    2148669042U,	// VCVTPS2PHmr
+    2282772082U,	// VCVTPS2PHrr
+    1007699561U,	// VCVTPS2UDQZrm
+    135284329U,	// VCVTPS2UDQZrr
+    2282767977U,	// VCVTPS2UDQZrrb
+    571491537U,	// VCVTSD2SI64Zrm
+    135283921U,	// VCVTSD2SI64Zrr
+    571496221U,	// VCVTSD2SI64rm
+    135288605U,	// VCVTSD2SI64rr
+    571491537U,	// VCVTSD2SIZrm
+    135283921U,	// VCVTSD2SIZrr
+    571496221U,	// VCVTSD2SIrm
+    135288605U,	// VCVTSD2SIrr
+    2282774462U,	// VCVTSD2SSZrm
+    2282774462U,	// VCVTSD2SSZrr
+    2282774462U,	// VCVTSD2SSrm
+    2282774462U,	// VCVTSD2SSrr
+    571491588U,	// VCVTSD2USI64Zrm
+    135283972U,	// VCVTSD2USI64Zrr
+    571491588U,	// VCVTSD2USIZrm
+    135283972U,	// VCVTSD2USIZrr
+    2282771242U,	// VCVTSI2SD64rm
+    2282771242U,	// VCVTSI2SD64rr
+    2282767232U,	// VCVTSI2SDZrm
+    2282767232U,	// VCVTSI2SDZrr
+    2282771242U,	// VCVTSI2SDrm
+    2282771242U,	// VCVTSI2SDrr
+    2282774473U,	// VCVTSI2SS64rm
+    2282774473U,	// VCVTSI2SS64rr
+    2282768793U,	// VCVTSI2SSZrm
+    2282768793U,	// VCVTSI2SSZrr
+    2282774473U,	// VCVTSI2SSrm
+    2282774473U,	// VCVTSI2SSrr
+    2282767232U,	// VCVTSI642SDZrm
+    2282767232U,	// VCVTSI642SDZrr
+    2282768793U,	// VCVTSI642SSZrm
+    2282768793U,	// VCVTSI642SSZrr
+    2282771265U,	// VCVTSS2SDZrm
+    2282771265U,	// VCVTSS2SDZrr
+    2282771265U,	// VCVTSS2SDrm
+    2282771265U,	// VCVTSS2SDrr
+    605045994U,	// VCVTSS2SI64Zrm
+    135283946U,	// VCVTSS2SI64Zrr
+    605050676U,	// VCVTSS2SI64rm
+    135288628U,	// VCVTSS2SI64rr
+    605045994U,	// VCVTSS2SIZrm
+    135283946U,	// VCVTSS2SIZrr
+    605050676U,	// VCVTSS2SIrm
+    135288628U,	// VCVTSS2SIrr
+    605046047U,	// VCVTSS2USI64Zrm
+    135283999U,	// VCVTSS2USI64Zrr
+    605046047U,	// VCVTSS2USIZrm
+    135283999U,	// VCVTSS2USIZrr
+    537945208U,	// VCVTTPD2DQXrm
+    974150000U,	// VCVTTPD2DQYrm
+    135289200U,	// VCVTTPD2DQYrr
+    1007699361U,	// VCVTTPD2DQZrm
+    135284129U,	// VCVTTPD2DQZrr
+    135289200U,	// VCVTTPD2DQrr
+    1007699520U,	// VCVTTPD2UDQZrm
+    135284288U,	// VCVTTPD2UDQZrr
+    974150032U,	// VCVTTPS2DQYrm
+    135289232U,	// VCVTTPS2DQYrr
+    1007699386U,	// VCVTTPS2DQZrm
+    135284154U,	// VCVTTPS2DQZrr
+    537942416U,	// VCVTTPS2DQrm
+    135289232U,	// VCVTTPS2DQrr
+    1007699547U,	// VCVTTPS2UDQZrm
+    135284315U,	// VCVTTPS2UDQZrr
+    571491524U,	// VCVTTSD2SI64Zrm
+    135283908U,	// VCVTTSD2SI64Zrr
+    571496209U,	// VCVTTSD2SI64rm
+    135288593U,	// VCVTTSD2SI64rr
+    571491524U,	// VCVTTSD2SIZrm
+    135283908U,	// VCVTTSD2SIZrr
+    571496209U,	// VCVTTSD2SIrm
+    135288593U,	// VCVTTSD2SIrr
+    571491574U,	// VCVTTSD2USI64Zrm
+    135283958U,	// VCVTTSD2USI64Zrr
+    571491574U,	// VCVTTSD2USIZrm
+    135283958U,	// VCVTTSD2USIZrr
+    605045981U,	// VCVTTSS2SI64Zrm
+    135283933U,	// VCVTTSS2SI64Zrr
+    605050664U,	// VCVTTSS2SI64rm
+    135288616U,	// VCVTTSS2SI64rr
+    605045981U,	// VCVTTSS2SIZrm
+    135283933U,	// VCVTTSS2SIZrr
+    605050664U,	// VCVTTSS2SIrm
+    135288616U,	// VCVTTSS2SIrr
+    605046033U,	// VCVTTSS2USI64Zrm
+    135283985U,	// VCVTTSS2USI64Zrr
+    605046033U,	// VCVTTSS2USIZrm
+    135283985U,	// VCVTTSS2USIZrr
+    974143924U,	// VCVTUDQ2PDZrm
+    135283124U,	// VCVTUDQ2PDZrr
+    1007700017U,	// VCVTUDQ2PSZrm
+    135284785U,	// VCVTUDQ2PSZrr
+    2282768433U,	// VCVTUDQ2PSZrrb
+    2282767244U,	// VCVTUSI2SDZrm
+    2282767244U,	// VCVTUSI2SDZrr
+    2282768805U,	// VCVTUSI2SSZrm
+    2282768805U,	// VCVTUSI2SSZrr
+    2282767244U,	// VCVTUSI642SDZrm
+    2282767244U,	// VCVTUSI642SDZrr
+    2282768805U,	// VCVTUSI642SSZrm
+    2282768805U,	// VCVTUSI642SSZrr
+    2282771051U,	// VDIVPDYrm
+    2282771051U,	// VDIVPDYrr
+    2282767126U,	// VDIVPDZrm
+    2282767126U,	// VDIVPDZrmb
+    2282767126U,	// VDIVPDZrr
+    2282771051U,	// VDIVPDrm
+    2282771051U,	// VDIVPDrr
+    2282774317U,	// VDIVPSYrm
+    2282774317U,	// VDIVPSYrr
+    2282768775U,	// VDIVPSZrm
+    2282768775U,	// VDIVPSZrmb
+    2282768775U,	// VDIVPSZrr
+    2282774317U,	// VDIVPSrm
+    2282774317U,	// VDIVPSrr
+    2282771497U,	// VDIVSDZrm
+    2282771497U,	// VDIVSDZrr
+    2282771497U,	// VDIVSDrm
+    2282771497U,	// VDIVSDrm_Int
+    2282771497U,	// VDIVSDrr
+    2282771497U,	// VDIVSDrr_Int
+    2282774711U,	// VDIVSSZrm
+    2282774711U,	// VDIVSSZrr
+    2282774711U,	// VDIVSSrm
+    2282774711U,	// VDIVSSrm_Int
+    2282774711U,	// VDIVSSrr
+    2282774711U,	// VDIVSSrr_Int
+    2282770961U,	// VDPPDrmi
+    2282770961U,	// VDPPDrri
+    2282774194U,	// VDPPSYrmi
+    2282774194U,	// VDPPSYrri
+    2282774194U,	// VDPPSrmi
+    2282774194U,	// VDPPSrri
+    39886U,	// VERRm
+    23502U,	// VERRr
+    41789U,	// VERWm
+    25405U,	// VERWr
+    1041828917U,	// VEXTRACTF128mr
+    2282769461U,	// VEXTRACTF128rr
+    1041828789U,	// VEXTRACTF32x4mr
+    2282769333U,	// VEXTRACTF32x4rr
+    1041861615U,	// VEXTRACTF64x4mr
+    2282769391U,	// VEXTRACTF64x4rr
+    2148797548U,	// VEXTRACTI128mr
+    2282769516U,	// VEXTRACTI128rr
+    2148797394U,	// VEXTRACTI32x4mr
+    2282769362U,	// VEXTRACTI32x4rr
+    1041878028U,	// VEXTRACTI64x4mr
+    2282769420U,	// VEXTRACTI64x4rr
+    2148654812U,	// VEXTRACTPSmr
+    2282774236U,	// VEXTRACTPSrr
+    2148654812U,	// VEXTRACTPSzmr
+    2282774236U,	// VEXTRACTPSzrr
+    2215657855U,	// VFMADD132PDZm
+    2215657855U,	// VFMADD132PDZmb
+    2215659504U,	// VFMADD132PSZm
+    2215659504U,	// VFMADD132PSZmb
+    2215658008U,	// VFMADD213PDZm
+    2215658008U,	// VFMADD213PDZmb
+    2215658008U,	// VFMADD213PDZr
+    2215659657U,	// VFMADD213PSZm
+    2215659657U,	// VFMADD213PSZmb
+    2215659657U,	// VFMADD213PSZr
+    2282770779U,	// VFMADDPD4mr
+    2282770779U,	// VFMADDPD4mrY
+    2282770779U,	// VFMADDPD4rm
+    2282770779U,	// VFMADDPD4rmY
+    2282770779U,	// VFMADDPD4rr
+    2282770779U,	// VFMADDPD4rrY
+    2282770779U,	// VFMADDPD4rrY_REV
+    2282770779U,	// VFMADDPD4rr_REV
+    2215661657U,	// VFMADDPDr132m
+    2215661657U,	// VFMADDPDr132mY
+    2215661657U,	// VFMADDPDr132r
+    2215661657U,	// VFMADDPDr132rY
+    2215661787U,	// VFMADDPDr213m
+    2215661787U,	// VFMADDPDr213mY
+    2215661787U,	// VFMADDPDr213r
+    2215661787U,	// VFMADDPDr213rY
+    2215661571U,	// VFMADDPDr231m
+    2215661571U,	// VFMADDPDr231mY
+    2215661571U,	// VFMADDPDr231r
+    2215661571U,	// VFMADDPDr231rY
+    2282773992U,	// VFMADDPS4mr
+    2282773992U,	// VFMADDPS4mrY
+    2282773992U,	// VFMADDPS4rm
+    2282773992U,	// VFMADDPS4rmY
+    2282773992U,	// VFMADDPS4rr
+    2282773992U,	// VFMADDPS4rrY
+    2282773992U,	// VFMADDPS4rrY_REV
+    2282773992U,	// VFMADDPS4rr_REV
+    2215664867U,	// VFMADDPSr132m
+    2215664867U,	// VFMADDPSr132mY
+    2215664867U,	// VFMADDPSr132r
+    2215664867U,	// VFMADDPSr132rY
+    2215665008U,	// VFMADDPSr213m
+    2215665008U,	// VFMADDPSr213mY
+    2215665008U,	// VFMADDPSr213r
+    2215665008U,	// VFMADDPSr213rY
+    2215664781U,	// VFMADDPSr231m
+    2215664781U,	// VFMADDPSr231mY
+    2215664781U,	// VFMADDPSr231r
+    2215664781U,	// VFMADDPSr231rY
+    2282771367U,	// VFMADDSD4mr
+    2282771367U,	// VFMADDSD4mr_Int
+    2282771367U,	// VFMADDSD4rm
+    2282771367U,	// VFMADDSD4rm_Int
+    2282771367U,	// VFMADDSD4rr
+    2282771367U,	// VFMADDSD4rr_Int
+    2282771367U,	// VFMADDSD4rr_REV
+    2215658422U,	// VFMADDSDZm
+    2215658422U,	// VFMADDSDZr
+    2215662351U,	// VFMADDSDr132m
+    2215662351U,	// VFMADDSDr132r
+    2215662439U,	// VFMADDSDr213m
+    2215662439U,	// VFMADDSDr213r
+    2215662297U,	// VFMADDSDr231m
+    2215662297U,	// VFMADDSDr231r
+    2282774579U,	// VFMADDSS4mr
+    2282774579U,	// VFMADDSS4mr_Int
+    2282774579U,	// VFMADDSS4rm
+    2282774579U,	// VFMADDSS4rm_Int
+    2282774579U,	// VFMADDSS4rr
+    2282774579U,	// VFMADDSS4rr_Int
+    2282774579U,	// VFMADDSS4rr_REV
+    2215659983U,	// VFMADDSSZm
+    2215659983U,	// VFMADDSSZr
+    2215665571U,	// VFMADDSSr132m
+    2215665571U,	// VFMADDSSr132r
+    2215665659U,	// VFMADDSSr213m
+    2215665659U,	// VFMADDSSr213r
+    2215665517U,	// VFMADDSSr231m
+    2215665517U,	// VFMADDSSr231r
+    2215657792U,	// VFMADDSUB132PDZm
+    2215657792U,	// VFMADDSUB132PDZmb
+    2215659441U,	// VFMADDSUB132PSZm
+    2215659441U,	// VFMADDSUB132PSZmb
+    2215657945U,	// VFMADDSUB213PDZm
+    2215657945U,	// VFMADDSUB213PDZmb
+    2215657945U,	// VFMADDSUB213PDZr
+    2215659594U,	// VFMADDSUB213PSZm
+    2215659594U,	// VFMADDSUB213PSZmb
+    2215659594U,	// VFMADDSUB213PSZr
+    2282770695U,	// VFMADDSUBPD4mr
+    2282770695U,	// VFMADDSUBPD4mrY
+    2282770695U,	// VFMADDSUBPD4rm
+    2282770695U,	// VFMADDSUBPD4rmY
+    2282770695U,	// VFMADDSUBPD4rr
+    2282770695U,	// VFMADDSUBPD4rrY
+    2282770695U,	// VFMADDSUBPD4rrY_REV
+    2282770695U,	// VFMADDSUBPD4rr_REV
+    2215661598U,	// VFMADDSUBPDr132m
+    2215661598U,	// VFMADDSUBPDr132mY
+    2215661598U,	// VFMADDSUBPDr132r
+    2215661598U,	// VFMADDSUBPDr132rY
+    2215661728U,	// VFMADDSUBPDr213m
+    2215661728U,	// VFMADDSUBPDr213mY
+    2215661728U,	// VFMADDSUBPDr213r
+    2215661728U,	// VFMADDSUBPDr213rY
+    2215661512U,	// VFMADDSUBPDr231m
+    2215661512U,	// VFMADDSUBPDr231mY
+    2215661512U,	// VFMADDSUBPDr231r
+    2215661512U,	// VFMADDSUBPDr231rY
+    2282773908U,	// VFMADDSUBPS4mr
+    2282773908U,	// VFMADDSUBPS4mrY
+    2282773908U,	// VFMADDSUBPS4rm
+    2282773908U,	// VFMADDSUBPS4rmY
+    2282773908U,	// VFMADDSUBPS4rr
+    2282773908U,	// VFMADDSUBPS4rrY
+    2282773908U,	// VFMADDSUBPS4rrY_REV
+    2282773908U,	// VFMADDSUBPS4rr_REV
+    2215664808U,	// VFMADDSUBPSr132m
+    2215664808U,	// VFMADDSUBPSr132mY
+    2215664808U,	// VFMADDSUBPSr132r
+    2215664808U,	// VFMADDSUBPSr132rY
+    2215664949U,	// VFMADDSUBPSr213m
+    2215664949U,	// VFMADDSUBPSr213mY
+    2215664949U,	// VFMADDSUBPSr213r
+    2215664949U,	// VFMADDSUBPSr213rY
+    2215664722U,	// VFMADDSUBPSr231m
+    2215664722U,	// VFMADDSUBPSr231mY
+    2215664722U,	// VFMADDSUBPSr231r
+    2215664722U,	// VFMADDSUBPSr231rY
+    2215657809U,	// VFMSUB132PDZm
+    2215657809U,	// VFMSUB132PDZmb
+    2215659458U,	// VFMSUB132PSZm
+    2215659458U,	// VFMSUB132PSZmb
+    2215657962U,	// VFMSUB213PDZm
+    2215657962U,	// VFMSUB213PDZmb
+    2215657962U,	// VFMSUB213PDZr
+    2215659611U,	// VFMSUB213PSZm
+    2215659611U,	// VFMSUB213PSZmb
+    2215659611U,	// VFMSUB213PSZr
+    2215657838U,	// VFMSUBADD132PDZm
+    2215657838U,	// VFMSUBADD132PDZmb
+    2215659487U,	// VFMSUBADD132PSZm
+    2215659487U,	// VFMSUBADD132PSZmb
+    2215657991U,	// VFMSUBADD213PDZm
+    2215657991U,	// VFMSUBADD213PDZmb
+    2215657991U,	// VFMSUBADD213PDZr
+    2215659640U,	// VFMSUBADD213PSZm
+    2215659640U,	// VFMSUBADD213PSZmb
+    2215659640U,	// VFMSUBADD213PSZr
+    2282770757U,	// VFMSUBADDPD4mr
+    2282770757U,	// VFMSUBADDPD4mrY
+    2282770757U,	// VFMSUBADDPD4rm
+    2282770757U,	// VFMSUBADDPD4rmY
+    2282770757U,	// VFMSUBADDPD4rr
+    2282770757U,	// VFMSUBADDPD4rrY
+    2282770757U,	// VFMSUBADDPD4rrY_REV
+    2282770757U,	// VFMSUBADDPD4rr_REV
+    2215661641U,	// VFMSUBADDPDr132m
+    2215661641U,	// VFMSUBADDPDr132mY
+    2215661641U,	// VFMSUBADDPDr132r
+    2215661641U,	// VFMSUBADDPDr132rY
+    2215661771U,	// VFMSUBADDPDr213m
+    2215661771U,	// VFMSUBADDPDr213mY
+    2215661771U,	// VFMSUBADDPDr213r
+    2215661771U,	// VFMSUBADDPDr213rY
+    2215661555U,	// VFMSUBADDPDr231m
+    2215661555U,	// VFMSUBADDPDr231mY
+    2215661555U,	// VFMSUBADDPDr231r
+    2215661555U,	// VFMSUBADDPDr231rY
+    2282773970U,	// VFMSUBADDPS4mr
+    2282773970U,	// VFMSUBADDPS4mrY
+    2282773970U,	// VFMSUBADDPS4rm
+    2282773970U,	// VFMSUBADDPS4rmY
+    2282773970U,	// VFMSUBADDPS4rr
+    2282773970U,	// VFMSUBADDPS4rrY
+    2282773970U,	// VFMSUBADDPS4rrY_REV
+    2282773970U,	// VFMSUBADDPS4rr_REV
+    2215664851U,	// VFMSUBADDPSr132m
+    2215664851U,	// VFMSUBADDPSr132mY
+    2215664851U,	// VFMSUBADDPSr132r
+    2215664851U,	// VFMSUBADDPSr132rY
+    2215664992U,	// VFMSUBADDPSr213m
+    2215664992U,	// VFMSUBADDPSr213mY
+    2215664992U,	// VFMSUBADDPSr213r
+    2215664992U,	// VFMSUBADDPSr213rY
+    2215664765U,	// VFMSUBADDPSr231m
+    2215664765U,	// VFMSUBADDPSr231mY
+    2215664765U,	// VFMSUBADDPSr231r
+    2215664765U,	// VFMSUBADDPSr231rY
+    2282770728U,	// VFMSUBPD4mr
+    2282770728U,	// VFMSUBPD4mrY
+    2282770728U,	// VFMSUBPD4rm
+    2282770728U,	// VFMSUBPD4rmY
+    2282770728U,	// VFMSUBPD4rr
+    2282770728U,	// VFMSUBPD4rrY
+    2282770728U,	// VFMSUBPD4rrY_REV
+    2282770728U,	// VFMSUBPD4rr_REV
+    2215661614U,	// VFMSUBPDr132m
+    2215661614U,	// VFMSUBPDr132mY
+    2215661614U,	// VFMSUBPDr132r
+    2215661614U,	// VFMSUBPDr132rY
+    2215661744U,	// VFMSUBPDr213m
+    2215661744U,	// VFMSUBPDr213mY
+    2215661744U,	// VFMSUBPDr213r
+    2215661744U,	// VFMSUBPDr213rY
+    2215661528U,	// VFMSUBPDr231m
+    2215661528U,	// VFMSUBPDr231mY
+    2215661528U,	// VFMSUBPDr231r
+    2215661528U,	// VFMSUBPDr231rY
+    2282773941U,	// VFMSUBPS4mr
+    2282773941U,	// VFMSUBPS4mrY
+    2282773941U,	// VFMSUBPS4rm
+    2282773941U,	// VFMSUBPS4rmY
+    2282773941U,	// VFMSUBPS4rr
+    2282773941U,	// VFMSUBPS4rrY
+    2282773941U,	// VFMSUBPS4rrY_REV
+    2282773941U,	// VFMSUBPS4rr_REV
+    2215664824U,	// VFMSUBPSr132m
+    2215664824U,	// VFMSUBPSr132mY
+    2215664824U,	// VFMSUBPSr132r
+    2215664824U,	// VFMSUBPSr132rY
+    2215664965U,	// VFMSUBPSr213m
+    2215664965U,	// VFMSUBPSr213mY
+    2215664965U,	// VFMSUBPSr213r
+    2215664965U,	// VFMSUBPSr213rY
+    2215664738U,	// VFMSUBPSr231m
+    2215664738U,	// VFMSUBPSr231mY
+    2215664738U,	// VFMSUBPSr231r
+    2215664738U,	// VFMSUBPSr231rY
+    2282771338U,	// VFMSUBSD4mr
+    2282771338U,	// VFMSUBSD4mr_Int
+    2282771338U,	// VFMSUBSD4rm
+    2282771338U,	// VFMSUBSD4rm_Int
+    2282771338U,	// VFMSUBSD4rr
+    2282771338U,	// VFMSUBSD4rr_Int
+    2282771338U,	// VFMSUBSD4rr_REV
+    2215658393U,	// VFMSUBSDZm
+    2215658393U,	// VFMSUBSDZr
+    2215662324U,	// VFMSUBSDr132m
+    2215662324U,	// VFMSUBSDr132r
+    2215662412U,	// VFMSUBSDr213m
+    2215662412U,	// VFMSUBSDr213r
+    2215662270U,	// VFMSUBSDr231m
+    2215662270U,	// VFMSUBSDr231r
+    2282774550U,	// VFMSUBSS4mr
+    2282774550U,	// VFMSUBSS4mr_Int
+    2282774550U,	// VFMSUBSS4rm
+    2282774550U,	// VFMSUBSS4rm_Int
+    2282774550U,	// VFMSUBSS4rr
+    2282774550U,	// VFMSUBSS4rr_Int
+    2282774550U,	// VFMSUBSS4rr_REV
+    2215659954U,	// VFMSUBSSZm
+    2215659954U,	// VFMSUBSSZr
+    2215665544U,	// VFMSUBSSr132m
+    2215665544U,	// VFMSUBSSr132r
+    2215665632U,	// VFMSUBSSr213m
+    2215665632U,	// VFMSUBSSr213r
+    2215665490U,	// VFMSUBSSr231m
+    2215665490U,	// VFMSUBSSr231r
+    2215657869U,	// VFNMADD132PDZm
+    2215657869U,	// VFNMADD132PDZmb
+    2215659518U,	// VFNMADD132PSZm
+    2215659518U,	// VFNMADD132PSZmb
+    2215658022U,	// VFNMADD213PDZm
+    2215658022U,	// VFNMADD213PDZmb
+    2215658022U,	// VFNMADD213PDZr
+    2215659671U,	// VFNMADD213PSZm
+    2215659671U,	// VFNMADD213PSZmb
+    2215659671U,	// VFNMADD213PSZr
+    2282770789U,	// VFNMADDPD4mr
+    2282770789U,	// VFNMADDPD4mrY
+    2282770789U,	// VFNMADDPD4rm
+    2282770789U,	// VFNMADDPD4rmY
+    2282770789U,	// VFNMADDPD4rr
+    2282770789U,	// VFNMADDPD4rrY
+    2282770789U,	// VFNMADDPD4rrY_REV
+    2282770789U,	// VFNMADDPD4rr_REV
+    2215661670U,	// VFNMADDPDr132m
+    2215661670U,	// VFNMADDPDr132mY
+    2215661670U,	// VFNMADDPDr132r
+    2215661670U,	// VFNMADDPDr132rY
+    2215661800U,	// VFNMADDPDr213m
+    2215661800U,	// VFNMADDPDr213mY
+    2215661800U,	// VFNMADDPDr213r
+    2215661800U,	// VFNMADDPDr213rY
+    2215661584U,	// VFNMADDPDr231m
+    2215661584U,	// VFNMADDPDr231mY
+    2215661584U,	// VFNMADDPDr231r
+    2215661584U,	// VFNMADDPDr231rY
+    2282774002U,	// VFNMADDPS4mr
+    2282774002U,	// VFNMADDPS4mrY
+    2282774002U,	// VFNMADDPS4rm
+    2282774002U,	// VFNMADDPS4rmY
+    2282774002U,	// VFNMADDPS4rr
+    2282774002U,	// VFNMADDPS4rrY
+    2282774002U,	// VFNMADDPS4rrY_REV
+    2282774002U,	// VFNMADDPS4rr_REV
+    2215664880U,	// VFNMADDPSr132m
+    2215664880U,	// VFNMADDPSr132mY
+    2215664880U,	// VFNMADDPSr132r
+    2215664880U,	// VFNMADDPSr132rY
+    2215665021U,	// VFNMADDPSr213m
+    2215665021U,	// VFNMADDPSr213mY
+    2215665021U,	// VFNMADDPSr213r
+    2215665021U,	// VFNMADDPSr213rY
+    2215664794U,	// VFNMADDPSr231m
+    2215664794U,	// VFNMADDPSr231mY
+    2215664794U,	// VFNMADDPSr231r
+    2215664794U,	// VFNMADDPSr231rY
+    2282771377U,	// VFNMADDSD4mr
+    2282771377U,	// VFNMADDSD4mr_Int
+    2282771377U,	// VFNMADDSD4rm
+    2282771377U,	// VFNMADDSD4rm_Int
+    2282771377U,	// VFNMADDSD4rr
+    2282771377U,	// VFNMADDSD4rr_Int
+    2282771377U,	// VFNMADDSD4rr_REV
+    2215658436U,	// VFNMADDSDZm
+    2215658436U,	// VFNMADDSDZr
+    2215662364U,	// VFNMADDSDr132m
+    2215662364U,	// VFNMADDSDr132r
+    2215662452U,	// VFNMADDSDr213m
+    2215662452U,	// VFNMADDSDr213r
+    2215662310U,	// VFNMADDSDr231m
+    2215662310U,	// VFNMADDSDr231r
+    2282774589U,	// VFNMADDSS4mr
+    2282774589U,	// VFNMADDSS4mr_Int
+    2282774589U,	// VFNMADDSS4rm
+    2282774589U,	// VFNMADDSS4rm_Int
+    2282774589U,	// VFNMADDSS4rr
+    2282774589U,	// VFNMADDSS4rr_Int
+    2282774589U,	// VFNMADDSS4rr_REV
+    2215659997U,	// VFNMADDSSZm
+    2215659997U,	// VFNMADDSSZr
+    2215665584U,	// VFNMADDSSr132m
+    2215665584U,	// VFNMADDSSr132r
+    2215665672U,	// VFNMADDSSr213m
+    2215665672U,	// VFNMADDSSr213r
+    2215665530U,	// VFNMADDSSr231m
+    2215665530U,	// VFNMADDSSr231r
+    2215657823U,	// VFNMSUB132PDZm
+    2215657823U,	// VFNMSUB132PDZmb
+    2215659472U,	// VFNMSUB132PSZm
+    2215659472U,	// VFNMSUB132PSZmb
+    2215657976U,	// VFNMSUB213PDZm
+    2215657976U,	// VFNMSUB213PDZmb
+    2215657976U,	// VFNMSUB213PDZr
+    2215659625U,	// VFNMSUB213PSZm
+    2215659625U,	// VFNMSUB213PSZmb
+    2215659625U,	// VFNMSUB213PSZr
+    2282770738U,	// VFNMSUBPD4mr
+    2282770738U,	// VFNMSUBPD4mrY
+    2282770738U,	// VFNMSUBPD4rm
+    2282770738U,	// VFNMSUBPD4rmY
+    2282770738U,	// VFNMSUBPD4rr
+    2282770738U,	// VFNMSUBPD4rrY
+    2282770738U,	// VFNMSUBPD4rrY_REV
+    2282770738U,	// VFNMSUBPD4rr_REV
+    2215661627U,	// VFNMSUBPDr132m
+    2215661627U,	// VFNMSUBPDr132mY
+    2215661627U,	// VFNMSUBPDr132r
+    2215661627U,	// VFNMSUBPDr132rY
+    2215661757U,	// VFNMSUBPDr213m
+    2215661757U,	// VFNMSUBPDr213mY
+    2215661757U,	// VFNMSUBPDr213r
+    2215661757U,	// VFNMSUBPDr213rY
+    2215661541U,	// VFNMSUBPDr231m
+    2215661541U,	// VFNMSUBPDr231mY
+    2215661541U,	// VFNMSUBPDr231r
+    2215661541U,	// VFNMSUBPDr231rY
+    2282773951U,	// VFNMSUBPS4mr
+    2282773951U,	// VFNMSUBPS4mrY
+    2282773951U,	// VFNMSUBPS4rm
+    2282773951U,	// VFNMSUBPS4rmY
+    2282773951U,	// VFNMSUBPS4rr
+    2282773951U,	// VFNMSUBPS4rrY
+    2282773951U,	// VFNMSUBPS4rrY_REV
+    2282773951U,	// VFNMSUBPS4rr_REV
+    2215664837U,	// VFNMSUBPSr132m
+    2215664837U,	// VFNMSUBPSr132mY
+    2215664837U,	// VFNMSUBPSr132r
+    2215664837U,	// VFNMSUBPSr132rY
+    2215664978U,	// VFNMSUBPSr213m
+    2215664978U,	// VFNMSUBPSr213mY
+    2215664978U,	// VFNMSUBPSr213r
+    2215664978U,	// VFNMSUBPSr213rY
+    2215664751U,	// VFNMSUBPSr231m
+    2215664751U,	// VFNMSUBPSr231mY
+    2215664751U,	// VFNMSUBPSr231r
+    2215664751U,	// VFNMSUBPSr231rY
+    2282771348U,	// VFNMSUBSD4mr
+    2282771348U,	// VFNMSUBSD4mr_Int
+    2282771348U,	// VFNMSUBSD4rm
+    2282771348U,	// VFNMSUBSD4rm_Int
+    2282771348U,	// VFNMSUBSD4rr
+    2282771348U,	// VFNMSUBSD4rr_Int
+    2282771348U,	// VFNMSUBSD4rr_REV
+    2215658407U,	// VFNMSUBSDZm
+    2215658407U,	// VFNMSUBSDZr
+    2215662337U,	// VFNMSUBSDr132m
+    2215662337U,	// VFNMSUBSDr132r
+    2215662425U,	// VFNMSUBSDr213m
+    2215662425U,	// VFNMSUBSDr213r
+    2215662283U,	// VFNMSUBSDr231m
+    2215662283U,	// VFNMSUBSDr231r
+    2282774560U,	// VFNMSUBSS4mr
+    2282774560U,	// VFNMSUBSS4mr_Int
+    2282774560U,	// VFNMSUBSS4rm
+    2282774560U,	// VFNMSUBSS4rm_Int
+    2282774560U,	// VFNMSUBSS4rr
+    2282774560U,	// VFNMSUBSS4rr_Int
+    2282774560U,	// VFNMSUBSS4rr_REV
+    2215659968U,	// VFNMSUBSSZm
+    2215659968U,	// VFNMSUBSSZr
+    2215665557U,	// VFNMSUBSSr132m
+    2215665557U,	// VFNMSUBSSr132r
+    2215665645U,	// VFNMSUBSSr213m
+    2215665645U,	// VFNMSUBSSr213r
+    2215665503U,	// VFNMSUBSSr231m
+    2215665503U,	// VFNMSUBSSr231r
+    537940615U,	// VFRCZPDrm
+    974148231U,	// VFRCZPDrmY
+    135287431U,	// VFRCZPDrr
+    135287431U,	// VFRCZPDrrY
+    537943881U,	// VFRCZPSrm
+    974151497U,	// VFRCZPSrmY
+    135290697U,	// VFRCZPSrr
+    135290697U,	// VFRCZPSrrY
+    571495498U,	// VFRCZSDrm
+    135287882U,	// VFRCZSDrr
+    605053135U,	// VFRCZSSrm
+    135291087U,	// VFRCZSSrr
+    2282770944U,	// VFsANDNPDrm
+    2282770944U,	// VFsANDNPDrr
+    2282774169U,	// VFsANDNPSrm
+    2282774169U,	// VFsANDNPSrr
+    2282770808U,	// VFsANDPDrm
+    2282770808U,	// VFsANDPDrr
+    2282774021U,	// VFsANDPSrm
+    2282774021U,	// VFsANDPSrr
+    2282770988U,	// VFsORPDrm
+    2282770988U,	// VFsORPDrr
+    2282774221U,	// VFsORPSrm
+    2282774221U,	// VFsORPSrr
+    2282770995U,	// VFsXORPDrm
+    2282770995U,	// VFsXORPDrr
+    2282774228U,	// VFsXORPSrm
+    2282774228U,	// VFsXORPSrr
+    1074811284U,	// VGATHERDPDYrm
+    1128284801U,	// VGATHERDPDZrm
+    1074811284U,	// VGATHERDPDrm
+    1141923361U,	// VGATHERDPSYrm
+    3275770098U,	// VGATHERDPSZrm
+    1141923361U,	// VGATHERDPSrm
+    1074811424U,	// VGATHERQPDYrm
+    1128284913U,	// VGATHERQPDZrm
+    1074811424U,	// VGATHERQPDrm
+    1141923521U,	// VGATHERQPSYrm
+    1128286562U,	// VGATHERQPSZrm
+    1141923521U,	// VGATHERQPSrm
+    2282770770U,	// VHADDPDYrm
+    2282770770U,	// VHADDPDYrr
+    2282770770U,	// VHADDPDrm
+    2282770770U,	// VHADDPDrr
+    2282773983U,	// VHADDPSYrm
+    2282773983U,	// VHADDPSYrr
+    2282773983U,	// VHADDPSrm
+    2282773983U,	// VHADDPSrr
+    2282770719U,	// VHSUBPDYrm
+    2282770719U,	// VHSUBPDYrr
+    2282770719U,	// VHSUBPDrm
+    2282770719U,	// VHSUBPDrr
+    2282773932U,	// VHSUBPSYrm
+    2282773932U,	// VHSUBPSYrr
+    2282773932U,	// VHSUBPSrm
+    2282773932U,	// VHSUBPSrr
+    2282769475U,	// VINSERTF128rm
+    2282769475U,	// VINSERTF128rr
+    2282769348U,	// VINSERTF32x4rm
+    2282769348U,	// VINSERTF32x4rr
+    2282769406U,	// VINSERTF64x4rm
+    2282769406U,	// VINSERTF64x4rr
+    2282769530U,	// VINSERTI128rm
+    2282769530U,	// VINSERTI128rr
+    2282769377U,	// VINSERTI32x4rm
+    2282769377U,	// VINSERTI32x4rr
+    2282769435U,	// VINSERTI64x4rm
+    2282769435U,	// VINSERTI64x4rr
+    2282774258U,	// VINSERTPSrm
+    2282774258U,	// VINSERTPSrr
+    2282774258U,	// VINSERTPSzrm
+    2282774258U,	// VINSERTPSzrr
+    907043254U,	// VLDDQUYrm
+    336617910U,	// VLDDQUrm
+    72665U,	// VLDMXCSR
+    135291326U,	// VMASKMOVDQU
+    135291326U,	// VMASKMOVDQU64
+    1041863283U,	// VMASKMOVPDYmr
+    2282771059U,	// VMASKMOVPDYrm
+    1041830515U,	// VMASKMOVPDmr
+    2282771059U,	// VMASKMOVPDrm
+    1041866549U,	// VMASKMOVPSYmr
+    2282774325U,	// VMASKMOVPSYrm
+    1041833781U,	// VMASKMOVPSmr
+    2282774325U,	// VMASKMOVPSrm
+    2282771071U,	// VMAXCPDYrm
+    2282771071U,	// VMAXCPDYrr
+    2282771071U,	// VMAXCPDrm
+    2282771071U,	// VMAXCPDrr
+    2282774337U,	// VMAXCPSYrm
+    2282774337U,	// VMAXCPSYrr
+    2282774337U,	// VMAXCPSrm
+    2282774337U,	// VMAXCPSrr
+    2282771522U,	// VMAXCSDrm
+    2282771522U,	// VMAXCSDrr
+    2282774727U,	// VMAXCSSrm
+    2282774727U,	// VMAXCSSrr
+    2282771071U,	// VMAXPDYrm
+    2282771071U,	// VMAXPDYrr
+    2282767135U,	// VMAXPDZrm
+    2282767135U,	// VMAXPDZrmb
+    2282767135U,	// VMAXPDZrr
+    2282771071U,	// VMAXPDrm
+    2282771071U,	// VMAXPDrr
+    2282774337U,	// VMAXPSYrm
+    2282774337U,	// VMAXPSYrr
+    2282768784U,	// VMAXPSZrm
+    2282768784U,	// VMAXPSZrmb
+    2282768784U,	// VMAXPSZrr
+    2282774337U,	// VMAXPSrm
+    2282774337U,	// VMAXPSrr
+    2282771522U,	// VMAXSDZrm
+    2282771522U,	// VMAXSDZrr
+    2282771522U,	// VMAXSDrm
+    2282771522U,	// VMAXSDrm_Int
+    2282771522U,	// VMAXSDrr
+    2282771522U,	// VMAXSDrr_Int
+    2282774727U,	// VMAXSSZrm
+    2282774727U,	// VMAXSSZrr
+    2282774727U,	// VMAXSSrm
+    2282774727U,	// VMAXSSrm_Int
+    2282774727U,	// VMAXSSrr
+    2282774727U,	// VMAXSSrr_Int
+    12157U,	// VMCALL
+    88941U,	// VMCLEARm
+    11856U,	// VMFUNC
+    2282770953U,	// VMINCPDYrm
+    2282770953U,	// VMINCPDYrr
+    2282770953U,	// VMINCPDrm
+    2282770953U,	// VMINCPDrr
+    2282774178U,	// VMINCPSYrm
+    2282774178U,	// VMINCPSYrr
+    2282774178U,	// VMINCPSrm
+    2282774178U,	// VMINCPSrr
+    2282771442U,	// VMINCSDrm
+    2282771442U,	// VMINCSDrr
+    2282774645U,	// VMINCSSrm
+    2282774645U,	// VMINCSSrr
+    2282770953U,	// VMINPDYrm
+    2282770953U,	// VMINPDYrr
+    2282767071U,	// VMINPDZrm
+    2282767071U,	// VMINPDZrmb
+    2282767071U,	// VMINPDZrr
+    2282770953U,	// VMINPDrm
+    2282770953U,	// VMINPDrr
+    2282774178U,	// VMINPSYrm
+    2282774178U,	// VMINPSYrr
+    2282768720U,	// VMINPSZrm
+    2282768720U,	// VMINPSZrmb
+    2282768720U,	// VMINPSZrr
+    2282774178U,	// VMINPSrm
+    2282774178U,	// VMINPSrr
+    2282771442U,	// VMINSDZrm
+    2282771442U,	// VMINSDZrr
+    2282771442U,	// VMINSDrm
+    2282771442U,	// VMINSDrm_Int
+    2282771442U,	// VMINSDrr
+    2282771442U,	// VMINSDrr_Int
+    2282774645U,	// VMINSSZrm
+    2282774645U,	// VMINSSZrr
+    2282774645U,	// VMINSSrm
+    2282774645U,	// VMINSSrm_Int
+    2282774645U,	// VMINSSrr
+    2282774645U,	// VMINSSrr_Int
+    12072U,	// VMLAUNCH
+    12754U,	// VMLOAD32
+    12809U,	// VMLOAD64
+    12149U,	// VMMCALL
+    135289659U,	// VMOV64toPQIZrr
+    135289659U,	// VMOV64toPQIrr
+    135289659U,	// VMOV64toSDZrr
+    168844091U,	// VMOV64toSDrm
+    135289659U,	// VMOV64toSDrr
+    626934U,	// VMOVAPDYmr
+    974147830U,	// VMOVAPDYrm
+    135287030U,	// VMOVAPDYrr
+    135287030U,	// VMOVAPDYrr_REV
+    659702U,	// VMOVAPDZmr
+    1007698533U,	// VMOVAPDZrm
+    88097381U,	// VMOVAPDZrmk
+    135283301U,	// VMOVAPDZrr
+    88097381U,	// VMOVAPDZrrk
+    594166U,	// VMOVAPDmr
+    537940214U,	// VMOVAPDrm
+    135287030U,	// VMOVAPDrr
+    135287030U,	// VMOVAPDrr_REV
+    630155U,	// VMOVAPSYmr
+    974151051U,	// VMOVAPSYrm
+    135290251U,	// VMOVAPSYrr
+    135290251U,	// VMOVAPSYrr_REV
+    662923U,	// VMOVAPSZmr
+    1007700182U,	// VMOVAPSZrm
+    88099030U,	// VMOVAPSZrmk
+    135284950U,	// VMOVAPSZrr
+    88099030U,	// VMOVAPSZrrk
+    597387U,	// VMOVAPSmr
+    537943435U,	// VMOVAPSrm
+    135290251U,	// VMOVAPSrr
+    135290251U,	// VMOVAPSrr_REV
+    974149870U,	// VMOVDDUPYrm
+    135289070U,	// VMOVDDUPYrr
+    1007699244U,	// VMOVDDUPZrm
+    135284012U,	// VMOVDDUPZrr
+    571496686U,	// VMOVDDUPrm
+    135289070U,	// VMOVDDUPrr
+    101733566U,	// VMOVDI2PDIZrm
+    135287998U,	// VMOVDI2PDIZrr
+    101733566U,	// VMOVDI2PDIrm
+    135287998U,	// VMOVDI2PDIrr
+    101733566U,	// VMOVDI2SSZrm
+    135287998U,	// VMOVDI2SSZrr
+    101733566U,	// VMOVDI2SSrm
+    135287998U,	// VMOVDI2SSrr
+    674611U,	// VMOVDQA32mr
+    940591923U,	// VMOVDQA32rm
+    135285555U,	// VMOVDQA32rr
+    674686U,	// VMOVDQA64mr
+    940591998U,	// VMOVDQA64rm
+    135285630U,	// VMOVDQA64rr
+    642219U,	// VMOVDQAYmr
+    907037867U,	// VMOVDQAYrm
+    135285931U,	// VMOVDQAYrr
+    135285931U,	// VMOVDQAYrr_REV
+    1313963U,	// VMOVDQAmr
+    336612523U,	// VMOVDQArm
+    135285931U,	// VMOVDQArr
+    135285931U,	// VMOVDQArr_REV
+    671745U,	// VMOVDQU32mr
+    940589057U,	// VMOVDQU32rm
+    88096769U,	// VMOVDQU32rmk
+    135282689U,	// VMOVDQU32rr
+    88096769U,	// VMOVDQU32rrk
+    155205633U,	// VMOVDQU32rrkz
+    671757U,	// VMOVDQU64mr
+    940589069U,	// VMOVDQU64rm
+    88096781U,	// VMOVDQU64rmk
+    135282701U,	// VMOVDQU64rr
+    88096781U,	// VMOVDQU64rrk
+    155205645U,	// VMOVDQU64rrkz
+    647627U,	// VMOVDQUYmr
+    907043275U,	// VMOVDQUYrm
+    135291339U,	// VMOVDQUYrr
+    135291339U,	// VMOVDQUYrr_REV
+    1319371U,	// VMOVDQUmr
+    336617931U,	// VMOVDQUrm
+    135291339U,	// VMOVDQUrr
+    135291339U,	// VMOVDQUrr_REV
+    2282774111U,	// VMOVHLPSZrr
+    2282774111U,	// VMOVHLPSrr
+    1184180U,	// VMOVHPDmr
+    2282770868U,	// VMOVHPDrm
+    1187403U,	// VMOVHPSmr
+    2282774091U,	// VMOVHPSrm
+    2282774081U,	// VMOVLHPSZrr
+    2282774081U,	// VMOVLHPSrr
+    1184230U,	// VMOVLPDmr
+    2282770918U,	// VMOVLPDrm
+    1187463U,	// VMOVLPSmr
+    2282774151U,	// VMOVLPSrm
+    135287229U,	// VMOVMSKPDYrr
+    135287229U,	// VMOVMSKPDrr
+    135290452U,	// VMOVMSKPSYrr
+    135290452U,	// VMOVMSKPSrr
+    907037856U,	// VMOVNTDQAYrm
+    336612512U,	// VMOVNTDQArm
+    629288U,	// VMOVNTDQYmr
+    596520U,	// VMOVNTDQmr
+    627259U,	// VMOVNTPDYmr
+    594491U,	// VMOVNTPDmr
+    630504U,	// VMOVNTPSYmr
+    597736U,	// VMOVNTPSmr
+    1119422U,	// VMOVPDI2DIZmr
+    135287998U,	// VMOVPDI2DIZrr
+    1119422U,	// VMOVPDI2DImr
+    135287998U,	// VMOVPDI2DIrr
+    1137467U,	// VMOVPQI2QImr
+    135289659U,	// VMOVPQI2QIrr
+    1137467U,	// VMOVPQIto64Zmr
+    135289659U,	// VMOVPQIto64Zrr
+    135289659U,	// VMOVPQIto64rr
+    168844091U,	// VMOVQI2PQIZrm
+    168844091U,	// VMOVQI2PQIrm
+    1180723U,	// VMOVSDZmr
+    571491379U,	// VMOVSDZrm
+    2282767411U,	// VMOVSDZrr
+    2282771505U,	// VMOVSDZrr_REV
+    88097843U,	// VMOVSDZrrk
+    1184817U,	// VMOVSDmr
+    571495473U,	// VMOVSDrm
+    2282771505U,	// VMOVSDrr
+    2282771505U,	// VMOVSDrr_REV
+    1137467U,	// VMOVSDto64Zmr
+    135289659U,	// VMOVSDto64Zrr
+    1137467U,	// VMOVSDto64mr
+    135289659U,	// VMOVSDto64rr
+    974149880U,	// VMOVSHDUPYrm
+    135289080U,	// VMOVSHDUPYrr
+    1007699255U,	// VMOVSHDUPZrm
+    135284023U,	// VMOVSHDUPZrr
+    537942264U,	// VMOVSHDUPrm
+    135289080U,	// VMOVSHDUPrr
+    974149891U,	// VMOVSLDUPYrm
+    135289091U,	// VMOVSLDUPYrr
+    1007699267U,	// VMOVSLDUPZrm
+    135284035U,	// VMOVSLDUPZrr
+    537942275U,	// VMOVSLDUPrm
+    135289091U,	// VMOVSLDUPrr
+    1119422U,	// VMOVSS2DIZmr
+    135287998U,	// VMOVSS2DIZrr
+    1119422U,	// VMOVSS2DImr
+    135287998U,	// VMOVSS2DIrr
+    1165881U,	// VMOVSSZmr
+    605047353U,	// VMOVSSZrm
+    2282768953U,	// VMOVSSZrr
+    2282774719U,	// VMOVSSZrr_REV
+    88099385U,	// VMOVSSZrrk
+    1171647U,	// VMOVSSmr
+    605053119U,	// VMOVSSrm
+    2282774719U,	// VMOVSSrr
+    2282774719U,	// VMOVSSrr_REV
+    627287U,	// VMOVUPDYmr
+    974148183U,	// VMOVUPDYrm
+    135287383U,	// VMOVUPDYrr
+    135287383U,	// VMOVUPDYrr_REV
+    660055U,	// VMOVUPDZmr
+    1007698700U,	// VMOVUPDZrm
+    88097548U,	// VMOVUPDZrmk
+    135283468U,	// VMOVUPDZrr
+    88097548U,	// VMOVUPDZrrk
+    594519U,	// VMOVUPDmr
+    537940567U,	// VMOVUPDrm
+    135287383U,	// VMOVUPDrr
+    135287383U,	// VMOVUPDrr_REV
+    630553U,	// VMOVUPSYmr
+    974151449U,	// VMOVUPSYrm
+    135290649U,	// VMOVUPSYrr
+    135290649U,	// VMOVUPSYrr_REV
+    663321U,	// VMOVUPSZmr
+    1007700349U,	// VMOVUPSZrm
+    88099197U,	// VMOVUPSZrmk
+    135285117U,	// VMOVUPSZrr
+    88099197U,	// VMOVUPSZrrk
+    597785U,	// VMOVUPSmr
+    537943833U,	// VMOVUPSrm
+    135290649U,	// VMOVUPSrr
+    135290649U,	// VMOVUPSrr_REV
+    336616251U,	// VMOVZPQILo2PQIZrm
+    135289659U,	// VMOVZPQILo2PQIZrr
+    336616251U,	// VMOVZPQILo2PQIrm
+    135289659U,	// VMOVZPQILo2PQIrr
+    168844091U,	// VMOVZQI2PQIrm
+    135289659U,	// VMOVZQI2PQIrr
+    2282775060U,	// VMPSADBWYrmi
+    2282775060U,	// VMPSADBWYrri
+    2282775060U,	// VMPSADBWrmi
+    2282775060U,	// VMPSADBWrri
+    85904U,	// VMPTRLDm
+    90530U,	// VMPTRSTm
+    1117833U,	// VMREAD32rm
+    135286409U,	// VMREAD32rr
+    1134217U,	// VMREAD64rm
+    135286409U,	// VMREAD64rr
+    11982U,	// VMRESUME
+    12776U,	// VMRUN32
+    12831U,	// VMRUN64
+    12765U,	// VMSAVE32
+    12820U,	// VMSAVE64
+    2282770910U,	// VMULPDYrm
+    2282770910U,	// VMULPDYrr
+    2282767040U,	// VMULPDZrm
+    2282767040U,	// VMULPDZrmb
+    2282767040U,	// VMULPDZrr
+    2282770910U,	// VMULPDrm
+    2282770910U,	// VMULPDrr
+    2282774143U,	// VMULPSYrm
+    2282774143U,	// VMULPSYrr
+    2282768689U,	// VMULPSZrm
+    2282768689U,	// VMULPSZrmb
+    2282768689U,	// VMULPSZrr
+    2282774143U,	// VMULPSrm
+    2282774143U,	// VMULPSrr
+    2282771425U,	// VMULSDZrm
+    2282771425U,	// VMULSDZrr
+    2282771425U,	// VMULSDrm
+    2282771425U,	// VMULSDrm_Int
+    2282771425U,	// VMULSDrr
+    2282771425U,	// VMULSDrr_Int
+    2282774637U,	// VMULSSZrm
+    2282774637U,	// VMULSSZrr
+    2282774637U,	// VMULSSrm
+    2282774637U,	// VMULSSrm_Int
+    2282774637U,	// VMULSSrr
+    2282774637U,	// VMULSSrr_Int
+    101733893U,	// VMWRITE32rm
+    135288325U,	// VMWRITE32rr
+    168842757U,	// VMWRITE64rm
+    135288325U,	// VMWRITE64rr
+    12039U,	// VMXOFF
+    88093U,	// VMXON
+    2282770988U,	// VORPDYrm
+    2282770988U,	// VORPDYrr
+    2282770988U,	// VORPDrm
+    2282770988U,	// VORPDrr
+    2282774221U,	// VORPSYrm
+    2282774221U,	// VORPSYrr
+    2282774221U,	// VORPSrm
+    2282774221U,	// VORPSrr
+    336612695U,	// VPABSBrm128
+    907038039U,	// VPABSBrm256
+    135286103U,	// VPABSBrr128
+    135286103U,	// VPABSBrr256
+    940590083U,	// VPABSDrm
+    336614274U,	// VPABSDrm128
+    907039618U,	// VPABSDrm256
+    135283715U,	// VPABSDrr
+    135287682U,	// VPABSDrr128
+    135287682U,	// VPABSDrr256
+    940590882U,	// VPABSQrm
+    135284514U,	// VPABSQrr
+    336618334U,	// VPABSWrm128
+    907043678U,	// VPABSWrm256
+    135291742U,	// VPABSWrr128
+    135291742U,	// VPABSWrr256
+    2282775205U,	// VPACKSSDWYrm
+    2282775205U,	// VPACKSSDWYrr
+    2282775205U,	// VPACKSSDWrm
+    2282775205U,	// VPACKSSDWrr
+    2282769942U,	// VPACKSSWBYrm
+    2282769942U,	// VPACKSSWBYrr
+    2282769942U,	// VPACKSSWBrm
+    2282769942U,	// VPACKSSWBrr
+    2282775216U,	// VPACKUSDWYrm
+    2282775216U,	// VPACKUSDWYrr
+    2282775216U,	// VPACKUSDWrm
+    2282775216U,	// VPACKUSDWrr
+    2282769953U,	// VPACKUSWBYrm
+    2282769953U,	// VPACKUSWBYrr
+    2282769953U,	// VPACKUSWBrm
+    2282769953U,	// VPACKUSWBrr
+    2282769658U,	// VPADDBYrm
+    2282769658U,	// VPADDBYrr
+    2282769658U,	// VPADDBrm
+    2282769658U,	// VPADDBrr
+    2282770170U,	// VPADDDYrm
+    2282770170U,	// VPADDDYrr
+    2282766509U,	// VPADDDZrm
+    2282766509U,	// VPADDDZrmb
+    2282766509U,	// VPADDDZrr
+    2282770170U,	// VPADDDrm
+    2282770170U,	// VPADDDrr
+    2282772913U,	// VPADDQYrm
+    2282772913U,	// VPADDQYrr
+    2282767827U,	// VPADDQZrm
+    2282767827U,	// VPADDQZrmb
+    2282767827U,	// VPADDQZrr
+    2282772913U,	// VPADDQrm
+    2282772913U,	// VPADDQrr
+    2282769768U,	// VPADDSBYrm
+    2282769768U,	// VPADDSBYrr
+    2282769768U,	// VPADDSBrm
+    2282769768U,	// VPADDSBrr
+    2282775439U,	// VPADDSWYrm
+    2282775439U,	// VPADDSWYrr
+    2282775439U,	// VPADDSWrm
+    2282775439U,	// VPADDSWrr
+    2282769810U,	// VPADDUSBYrm
+    2282769810U,	// VPADDUSBYrr
+    2282769810U,	// VPADDUSBrm
+    2282769810U,	// VPADDUSBrr
+    2282775512U,	// VPADDUSWYrm
+    2282775512U,	// VPADDUSWYrr
+    2282775512U,	// VPADDUSWrm
+    2282775512U,	// VPADDUSWrr
+    2282775187U,	// VPADDWYrm
+    2282775187U,	// VPADDWYrr
+    2282775187U,	// VPADDWrm
+    2282775187U,	// VPADDWrr
+    2282773409U,	// VPALIGNR128rm
+    2282773409U,	// VPALIGNR128rr
+    2282773409U,	// VPALIGNR256rm
+    2282773409U,	// VPALIGNR256rr
+    2282766518U,	// VPANDDZrm
+    2282766518U,	// VPANDDZrmb
+    2282766518U,	// VPANDDZrr
+    2282766636U,	// VPANDNDZrm
+    2282766636U,	// VPANDNDZrmb
+    2282766636U,	// VPANDNDZrr
+    2282768087U,	// VPANDNQZrm
+    2282768087U,	// VPANDNQZrmb
+    2282768087U,	// VPANDNQZrr
+    2282772486U,	// VPANDNYrm
+    2282772486U,	// VPANDNYrr
+    2282772486U,	// VPANDNrm
+    2282772486U,	// VPANDNrr
+    2282767872U,	// VPANDQZrm
+    2282767872U,	// VPANDQZrmb
+    2282767872U,	// VPANDQZrr
+    2282770345U,	// VPANDYrm
+    2282770345U,	// VPANDYrr
+    2282770345U,	// VPANDrm
+    2282770345U,	// VPANDrr
+    2282769675U,	// VPAVGBYrm
+    2282769675U,	// VPAVGBYrr
+    2282769675U,	// VPAVGBrm
+    2282769675U,	// VPAVGBrr
+    2282775242U,	// VPAVGWYrm
+    2282775242U,	// VPAVGWYrr
+    2282775242U,	// VPAVGWrm
+    2282775242U,	// VPAVGWrr
+    2282770178U,	// VPBLENDDYrmi
+    2282770178U,	// VPBLENDDYrri
+    2282770178U,	// VPBLENDDrmi
+    2282770178U,	// VPBLENDDrri
+    155205888U,	// VPBLENDMDZrm
+    155205888U,	// VPBLENDMDZrr
+    155207339U,	// VPBLENDMQZrm
+    155207339U,	// VPBLENDMQZrr
+    2282769924U,	// VPBLENDVBYrm
+    2282769924U,	// VPBLENDVBYrr
+    2282769924U,	// VPBLENDVBrm
+    2282769924U,	// VPBLENDVBrr
+    2282775195U,	// VPBLENDWYrmi
+    2282775195U,	// VPBLENDWYrri
+    2282775195U,	// VPBLENDWrmi
+    2282775195U,	// VPBLENDWrri
+    504384973U,	// VPBROADCASTBYrm
+    135286221U,	// VPBROADCASTBYrr
+    504384973U,	// VPBROADCASTBrm
+    135286221U,	// VPBROADCASTBrr
+    101733477U,	// VPBROADCASTDYrm
+    135287909U,	// VPBROADCASTDYrr
+    155206751U,	// VPBROADCASTDZkrm
+    155206751U,	// VPBROADCASTDZkrr
+    101729375U,	// VPBROADCASTDZrm
+    135283807U,	// VPBROADCASTDZrr
+    155206751U,	// VPBROADCASTDrZkrr
+    135283807U,	// VPBROADCASTDrZrr
+    101733477U,	// VPBROADCASTDrm
+    135287909U,	// VPBROADCASTDrr
+    135284047U,	// VPBROADCASTMB2Qrr
+    135282801U,	// VPBROADCASTMW2Drr
+    168844029U,	// VPBROADCASTQYrm
+    135289597U,	// VPBROADCASTQYrr
+    155207512U,	// VPBROADCASTQZkrm
+    155207512U,	// VPBROADCASTQZkrr
+    168839000U,	// VPBROADCASTQZrm
+    135284568U,	// VPBROADCASTQZrr
+    155207512U,	// VPBROADCASTQrZkrr
+    135284568U,	// VPBROADCASTQrZrr
+    168844029U,	// VPBROADCASTQrm
+    135289597U,	// VPBROADCASTQrr
+    370172932U,	// VPBROADCASTWYrm
+    135291908U,	// VPBROADCASTWYrr
+    370172932U,	// VPBROADCASTWrm
+    135291908U,	// VPBROADCASTWrr
+    2282773008U,	// VPCLMULQDQrm
+    2282773008U,	// VPCLMULQDQrr
+    2282775026U,	// VPCMOVmr
+    2282775026U,	// VPCMOVmrY
+    2282775026U,	// VPCMOVrm
+    2282775026U,	// VPCMOVrmY
+    2282775026U,	// VPCMOVrr
+    2282775026U,	// VPCMOVrrY
+    1198878708U,	// VPCMPDZrmi
+    2282770927U,	// VPCMPDZrmi_alt
+    91598836U,	// VPCMPDZrri
+    2282770927U,	// VPCMPDZrri_alt
+    2282769723U,	// VPCMPEQBYrm
+    2282769723U,	// VPCMPEQBYrr
+    2282769723U,	// VPCMPEQBrm
+    2282769723U,	// VPCMPEQBrr
+    2282771088U,	// VPCMPEQDYrm
+    2282771088U,	// VPCMPEQDYrr
+    2282767144U,	// VPCMPEQDZrm
+    2282767144U,	// VPCMPEQDZrr
+    2282771088U,	// VPCMPEQDrm
+    2282771088U,	// VPCMPEQDrr
+    2282773149U,	// VPCMPEQQYrm
+    2282773149U,	// VPCMPEQQYrr
+    2282768107U,	// VPCMPEQQZrm
+    2282768107U,	// VPCMPEQQZrr
+    2282773149U,	// VPCMPEQQrm
+    2282773149U,	// VPCMPEQQrr
+    2282775347U,	// VPCMPEQWYrm
+    2282775347U,	// VPCMPEQWYrr
+    2282775347U,	// VPCMPEQWrm
+    2282775347U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    1410354569U,	// VPCMPESTRIrm
-    1209027977U,	// VPCMPESTRIrr
+    2484098809U,	// VPCMPESTRIrm
+    2282772217U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    1410354864U,	// VPCMPESTRM128rm
-    1209028272U,	// VPCMPESTRM128rr
-    1209025286U,	// VPCMPGTBYrm
-    1209025286U,	// VPCMPGTBYrr
-    1209025286U,	// VPCMPGTBrm
-    1209025286U,	// VPCMPGTBrr
-    1209027299U,	// VPCMPGTDYrm
-    1209027299U,	// VPCMPGTDYrr
-    1209027299U,	// VPCMPGTDZrm
-    1209027299U,	// VPCMPGTDZrr
-    1209027299U,	// VPCMPGTDrm
-    1209027299U,	// VPCMPGTDrr
-    1209029205U,	// VPCMPGTQYrm
-    1209029205U,	// VPCMPGTQYrr
-    1209029205U,	// VPCMPGTQZrm
-    1209029205U,	// VPCMPGTQZrr
-    1209029205U,	// VPCMPGTQrm
-    1209029205U,	// VPCMPGTQrr
-    1209031860U,	// VPCMPGTWYrm
-    1209031860U,	// VPCMPGTWYrr
-    1209031860U,	// VPCMPGTWrm
-    1209031860U,	// VPCMPGTWrr
+    2484099054U,	// VPCMPESTRM128rm
+    2282772462U,	// VPCMPESTRM128rr
+    2282769851U,	// VPCMPGTBYrm
+    2282769851U,	// VPCMPGTBYrr
+    2282769851U,	// VPCMPGTBrm
+    2282769851U,	// VPCMPGTBrr
+    2282771539U,	// VPCMPGTDYrm
+    2282771539U,	// VPCMPGTDYrr
+    2282767444U,	// VPCMPGTDZrm
+    2282767444U,	// VPCMPGTDZrr
+    2282771539U,	// VPCMPGTDrm
+    2282771539U,	// VPCMPGTDrr
+    2282773210U,	// VPCMPGTQYrm
+    2282773210U,	// VPCMPGTQYrr
+    2282768205U,	// VPCMPGTQZrm
+    2282768205U,	// VPCMPGTQZrr
+    2282773210U,	// VPCMPGTQrm
+    2282773210U,	// VPCMPGTQrr
+    2282775538U,	// VPCMPGTWYrm
+    2282775538U,	// VPCMPGTWYrr
+    2282775538U,	// VPCMPGTWrm
+    2282775538U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    1410354581U,	// VPCMPISTRIrm
-    1209027989U,	// VPCMPISTRIrr
+    2484098821U,	// VPCMPISTRIrm
+    2282772229U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    1410354876U,	// VPCMPISTRM128rm
-    1209028284U,	// VPCMPISTRM128rr
-    1028892817U,	// VPCMPQZrmi
-    1209029074U,	// VPCMPQZrmi_alt
-    89385105U,	// VPCMPQZrri
-    1209029074U,	// VPCMPQZrri_alt
-    1029941393U,	// VPCMPUDZrmi
-    1209027349U,	// VPCMPUDZrmi_alt
-    90433681U,	// VPCMPUDZrri
-    1209027349U,	// VPCMPUDZrri_alt
-    1030989969U,	// VPCMPUQZrmi
-    1209029272U,	// VPCMPUQZrmi_alt
-    91482257U,	// VPCMPUQZrri
-    1209029272U,	// VPCMPUQZrri_alt
-    1209025132U,	// VPCOMBmi
-    1209025132U,	// VPCOMBri
-    1209025823U,	// VPCOMDmi
-    1209025823U,	// VPCOMDri
-    1209029030U,	// VPCOMQmi
-    1209029030U,	// VPCOMQri
-    1209025318U,	// VPCOMUBmi
-    1209025318U,	// VPCOMUBri
-    1209027331U,	// VPCOMUDmi
-    1209027331U,	// VPCOMUDri
-    1209029254U,	// VPCOMUQmi
-    1209029254U,	// VPCOMUQri
-    1209031927U,	// VPCOMUWmi
-    1209031927U,	// VPCOMUWri
-    1209031604U,	// VPCOMWmi
-    1209031604U,	// VPCOMWri
-    772819670U,	// VPCONFLICTDrm
-    101731030U,	// VPCONFLICTDrmb
-    87050966U,	// VPCONFLICTDrmbk
-    3375385302U,	// VPCONFLICTDrmbkz
-    87050966U,	// VPCONFLICTDrmk
-    3375385302U,	// VPCONFLICTDrmkz
-    135285462U,	// VPCONFLICTDrr
-    87050966U,	// VPCONFLICTDrrk
-    3375385302U,	// VPCONFLICTDrrkz
-    772821576U,	// VPCONFLICTQrm
-    1242583624U,	// VPCONFLICTQrmb
-    87052872U,	// VPCONFLICTQrmbk
-    3375387208U,	// VPCONFLICTQrmbkz
-    87052872U,	// VPCONFLICTQrmk
-    3375387208U,	// VPCONFLICTQrmkz
-    135287368U,	// VPCONFLICTQrr
-    87052872U,	// VPCONFLICTQrrk
-    3375387208U,	// VPCONFLICTQrrkz
-    1209024845U,	// VPERM2F128rm
-    1209024845U,	// VPERM2F128rr
-    1209024900U,	// VPERM2I128rm
-    1209024900U,	// VPERM2I128rr
-    1209025831U,	// VPERMDYrm
-    1209025831U,	// VPERMDYrr
-    1209025831U,	// VPERMDZrm
-    1209025831U,	// VPERMDZrr
-    1141916623U,	// VPERMI2Drm
-    1141916623U,	// VPERMI2Drr
-    1141917206U,	// VPERMI2PDrm
-    1141917206U,	// VPERMI2PDrr
-    1141920938U,	// VPERMI2PSrm
-    1141920938U,	// VPERMI2PSrr
-    1141919743U,	// VPERMI2Qrm
-    1141919743U,	// VPERMI2Qrr
-    1209026091U,	// VPERMIL2PDmr
-    1209026091U,	// VPERMIL2PDmrY
-    1209026091U,	// VPERMIL2PDrm
-    1209026091U,	// VPERMIL2PDrmY
-    1209026091U,	// VPERMIL2PDrr
-    1209026091U,	// VPERMIL2PDrrY
-    1209029823U,	// VPERMIL2PSmr
-    1209029823U,	// VPERMIL2PSmrY
-    1209029823U,	// VPERMIL2PSrm
-    1209029823U,	// VPERMIL2PSrmY
-    1209029823U,	// VPERMIL2PSrr
-    1209029823U,	// VPERMIL2PSrrY
-    1880115143U,	// VPERMILPDYmi
-    1209026503U,	// VPERMILPDYri
-    1209026503U,	// VPERMILPDYrm
-    1209026503U,	// VPERMILPDYrr
-    1846560711U,	// VPERMILPDZmi
-    1209026503U,	// VPERMILPDZri
-    1611679687U,	// VPERMILPDmi
-    1209026503U,	// VPERMILPDri
-    1209026503U,	// VPERMILPDrm
-    1209026503U,	// VPERMILPDrr
-    1880118876U,	// VPERMILPSYmi
-    1209030236U,	// VPERMILPSYri
-    1209030236U,	// VPERMILPSYrm
-    1209030236U,	// VPERMILPSYrr
-    1846564444U,	// VPERMILPSZmi
-    1209030236U,	// VPERMILPSZri
-    1611683420U,	// VPERMILPSmi
-    1209030236U,	// VPERMILPSri
-    1209030236U,	// VPERMILPSrm
-    1209030236U,	// VPERMILPSrr
-    1813006337U,	// VPERMPDYmi
-    1209026561U,	// VPERMPDYri
-    1913669633U,	// VPERMPDZmi
-    1209026561U,	// VPERMPDZri
-    1209026561U,	// VPERMPDZrm
-    1209026561U,	// VPERMPDZrr
-    1209030286U,	// VPERMPSYrm
-    1209030286U,	// VPERMPSYrr
-    1209030286U,	// VPERMPSZrm
-    1209030286U,	// VPERMPSZrr
-    1813008814U,	// VPERMQYmi
-    1209029038U,	// VPERMQYri
-    1846563246U,	// VPERMQZmi
-    1209029038U,	// VPERMQZri
-    1209029038U,	// VPERMQZrm
-    1209029038U,	// VPERMQZrr
-    1074889390U,	// VPEXTRBmr
-    1209025198U,	// VPEXTRBrr
-    1074858246U,	// VPEXTRDmr
-    1209026822U,	// VPEXTRDrr
-    1074876965U,	// VPEXTRQmr
-    1209029157U,	// VPEXTRQrr
-    1074830380U,	// VPEXTRWmr
-    1209031724U,	// VPEXTRWri
-    1209031724U,	// VPEXTRWrr_REV
-    974144634U,	// VPGATHERDDYrm
-    3106948218U,	// VPGATHERDDZrm
-    974144634U,	// VPGATHERDDrm
-    907038985U,	// VPGATHERDQYrm
-    2033209609U,	// VPGATHERDQZrm
-    907038985U,	// VPGATHERDQrm
-    974145713U,	// VPGATHERQDYrm
-    2033207473U,	// VPGATHERQDZrm
-    974145713U,	// VPGATHERQDrm
-    907039210U,	// VPGATHERQQYrm
-    2033209834U,	// VPGATHERQQZrm
-    907039210U,	// VPGATHERQQrm
-    336610311U,	// VPHADDBDrm
-    135283719U,	// VPHADDBDrr
-    336613410U,	// VPHADDBQrm
-    135286818U,	// VPHADDBQrr
-    336616050U,	// VPHADDBWrm
-    135289458U,	// VPHADDBWrr
-    336613534U,	// VPHADDDQrm
-    135286942U,	// VPHADDDQrr
-    1209025623U,	// VPHADDDYrm
-    1209025623U,	// VPHADDDYrr
-    1209025623U,	// VPHADDDrm
-    1209025623U,	// VPHADDDrr
-    1209031772U,	// VPHADDSWrm128
-    1209031772U,	// VPHADDSWrm256
-    1209031772U,	// VPHADDSWrr128
-    1209031772U,	// VPHADDSWrr256
-    336610321U,	// VPHADDUBDrm
-    135283729U,	// VPHADDUBDrr
-    336613420U,	// VPHADDUBQrm
-    135286828U,	// VPHADDUBQrr
-    336616094U,	// VPHADDUBWrm
-    135289502U,	// VPHADDUBWrr
-    336613702U,	// VPHADDUDQrm
-    135287110U,	// VPHADDUDQrr
-    336612279U,	// VPHADDUWDrm
-    135285687U,	// VPHADDUWDrr
-    336614114U,	// VPHADDUWQrm
-    135287522U,	// VPHADDUWQrr
-    336612191U,	// VPHADDWDrm
-    135285599U,	// VPHADDWDrr
-    336614104U,	// VPHADDWQrm
-    135287512U,	// VPHADDWQrr
-    1209031391U,	// VPHADDWYrm
-    1209031391U,	// VPHADDWYrr
-    1209031391U,	// VPHADDWrm
-    1209031391U,	// VPHADDWrr
-    336616713U,	// VPHMINPOSUWrm128
-    135290121U,	// VPHMINPOSUWrr128
-    336616021U,	// VPHSUBBWrm
-    135289429U,	// VPHSUBBWrr
-    336613516U,	// VPHSUBDQrm
-    135286924U,	// VPHSUBDQrr
-    1209025564U,	// VPHSUBDYrm
-    1209025564U,	// VPHSUBDYrr
-    1209025564U,	// VPHSUBDrm
-    1209025564U,	// VPHSUBDrr
-    1209031753U,	// VPHSUBSWrm128
-    1209031753U,	// VPHSUBSWrm256
-    1209031753U,	// VPHSUBSWrr128
-    1209031753U,	// VPHSUBSWrr256
-    336612181U,	// VPHSUBWDrm
-    135285589U,	// VPHSUBWDrr
-    1209031337U,	// VPHSUBWYrm
-    1209031337U,	// VPHSUBWYrr
-    1209031337U,	// VPHSUBWrm
-    1209031337U,	// VPHSUBWrr
-    1209025189U,	// VPINSRBrm
-    1209025189U,	// VPINSRBrr
-    1209026813U,	// VPINSRDrm
-    1209026813U,	// VPINSRDrr
-    1209029148U,	// VPINSRQrm
-    1209029148U,	// VPINSRQrr
-    1209031705U,	// VPINSRWrmi
-    1209031705U,	// VPINSRWrri
-    1209025683U,	// VPMACSDDrm
-    1209025683U,	// VPMACSDDrr
-    1209027853U,	// VPMACSDQHrm
-    1209027853U,	// VPMACSDQHrr
-    1209028184U,	// VPMACSDQLrm
-    1209028184U,	// VPMACSDQLrr
-    1209025693U,	// VPMACSSDDrm
-    1209025693U,	// VPMACSSDDrr
-    1209027864U,	// VPMACSSDQHrm
-    1209027864U,	// VPMACSSDQHrr
-    1209028195U,	// VPMACSSDQLrm
-    1209028195U,	// VPMACSSDQLrr
-    1209027488U,	// VPMACSSWDrm
-    1209027488U,	// VPMACSSWDrr
-    1209031984U,	// VPMACSSWWrm
-    1209031984U,	// VPMACSSWWrr
-    1209027467U,	// VPMACSWDrm
-    1209027467U,	// VPMACSWDrr
-    1209031974U,	// VPMACSWWrm
-    1209031974U,	// VPMACSWWrr
-    1209027499U,	// VPMADCSSWDrm
-    1209027499U,	// VPMADCSSWDrr
-    1209027477U,	// VPMADCSWDrm
-    1209027477U,	// VPMADCSWDrr
-    1209031741U,	// VPMADDUBSWrm128
-    1209031741U,	// VPMADDUBSWrm256
-    1209031741U,	// VPMADDUBSWrr128
-    1209031741U,	// VPMADDUBSWrr256
-    1209027433U,	// VPMADDWDYrm
-    1209027433U,	// VPMADDWDYrr
-    1209027433U,	// VPMADDWDrm
-    1209027433U,	// VPMADDWDrr
-    873909058U,	// VPMASKMOVDYmr
-    1209027394U,	// VPMASKMOVDYrm
-    1074989890U,	// VPMASKMOVDmr
-    1209027394U,	// VPMASKMOVDrm
-    873910981U,	// VPMASKMOVQYmr
-    1209029317U,	// VPMASKMOVQYrm
-    1074991813U,	// VPMASKMOVQmr
-    1209029317U,	// VPMASKMOVQrm
-    1209025271U,	// VPMAXSBYrm
-    1209025271U,	// VPMAXSBYrr
-    1209025271U,	// VPMAXSBrm
-    1209025271U,	// VPMAXSBrr
-    1209027260U,	// VPMAXSDYrm
-    1209027260U,	// VPMAXSDYrr
-    1209027260U,	// VPMAXSDZrm
-    1209027260U,	// VPMAXSDZrmb
-    1209027260U,	// VPMAXSDZrr
-    1209027260U,	// VPMAXSDrm
-    1209027260U,	// VPMAXSDrr
-    1209029183U,	// VPMAXSQZrm
-    1209029183U,	// VPMAXSQZrmb
-    1209029183U,	// VPMAXSQZrr
-    1209031851U,	// VPMAXSWYrm
-    1209031851U,	// VPMAXSWYrr
-    1209031851U,	// VPMAXSWrm
-    1209031851U,	// VPMAXSWrr
-    1209025350U,	// VPMAXUBYrm
-    1209025350U,	// VPMAXUBYrr
-    1209025350U,	// VPMAXUBrm
-    1209025350U,	// VPMAXUBrr
-    1209027358U,	// VPMAXUDYrm
-    1209027358U,	// VPMAXUDYrr
-    1209027358U,	// VPMAXUDZrm
-    1209027358U,	// VPMAXUDZrmb
-    1209027358U,	// VPMAXUDZrr
-    1209027358U,	// VPMAXUDrm
-    1209027358U,	// VPMAXUDrr
-    1209029281U,	// VPMAXUQZrm
-    1209029281U,	// VPMAXUQZrmb
-    1209029281U,	// VPMAXUQZrr
-    1209031958U,	// VPMAXUWYrm
-    1209031958U,	// VPMAXUWYrr
-    1209031958U,	// VPMAXUWrm
-    1209031958U,	// VPMAXUWrr
-    1209025233U,	// VPMINSBYrm
-    1209025233U,	// VPMINSBYrr
-    1209025233U,	// VPMINSBrm
-    1209025233U,	// VPMINSBrr
-    1209027187U,	// VPMINSDYrm
-    1209027187U,	// VPMINSDYrr
-    1209027187U,	// VPMINSDZrm
-    1209027187U,	// VPMINSDZrmb
-    1209027187U,	// VPMINSDZrr
-    1209027187U,	// VPMINSDrm
-    1209027187U,	// VPMINSDrr
-    1209029174U,	// VPMINSQZrm
-    1209029174U,	// VPMINSQZrmb
-    1209029174U,	// VPMINSQZrr
-    1209031803U,	// VPMINSWYrm
-    1209031803U,	// VPMINSWYrr
-    1209031803U,	// VPMINSWrm
-    1209031803U,	// VPMINSWrr
-    1209025327U,	// VPMINUBYrm
-    1209025327U,	// VPMINUBYrr
-    1209025327U,	// VPMINUBrm
-    1209025327U,	// VPMINUBrr
-    1209027340U,	// VPMINUDYrm
-    1209027340U,	// VPMINUDYrr
-    1209027340U,	// VPMINUDZrm
-    1209027340U,	// VPMINUDZrmb
-    1209027340U,	// VPMINUDZrr
-    1209027340U,	// VPMINUDrm
-    1209027340U,	// VPMINUDrr
-    1209029263U,	// VPMINUQZrm
-    1209029263U,	// VPMINUQZrmb
-    1209029263U,	// VPMINUQZrr
-    1209031936U,	// VPMINUWYrm
-    1209031936U,	// VPMINUWYrr
-    1209031936U,	// VPMINUWrm
-    1209031936U,	// VPMINUWrr
-    3375383099U,	// VPMOVDBkrr
-    1245755U,	// VPMOVDBmr
-    135283259U,	// VPMOVDBrr
-    3375389491U,	// VPMOVDWkrr
-    449331U,	// VPMOVDWmr
-    135289651U,	// VPMOVDWrr
-    135283289U,	// VPMOVMSKBYrr
-    135283289U,	// VPMOVMSKBrr
-    3375383196U,	// VPMOVQBkrr
-    1245852U,	// VPMOVQBmr
-    135283356U,	// VPMOVQBrr
-    3375384799U,	// VPMOVQDkrr
-    444639U,	// VPMOVQDmr
-    135284959U,	// VPMOVQDrr
-    3375389676U,	// VPMOVQWkrr
-    1252332U,	// VPMOVQWmr
-    135289836U,	// VPMOVQWrr
-    3375383089U,	// VPMOVSDBkrr
-    1245745U,	// VPMOVSDBmr
-    135283249U,	// VPMOVSDBrr
-    3375389481U,	// VPMOVSDWkrr
-    449321U,	// VPMOVSDWmr
-    135289641U,	// VPMOVSDWrr
-    3375383186U,	// VPMOVSQBkrr
-    1245842U,	// VPMOVSQBmr
-    135283346U,	// VPMOVSQBrr
-    3375384789U,	// VPMOVSQDkrr
-    444629U,	// VPMOVSQDmr
-    135284949U,	// VPMOVSQDrr
-    3375389666U,	// VPMOVSQWkrr
-    1252322U,	// VPMOVSQWmr
-    135289826U,	// VPMOVSQWrr
-    101729325U,	// VPMOVSXBDYrm
-    135283757U,	// VPMOVSXBDYrr
-    336610349U,	// VPMOVSXBDZrm
-    135283757U,	// VPMOVSXBDZrr
-    101729325U,	// VPMOVSXBDrm
-    135283757U,	// VPMOVSXBDrr
-    370167871U,	// VPMOVSXBQYrm
-    135286847U,	// VPMOVSXBQYrr
-    336613439U,	// VPMOVSXBQZrm
-    135286847U,	// VPMOVSXBQZrr
-    370167871U,	// VPMOVSXBQrm
-    135286847U,	// VPMOVSXBQrr
-    336616122U,	// VPMOVSXBWYrm
-    135289530U,	// VPMOVSXBWYrr
-    168843962U,	// VPMOVSXBWrm
-    135289530U,	// VPMOVSXBWrr
-    336613723U,	// VPMOVSXDQYrm
-    135287131U,	// VPMOVSXDQYrr
-    739266907U,	// VPMOVSXDQZrm
-    135287131U,	// VPMOVSXDQZrr
-    168841563U,	// VPMOVSXDQrm
-    135287131U,	// VPMOVSXDQrr
-    336612290U,	// VPMOVSXWDYrm
-    135285698U,	// VPMOVSXWDYrr
-    739265474U,	// VPMOVSXWDZrm
-    135285698U,	// VPMOVSXWDZrr
-    168840130U,	// VPMOVSXWDrm
-    135285698U,	// VPMOVSXWDrr
-    101733101U,	// VPMOVSXWQYrm
-    135287533U,	// VPMOVSXWQYrr
-    336614125U,	// VPMOVSXWQZrm
-    135287533U,	// VPMOVSXWQZrr
-    101733101U,	// VPMOVSXWQrm
-    135287533U,	// VPMOVSXWQrr
-    3375383078U,	// VPMOVUSDBkrr
-    1245734U,	// VPMOVUSDBmr
-    135283238U,	// VPMOVUSDBrr
-    3375389470U,	// VPMOVUSDWkrr
-    449310U,	// VPMOVUSDWmr
-    135289630U,	// VPMOVUSDWrr
-    3375383175U,	// VPMOVUSQBkrr
-    1245831U,	// VPMOVUSQBmr
-    135283335U,	// VPMOVUSQBrr
-    3375384778U,	// VPMOVUSQDkrr
-    444618U,	// VPMOVUSQDmr
-    135284938U,	// VPMOVUSQDrr
-    3375389655U,	// VPMOVUSQWkrr
-    1252311U,	// VPMOVUSQWmr
-    135289815U,	// VPMOVUSQWrr
-    101729336U,	// VPMOVZXBDYrm
-    135283768U,	// VPMOVZXBDYrr
-    336610360U,	// VPMOVZXBDZrm
-    135283768U,	// VPMOVZXBDZrr
-    101729336U,	// VPMOVZXBDrm
-    135283768U,	// VPMOVZXBDrr
-    370167882U,	// VPMOVZXBQYrm
-    135286858U,	// VPMOVZXBQYrr
-    336613450U,	// VPMOVZXBQZrm
-    135286858U,	// VPMOVZXBQZrr
-    370167882U,	// VPMOVZXBQrm
-    135286858U,	// VPMOVZXBQrr
-    336616133U,	// VPMOVZXBWYrm
-    135289541U,	// VPMOVZXBWYrr
-    168843973U,	// VPMOVZXBWrm
-    135289541U,	// VPMOVZXBWrr
-    336613734U,	// VPMOVZXDQYrm
-    135287142U,	// VPMOVZXDQYrr
-    739266918U,	// VPMOVZXDQZrm
-    135287142U,	// VPMOVZXDQZrr
-    168841574U,	// VPMOVZXDQrm
-    135287142U,	// VPMOVZXDQrr
-    336612301U,	// VPMOVZXWDYrm
-    135285709U,	// VPMOVZXWDYrr
-    739265485U,	// VPMOVZXWDZrm
-    135285709U,	// VPMOVZXWDZrr
-    168840141U,	// VPMOVZXWDrm
-    135285709U,	// VPMOVZXWDrr
-    101733112U,	// VPMOVZXWQYrm
-    135287544U,	// VPMOVZXWQYrr
-    336614136U,	// VPMOVZXWQZrm
-    135287544U,	// VPMOVZXWQZrr
-    101733112U,	// VPMOVZXWQrm
-    135287544U,	// VPMOVZXWQrr
-    1209028818U,	// VPMULDQYrm
-    1209028818U,	// VPMULDQYrr
-    1209028818U,	// VPMULDQZrm
-    1209028818U,	// VPMULDQZrr
-    1209028818U,	// VPMULDQrm
-    1209028818U,	// VPMULDQrr
-    1209031812U,	// VPMULHRSWrm128
-    1209031812U,	// VPMULHRSWrm256
-    1209031812U,	// VPMULHRSWrr128
-    1209031812U,	// VPMULHRSWrr256
-    1209031917U,	// VPMULHUWYrm
-    1209031917U,	// VPMULHUWYrr
-    1209031917U,	// VPMULHUWrm
-    1209031917U,	// VPMULHUWrr
-    1209031528U,	// VPMULHWYrm
-    1209031528U,	// VPMULHWYrr
-    1209031528U,	// VPMULHWrm
-    1209031528U,	// VPMULHWrr
-    1209025786U,	// VPMULLDYrm
-    1209025786U,	// VPMULLDYrr
-    1209025786U,	// VPMULLDZrm
-    1209025786U,	// VPMULLDZrmb
-    1209025786U,	// VPMULLDZrr
-    1209025786U,	// VPMULLDrm
-    1209025786U,	// VPMULLDrr
-    1209031577U,	// VPMULLWYrm
-    1209031577U,	// VPMULLWYrr
-    1209031577U,	// VPMULLWrm
-    1209031577U,	// VPMULLWrr
-    1209028945U,	// VPMULUDQYrm
-    1209028945U,	// VPMULUDQYrr
-    1209028945U,	// VPMULUDQZrm
-    1209028945U,	// VPMULUDQZrr
-    1209028945U,	// VPMULUDQrm
-    1209028945U,	// VPMULUDQrr
-    1209026798U,	// VPORDZrm
-    1209026798U,	// VPORDZrmb
-    1209026798U,	// VPORDZrr
-    1209029123U,	// VPORQZrm
-    1209029123U,	// VPORQZrmb
-    1209029123U,	// VPORQZrr
-    1209029441U,	// VPORYrm
-    1209029441U,	// VPORYrr
-    1209029441U,	// VPORrm
-    1209029441U,	// VPORrr
-    1209028264U,	// VPPERMmr
-    1209028264U,	// VPPERMrm
-    1209028264U,	// VPPERMrr
-    1410351888U,	// VPROTBmi
-    1410351888U,	// VPROTBmr
-    1209025296U,	// VPROTBri
-    1209025296U,	// VPROTBrm
-    1209025296U,	// VPROTBrr
-    1410353901U,	// VPROTDmi
-    1410353901U,	// VPROTDmr
-    1209027309U,	// VPROTDri
-    1209027309U,	// VPROTDrm
-    1209027309U,	// VPROTDrr
-    1410355815U,	// VPROTQmi
-    1410355815U,	// VPROTQmr
-    1209029223U,	// VPROTQri
-    1209029223U,	// VPROTQrm
-    1209029223U,	// VPROTQrr
-    1410358469U,	// VPROTWmi
-    1410358469U,	// VPROTWmr
-    1209031877U,	// VPROTWri
-    1209031877U,	// VPROTWrm
-    1209031877U,	// VPROTWrr
-    1209031273U,	// VPSADBWYrm
-    1209031273U,	// VPSADBWYrr
-    1209031273U,	// VPSADBWrm
-    1209031273U,	// VPSADBWrr
-    492678U,	// VPSCATTERDDZmr
-    512277U,	// VPSCATTERDQZmr
-    510141U,	// VPSCATTERQDZmr
-    512502U,	// VPSCATTERQQZmr
-    1410351625U,	// VPSHABmr
-    1209025033U,	// VPSHABrm
-    1209025033U,	// VPSHABrr
-    1410352119U,	// VPSHADmr
-    1209025527U,	// VPSHADrm
-    1209025527U,	// VPSHADrr
-    1410355218U,	// VPSHAQmr
-    1209028626U,	// VPSHAQrm
-    1209028626U,	// VPSHAQrr
-    1410357829U,	// VPSHAWmr
-    1209031237U,	// VPSHAWrm
-    1209031237U,	// VPSHAWrr
-    1410351716U,	// VPSHLBmr
-    1209025124U,	// VPSHLBrm
-    1209025124U,	// VPSHLBrr
-    1410352356U,	// VPSHLDmr
-    1209025764U,	// VPSHLDrm
-    1209025764U,	// VPSHLDrr
-    1410355587U,	// VPSHLQmr
-    1209028995U,	// VPSHLQrm
-    1209028995U,	// VPSHLQrr
-    1410358146U,	// VPSHLWmr
-    1209031554U,	// VPSHLWrm
-    1209031554U,	// VPSHLWrr
-    1209025092U,	// VPSHUFBYrm
-    1209025092U,	// VPSHUFBYrr
-    1209025092U,	// VPSHUFBrm
-    1209025092U,	// VPSHUFBrr
-    1813005495U,	// VPSHUFDYmi
-    1209025719U,	// VPSHUFDYri
-    1846559927U,	// VPSHUFDZmi
-    1209025719U,	// VPSHUFDZri
-    1410352311U,	// VPSHUFDmi
-    1209025719U,	// VPSHUFDri
-    1813011294U,	// VPSHUFHWYmi
-    1209031518U,	// VPSHUFHWYri
-    1410358110U,	// VPSHUFHWmi
-    1209031518U,	// VPSHUFHWri
-    1813011320U,	// VPSHUFLWYmi
-    1209031544U,	// VPSHUFLWYri
-    1410358136U,	// VPSHUFLWmi
-    1209031544U,	// VPSHUFLWri
-    1209025140U,	// VPSIGNBYrm
-    1209025140U,	// VPSIGNBYrr
-    1209025140U,	// VPSIGNBrm
-    1209025140U,	// VPSIGNBrr
-    1209025882U,	// VPSIGNDYrm
-    1209025882U,	// VPSIGNDYrr
-    1209025882U,	// VPSIGNDrm
-    1209025882U,	// VPSIGNDrr
-    1209031620U,	// VPSIGNWYrm
-    1209031620U,	// VPSIGNWYrr
-    1209031620U,	// VPSIGNWrm
-    1209031620U,	// VPSIGNWrr
-    1209028800U,	// VPSLLDQYri
-    1209028800U,	// VPSLLDQri
-    1209025778U,	// VPSLLDYri
-    1209025778U,	// VPSLLDYrm
-    1209025778U,	// VPSLLDYrr
-    1846559986U,	// VPSLLDZmi
-    154158322U,	// VPSLLDZmik
-    1209025778U,	// VPSLLDZri
-    154158322U,	// VPSLLDZrik
-    1209025778U,	// VPSLLDZrm
-    154158322U,	// VPSLLDZrmk
-    1209025778U,	// VPSLLDZrr
-    154158322U,	// VPSLLDZrrk
-    1209025778U,	// VPSLLDri
-    1209025778U,	// VPSLLDrm
-    1209025778U,	// VPSLLDrr
-    1209029003U,	// VPSLLQYri
-    1209029003U,	// VPSLLQYrm
-    1209029003U,	// VPSLLQYrr
-    1846563211U,	// VPSLLQZmi
-    154161547U,	// VPSLLQZmik
-    1209029003U,	// VPSLLQZri
-    154161547U,	// VPSLLQZrik
-    1209029003U,	// VPSLLQZrm
-    154161547U,	// VPSLLQZrmk
-    1209029003U,	// VPSLLQZrr
-    154161547U,	// VPSLLQZrrk
-    1209029003U,	// VPSLLQri
-    1209029003U,	// VPSLLQrm
-    1209029003U,	// VPSLLQrr
-    1209027376U,	// VPSLLVDYrm
-    1209027376U,	// VPSLLVDYrr
-    1209027376U,	// VPSLLVDZrm
-    1209027376U,	// VPSLLVDZrr
-    1209027376U,	// VPSLLVDrm
-    1209027376U,	// VPSLLVDrr
-    1209029299U,	// VPSLLVQYrm
-    1209029299U,	// VPSLLVQYrr
-    1209029299U,	// VPSLLVQZrm
-    1209029299U,	// VPSLLVQZrr
-    1209029299U,	// VPSLLVQrm
-    1209029299U,	// VPSLLVQrr
-    1209031569U,	// VPSLLWYri
-    1209031569U,	// VPSLLWYrm
-    1209031569U,	// VPSLLWYrr
-    1209031569U,	// VPSLLWri
-    1209031569U,	// VPSLLWrm
-    1209031569U,	// VPSLLWrr
-    1209025535U,	// VPSRADYri
-    1209025535U,	// VPSRADYrm
-    1209025535U,	// VPSRADYrr
-    1846559743U,	// VPSRADZmi
-    154158079U,	// VPSRADZmik
-    1209025535U,	// VPSRADZri
-    154158079U,	// VPSRADZrik
-    1209025535U,	// VPSRADZrm
-    154158079U,	// VPSRADZrmk
-    1209025535U,	// VPSRADZrr
-    154158079U,	// VPSRADZrrk
-    1209025535U,	// VPSRADri
-    1209025535U,	// VPSRADrm
-    1209025535U,	// VPSRADrr
-    1846562842U,	// VPSRAQZmi
-    154161178U,	// VPSRAQZmik
-    1209028634U,	// VPSRAQZri
-    154161178U,	// VPSRAQZrik
-    1209028634U,	// VPSRAQZrm
-    154161178U,	// VPSRAQZrmk
-    1209028634U,	// VPSRAQZrr
-    154161178U,	// VPSRAQZrrk
-    1209027367U,	// VPSRAVDYrm
-    1209027367U,	// VPSRAVDYrr
-    1209027367U,	// VPSRAVDZrm
-    1209027367U,	// VPSRAVDZrr
-    1209027367U,	// VPSRAVDrm
-    1209027367U,	// VPSRAVDrr
-    1209029290U,	// VPSRAVQZrm
-    1209029290U,	// VPSRAVQZrr
-    1209031245U,	// VPSRAWYri
-    1209031245U,	// VPSRAWYrm
-    1209031245U,	// VPSRAWYrr
-    1209031245U,	// VPSRAWri
-    1209031245U,	// VPSRAWrm
-    1209031245U,	// VPSRAWrr
-    1209028809U,	// VPSRLDQYri
-    1209028809U,	// VPSRLDQri
-    1209025795U,	// VPSRLDYri
-    1209025795U,	// VPSRLDYrm
-    1209025795U,	// VPSRLDYrr
-    1846560003U,	// VPSRLDZmi
-    154158339U,	// VPSRLDZmik
-    1209025795U,	// VPSRLDZri
-    154158339U,	// VPSRLDZrik
-    1209025795U,	// VPSRLDZrm
-    154158339U,	// VPSRLDZrmk
-    1209025795U,	// VPSRLDZrr
-    154158339U,	// VPSRLDZrrk
-    1209025795U,	// VPSRLDri
-    1209025795U,	// VPSRLDrm
-    1209025795U,	// VPSRLDrr
-    1209029011U,	// VPSRLQYri
-    1209029011U,	// VPSRLQYrm
-    1209029011U,	// VPSRLQYrr
-    1846563219U,	// VPSRLQZmi
-    154161555U,	// VPSRLQZmik
-    1209029011U,	// VPSRLQZri
-    154161555U,	// VPSRLQZrik
-    1209029011U,	// VPSRLQZrm
-    154161555U,	// VPSRLQZrmk
-    1209029011U,	// VPSRLQZrr
-    154161555U,	// VPSRLQZrrk
-    1209029011U,	// VPSRLQri
-    1209029011U,	// VPSRLQrm
-    1209029011U,	// VPSRLQrr
-    1209027385U,	// VPSRLVDYrm
-    1209027385U,	// VPSRLVDYrr
-    1209027385U,	// VPSRLVDZrm
-    1209027385U,	// VPSRLVDZrr
-    1209027385U,	// VPSRLVDrm
-    1209027385U,	// VPSRLVDrr
-    1209029308U,	// VPSRLVQYrm
-    1209029308U,	// VPSRLVQYrr
-    1209029308U,	// VPSRLVQZrm
-    1209029308U,	// VPSRLVQZrr
-    1209029308U,	// VPSRLVQrm
-    1209029308U,	// VPSRLVQrr
-    1209031586U,	// VPSRLWYri
-    1209031586U,	// VPSRLWYrm
-    1209031586U,	// VPSRLWYrr
-    1209031586U,	// VPSRLWri
-    1209031586U,	// VPSRLWrm
-    1209031586U,	// VPSRLWrr
-    1209025046U,	// VPSUBBYrm
-    1209025046U,	// VPSUBBYrr
-    1209025046U,	// VPSUBBrm
-    1209025046U,	// VPSUBBrr
-    1209025573U,	// VPSUBDYrm
-    1209025573U,	// VPSUBDYrr
-    1209025573U,	// VPSUBDZrm
-    1209025573U,	// VPSUBDZrmb
-    1209025573U,	// VPSUBDZrr
-    1209025573U,	// VPSUBDrm
-    1209025573U,	// VPSUBDrr
-    1209028663U,	// VPSUBQYrm
-    1209028663U,	// VPSUBQYrr
-    1209028663U,	// VPSUBQZrm
-    1209028663U,	// VPSUBQZrmb
-    1209028663U,	// VPSUBQZrr
-    1209028663U,	// VPSUBQrm
-    1209028663U,	// VPSUBQrr
-    1209025215U,	// VPSUBSBYrm
-    1209025215U,	// VPSUBSBYrr
-    1209025215U,	// VPSUBSBrm
-    1209025215U,	// VPSUBSBrr
-    1209031763U,	// VPSUBSWYrm
-    1209031763U,	// VPSUBSWYrr
-    1209031763U,	// VPSUBSWrm
-    1209031763U,	// VPSUBSWrr
-    1209025242U,	// VPSUBUSBYrm
-    1209025242U,	// VPSUBUSBYrr
-    1209025242U,	// VPSUBUSBrm
-    1209025242U,	// VPSUBUSBrr
-    1209031831U,	// VPSUBUSWYrm
-    1209031831U,	// VPSUBUSWYrr
-    1209031831U,	// VPSUBUSWrm
-    1209031831U,	// VPSUBUSWrr
-    1209031346U,	// VPSUBWYrm
-    1209031346U,	// VPSUBWYrr
-    1209031346U,	// VPSUBWrm
-    1209031346U,	// VPSUBWrr
-    1209025839U,	// VPTESTMDZrm
-    1209025839U,	// VPTESTMDZrr
-    1209029046U,	// VPTESTMQZrm
-    1209029046U,	// VPTESTMQZrr
-    739269064U,	// VPTESTYrm
-    135289288U,	// VPTESTYrr
-    537942472U,	// VPTESTrm
-    135289288U,	// VPTESTrr
-    1209031292U,	// VPUNPCKHBWYrm
-    1209031292U,	// VPUNPCKHBWYrr
-    1209031292U,	// VPUNPCKHBWrm
-    1209031292U,	// VPUNPCKHBWrr
-    1209028776U,	// VPUNPCKHDQYrm
-    1209028776U,	// VPUNPCKHDQYrr
-    1209028776U,	// VPUNPCKHDQZrm
-    1209028776U,	// VPUNPCKHDQZrr
-    1209028776U,	// VPUNPCKHDQrm
-    1209028776U,	// VPUNPCKHDQrr
-    1209028835U,	// VPUNPCKHQDQYrm
-    1209028835U,	// VPUNPCKHQDQYrr
-    1209028835U,	// VPUNPCKHQDQZrm
-    1209028835U,	// VPUNPCKHQDQZrr
-    1209028835U,	// VPUNPCKHQDQrm
-    1209028835U,	// VPUNPCKHQDQrr
-    1209027443U,	// VPUNPCKHWDYrm
-    1209027443U,	// VPUNPCKHWDYrr
-    1209027443U,	// VPUNPCKHWDrm
-    1209027443U,	// VPUNPCKHWDrr
-    1209031314U,	// VPUNPCKLBWYrm
-    1209031314U,	// VPUNPCKLBWYrr
-    1209031314U,	// VPUNPCKLBWrm
-    1209031314U,	// VPUNPCKLBWrr
-    1209028788U,	// VPUNPCKLDQYrm
-    1209028788U,	// VPUNPCKLDQYrr
-    1209028788U,	// VPUNPCKLDQZrm
-    1209028788U,	// VPUNPCKLDQZrr
-    1209028788U,	// VPUNPCKLDQrm
-    1209028788U,	// VPUNPCKLDQrr
-    1209028848U,	// VPUNPCKLQDQYrm
-    1209028848U,	// VPUNPCKLQDQYrr
-    1209028848U,	// VPUNPCKLQDQZrm
-    1209028848U,	// VPUNPCKLQDQZrr
-    1209028848U,	// VPUNPCKLQDQrm
-    1209028848U,	// VPUNPCKLQDQrr
-    1209027455U,	// VPUNPCKLWDYrm
-    1209027455U,	// VPUNPCKLWDYrr
-    1209027455U,	// VPUNPCKLWDrm
-    1209027455U,	// VPUNPCKLWDrr
-    1209026805U,	// VPXORDZrm
-    1209026805U,	// VPXORDZrmb
-    1209026805U,	// VPXORDZrr
-    1209029140U,	// VPXORQZrm
-    1209029140U,	// VPXORQZrmb
-    1209029140U,	// VPXORQZrr
-    1209029469U,	// VPXORYrm
-    1209029469U,	// VPXORYrr
-    1209029469U,	// VPXORrm
-    1209029469U,	// VPXORrr
-    839927471U,	// VRCP14PDZm
-    839927471U,	// VRCP14PDZm_Int
-    135284399U,	// VRCP14PDZr
-    135284399U,	// VRCP14PDZr_Int
-    806376760U,	// VRCP14PSZm
-    839931192U,	// VRCP14PSZm_Int
-    135288120U,	// VRCP14PSZr
-    135288120U,	// VRCP14PSZr_Int
-    1209027027U,	// VRCP14SDZm
-    1209027027U,	// VRCP14SDZm_Int
-    1209027027U,	// VRCP14SDZr
-    1209030689U,	// VRCP14SSZm
-    1209030689U,	// VRCP14SSZm_Int
-    1209030689U,	// VRCP14SSZr
-    839927493U,	// VRCP28PDZm
-    839927493U,	// VRCP28PDZm_Int
-    135284421U,	// VRCP28PDZr
-    135284421U,	// VRCP28PDZr_Int
-    806376782U,	// VRCP28PSZm
-    839931214U,	// VRCP28PSZm_Int
-    135288142U,	// VRCP28PSZr
-    135288142U,	// VRCP28PSZr_Int
-    1209027049U,	// VRCP28SDZm
-    1209027049U,	// VRCP28SDZm_Int
-    1209027049U,	// VRCP28SDZr
-    1209030711U,	// VRCP28SSZm
-    1209030711U,	// VRCP28SSZm_Int
-    1209030711U,	// VRCP28SSZr
-    806377128U,	// VRCPPSYm
-    806377128U,	// VRCPPSYm_Int
-    135288488U,	// VRCPPSYr
-    135288488U,	// VRCPPSYr_Int
-    537941672U,	// VRCPPSm
-    537941672U,	// VRCPPSm_Int
-    135288488U,	// VRCPPSr
-    135288488U,	// VRCPPSr_Int
-    1209030849U,	// VRCPSSm
-    1209030849U,	// VRCPSSm_Int
-    1209030849U,	// VRCPSSr
-    1209027147U,	// VRNDSCALESDm
-    1209027147U,	// VRNDSCALESDr
-    1209027147U,	// VRNDSCALESDr_Int
-    1209030801U,	// VRNDSCALESSm
-    1209030801U,	// VRNDSCALESSr
-    1209030801U,	// VRNDSCALESSr_Int
-    1880115090U,	// VRNDSCALEZPDm
-    1209026450U,	// VRNDSCALEZPDr
-    1880118803U,	// VRNDSCALEZPSm
-    1209030163U,	// VRNDSCALEZPSr
-    1611679599U,	// VROUNDPDm
-    1209026415U,	// VROUNDPDr
-    1611683312U,	// VROUNDPSm
-    1209030128U,	// VROUNDPSr
-    1209027137U,	// VROUNDSDm
-    1209027137U,	// VROUNDSDr
-    1209027137U,	// VROUNDSDr_Int
-    1209030791U,	// VROUNDSSm
-    1209030791U,	// VROUNDSSr
-    1209030791U,	// VROUNDSSr_Int
-    1880115055U,	// VROUNDYPDm
-    1209026415U,	// VROUNDYPDr
-    1880118768U,	// VROUNDYPSm
-    1209030128U,	// VROUNDYPSr
-    839927481U,	// VRSQRT14PDZm
-    839927481U,	// VRSQRT14PDZm_Int
-    135284409U,	// VRSQRT14PDZr
-    135284409U,	// VRSQRT14PDZr_Int
-    806376770U,	// VRSQRT14PSZm
-    839931202U,	// VRSQRT14PSZm_Int
-    135288130U,	// VRSQRT14PSZr
-    135288130U,	// VRSQRT14PSZr_Int
-    1209027037U,	// VRSQRT14SDZm
-    1209027037U,	// VRSQRT14SDZm_Int
-    1209027037U,	// VRSQRT14SDZr
-    1209030699U,	// VRSQRT14SSZm
-    1209030699U,	// VRSQRT14SSZm_Int
-    1209030699U,	// VRSQRT14SSZr
-    839927503U,	// VRSQRT28PDZm
-    839927503U,	// VRSQRT28PDZm_Int
-    135284431U,	// VRSQRT28PDZr
-    135284431U,	// VRSQRT28PDZr_Int
-    806376792U,	// VRSQRT28PSZm
-    839931224U,	// VRSQRT28PSZm_Int
-    135288152U,	// VRSQRT28PSZr
-    135288152U,	// VRSQRT28PSZr_Int
-    1209027059U,	// VRSQRT28SDZm
-    1209027059U,	// VRSQRT28SDZm_Int
-    1209027059U,	// VRSQRT28SDZr
-    1209030721U,	// VRSQRT28SSZm
-    1209030721U,	// VRSQRT28SSZm_Int
-    1209030721U,	// VRSQRT28SSZr
-    806377224U,	// VRSQRTPSYm
-    806377224U,	// VRSQRTPSYm_Int
-    135288584U,	// VRSQRTPSYr
-    135288584U,	// VRSQRTPSYr_Int
-    537941768U,	// VRSQRTPSm
-    537941768U,	// VRSQRTPSm_Int
-    135288584U,	// VRSQRTPSr
-    135288584U,	// VRSQRTPSr_Int
-    1209030874U,	// VRSQRTSSm
-    1209030874U,	// VRSQRTSSm_Int
-    1209030874U,	// VRSQRTSSr
-    509829U,	// VSCATTERDPDZmr
-    497158U,	// VSCATTERDPSZmr
-    510006U,	// VSCATTERQPDZmr
-    513739U,	// VSCATTERQPSZmr
-    1209026463U,	// VSHUFPDYrmi
-    1209026463U,	// VSHUFPDYrri
-    1209026463U,	// VSHUFPDZrmi
-    1209026463U,	// VSHUFPDZrri
-    1209026463U,	// VSHUFPDrmi
-    1209026463U,	// VSHUFPDrri
-    1209030176U,	// VSHUFPSYrmi
-    1209030176U,	// VSHUFPSYrri
-    1209030176U,	// VSHUFPSZrmi
-    1209030176U,	// VSHUFPSZrri
-    1209030176U,	// VSHUFPSrmi
-    1209030176U,	// VSHUFPSrri
-    806373468U,	// VSQRTPDYm
-    135284828U,	// VSQRTPDYr
-    839927900U,	// VSQRTPDZm_Int
-    135284828U,	// VSQRTPDZr_Int
-    839932327U,	// VSQRTPDZrm
-    135289255U,	// VSQRTPDZrr
-    537938012U,	// VSQRTPDm
-    135284828U,	// VSQRTPDr
-    806377234U,	// VSQRTPSYm
-    135288594U,	// VSQRTPSYr
-    839931666U,	// VSQRTPSZm_Int
-    135288594U,	// VSQRTPSZr_Int
-    839932327U,	// VSQRTPSZrm
-    135289255U,	// VSQRTPSZrr
-    537941778U,	// VSQRTPSm
-    135288594U,	// VSQRTPSr
-    1209027221U,	// VSQRTSDZm
-    1209027221U,	// VSQRTSDZm_Int
-    1209027221U,	// VSQRTSDZr
-    1209027221U,	// VSQRTSDZr_Int
-    1209027221U,	// VSQRTSDm
-    1209027221U,	// VSQRTSDm_Int
-    1209027221U,	// VSQRTSDr
-    1209030884U,	// VSQRTSSZm
-    1209030884U,	// VSQRTSSZm_Int
-    1209030884U,	// VSQRTSSZr
-    1209030884U,	// VSQRTSSZr_Int
-    1209030884U,	// VSQRTSSm
-    1209030884U,	// VSQRTSSm_Int
-    1209030884U,	// VSQRTSSr
-    70521U,	// VSTMXCSR
-    1209026338U,	// VSUBPDYrm
-    1209026338U,	// VSUBPDYrr
-    1209026338U,	// VSUBPDZrm
-    1209026338U,	// VSUBPDZrmb
-    1209026338U,	// VSUBPDZrr
-    1209026338U,	// VSUBPDrm
-    1209026338U,	// VSUBPDrr
-    1209030051U,	// VSUBPSYrm
-    1209030051U,	// VSUBPSYrr
-    1209030051U,	// VSUBPSZrm
-    1209030051U,	// VSUBPSZrmb
-    1209030051U,	// VSUBPSZrr
-    1209030051U,	// VSUBPSrm
-    1209030051U,	// VSUBPSrr
-    1209027100U,	// VSUBSDZrm
-    1209027100U,	// VSUBSDZrr
-    1209027100U,	// VSUBSDrm
-    1209027100U,	// VSUBSDrm_Int
-    1209027100U,	// VSUBSDrr
-    1209027100U,	// VSUBSDrr_Int
-    1209030754U,	// VSUBSSZrm
-    1209030754U,	// VSUBSSZrr
-    1209030754U,	// VSUBSSrm
-    1209030754U,	// VSUBSSrm_Int
-    1209030754U,	// VSUBSSrr
-    1209030754U,	// VSUBSSrr_Int
-    806373477U,	// VTESTPDYrm
-    135284837U,	// VTESTPDYrr
-    537938021U,	// VTESTPDrm
-    135284837U,	// VTESTPDrr
-    806377243U,	// VTESTPSYrm
-    135288603U,	// VTESTPSYrr
-    537941787U,	// VTESTPSrm
-    135288603U,	// VTESTPSrr
-    571492952U,	// VUCOMISDZrm
-    135285336U,	// VUCOMISDZrr
-    571492952U,	// VUCOMISDrm
-    135285336U,	// VUCOMISDrr
-    605051038U,	// VUCOMISSZrm
-    135288990U,	// VUCOMISSZrr
-    605051038U,	// VUCOMISSrm
-    135288990U,	// VUCOMISSrr
-    1209026472U,	// VUNPCKHPDYrm
-    1209026472U,	// VUNPCKHPDYrr
-    1209026472U,	// VUNPCKHPDZrm
-    1209026472U,	// VUNPCKHPDZrr
-    1209026472U,	// VUNPCKHPDrm
-    1209026472U,	// VUNPCKHPDrr
-    1209030185U,	// VUNPCKHPSYrm
-    1209030185U,	// VUNPCKHPSYrr
-    1209030185U,	// VUNPCKHPSZrm
-    1209030185U,	// VUNPCKHPSZrr
-    1209030185U,	// VUNPCKHPSrm
-    1209030185U,	// VUNPCKHPSrr
-    1209026514U,	// VUNPCKLPDYrm
-    1209026514U,	// VUNPCKLPDYrr
-    1209026514U,	// VUNPCKLPDZrm
-    1209026514U,	// VUNPCKLPDZrr
-    1209026514U,	// VUNPCKLPDrm
-    1209026514U,	// VUNPCKLPDrr
-    1209030247U,	// VUNPCKLPSYrm
-    1209030247U,	// VUNPCKLPSYrr
-    1209030247U,	// VUNPCKLPSZrm
-    1209030247U,	// VUNPCKLPSZrr
-    1209030247U,	// VUNPCKLPSrm
-    1209030247U,	// VUNPCKLPSrr
-    1209026634U,	// VXORPDYrm
-    1209026634U,	// VXORPDYrr
-    1209026634U,	// VXORPDrm
-    1209026634U,	// VXORPDrr
-    1209030367U,	// VXORPSYrm
-    1209030367U,	// VXORPSYrr
-    1209030367U,	// VXORPSrm
-    1209030367U,	// VXORPSrr
-    10281U,	// VZEROALL
-    10493U,	// VZEROUPPER
+    2484099066U,	// VPCMPISTRM128rm
+    2282772474U,	// VPCMPISTRM128rr
+    1199927284U,	// VPCMPQZrmi
+    2282773141U,	// VPCMPQZrmi_alt
+    92647412U,	// VPCMPQZrri
+    2282773141U,	// VPCMPQZrri_alt
+    1200975860U,	// VPCMPUDZrmi
+    2282771589U,	// VPCMPUDZrmi_alt
+    93695988U,	// VPCMPUDZrri
+    2282771589U,	// VPCMPUDZrri_alt
+    1202024436U,	// VPCMPUQZrmi
+    2282773268U,	// VPCMPUQZrmi_alt
+    94744564U,	// VPCMPUQZrri
+    2282773268U,	// VPCMPUQZrri_alt
+    2282769706U,	// VPCOMBmi
+    2282769706U,	// VPCOMBri
+    2282770329U,	// VPCOMDmi
+    2282770329U,	// VPCOMDri
+    2282773125U,	// VPCOMQmi
+    2282773125U,	// VPCOMQri
+    2282769883U,	// VPCOMUBmi
+    2282769883U,	// VPCOMUBri
+    2282771571U,	// VPCOMUDmi
+    2282771571U,	// VPCOMUDri
+    2282773259U,	// VPCOMUQmi
+    2282773259U,	// VPCOMUQri
+    2282775580U,	// VPCOMUWmi
+    2282775580U,	// VPCOMUWri
+    2282775330U,	// VPCOMWmi
+    2282775330U,	// VPCOMWri
+    940590150U,	// VPCONFLICTDrm
+    2249212998U,	// VPCONFLICTDrmb
+    88097862U,	// VPCONFLICTDrmbk
+    155206726U,	// VPCONFLICTDrmbkz
+    88097862U,	// VPCONFLICTDrmk
+    155206726U,	// VPCONFLICTDrmkz
+    135283782U,	// VPCONFLICTDrr
+    88097862U,	// VPCONFLICTDrrk
+    155206726U,	// VPCONFLICTDrrkz
+    940590911U,	// VPCONFLICTQrm
+    168838975U,	// VPCONFLICTQrmb
+    88098623U,	// VPCONFLICTQrmbk
+    155207487U,	// VPCONFLICTQrmbkz
+    88098623U,	// VPCONFLICTQrmk
+    155207487U,	// VPCONFLICTQrmkz
+    135284543U,	// VPCONFLICTQrr
+    88098623U,	// VPCONFLICTQrrk
+    155207487U,	// VPCONFLICTQrrkz
+    2282769449U,	// VPERM2F128rm
+    2282769449U,	// VPERM2F128rr
+    2282769504U,	// VPERM2I128rm
+    2282769504U,	// VPERM2I128rr
+    2282770337U,	// VPERMDYrm
+    2282770337U,	// VPERMDYrr
+    2282766616U,	// VPERMDZrm
+    2282766616U,	// VPERMDZrr
+    2215657563U,	// VPERMI2Drm
+    2215657563U,	// VPERMI2Drr
+    2215657884U,	// VPERMI2PDrm
+    2215657884U,	// VPERMI2PDrr
+    2215659545U,	// VPERMI2PSrm
+    2215659545U,	// VPERMI2PSrr
+    2215658849U,	// VPERMI2Qrm
+    2215658849U,	// VPERMI2Qrr
+    2282770558U,	// VPERMIL2PDmr
+    2282770558U,	// VPERMIL2PDmrY
+    2282770558U,	// VPERMIL2PDrm
+    2282770558U,	// VPERMIL2PDrmY
+    2282770558U,	// VPERMIL2PDrr
+    2282770558U,	// VPERMIL2PDrrY
+    2282773790U,	// VPERMIL2PSmr
+    2282773790U,	// VPERMIL2PSmrY
+    2282773790U,	// VPERMIL2PSrm
+    2282773790U,	// VPERMIL2PSrmY
+    2282773790U,	// VPERMIL2PSrr
+    2282773790U,	// VPERMIL2PSrrY
+    3121631688U,	// VPERMILPDYmi
+    2282770888U,	// VPERMILPDYri
+    2282770888U,	// VPERMILPDYrm
+    2282770888U,	// VPERMILPDYrr
+    3088073396U,	// VPERMILPDZmi
+    2282767028U,	// VPERMILPDZri
+    2685424072U,	// VPERMILPDmi
+    2282770888U,	// VPERMILPDri
+    2282770888U,	// VPERMILPDrm
+    2282770888U,	// VPERMILPDrr
+    3121634921U,	// VPERMILPSYmi
+    2282774121U,	// VPERMILPSYri
+    2282774121U,	// VPERMILPSYrm
+    2282774121U,	// VPERMILPSYrr
+    3088075045U,	// VPERMILPSZmi
+    2282768677U,	// VPERMILPSZri
+    2685427305U,	// VPERMILPSmi
+    2282774121U,	// VPERMILPSri
+    2282774121U,	// VPERMILPSrm
+    2282774121U,	// VPERMILPSrr
+    3054522871U,	// VPERMPDYmi
+    2282770935U,	// VPERMPDYri
+    3155182293U,	// VPERMPDZmi
+    2282767061U,	// VPERMPDZri
+    2282767061U,	// VPERMPDZrm
+    2282767061U,	// VPERMPDZrr
+    2282774160U,	// VPERMPSYrm
+    2282774160U,	// VPERMPSYrr
+    2282768710U,	// VPERMPSZrm
+    2282768710U,	// VPERMPSZrr
+    3054525069U,	// VPERMQYmi
+    2282773133U,	// VPERMQYri
+    3088074435U,	// VPERMQZmi
+    2282768067U,	// VPERMQZri
+    2282768067U,	// VPERMQZrm
+    2282768067U,	// VPERMQZrr
+    2215657574U,	// VPERMT2Drm
+    2215657574U,	// VPERMT2Drr
+    2215657933U,	// VPERMT2PDrm
+    2215657933U,	// VPERMT2PDrr
+    2215659582U,	// VPERMT2PSrm
+    2215659582U,	// VPERMT2PSrr
+    2215658860U,	// VPERMT2Qrm
+    2215658860U,	// VPERMT2Qrr
+    2148633934U,	// VPEXTRBmr
+    2282769742U,	// VPEXTRBrr
+    2148602549U,	// VPEXTRDmr
+    2282771125U,	// VPEXTRDrr
+    2148620988U,	// VPEXTRQmr
+    2282773180U,	// VPEXTRQrr
+    2148574037U,	// VPEXTRWmr
+    2282775381U,	// VPEXTRWri
+    2282775381U,	// VPEXTRWrr_REV
+    1141919500U,	// VPGATHERDDYrm
+    3275767999U,	// VPGATHERDDZrm
+    1141919500U,	// VPGATHERDDrm
+    1074813468U,	// VPGATHERDQYrm
+    1128285733U,	// VPGATHERDQZrm
+    1074813468U,	// VPGATHERDQrm
+    1141920410U,	// VPGATHERQDYrm
+    1128284979U,	// VPGATHERQDZrm
+    1141920410U,	// VPGATHERQDrm
+    1074813607U,	// VPGATHERQQYrm
+    1128285942U,	// VPGATHERQQZrm
+    1074813607U,	// VPGATHERQQrm
+    336613025U,	// VPHADDBDrm
+    135286433U,	// VPHADDBDrr
+    336615741U,	// VPHADDBQrm
+    135289149U,	// VPHADDBQrr
+    336618023U,	// VPHADDBWrm
+    135291431U,	// VPHADDBWrr
+    336615865U,	// VPHADDDQrm
+    135289273U,	// VPHADDDQrr
+    2282770161U,	// VPHADDDYrm
+    2282770161U,	// VPHADDDYrr
+    2282770161U,	// VPHADDDrm
+    2282770161U,	// VPHADDDrr
+    2282775429U,	// VPHADDSWrm128
+    2282775429U,	// VPHADDSWrm256
+    2282775429U,	// VPHADDSWrr128
+    2282775429U,	// VPHADDSWrr256
+    336613035U,	// VPHADDUBDrm
+    135286443U,	// VPHADDUBDrr
+    336615751U,	// VPHADDUBQrm
+    135289159U,	// VPHADDUBQrr
+    336618057U,	// VPHADDUBWrm
+    135291465U,	// VPHADDUBWrr
+    336615986U,	// VPHADDUDQrm
+    135289394U,	// VPHADDUDQrr
+    336614695U,	// VPHADDUWDrm
+    135288103U,	// VPHADDUWDrr
+    336616268U,	// VPHADDUWQrm
+    135289676U,	// VPHADDUWQrr
+    336614607U,	// VPHADDWDrm
+    135288015U,	// VPHADDWDrr
+    336616258U,	// VPHADDWQrm
+    135289666U,	// VPHADDWQrr
+    2282775178U,	// VPHADDWYrm
+    2282775178U,	// VPHADDWYrr
+    2282775178U,	// VPHADDWrm
+    2282775178U,	// VPHADDWrr
+    336618542U,	// VPHMINPOSUWrm128
+    135291950U,	// VPHMINPOSUWrr128
+    336617994U,	// VPHSUBBWrm
+    135291402U,	// VPHSUBBWrr
+    336615847U,	// VPHSUBDQrm
+    135289255U,	// VPHSUBDQrr
+    2282770102U,	// VPHSUBDYrm
+    2282770102U,	// VPHSUBDYrr
+    2282770102U,	// VPHSUBDrm
+    2282770102U,	// VPHSUBDrr
+    2282775410U,	// VPHSUBSWrm128
+    2282775410U,	// VPHSUBSWrm256
+    2282775410U,	// VPHSUBSWrr128
+    2282775410U,	// VPHSUBSWrr256
+    336614597U,	// VPHSUBWDrm
+    135288005U,	// VPHSUBWDrr
+    2282775124U,	// VPHSUBWYrm
+    2282775124U,	// VPHSUBWYrr
+    2282775124U,	// VPHSUBWrm
+    2282775124U,	// VPHSUBWrr
+    2282769733U,	// VPINSRBrm
+    2282769733U,	// VPINSRBrr
+    2282771116U,	// VPINSRDrm
+    2282771116U,	// VPINSRDrr
+    2282773171U,	// VPINSRQrm
+    2282773171U,	// VPINSRQrr
+    2282775372U,	// VPINSRWrmi
+    2282775372U,	// VPINSRWrri
+    2282770200U,	// VPMACSDDrm
+    2282770200U,	// VPMACSDDrr
+    2282772093U,	// VPMACSDQHrm
+    2282772093U,	// VPMACSDQHrr
+    2282772374U,	// VPMACSDQLrm
+    2282772374U,	// VPMACSDQLrr
+    2282770210U,	// VPMACSSDDrm
+    2282770210U,	// VPMACSSDDrr
+    2282772104U,	// VPMACSSDQHrm
+    2282772104U,	// VPMACSSDQHrr
+    2282772385U,	// VPMACSSDQLrm
+    2282772385U,	// VPMACSSDQLrr
+    2282771728U,	// VPMACSSWDrm
+    2282771728U,	// VPMACSSWDrr
+    2282775630U,	// VPMACSSWWrm
+    2282775630U,	// VPMACSSWWrr
+    2282771707U,	// VPMACSWDrm
+    2282771707U,	// VPMACSWDrr
+    2282775620U,	// VPMACSWWrm
+    2282775620U,	// VPMACSWWrr
+    2282771739U,	// VPMADCSSWDrm
+    2282771739U,	// VPMADCSSWDrr
+    2282771717U,	// VPMADCSWDrm
+    2282771717U,	// VPMADCSWDrr
+    2282775398U,	// VPMADDUBSWrm128
+    2282775398U,	// VPMADDUBSWrm256
+    2282775398U,	// VPMADDUBSWrr128
+    2282775398U,	// VPMADDUBSWrr256
+    2282771673U,	// VPMADDWDYrm
+    2282771673U,	// VPMADDWDYrr
+    2282771673U,	// VPMADDWDrm
+    2282771673U,	// VPMADDWDrr
+    1041880242U,	// VPMASKMOVDYmr
+    2282771634U,	// VPMASKMOVDYrm
+    2148799666U,	// VPMASKMOVDmr
+    2282771634U,	// VPMASKMOVDrm
+    1041881903U,	// VPMASKMOVQYmr
+    2282773295U,	// VPMASKMOVQYrm
+    2148801327U,	// VPMASKMOVQmr
+    2282773295U,	// VPMASKMOVQrm
+    2282769836U,	// VPMAXSBYrm
+    2282769836U,	// VPMAXSBYrr
+    2282769836U,	// VPMAXSBrm
+    2282769836U,	// VPMAXSBrr
+    2282771513U,	// VPMAXSDYrm
+    2282771513U,	// VPMAXSDYrr
+    2282767420U,	// VPMAXSDZrm
+    2282767420U,	// VPMAXSDZrmb
+    2282767420U,	// VPMAXSDZrr
+    2282771513U,	// VPMAXSDrm
+    2282771513U,	// VPMAXSDrr
+    2282768181U,	// VPMAXSQZrm
+    2282768181U,	// VPMAXSQZrmb
+    2282768181U,	// VPMAXSQZrr
+    2282775529U,	// VPMAXSWYrm
+    2282775529U,	// VPMAXSWYrr
+    2282775529U,	// VPMAXSWrm
+    2282775529U,	// VPMAXSWrr
+    2282769915U,	// VPMAXUBYrm
+    2282769915U,	// VPMAXUBYrr
+    2282769915U,	// VPMAXUBrm
+    2282769915U,	// VPMAXUBrr
+    2282771598U,	// VPMAXUDYrm
+    2282771598U,	// VPMAXUDYrr
+    2282767480U,	// VPMAXUDZrm
+    2282767480U,	// VPMAXUDZrmb
+    2282767480U,	// VPMAXUDZrr
+    2282771598U,	// VPMAXUDrm
+    2282771598U,	// VPMAXUDrr
+    2282768241U,	// VPMAXUQZrm
+    2282768241U,	// VPMAXUQZrmb
+    2282768241U,	// VPMAXUQZrr
+    2282775611U,	// VPMAXUWYrm
+    2282775611U,	// VPMAXUWYrr
+    2282775611U,	// VPMAXUWrm
+    2282775611U,	// VPMAXUWrr
+    2282769777U,	// VPMINSBYrm
+    2282769777U,	// VPMINSBYrr
+    2282769777U,	// VPMINSBrm
+    2282769777U,	// VPMINSBrr
+    2282771433U,	// VPMINSDYrm
+    2282771433U,	// VPMINSDYrr
+    2282767386U,	// VPMINSDZrm
+    2282767386U,	// VPMINSDZrmb
+    2282767386U,	// VPMINSDZrr
+    2282771433U,	// VPMINSDrm
+    2282771433U,	// VPMINSDrr
+    2282768171U,	// VPMINSQZrm
+    2282768171U,	// VPMINSQZrmb
+    2282768171U,	// VPMINSQZrr
+    2282775460U,	// VPMINSWYrm
+    2282775460U,	// VPMINSWYrr
+    2282775460U,	// VPMINSWrm
+    2282775460U,	// VPMINSWrr
+    2282769892U,	// VPMINUBYrm
+    2282769892U,	// VPMINUBYrr
+    2282769892U,	// VPMINUBrm
+    2282769892U,	// VPMINUBrr
+    2282771580U,	// VPMINUDYrm
+    2282771580U,	// VPMINUDYrr
+    2282767470U,	// VPMINUDZrm
+    2282767470U,	// VPMINUDZrmb
+    2282767470U,	// VPMINUDZrr
+    2282771580U,	// VPMINUDrm
+    2282771580U,	// VPMINUDrr
+    2282768231U,	// VPMINUQZrm
+    2282768231U,	// VPMINUQZrmb
+    2282768231U,	// VPMINUQZrr
+    2282775589U,	// VPMINUWYrm
+    2282775589U,	// VPMINUWYrr
+    2282775589U,	// VPMINUWrm
+    2282775589U,	// VPMINUWrr
+    155205680U,	// VPMOVDBkrr
+    1310768U,	// VPMOVDBmr
+    135282736U,	// VPMOVDBrr
+    155208308U,	// VPMOVDWkrr
+    641652U,	// VPMOVDWmr
+    135285364U,	// VPMOVDWrr
+    135286039U,	// VPMOVMSKBYrr
+    135286039U,	// VPMOVMSKBrr
+    155205713U,	// VPMOVQBkrr
+    1310801U,	// VPMOVQBmr
+    135282769U,	// VPMOVQBrr
+    155206501U,	// VPMOVQDkrr
+    639845U,	// VPMOVQDmr
+    135283557U,	// VPMOVQDrr
+    155208361U,	// VPMOVQWkrr
+    1313449U,	// VPMOVQWmr
+    135285417U,	// VPMOVQWrr
+    155205669U,	// VPMOVSDBkrr
+    1310757U,	// VPMOVSDBmr
+    135282725U,	// VPMOVSDBrr
+    155208297U,	// VPMOVSDWkrr
+    641641U,	// VPMOVSDWmr
+    135285353U,	// VPMOVSDWrr
+    155205702U,	// VPMOVSQBkrr
+    1310790U,	// VPMOVSQBmr
+    135282758U,	// VPMOVSQBrr
+    155206490U,	// VPMOVSQDkrr
+    639834U,	// VPMOVSQDmr
+    135283546U,	// VPMOVSQDrr
+    155208350U,	// VPMOVSQWkrr
+    1313438U,	// VPMOVSQWmr
+    135285406U,	// VPMOVSQWrr
+    101732039U,	// VPMOVSXBDYrm
+    135286471U,	// VPMOVSXBDYrr
+    336609429U,	// VPMOVSXBDZrm
+    135282837U,	// VPMOVSXBDZrr
+    101732039U,	// VPMOVSXBDrm
+    135286471U,	// VPMOVSXBDrr
+    370170202U,	// VPMOVSXBQYrm
+    135289178U,	// VPMOVSXBQYrr
+    336610697U,	// VPMOVSXBQZrm
+    135284105U,	// VPMOVSXBQZrr
+    370170202U,	// VPMOVSXBQrm
+    135289178U,	// VPMOVSXBQrr
+    336618085U,	// VPMOVSXBWYrm
+    135291493U,	// VPMOVSXBWYrr
+    168845925U,	// VPMOVSXBWrm
+    135291493U,	// VPMOVSXBWrr
+    336616007U,	// VPMOVSXDQYrm
+    135289415U,	// VPMOVSXDQYrr
+    907036289U,	// VPMOVSXDQZrm
+    135284353U,	// VPMOVSXDQZrr
+    168843847U,	// VPMOVSXDQrm
+    135289415U,	// VPMOVSXDQrr
+    336614706U,	// VPMOVSXWDYrm
+    135288114U,	// VPMOVSXWDYrr
+    907035808U,	// VPMOVSXWDZrm
+    135283872U,	// VPMOVSXWDZrr
+    168842546U,	// VPMOVSXWDrm
+    135288114U,	// VPMOVSXWDrr
+    101735255U,	// VPMOVSXWQYrm
+    135289687U,	// VPMOVSXWQYrr
+    336611225U,	// VPMOVSXWQZrm
+    135284633U,	// VPMOVSXWQZrr
+    101735255U,	// VPMOVSXWQrm
+    135289687U,	// VPMOVSXWQrr
+    155205657U,	// VPMOVUSDBkrr
+    1310745U,	// VPMOVUSDBmr
+    135282713U,	// VPMOVUSDBrr
+    155208285U,	// VPMOVUSDWkrr
+    641629U,	// VPMOVUSDWmr
+    135285341U,	// VPMOVUSDWrr
+    155205690U,	// VPMOVUSQBkrr
+    1310778U,	// VPMOVUSQBmr
+    135282746U,	// VPMOVUSQBrr
+    155206478U,	// VPMOVUSQDkrr
+    639822U,	// VPMOVUSQDmr
+    135283534U,	// VPMOVUSQDrr
+    155208338U,	// VPMOVUSQWkrr
+    1313426U,	// VPMOVUSQWmr
+    135285394U,	// VPMOVUSQWrr
+    101732050U,	// VPMOVZXBDYrm
+    135286482U,	// VPMOVZXBDYrr
+    336609441U,	// VPMOVZXBDZrm
+    135282849U,	// VPMOVZXBDZrr
+    101732050U,	// VPMOVZXBDrm
+    135286482U,	// VPMOVZXBDrr
+    370170213U,	// VPMOVZXBQYrm
+    135289189U,	// VPMOVZXBQYrr
+    336610709U,	// VPMOVZXBQZrm
+    135284117U,	// VPMOVZXBQZrr
+    370170213U,	// VPMOVZXBQrm
+    135289189U,	// VPMOVZXBQrr
+    336618096U,	// VPMOVZXBWYrm
+    135291504U,	// VPMOVZXBWYrr
+    168845936U,	// VPMOVZXBWrm
+    135291504U,	// VPMOVZXBWrr
+    336616018U,	// VPMOVZXDQYrm
+    135289426U,	// VPMOVZXDQYrr
+    907036301U,	// VPMOVZXDQZrm
+    135284365U,	// VPMOVZXDQZrr
+    168843858U,	// VPMOVZXDQrm
+    135289426U,	// VPMOVZXDQrr
+    336614717U,	// VPMOVZXWDYrm
+    135288125U,	// VPMOVZXWDYrr
+    907035820U,	// VPMOVZXWDZrm
+    135283884U,	// VPMOVZXWDZrr
+    168842557U,	// VPMOVZXWDrm
+    135288125U,	// VPMOVZXWDrr
+    101735266U,	// VPMOVZXWQYrm
+    135289698U,	// VPMOVZXWQYrr
+    336611237U,	// VPMOVZXWQZrm
+    135284645U,	// VPMOVZXWQZrr
+    101735266U,	// VPMOVZXWQrm
+    135289698U,	// VPMOVZXWQrr
+    2282772973U,	// VPMULDQYrm
+    2282772973U,	// VPMULDQYrr
+    2282767862U,	// VPMULDQZrm
+    2282767862U,	// VPMULDQZrr
+    2282772973U,	// VPMULDQrm
+    2282772973U,	// VPMULDQrr
+    2282775483U,	// VPMULHRSWrm128
+    2282775483U,	// VPMULHRSWrm256
+    2282775483U,	// VPMULHRSWrr128
+    2282775483U,	// VPMULHRSWrr256
+    2282775570U,	// VPMULHUWYrm
+    2282775570U,	// VPMULHUWYrr
+    2282775570U,	// VPMULHUWrm
+    2282775570U,	// VPMULHUWrr
+    2282775271U,	// VPMULHWYrm
+    2282775271U,	// VPMULHWYrr
+    2282775271U,	// VPMULHWrm
+    2282775271U,	// VPMULHWrr
+    2282770303U,	// VPMULLDYrm
+    2282770303U,	// VPMULLDYrr
+    2282766573U,	// VPMULLDZrm
+    2282766573U,	// VPMULLDZrmb
+    2282766573U,	// VPMULLDZrr
+    2282770303U,	// VPMULLDrm
+    2282770303U,	// VPMULLDrr
+    2282775313U,	// VPMULLWYrm
+    2282775313U,	// VPMULLWYrr
+    2282775313U,	// VPMULLWrm
+    2282775313U,	// VPMULLWrr
+    2282773053U,	// VPMULUDQYrm
+    2282773053U,	// VPMULUDQYrr
+    2282767990U,	// VPMULUDQZrm
+    2282767990U,	// VPMULUDQZrr
+    2282773053U,	// VPMULUDQrm
+    2282773053U,	// VPMULUDQrr
+    2282767215U,	// VPORDZrm
+    2282767215U,	// VPORDZrmb
+    2282767215U,	// VPORDZrr
+    2282768145U,	// VPORQZrm
+    2282768145U,	// VPORQZrmb
+    2282768145U,	// VPORQZrr
+    2282773419U,	// VPORYrm
+    2282773419U,	// VPORYrr
+    2282773419U,	// VPORrm
+    2282773419U,	// VPORrr
+    2282772454U,	// VPPERMmr
+    2282772454U,	// VPPERMrm
+    2282772454U,	// VPPERMrr
+    2484096453U,	// VPROTBmi
+    2484096453U,	// VPROTBmr
+    2282769861U,	// VPROTBri
+    2282769861U,	// VPROTBrm
+    2282769861U,	// VPROTBrr
+    2484098141U,	// VPROTDmi
+    2484098141U,	// VPROTDmr
+    2282771549U,	// VPROTDri
+    2282771549U,	// VPROTDrm
+    2282771549U,	// VPROTDrr
+    2484099820U,	// VPROTQmi
+    2484099820U,	// VPROTQmr
+    2282773228U,	// VPROTQri
+    2282773228U,	// VPROTQrm
+    2282773228U,	// VPROTQrr
+    2484102140U,	// VPROTWmi
+    2484102140U,	// VPROTWmr
+    2282775548U,	// VPROTWri
+    2282775548U,	// VPROTWrm
+    2282775548U,	// VPROTWrr
+    2282775070U,	// VPSADBWYrm
+    2282775070U,	// VPSADBWYrr
+    2282775070U,	// VPSADBWrm
+    2282775070U,	// VPSADBWrr
+    688332U,	// VPSCATTERDDZmr
+    706098U,	// VPSCATTERDQZmr
+    705344U,	// VPSCATTERQDZmr
+    706307U,	// VPSCATTERQQZmr
+    2484096229U,	// VPSHABmr
+    2282769637U,	// VPSHABrm
+    2282769637U,	// VPSHABrr
+    2484096657U,	// VPSHADmr
+    2282770065U,	// VPSHADrm
+    2282770065U,	// VPSHADrr
+    2484099381U,	// VPSHAQmr
+    2282772789U,	// VPSHAQrm
+    2282772789U,	// VPSHAQrr
+    2484101626U,	// VPSHAWmr
+    2282775034U,	// VPSHAWrm
+    2282775034U,	// VPSHAWrr
+    2484096290U,	// VPSHLBmr
+    2282769698U,	// VPSHLBrm
+    2282769698U,	// VPSHLBrr
+    2484096873U,	// VPSHLDmr
+    2282770281U,	// VPSHLDrm
+    2282770281U,	// VPSHLDrr
+    2484099693U,	// VPSHLQmr
+    2282773101U,	// VPSHLQrm
+    2282773101U,	// VPSHLQrr
+    2484101889U,	// VPSHLWmr
+    2282775297U,	// VPSHLWrm
+    2282775297U,	// VPSHLWrr
+    2282769666U,	// VPSHUFBYrm
+    2282769666U,	// VPSHUFBYrr
+    2282769666U,	// VPSHUFBrm
+    2282769666U,	// VPSHUFBrr
+    3054522172U,	// VPSHUFDYmi
+    2282770236U,	// VPSHUFDYri
+    3088072922U,	// VPSHUFDZmi
+    2282766554U,	// VPSHUFDZri
+    2484096828U,	// VPSHUFDmi
+    2282770236U,	// VPSHUFDri
+    3054527197U,	// VPSHUFHWYmi
+    2282775261U,	// VPSHUFHWYri
+    2484101853U,	// VPSHUFHWmi
+    2282775261U,	// VPSHUFHWri
+    3054527223U,	// VPSHUFLWYmi
+    2282775287U,	// VPSHUFLWYri
+    2484101879U,	// VPSHUFLWmi
+    2282775287U,	// VPSHUFLWri
+    2282769714U,	// VPSIGNBYrm
+    2282769714U,	// VPSIGNBYrr
+    2282769714U,	// VPSIGNBrm
+    2282769714U,	// VPSIGNBrr
+    2282770360U,	// VPSIGNDYrm
+    2282770360U,	// VPSIGNDYrr
+    2282770360U,	// VPSIGNDrm
+    2282770360U,	// VPSIGNDrr
+    2282775338U,	// VPSIGNWYrm
+    2282775338U,	// VPSIGNWYrr
+    2282775338U,	// VPSIGNWrm
+    2282775338U,	// VPSIGNWrr
+    2282772955U,	// VPSLLDQYri
+    2282772955U,	// VPSLLDQri
+    2282770295U,	// VPSLLDYri
+    2282770295U,	// VPSLLDYrm
+    2282770295U,	// VPSLLDYrr
+    3088072932U,	// VPSLLDZmi
+    155205860U,	// VPSLLDZmik
+    2282766564U,	// VPSLLDZri
+    155205860U,	// VPSLLDZrik
+    2282766564U,	// VPSLLDZrm
+    155205860U,	// VPSLLDZrmk
+    2282766564U,	// VPSLLDZrr
+    155205860U,	// VPSLLDZrrk
+    2282770295U,	// VPSLLDri
+    2282770295U,	// VPSLLDrm
+    2282770295U,	// VPSLLDrr
+    2282773109U,	// VPSLLQYri
+    2282773109U,	// VPSLLQYrm
+    2282773109U,	// VPSLLQYrr
+    3088074393U,	// VPSLLQZmi
+    155207321U,	// VPSLLQZmik
+    2282768025U,	// VPSLLQZri
+    155207321U,	// VPSLLQZrik
+    2282768025U,	// VPSLLQZrm
+    155207321U,	// VPSLLQZrmk
+    2282768025U,	// VPSLLQZrr
+    155207321U,	// VPSLLQZrrk
+    2282773109U,	// VPSLLQri
+    2282773109U,	// VPSLLQrm
+    2282773109U,	// VPSLLQrr
+    2282771616U,	// VPSLLVDYrm
+    2282771616U,	// VPSLLVDYrr
+    2282767500U,	// VPSLLVDZrm
+    2282767500U,	// VPSLLVDZrr
+    2282771616U,	// VPSLLVDrm
+    2282771616U,	// VPSLLVDrr
+    2282773277U,	// VPSLLVQYrm
+    2282773277U,	// VPSLLVQYrr
+    2282768261U,	// VPSLLVQZrm
+    2282768261U,	// VPSLLVQZrr
+    2282773277U,	// VPSLLVQrm
+    2282773277U,	// VPSLLVQrr
+    2282775305U,	// VPSLLWYri
+    2282775305U,	// VPSLLWYrm
+    2282775305U,	// VPSLLWYrr
+    2282775305U,	// VPSLLWri
+    2282775305U,	// VPSLLWrm
+    2282775305U,	// VPSLLWrr
+    2282770073U,	// VPSRADYri
+    2282770073U,	// VPSRADYrm
+    2282770073U,	// VPSRADYrr
+    3088072835U,	// VPSRADZmi
+    155205763U,	// VPSRADZmik
+    2282766467U,	// VPSRADZri
+    155205763U,	// VPSRADZrik
+    2282766467U,	// VPSRADZrm
+    155205763U,	// VPSRADZrmk
+    2282766467U,	// VPSRADZrr
+    155205763U,	// VPSRADZrrk
+    2282770073U,	// VPSRADri
+    2282770073U,	// VPSRADrm
+    2282770073U,	// VPSRADrr
+    3088074103U,	// VPSRAQZmi
+    155207031U,	// VPSRAQZmik
+    2282767735U,	// VPSRAQZri
+    155207031U,	// VPSRAQZrik
+    2282767735U,	// VPSRAQZrm
+    155207031U,	// VPSRAQZrmk
+    2282767735U,	// VPSRAQZrr
+    155207031U,	// VPSRAQZrrk
+    2282771607U,	// VPSRAVDYrm
+    2282771607U,	// VPSRAVDYrr
+    2282767490U,	// VPSRAVDZrm
+    2282767490U,	// VPSRAVDZrr
+    2282771607U,	// VPSRAVDrm
+    2282771607U,	// VPSRAVDrr
+    2282768251U,	// VPSRAVQZrm
+    2282768251U,	// VPSRAVQZrr
+    2282775042U,	// VPSRAWYri
+    2282775042U,	// VPSRAWYrm
+    2282775042U,	// VPSRAWYrr
+    2282775042U,	// VPSRAWri
+    2282775042U,	// VPSRAWrm
+    2282775042U,	// VPSRAWrr
+    2282772964U,	// VPSRLDQYri
+    2282772964U,	// VPSRLDQri
+    2282770312U,	// VPSRLDYri
+    2282770312U,	// VPSRLDYrm
+    2282770312U,	// VPSRLDYrr
+    3088072951U,	// VPSRLDZmi
+    155205879U,	// VPSRLDZmik
+    2282766583U,	// VPSRLDZri
+    155205879U,	// VPSRLDZrik
+    2282766583U,	// VPSRLDZrm
+    155205879U,	// VPSRLDZrmk
+    2282766583U,	// VPSRLDZrr
+    155205879U,	// VPSRLDZrrk
+    2282770312U,	// VPSRLDri
+    2282770312U,	// VPSRLDrm
+    2282770312U,	// VPSRLDrr
+    2282773117U,	// VPSRLQYri
+    2282773117U,	// VPSRLQYrm
+    2282773117U,	// VPSRLQYrr
+    3088074402U,	// VPSRLQZmi
+    155207330U,	// VPSRLQZmik
+    2282768034U,	// VPSRLQZri
+    155207330U,	// VPSRLQZrik
+    2282768034U,	// VPSRLQZrm
+    155207330U,	// VPSRLQZrmk
+    2282768034U,	// VPSRLQZrr
+    155207330U,	// VPSRLQZrrk
+    2282773117U,	// VPSRLQri
+    2282773117U,	// VPSRLQrm
+    2282773117U,	// VPSRLQrr
+    2282771625U,	// VPSRLVDYrm
+    2282771625U,	// VPSRLVDYrr
+    2282767510U,	// VPSRLVDZrm
+    2282767510U,	// VPSRLVDZrr
+    2282771625U,	// VPSRLVDrm
+    2282771625U,	// VPSRLVDrr
+    2282773286U,	// VPSRLVQYrm
+    2282773286U,	// VPSRLVQYrr
+    2282768271U,	// VPSRLVQZrm
+    2282768271U,	// VPSRLVQZrr
+    2282773286U,	// VPSRLVQrm
+    2282773286U,	// VPSRLVQrr
+    2282775322U,	// VPSRLWYri
+    2282775322U,	// VPSRLWYrm
+    2282775322U,	// VPSRLWYrr
+    2282775322U,	// VPSRLWri
+    2282775322U,	// VPSRLWrm
+    2282775322U,	// VPSRLWrr
+    2282769650U,	// VPSUBBYrm
+    2282769650U,	// VPSUBBYrr
+    2282769650U,	// VPSUBBrm
+    2282769650U,	// VPSUBBrr
+    2282770111U,	// VPSUBDYrm
+    2282770111U,	// VPSUBDYrr
+    2282766476U,	// VPSUBDZrm
+    2282766476U,	// VPSUBDZrmb
+    2282766476U,	// VPSUBDZrr
+    2282770111U,	// VPSUBDrm
+    2282770111U,	// VPSUBDrr
+    2282772818U,	// VPSUBQYrm
+    2282772818U,	// VPSUBQYrr
+    2282767744U,	// VPSUBQZrm
+    2282767744U,	// VPSUBQZrmb
+    2282767744U,	// VPSUBQZrr
+    2282772818U,	// VPSUBQrm
+    2282772818U,	// VPSUBQrr
+    2282769759U,	// VPSUBSBYrm
+    2282769759U,	// VPSUBSBYrr
+    2282769759U,	// VPSUBSBrm
+    2282769759U,	// VPSUBSBrr
+    2282775420U,	// VPSUBSWYrm
+    2282775420U,	// VPSUBSWYrr
+    2282775420U,	// VPSUBSWrm
+    2282775420U,	// VPSUBSWrr
+    2282769800U,	// VPSUBUSBYrm
+    2282769800U,	// VPSUBUSBYrr
+    2282769800U,	// VPSUBUSBrm
+    2282769800U,	// VPSUBUSBrr
+    2282775502U,	// VPSUBUSWYrm
+    2282775502U,	// VPSUBUSWYrr
+    2282775502U,	// VPSUBUSWrm
+    2282775502U,	// VPSUBUSWrr
+    2282775133U,	// VPSUBWYrm
+    2282775133U,	// VPSUBWYrr
+    2282775133U,	// VPSUBWrm
+    2282775133U,	// VPSUBWrr
+    2282766625U,	// VPTESTMDZrm
+    2282766625U,	// VPTESTMDZrr
+    2282768076U,	// VPTESTMQZrm
+    2282768076U,	// VPTESTMQZrr
+    2282766604U,	// VPTESTNMDZrm
+    2282766604U,	// VPTESTNMDZrr
+    2282768055U,	// VPTESTNMQZrm
+    2282768055U,	// VPTESTNMQZrr
+    907043197U,	// VPTESTYrm
+    135291261U,	// VPTESTYrr
+    537944445U,	// VPTESTrm
+    135291261U,	// VPTESTrr
+    2282775089U,	// VPUNPCKHBWYrm
+    2282775089U,	// VPUNPCKHBWYrr
+    2282775089U,	// VPUNPCKHBWrm
+    2282775089U,	// VPUNPCKHBWrr
+    2282772931U,	// VPUNPCKHDQYrm
+    2282772931U,	// VPUNPCKHDQYrr
+    2282767836U,	// VPUNPCKHDQZrm
+    2282767836U,	// VPUNPCKHDQZrr
+    2282772931U,	// VPUNPCKHDQrm
+    2282772931U,	// VPUNPCKHDQrr
+    2282772982U,	// VPUNPCKHQDQYrm
+    2282772982U,	// VPUNPCKHQDQYrr
+    2282767881U,	// VPUNPCKHQDQZrm
+    2282767881U,	// VPUNPCKHQDQZrr
+    2282772982U,	// VPUNPCKHQDQrm
+    2282772982U,	// VPUNPCKHQDQrr
+    2282771683U,	// VPUNPCKHWDYrm
+    2282771683U,	// VPUNPCKHWDYrr
+    2282771683U,	// VPUNPCKHWDrm
+    2282771683U,	// VPUNPCKHWDrr
+    2282775101U,	// VPUNPCKLBWYrm
+    2282775101U,	// VPUNPCKLBWYrr
+    2282775101U,	// VPUNPCKLBWrm
+    2282775101U,	// VPUNPCKLBWrr
+    2282772943U,	// VPUNPCKLDQYrm
+    2282772943U,	// VPUNPCKLDQYrr
+    2282767849U,	// VPUNPCKLDQZrm
+    2282767849U,	// VPUNPCKLDQZrr
+    2282772943U,	// VPUNPCKLDQrm
+    2282772943U,	// VPUNPCKLDQrr
+    2282772995U,	// VPUNPCKLQDQYrm
+    2282772995U,	// VPUNPCKLQDQYrr
+    2282767895U,	// VPUNPCKLQDQZrm
+    2282767895U,	// VPUNPCKLQDQZrr
+    2282772995U,	// VPUNPCKLQDQrm
+    2282772995U,	// VPUNPCKLQDQrr
+    2282771695U,	// VPUNPCKLWDYrm
+    2282771695U,	// VPUNPCKLWDYrr
+    2282771695U,	// VPUNPCKLWDrm
+    2282771695U,	// VPUNPCKLWDrr
+    2282767223U,	// VPXORDZrm
+    2282767223U,	// VPXORDZrmb
+    2282767223U,	// VPXORDZrr
+    2282768153U,	// VPXORQZrm
+    2282768153U,	// VPXORQZrmb
+    2282768153U,	// VPXORQZrr
+    2282773447U,	// VPXORYrm
+    2282773447U,	// VPXORYrr
+    2282773447U,	// VPXORrm
+    2282773447U,	// VPXORrr
+    1007698485U,	// VRCP14PDZm
+    135283253U,	// VRCP14PDZr
+    1007700134U,	// VRCP14PSZm
+    135284902U,	// VRCP14PSZr
+    2282767315U,	// VRCP14SDrm
+    2282767315U,	// VRCP14SDrr
+    2282768876U,	// VRCP14SSrm
+    2282768876U,	// VRCP14SSrr
+    1007698509U,	// VRCP28PDZm
+    135283277U,	// VRCP28PDZr
+    2282766925U,	// VRCP28PDZrb
+    1007700158U,	// VRCP28PSZm
+    135284926U,	// VRCP28PSZr
+    2282768574U,	// VRCP28PSZrb
+    2282767339U,	// VRCP28SDrm
+    2282767339U,	// VRCP28SDrr
+    2282767339U,	// VRCP28SDrrb
+    2282768900U,	// VRCP28SSrm
+    2282768900U,	// VRCP28SSrr
+    2282768900U,	// VRCP28SSrrb
+    974151338U,	// VRCPPSYm
+    974151338U,	// VRCPPSYm_Int
+    135290538U,	// VRCPPSYr
+    135290538U,	// VRCPPSYr_Int
+    537943722U,	// VRCPPSm
+    537943722U,	// VRCPPSm_Int
+    135290538U,	// VRCPPSr
+    135290538U,	// VRCPPSr_Int
+    2282774653U,	// VRCPSSm
+    2282774653U,	// VRCPSSm_Int
+    2282774653U,	// VRCPSSr
+    3155182236U,	// VRNDSCALEPDZm
+    2282767004U,	// VRNDSCALEPDZr
+    3155183885U,	// VRNDSCALEPSZm
+    2282768653U,	// VRNDSCALEPSZr
+    2282767372U,	// VRNDSCALESDm
+    2282767372U,	// VRNDSCALESDr
+    2282768924U,	// VRNDSCALESSm
+    2282768924U,	// VRNDSCALESSr
+    2685424010U,	// VROUNDPDm
+    2282770826U,	// VROUNDPDr
+    2685427223U,	// VROUNDPSm
+    2282774039U,	// VROUNDPSr
+    2282771396U,	// VROUNDSDm
+    2282771396U,	// VROUNDSDr
+    2282771396U,	// VROUNDSDr_Int
+    2282774608U,	// VROUNDSSm
+    2282774608U,	// VROUNDSSr
+    2282774608U,	// VROUNDSSr_Int
+    3121631626U,	// VROUNDYPDm
+    2282770826U,	// VROUNDYPDr
+    3121634839U,	// VROUNDYPSm
+    2282774039U,	// VROUNDYPSr
+    1007698496U,	// VRSQRT14PDZm
+    135283264U,	// VRSQRT14PDZr
+    1007700145U,	// VRSQRT14PSZm
+    135284913U,	// VRSQRT14PSZr
+    2282767326U,	// VRSQRT14SDrm
+    2282767326U,	// VRSQRT14SDrr
+    2282768887U,	// VRSQRT14SSrm
+    2282768887U,	// VRSQRT14SSrr
+    1007698520U,	// VRSQRT28PDZm
+    135283288U,	// VRSQRT28PDZr
+    2282766936U,	// VRSQRT28PDZrb
+    1007700169U,	// VRSQRT28PSZm
+    135284937U,	// VRSQRT28PSZr
+    2282768585U,	// VRSQRT28PSZrb
+    2282767350U,	// VRSQRT28SDrm
+    2282767350U,	// VRSQRT28SDrr
+    2282767350U,	// VRSQRT28SDrrb
+    2282768911U,	// VRSQRT28SSrm
+    2282768911U,	// VRSQRT28SSrr
+    2282768911U,	// VRSQRT28SSrrb
+    974151421U,	// VRSQRTPSYm
+    974151421U,	// VRSQRTPSYm_Int
+    135290621U,	// VRSQRTPSYr
+    135290621U,	// VRSQRTPSYr_Int
+    537943805U,	// VRSQRTPSm
+    537943805U,	// VRSQRTPSm_Int
+    135290621U,	// VRSQRTPSr
+    135290621U,	// VRSQRTPSr_Int
+    2282774678U,	// VRSQRTSSm
+    2282774678U,	// VRSQRTSSm_Int
+    2282774678U,	// VRSQRTSSr
+    705166U,	// VSCATTERDPDZmr
+    690431U,	// VSCATTERDPSZmr
+    705278U,	// VSCATTERQPDZmr
+    706927U,	// VSCATTERQPSZmr
+    2282770848U,	// VSHUFPDYrmi
+    2282770848U,	// VSHUFPDYrri
+    2282767018U,	// VSHUFPDZrmi
+    2282767018U,	// VSHUFPDZrri
+    2282770848U,	// VSHUFPDrmi
+    2282770848U,	// VSHUFPDrri
+    2282774061U,	// VSHUFPSYrmi
+    2282774061U,	// VSHUFPSYrri
+    2282768667U,	// VSHUFPSZrmi
+    2282768667U,	// VSHUFPSZrri
+    2282774061U,	// VSHUFPSrmi
+    2282774061U,	// VSHUFPSrri
+    974148165U,	// VSQRTPDYm
+    135287365U,	// VSQRTPDYr
+    1007702597U,	// VSQRTPDZm_Int
+    135287365U,	// VSQRTPDZr_Int
+    1007700546U,	// VSQRTPDZrm
+    135285314U,	// VSQRTPDZrr
+    537940549U,	// VSQRTPDm
+    135287365U,	// VSQRTPDr
+    974151431U,	// VSQRTPSYm
+    135290631U,	// VSQRTPSYr
+    1007705863U,	// VSQRTPSZm_Int
+    135290631U,	// VSQRTPSZr_Int
+    1007700546U,	// VSQRTPSZrm
+    135285314U,	// VSQRTPSZrr
+    537943815U,	// VSQRTPSm
+    135290631U,	// VSQRTPSr
+    2282771474U,	// VSQRTSDZm
+    2282771474U,	// VSQRTSDZm_Int
+    2282771474U,	// VSQRTSDZr
+    2282771474U,	// VSQRTSDZr_Int
+    2282771474U,	// VSQRTSDm
+    2282771474U,	// VSQRTSDm_Int
+    2282771474U,	// VSQRTSDr
+    2282774688U,	// VSQRTSSZm
+    2282774688U,	// VSQRTSSZm_Int
+    2282774688U,	// VSQRTSSZr
+    2282774688U,	// VSQRTSSZr_Int
+    2282774688U,	// VSQRTSSm
+    2282774688U,	// VSQRTSSm_Int
+    2282774688U,	// VSQRTSSr
+    72675U,	// VSTMXCSR
+    2282770749U,	// VSUBPDYrm
+    2282770749U,	// VSUBPDYrr
+    2282766959U,	// VSUBPDZrm
+    2282766959U,	// VSUBPDZrmb
+    2282766959U,	// VSUBPDZrr
+    2282770749U,	// VSUBPDrm
+    2282770749U,	// VSUBPDrr
+    2282773962U,	// VSUBPSYrm
+    2282773962U,	// VSUBPSYrr
+    2282768608U,	// VSUBPSZrm
+    2282768608U,	// VSUBPSZrmb
+    2282768608U,	// VSUBPSZrr
+    2282773962U,	// VSUBPSrm
+    2282773962U,	// VSUBPSrr
+    2282771359U,	// VSUBSDZrm
+    2282771359U,	// VSUBSDZrr
+    2282771359U,	// VSUBSDrm
+    2282771359U,	// VSUBSDrm_Int
+    2282771359U,	// VSUBSDrr
+    2282771359U,	// VSUBSDrr_Int
+    2282774571U,	// VSUBSSZrm
+    2282774571U,	// VSUBSSZrr
+    2282774571U,	// VSUBSSrm
+    2282774571U,	// VSUBSSrm_Int
+    2282774571U,	// VSUBSSrr
+    2282774571U,	// VSUBSSrr_Int
+    974148174U,	// VTESTPDYrm
+    135287374U,	// VTESTPDYrr
+    537940558U,	// VTESTPDrm
+    135287374U,	// VTESTPDrr
+    974151440U,	// VTESTPSYrm
+    135290640U,	// VTESTPSYrr
+    537943824U,	// VTESTPSrm
+    135290640U,	// VTESTPSrr
+    571495374U,	// VUCOMISDZrm
+    135287758U,	// VUCOMISDZrr
+    571495374U,	// VUCOMISDrm
+    135287758U,	// VUCOMISDrr
+    605053018U,	// VUCOMISSZrm
+    135290970U,	// VUCOMISSZrr
+    605053018U,	// VUCOMISSrm
+    135290970U,	// VUCOMISSrr
+    2282770857U,	// VUNPCKHPDYrm
+    2282770857U,	// VUNPCKHPDYrr
+    2282770857U,	// VUNPCKHPDZrm
+    2282770857U,	// VUNPCKHPDZrr
+    2282770857U,	// VUNPCKHPDrm
+    2282770857U,	// VUNPCKHPDrr
+    2282774070U,	// VUNPCKHPSYrm
+    2282774070U,	// VUNPCKHPSYrr
+    2282774070U,	// VUNPCKHPSZrm
+    2282774070U,	// VUNPCKHPSZrr
+    2282774070U,	// VUNPCKHPSrm
+    2282774070U,	// VUNPCKHPSrr
+    2282770899U,	// VUNPCKLPDYrm
+    2282770899U,	// VUNPCKLPDYrr
+    2282770899U,	// VUNPCKLPDZrm
+    2282770899U,	// VUNPCKLPDZrr
+    2282770899U,	// VUNPCKLPDrm
+    2282770899U,	// VUNPCKLPDrr
+    2282774132U,	// VUNPCKLPSYrm
+    2282774132U,	// VUNPCKLPSYrr
+    2282774132U,	// VUNPCKLPSZrm
+    2282774132U,	// VUNPCKLPSZrr
+    2282774132U,	// VUNPCKLPSrm
+    2282774132U,	// VUNPCKLPSrr
+    2282770995U,	// VXORPDYrm
+    2282770995U,	// VXORPDYrr
+    2282770995U,	// VXORPDrm
+    2282770995U,	// VXORPDrr
+    2282774228U,	// VXORPSYrm
+    2282774228U,	// VXORPSYrr
+    2282774228U,	// VXORPSrm
+    2282774228U,	// VXORPSrr
+    12172U,	// VZEROALL
+    12372U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    151093U,	// W64ALLOCA
-    10748U,	// WAIT
-    10053U,	// WBINVD
-    10338U,	// WIN_ALLOCA
-    10227U,	// WIN_FTOL_32
-    10227U,	// WIN_FTOL_64
-    19569U,	// WRFSBASE
-    19569U,	// WRFSBASE64
-    19589U,	// WRGSBASE
-    19589U,	// WRGSBASE64
-    10527U,	// WRMSR
-    22934U,	// XABORT
-    10124U,	// XACQUIRE_PREFIX
-    1082449U,	// XADD16rm
-    135283793U,	// XADD16rr
-    1115217U,	// XADD32rm
-    135283793U,	// XADD32rr
-    1131601U,	// XADD64rm
-    135283793U,	// XADD64rr
-    1147985U,	// XADD8rm
-    135283793U,	// XADD8rr
-    9724U,	// XBEGIN
-    151248U,	// XBEGIN_4
-    24260U,	// XCHG16ar
-    281811U,	// XCHG16rm
-    527571U,	// XCHG16rr
-    24377U,	// XCHG32ar
-    24377U,	// XCHG32ar64
-    298195U,	// XCHG32rm
-    527571U,	// XCHG32rr
-    24501U,	// XCHG64ar
-    314579U,	// XCHG64rm
-    527571U,	// XCHG64rr
-    330963U,	// XCHG8rm
-    527571U,	// XCHG8rr
-    19708U,	// XCH_F
-    9913U,	// XCRYPTCBC
-    9828U,	// XCRYPTCFB
-    10533U,	// XCRYPTCTR
-    9818U,	// XCRYPTECB
-    9838U,	// XCRYPTOFB
-    9989U,	// XEND
-    10797U,	// XGETBV
-    9897U,	// XLAT
-    24287U,	// XOR16i16
-    1086303U,	// XOR16mi
-    1086303U,	// XOR16mi8
-    1086303U,	// XOR16mr
-    35705695U,	// XOR16ri
-    35705695U,	// XOR16ri8
-    3199839U,	// XOR16rm
-    35705695U,	// XOR16rr
-    68178783U,	// XOR16rr_REV
-    24407U,	// XOR32i32
-    1119071U,	// XOR32mi
-    1119071U,	// XOR32mi8
-    1119071U,	// XOR32mr
-    35705695U,	// XOR32ri
-    35705695U,	// XOR32ri8
-    4248415U,	// XOR32rm
-    35705695U,	// XOR32rr
-    68178783U,	// XOR32rr_REV
-    24522U,	// XOR64i32
-    1135455U,	// XOR64mi32
-    1135455U,	// XOR64mi8
-    1135455U,	// XOR64mr
-    35705695U,	// XOR64ri32
-    35705695U,	// XOR64ri8
-    5296991U,	// XOR64rm
-    35705695U,	// XOR64rr
-    68178783U,	// XOR64rr_REV
-    24175U,	// XOR8i8
-    1151839U,	// XOR8mi
-    1151839U,	// XOR8mr
-    35705695U,	// XOR8ri
-    6345567U,	// XOR8rm
-    35705695U,	// XOR8rr
-    68178783U,	// XOR8rr_REV
-    202393675U,	// XORPDrm
-    68175947U,	// XORPDrr
-    202397408U,	// XORPSrm
-    68179680U,	// XORPSrr
-    10140U,	// XRELEASE_PREFIX
-    217941U,	// XRSTOR
-    213165U,	// XRSTOR64
-    216242U,	// XSAVE
-    213156U,	// XSAVE64
-    219532U,	// XSAVEOPT
-    213175U,	// XSAVEOPT64
-    10804U,	// XSETBV
-    9451U,	// XSHA1
-    9686U,	// XSHA256
-    10133U,	// XSTORE
-    10786U,	// XTEST
+    153459U,	// W64ALLOCA
+    12627U,	// WAIT
+    11931U,	// WBINVD
+    12229U,	// WIN_ALLOCA
+    12105U,	// WIN_FTOL_32
+    12105U,	// WIN_FTOL_64
+    21985U,	// WRFSBASE
+    21985U,	// WRFSBASE64
+    22005U,	// WRGSBASE
+    22005U,	// WRGSBASE64
+    12406U,	// WRMSR
+    24914U,	// XABORT
+    12002U,	// XACQUIRE_PREFIX
+    1085163U,	// XADD16rm
+    135286507U,	// XADD16rr
+    1117931U,	// XADD32rm
+    135286507U,	// XADD32rr
+    1134315U,	// XADD64rm
+    135286507U,	// XADD64rr
+    1150699U,	// XADD8rm
+    135286507U,	// XADD8rr
+    11671U,	// XBEGIN
+    153614U,	// XBEGIN_4
+    26104U,	// XCHG16ar
+    464451U,	// XCHG16rm
+    726595U,	// XCHG16rr
+    26267U,	// XCHG32ar
+    26267U,	// XCHG32ar64
+    480835U,	// XCHG32rm
+    726595U,	// XCHG32rr
+    26391U,	// XCHG64ar
+    497219U,	// XCHG64rm
+    726595U,	// XCHG64rr
+    513603U,	// XCHG8rm
+    726595U,	// XCHG8rr
+    22124U,	// XCH_F
+    11820U,	// XCRYPTCBC
+    11764U,	// XCRYPTCFB
+    12412U,	// XCRYPTCTR
+    11754U,	// XCRYPTECB
+    11774U,	// XCRYPTOFB
+    11896U,	// XEND
+    12676U,	// XGETBV
+    11804U,	// XLAT
+    26131U,	// XOR16i16
+    1088457U,	// XOR16mi
+    1088457U,	// XOR16mi8
+    1088457U,	// XOR16mr
+    35707849U,	// XOR16ri
+    35707849U,	// XOR16ri8
+    3201993U,	// XOR16rm
+    35707849U,	// XOR16rr
+    68180937U,	// XOR16rr_REV
+    26297U,	// XOR32i32
+    1121225U,	// XOR32mi
+    1121225U,	// XOR32mi8
+    1121225U,	// XOR32mr
+    35707849U,	// XOR32ri
+    35707849U,	// XOR32ri8
+    4250569U,	// XOR32rm
+    35707849U,	// XOR32rr
+    68180937U,	// XOR32rr_REV
+    26436U,	// XOR64i32
+    1137609U,	// XOR64mi32
+    1137609U,	// XOR64mi8
+    1137609U,	// XOR64mr
+    35707849U,	// XOR64ri32
+    35707849U,	// XOR64ri8
+    5299145U,	// XOR64rm
+    35707849U,	// XOR64rr
+    68180937U,	// XOR64rr_REV
+    26019U,	// XOR8i8
+    1153993U,	// XOR8mi
+    1153993U,	// XOR8mr
+    35707849U,	// XOR8ri
+    6347721U,	// XOR8rm
+    35707849U,	// XOR8rr
+    68180937U,	// XOR8rr_REV
+    202396212U,	// XORPDrm
+    68178484U,	// XORPDrr
+    202399445U,	// XORPSrm
+    68181717U,	// XORPSrr
+    12018U,	// XRELEASE_PREFIX
+    285631U,	// XRSTOR
+    281492U,	// XRSTOR64
+    284194U,	// XSAVE
+    281482U,	// XSAVE64
+    287048U,	// XSAVEOPT
+    281502U,	// XSAVEOPT64
+    12683U,	// XSETBV
+    11398U,	// XSHA1
+    11633U,	// XSHA256
+    12011U,	// XSTORE
+    12665U,	// XTEST
     0U
   };
 
-  static const uint16_t OpInfo2[] = {
+  static const uint32_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
     0U,	// PROLOG_LABEL
@@ -5532,7 +5566,7 @@
     0U,	// AESIMCrm
     0U,	// AESIMCrr
     0U,	// AESKEYGENASSIST128rm
-    4U,	// AESKEYGENASSIST128rr
+    8U,	// AESKEYGENASSIST128rr
     0U,	// AND16i16
     0U,	// AND16mi
     0U,	// AND16mi8
@@ -5567,10 +5601,10 @@
     0U,	// AND8rm
     0U,	// AND8rr
     0U,	// AND8rr_REV
-    8U,	// ANDN32rm
-    4U,	// ANDN32rr
-    12U,	// ANDN64rm
-    4U,	// ANDN64rr
+    16U,	// ANDN32rm
+    8U,	// ANDN32rr
+    24U,	// ANDN64rm
+    8U,	// ANDN64rr
     0U,	// ANDNPDrm
     0U,	// ANDNPDrr
     0U,	// ANDNPSrm
@@ -5628,13 +5662,13 @@
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
     0U,	// BEXTR32rm
-    4U,	// BEXTR32rr
+    8U,	// BEXTR32rr
     0U,	// BEXTR64rm
-    4U,	// BEXTR64rr
+    8U,	// BEXTR64rr
     0U,	// BEXTRI32mi
-    4U,	// BEXTRI32ri
+    8U,	// BEXTRI32ri
     0U,	// BEXTRI64mi
-    4U,	// BEXTRI64ri
+    8U,	// BEXTRI64ri
     0U,	// BLCFILL32rm
     0U,	// BLCFILL32rr
     0U,	// BLCFILL64rm
@@ -5655,10 +5689,10 @@
     0U,	// BLCS32rr
     0U,	// BLCS64rm
     0U,	// BLCS64rr
-    16U,	// BLENDPDrmi
-    20U,	// BLENDPDrri
-    16U,	// BLENDPSrmi
-    20U,	// BLENDPSrri
+    32U,	// BLENDPDrmi
+    40U,	// BLENDPDrri
+    32U,	// BLENDPSrmi
+    40U,	// BLENDPSrri
     0U,	// BLENDVPDrm0
     0U,	// BLENDVPDrr0
     0U,	// BLENDVPSrm0
@@ -5748,9 +5782,11 @@
     0U,	// BTS64ri8
     0U,	// BTS64rr
     0U,	// BZHI32rm
-    4U,	// BZHI32rr
+    8U,	// BZHI32rr
     0U,	// BZHI64rm
-    4U,	// BZHI64rr
+    8U,	// BZHI64rr
+    0U,	// CALL16m
+    0U,	// CALL16r
     0U,	// CALL32m
     0U,	// CALL32r
     0U,	// CALL64m
@@ -5953,25 +5989,25 @@
     0U,	// CMP8rr
     0U,	// CMP8rr_REV
     0U,	// CMPPDrmi
-    16U,	// CMPPDrmi_alt
+    32U,	// CMPPDrmi_alt
     0U,	// CMPPDrri
-    20U,	// CMPPDrri_alt
+    40U,	// CMPPDrri_alt
     0U,	// CMPPSrmi
-    16U,	// CMPPSrmi_alt
+    32U,	// CMPPSrmi_alt
     0U,	// CMPPSrri
-    20U,	// CMPPSrri_alt
+    40U,	// CMPPSrri_alt
     0U,	// CMPS16
     0U,	// CMPS32
     0U,	// CMPS64
     0U,	// CMPS8
     0U,	// CMPSDrm
-    16U,	// CMPSDrm_alt
+    32U,	// CMPSDrm_alt
     0U,	// CMPSDrr
-    20U,	// CMPSDrr_alt
+    40U,	// CMPSDrr_alt
     0U,	// CMPSSrm
-    16U,	// CMPSSrm_alt
+    32U,	// CMPSSrm_alt
     0U,	// CMPSSrr
-    20U,	// CMPSSrr_alt
+    40U,	// CMPSSrr_alt
     0U,	// CMPXCHG16B
     0U,	// CMPXCHG16rm
     0U,	// CMPXCHG16rr
@@ -5994,7 +6030,8 @@
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    0U,	// CPUID
+    0U,	// CPUID32
+    0U,	// CPUID64
     0U,	// CQO
     0U,	// CRC32r32m16
     0U,	// CRC32r32m32
@@ -6129,10 +6166,10 @@
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
     0U,	// DIV_FrST0
-    16U,	// DPPDrmi
-    20U,	// DPPDrri
-    16U,	// DPPSrmi
-    20U,	// DPPSrri
+    32U,	// DPPDrmi
+    40U,	// DPPDrri
+    32U,	// DPPSrmi
+    40U,	// DPPSrri
     0U,	// DS_PREFIX
     0U,	// EH_RETURN
     0U,	// EH_RETURN64
@@ -6144,7 +6181,7 @@
     0U,	// ENTER
     0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
-    4U,	// EXTRACTPSrr
+    8U,	// EXTRACTPSrr
     0U,	// EXTRQ
     0U,	// EXTRQI
     0U,	// F2XM1
@@ -6275,24 +6312,24 @@
     0U,	// IMUL16rmi
     0U,	// IMUL16rmi8
     0U,	// IMUL16rr
-    4U,	// IMUL16rri
-    4U,	// IMUL16rri8
+    8U,	// IMUL16rri
+    8U,	// IMUL16rri8
     0U,	// IMUL32m
     0U,	// IMUL32r
     0U,	// IMUL32rm
     0U,	// IMUL32rmi
     0U,	// IMUL32rmi8
     0U,	// IMUL32rr
-    4U,	// IMUL32rri
-    4U,	// IMUL32rri8
+    8U,	// IMUL32rri
+    8U,	// IMUL32rri8
     0U,	// IMUL64m
     0U,	// IMUL64r
     0U,	// IMUL64rm
     0U,	// IMUL64rmi32
     0U,	// IMUL64rmi8
     0U,	// IMUL64rr
-    4U,	// IMUL64rri32
-    4U,	// IMUL64rri8
+    8U,	// IMUL64rri32
+    8U,	// IMUL64rri8
     0U,	// IMUL8m
     0U,	// IMUL8r
     0U,	// IN16
@@ -6318,10 +6355,10 @@
     0U,	// INC64r
     0U,	// INC8m
     0U,	// INC8r
-    16U,	// INSERTPSrm
-    20U,	// INSERTPSrr
+    32U,	// INSERTPSrm
+    40U,	// INSERTPSrr
     0U,	// INSERTQ
-    24U,	// INSERTQI
+    48U,	// INSERTQI
     0U,	// INT
     0U,	// INT1
     0U,	// INT3
@@ -6398,10 +6435,10 @@
     0U,	// Int_UCOMISDrr
     0U,	// Int_UCOMISSrm
     0U,	// Int_UCOMISSrr
-    28U,	// Int_VCMPSDrm
-    4U,	// Int_VCMPSDrr
-    32U,	// Int_VCMPSSrm
-    4U,	// Int_VCMPSSrr
+    56U,	// Int_VCMPSDrm
+    8U,	// Int_VCMPSDrr
+    64U,	// Int_VCMPSSrm
+    8U,	// Int_VCMPSSrr
     0U,	// Int_VCOMISDZrm
     0U,	// Int_VCOMISDZrr
     0U,	// Int_VCOMISDrm
@@ -6410,26 +6447,26 @@
     0U,	// Int_VCOMISSZrr
     0U,	// Int_VCOMISSrm
     0U,	// Int_VCOMISSrr
-    28U,	// Int_VCVTSD2SSrm
-    4U,	// Int_VCVTSD2SSrr
-    12U,	// Int_VCVTSI2SD64Zrm
-    4U,	// Int_VCVTSI2SD64Zrr
-    12U,	// Int_VCVTSI2SD64rm
-    4U,	// Int_VCVTSI2SD64rr
-    8U,	// Int_VCVTSI2SDZrm
-    4U,	// Int_VCVTSI2SDZrr
-    8U,	// Int_VCVTSI2SDrm
-    4U,	// Int_VCVTSI2SDrr
-    12U,	// Int_VCVTSI2SS64Zrm
-    4U,	// Int_VCVTSI2SS64Zrr
-    12U,	// Int_VCVTSI2SS64rm
-    4U,	// Int_VCVTSI2SS64rr
-    8U,	// Int_VCVTSI2SSZrm
-    4U,	// Int_VCVTSI2SSZrr
-    8U,	// Int_VCVTSI2SSrm
-    4U,	// Int_VCVTSI2SSrr
-    32U,	// Int_VCVTSS2SDrm
-    4U,	// Int_VCVTSS2SDrr
+    56U,	// Int_VCVTSD2SSrm
+    8U,	// Int_VCVTSD2SSrr
+    24U,	// Int_VCVTSI2SD64Zrm
+    8U,	// Int_VCVTSI2SD64Zrr
+    24U,	// Int_VCVTSI2SD64rm
+    8U,	// Int_VCVTSI2SD64rr
+    16U,	// Int_VCVTSI2SDZrm
+    8U,	// Int_VCVTSI2SDZrr
+    16U,	// Int_VCVTSI2SDrm
+    8U,	// Int_VCVTSI2SDrr
+    24U,	// Int_VCVTSI2SS64Zrm
+    8U,	// Int_VCVTSI2SS64Zrr
+    24U,	// Int_VCVTSI2SS64rm
+    8U,	// Int_VCVTSI2SS64rr
+    16U,	// Int_VCVTSI2SSZrm
+    8U,	// Int_VCVTSI2SSZrr
+    16U,	// Int_VCVTSI2SSrm
+    8U,	// Int_VCVTSI2SSrr
+    64U,	// Int_VCVTSS2SDrm
+    8U,	// Int_VCVTSS2SDrr
     0U,	// Int_VCVTTSD2SI64Zrm
     0U,	// Int_VCVTTSD2SI64Zrr
     0U,	// Int_VCVTTSD2SI64rm
@@ -6454,14 +6491,14 @@
     0U,	// Int_VCVTTSS2USI64Zrr
     0U,	// Int_VCVTTSS2USIZrm
     0U,	// Int_VCVTTSS2USIZrr
-    12U,	// Int_VCVTUSI2SD64Zrm
-    4U,	// Int_VCVTUSI2SD64Zrr
-    8U,	// Int_VCVTUSI2SDZrm
-    4U,	// Int_VCVTUSI2SDZrr
-    12U,	// Int_VCVTUSI2SS64Zrm
-    4U,	// Int_VCVTUSI2SS64Zrr
-    8U,	// Int_VCVTUSI2SSZrm
-    4U,	// Int_VCVTUSI2SSZrr
+    24U,	// Int_VCVTUSI2SD64Zrm
+    8U,	// Int_VCVTUSI2SD64Zrr
+    16U,	// Int_VCVTUSI2SDZrm
+    8U,	// Int_VCVTUSI2SDZrr
+    24U,	// Int_VCVTUSI2SS64Zrm
+    8U,	// Int_VCVTUSI2SS64Zrr
+    16U,	// Int_VCVTUSI2SSZrm
+    8U,	// Int_VCVTUSI2SSZrr
     0U,	// Int_VUCOMISDZrm
     0U,	// Int_VUCOMISDZrr
     0U,	// Int_VUCOMISDrm
@@ -6471,51 +6508,68 @@
     0U,	// Int_VUCOMISSrm
     0U,	// Int_VUCOMISSrr
     0U,	// JAE_1
+    0U,	// JAE_2
     0U,	// JAE_4
     0U,	// JA_1
+    0U,	// JA_2
     0U,	// JA_4
     0U,	// JBE_1
+    0U,	// JBE_2
     0U,	// JBE_4
     0U,	// JB_1
+    0U,	// JB_2
     0U,	// JB_4
     0U,	// JCXZ
     0U,	// JECXZ_32
     0U,	// JECXZ_64
     0U,	// JE_1
+    0U,	// JE_2
     0U,	// JE_4
     0U,	// JGE_1
+    0U,	// JGE_2
     0U,	// JGE_4
     0U,	// JG_1
+    0U,	// JG_2
     0U,	// JG_4
     0U,	// JLE_1
+    0U,	// JLE_2
     0U,	// JLE_4
     0U,	// JL_1
+    0U,	// JL_2
     0U,	// JL_4
+    0U,	// JMP16m
+    0U,	// JMP16r
     0U,	// JMP32m
     0U,	// JMP32r
     0U,	// JMP64m
-    0U,	// JMP64pcrel32
     0U,	// JMP64r
     0U,	// JMP_1
+    0U,	// JMP_2
     0U,	// JMP_4
     0U,	// JNE_1
+    0U,	// JNE_2
     0U,	// JNE_4
     0U,	// JNO_1
+    0U,	// JNO_2
     0U,	// JNO_4
     0U,	// JNP_1
+    0U,	// JNP_2
     0U,	// JNP_4
     0U,	// JNS_1
+    0U,	// JNS_2
     0U,	// JNS_4
     0U,	// JO_1
+    0U,	// JO_2
     0U,	// JO_4
     0U,	// JP_1
+    0U,	// JP_2
     0U,	// JP_4
     0U,	// JRCXZ
     0U,	// JS_1
+    0U,	// JS_2
     0U,	// JS_4
-    4U,	// KADDWrr
-    4U,	// KANDNWrr
-    4U,	// KANDWrr
+    8U,	// KANDNWrr
+    8U,	// KANDWrr
     0U,	// KMOVWkk
     0U,	// KMOVWkm
     0U,	// KMOVWkr
@@ -6523,17 +6577,16 @@
     0U,	// KMOVWrk
     0U,	// KNOTWrr
     0U,	// KORTESTWrr
-    4U,	// KORWrr
+    8U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    4U,	// KSHIFTLWri
-    4U,	// KSHIFTRWri
-    0U,	// KTESTWrr
-    4U,	// KUNPCKBWrr
-    4U,	// KXNORWrr
-    4U,	// KXORWrr
+    8U,	// KSHIFTLWri
+    8U,	// KSHIFTRWri
+    8U,	// KUNPCKBWrr
+    8U,	// KXNORWrr
+    8U,	// KXORWrr
     0U,	// LAHF
     0U,	// LAR16rm
     0U,	// LAR16rr
@@ -6582,12 +6635,14 @@
     0U,	// LFS32rm
     0U,	// LFS64rm
     0U,	// LGDT16m
-    0U,	// LGDTm
+    0U,	// LGDT32m
+    0U,	// LGDT64m
     0U,	// LGS16rm
     0U,	// LGS32rm
     0U,	// LGS64rm
     0U,	// LIDT16m
-    0U,	// LIDTm
+    0U,	// LIDT32m
+    0U,	// LIDT64m
     0U,	// LLDT16m
     0U,	// LLDT16r
     0U,	// LMSW16m
@@ -6657,13 +6712,14 @@
     0U,	// LOCK_XOR8mi
     0U,	// LOCK_XOR8mr
     0U,	// LODSB
-    0U,	// LODSD
+    0U,	// LODSL
     0U,	// LODSQ
     0U,	// LODSW
     0U,	// LOOP
     0U,	// LOOPE
     0U,	// LOOPNE
-    0U,	// LRETI
+    0U,	// LRETIL
+    0U,	// LRETIQ
     0U,	// LRETIW
     0U,	// LRETL
     0U,	// LRETQ
@@ -6789,8 +6845,8 @@
     0U,	// MMX_PADDUSWirr
     0U,	// MMX_PADDWirm
     0U,	// MMX_PADDWirr
-    16U,	// MMX_PALIGNR64irm
-    20U,	// MMX_PALIGNR64irr
+    32U,	// MMX_PALIGNR64irm
+    40U,	// MMX_PALIGNR64irr
     0U,	// MMX_PANDNirm
     0U,	// MMX_PANDNirr
     0U,	// MMX_PANDirm
@@ -6811,7 +6867,7 @@
     0U,	// MMX_PCMPGTDirr
     0U,	// MMX_PCMPGTWirm
     0U,	// MMX_PCMPGTWirr
-    4U,	// MMX_PEXTRWirri
+    8U,	// MMX_PEXTRWirri
     0U,	// MMX_PHADDSWrm64
     0U,	// MMX_PHADDSWrr64
     0U,	// MMX_PHADDWrm64
@@ -6824,8 +6880,8 @@
     0U,	// MMX_PHSUBSWrr64
     0U,	// MMX_PHSUBWrm64
     0U,	// MMX_PHSUBWrr64
-    16U,	// MMX_PINSRWirmi
-    20U,	// MMX_PINSRWirri
+    32U,	// MMX_PINSRWirmi
+    40U,	// MMX_PINSRWirri
     0U,	// MMX_PMADDUBSWrm64
     0U,	// MMX_PMADDUBSWrr64
     0U,	// MMX_PMADDWDirm
@@ -6856,7 +6912,7 @@
     0U,	// MMX_PSHUFBrm64
     0U,	// MMX_PSHUFBrr64
     0U,	// MMX_PSHUFWmi
-    4U,	// MMX_PSHUFWri
+    8U,	// MMX_PSHUFWri
     0U,	// MMX_PSIGNBrm64
     0U,	// MMX_PSIGNBrr64
     0U,	// MMX_PSIGNDrm64
@@ -6923,10 +6979,12 @@
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
     0U,	// MOV16ao16
+    0U,	// MOV16ao16_16
     0U,	// MOV16mi
     0U,	// MOV16mr
     0U,	// MOV16ms
     0U,	// MOV16o16a
+    0U,	// MOV16o16a_16
     0U,	// MOV16ri
     0U,	// MOV16rm
     0U,	// MOV16rr
@@ -6935,12 +6993,14 @@
     0U,	// MOV16sm
     0U,	// MOV16sr
     0U,	// MOV32ao32
+    0U,	// MOV32ao32_16
     0U,	// MOV32cr
     0U,	// MOV32dr
     0U,	// MOV32mi
     0U,	// MOV32mr
     0U,	// MOV32ms
     0U,	// MOV32o32a
+    0U,	// MOV32o32a_16
     0U,	// MOV32r0
     0U,	// MOV32rc
     0U,	// MOV32rd
@@ -6979,15 +7039,17 @@
     0U,	// MOV64toSDrm
     0U,	// MOV64toSDrr
     0U,	// MOV8ao8
+    0U,	// MOV8ao8_16
     0U,	// MOV8mi
     0U,	// MOV8mr
-    0U,	// MOV8mr_NOREX
+    1U,	// MOV8mr_NOREX
     0U,	// MOV8o8a
+    0U,	// MOV8o8a_16
     0U,	// MOV8ri
     0U,	// MOV8rm
-    0U,	// MOV8rm_NOREX
+    1U,	// MOV8rm_NOREX
     0U,	// MOV8rr
-    0U,	// MOV8rr_NOREX
+    1U,	// MOV8rr_NOREX
     0U,	// MOV8rr_REV
     0U,	// MOVAPDmr
     0U,	// MOVAPDrm
@@ -7027,7 +7089,6 @@
     0U,	// MOVLPDrm
     0U,	// MOVLPSmr
     0U,	// MOVLPSrm
-    0U,	// MOVLQ128mr
     0U,	// MOVMSKPDrr
     0U,	// MOVMSKPSrr
     0U,	// MOVNTDQArm
@@ -7042,10 +7103,10 @@
     0U,	// MOVPDI2DImr
     0U,	// MOVPDI2DIrr
     0U,	// MOVPQI2QImr
+    0U,	// MOVPQI2QIrr
     0U,	// MOVPQIto64rr
     0U,	// MOVQI2PQIrm
     0U,	// MOVSB
-    0U,	// MOVSD
     0U,	// MOVSDmr
     0U,	// MOVSDrm
     0U,	// MOVSDrr
@@ -7054,6 +7115,7 @@
     0U,	// MOVSDto64rr
     0U,	// MOVSHDUPrm
     0U,	// MOVSHDUPrr
+    0U,	// MOVSL
     0U,	// MOVSLDUPrm
     0U,	// MOVSLDUPrr
     0U,	// MOVSQ
@@ -7100,8 +7162,8 @@
     0U,	// MOVZX64rm8_Q
     0U,	// MOVZX64rr16_Q
     0U,	// MOVZX64rr8_Q
-    16U,	// MPSADBWrmi
-    20U,	// MPSADBWrri
+    32U,	// MPSADBWrmi
+    40U,	// MPSADBWrri
     0U,	// MUL16m
     0U,	// MUL16r
     0U,	// MUL32m
@@ -7122,10 +7184,10 @@
     0U,	// MULSSrm_Int
     0U,	// MULSSrr
     0U,	// MULSSrr_Int
-    8U,	// MULX32rm
-    4U,	// MULX32rr
-    12U,	// MULX64rm
-    4U,	// MULX64rr
+    16U,	// MULX32rm
+    8U,	// MULX32rr
+    24U,	// MULX64rm
+    8U,	// MULX64rr
     0U,	// MUL_F32m
     0U,	// MUL_F64m
     0U,	// MUL_FI16m
@@ -7213,7 +7275,7 @@
     0U,	// OUT8ir
     0U,	// OUT8rr
     0U,	// OUTSB
-    0U,	// OUTSD
+    0U,	// OUTSL
     0U,	// OUTSW
     0U,	// PABSBrm128
     0U,	// PABSBrr128
@@ -7245,8 +7307,8 @@
     0U,	// PADDUSWrr
     0U,	// PADDWrm
     0U,	// PADDWrr
-    16U,	// PALIGNR128rm
-    20U,	// PALIGNR128rr
+    32U,	// PALIGNR128rm
+    40U,	// PALIGNR128rr
     0U,	// PANDNrm
     0U,	// PANDNrr
     0U,	// PANDrm
@@ -7260,10 +7322,10 @@
     0U,	// PAVGWrr
     0U,	// PBLENDVBrm0
     0U,	// PBLENDVBrr0
-    16U,	// PBLENDWrmi
-    20U,	// PBLENDWrri
-    16U,	// PCLMULQDQrm
-    20U,	// PCLMULQDQrr
+    32U,	// PBLENDWrmi
+    40U,	// PBLENDWrri
+    32U,	// PCLMULQDQrm
+    40U,	// PCLMULQDQrr
     0U,	// PCMPEQBrm
     0U,	// PCMPEQBrr
     0U,	// PCMPEQDrm
@@ -7275,11 +7337,11 @@
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
     0U,	// PCMPESTRIrm
-    4U,	// PCMPESTRIrr
+    8U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
     0U,	// PCMPESTRM128rm
-    4U,	// PCMPESTRM128rr
+    8U,	// PCMPESTRM128rr
     0U,	// PCMPGTBrm
     0U,	// PCMPGTBrr
     0U,	// PCMPGTDrm
@@ -7291,28 +7353,28 @@
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
     0U,	// PCMPISTRIrm
-    4U,	// PCMPISTRIrr
+    8U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
     0U,	// PCMPISTRM128rm
-    4U,	// PCMPISTRM128rr
-    8U,	// PDEP32rm
-    4U,	// PDEP32rr
-    12U,	// PDEP64rm
-    4U,	// PDEP64rr
-    8U,	// PEXT32rm
-    4U,	// PEXT32rr
-    12U,	// PEXT64rm
-    4U,	// PEXT64rr
+    8U,	// PCMPISTRM128rr
+    16U,	// PDEP32rm
+    8U,	// PDEP32rr
+    24U,	// PDEP64rm
+    8U,	// PDEP64rr
+    16U,	// PEXT32rm
+    8U,	// PEXT32rr
+    24U,	// PEXT64rm
+    8U,	// PEXT64rr
     0U,	// PEXTRBmr
-    4U,	// PEXTRBrr
+    8U,	// PEXTRBrr
     0U,	// PEXTRDmr
-    4U,	// PEXTRDrr
+    8U,	// PEXTRDrr
     0U,	// PEXTRQmr
-    4U,	// PEXTRQrr
+    8U,	// PEXTRQrr
     0U,	// PEXTRWmr
-    4U,	// PEXTRWri
-    4U,	// PEXTRWrr_REV
+    8U,	// PEXTRWri
+    8U,	// PEXTRWrr_REV
     0U,	// PF2IDrm
     0U,	// PF2IDrr
     0U,	// PF2IWrm
@@ -7370,13 +7432,13 @@
     0U,	// PI2FWrm
     0U,	// PI2FWrr
     0U,	// PINSRBrm
-    20U,	// PINSRBrr
-    16U,	// PINSRDrm
-    20U,	// PINSRDrr
-    16U,	// PINSRQrm
-    20U,	// PINSRQrr
-    16U,	// PINSRWrmi
-    20U,	// PINSRWrri
+    40U,	// PINSRBrr
+    32U,	// PINSRDrm
+    40U,	// PINSRDrr
+    32U,	// PINSRQrm
+    40U,	// PINSRQrr
+    32U,	// PINSRWrmi
+    40U,	// PINSRWrri
     0U,	// PMADDUBSWrm128
     0U,	// PMADDUBSWrr128
     0U,	// PMADDWDrm
@@ -7455,6 +7517,7 @@
     0U,	// POP64r
     0U,	// POP64rmm
     0U,	// POP64rmr
+    0U,	// POPA16
     0U,	// POPA32
     0U,	// POPCNT16rm
     0U,	// POPCNT16rr
@@ -7490,11 +7553,11 @@
     0U,	// PSHUFBrm
     0U,	// PSHUFBrr
     0U,	// PSHUFDmi
-    4U,	// PSHUFDri
+    8U,	// PSHUFDri
     0U,	// PSHUFHWmi
-    4U,	// PSHUFHWri
+    8U,	// PSHUFHWri
     0U,	// PSHUFLWmi
-    4U,	// PSHUFLWri
+    8U,	// PSHUFLWri
     0U,	// PSIGNBrm
     0U,	// PSIGNBrr
     0U,	// PSIGNDrm
@@ -7563,9 +7626,11 @@
     0U,	// PUNPCKLQDQrr
     0U,	// PUNPCKLWDrm
     0U,	// PUNPCKLWDrr
+    0U,	// PUSH16i8
     0U,	// PUSH16r
     0U,	// PUSH16rmm
     0U,	// PUSH16rmr
+    0U,	// PUSH32i8
     0U,	// PUSH32r
     0U,	// PUSH32rmm
     0U,	// PUSH32rmr
@@ -7575,6 +7640,7 @@
     0U,	// PUSH64r
     0U,	// PUSH64rmm
     0U,	// PUSH64rmr
+    0U,	// PUSHA16
     0U,	// PUSHA32
     0U,	// PUSHCS16
     0U,	// PUSHCS32
@@ -7595,7 +7661,6 @@
     0U,	// PUSHSS32
     0U,	// PUSHi16
     0U,	// PUSHi32
-    0U,	// PUSHi8
     0U,	// PXORrm
     0U,	// PXORrr
     0U,	// RCL16m1
@@ -7688,9 +7753,11 @@
     0U,	// REP_STOSQ_64
     0U,	// REP_STOSW_32
     0U,	// REP_STOSW_64
-    0U,	// RET
-    0U,	// RETI
+    0U,	// RETIL
+    0U,	// RETIQ
     0U,	// RETIW
+    0U,	// RETL
+    0U,	// RETQ
     0U,	// RETW
     0U,	// REX64_PREFIX
     0U,	// ROL16m1
@@ -7742,19 +7809,19 @@
     0U,	// ROR8rCL
     0U,	// ROR8ri
     0U,	// RORX32mi
-    4U,	// RORX32ri
+    8U,	// RORX32ri
     0U,	// RORX64mi
-    4U,	// RORX64ri
+    8U,	// RORX64ri
     0U,	// ROUNDPDm
-    4U,	// ROUNDPDr
+    8U,	// ROUNDPDr
     0U,	// ROUNDPSm
-    4U,	// ROUNDPSr
-    16U,	// ROUNDSDm
-    20U,	// ROUNDSDr
-    20U,	// ROUNDSDr_Int
-    16U,	// ROUNDSSm
-    20U,	// ROUNDSSr
-    20U,	// ROUNDSSr_Int
+    8U,	// ROUNDPSr
+    32U,	// ROUNDSDm
+    40U,	// ROUNDSDr
+    40U,	// ROUNDSDr_Int
+    32U,	// ROUNDSSm
+    40U,	// ROUNDSSr
+    40U,	// ROUNDSSr_Int
     0U,	// RSM
     0U,	// RSQRTPSm
     0U,	// RSQRTPSm_Int
@@ -7791,9 +7858,9 @@
     0U,	// SAR8rCL
     0U,	// SAR8ri
     0U,	// SARX32rm
-    4U,	// SARX32rr
+    8U,	// SARX32rr
     0U,	// SARX64rm
-    4U,	// SARX64rr
+    8U,	// SARX64rr
     0U,	// SBB16i16
     0U,	// SBB16mi
     0U,	// SBB16mi8
@@ -7872,15 +7939,16 @@
     0U,	// SETSr
     0U,	// SFENCE
     0U,	// SGDT16m
-    0U,	// SGDTm
+    0U,	// SGDT32m
+    0U,	// SGDT64m
     0U,	// SHA1MSG1rm
     0U,	// SHA1MSG1rr
     0U,	// SHA1MSG2rm
     0U,	// SHA1MSG2rr
     0U,	// SHA1NEXTErm
     0U,	// SHA1NEXTErr
-    16U,	// SHA1RNDS4rmi
-    20U,	// SHA1RNDS4rri
+    32U,	// SHA1RNDS4rmi
+    40U,	// SHA1RNDS4rri
     0U,	// SHA256MSG1rm
     0U,	// SHA256MSG1rr
     0U,	// SHA256MSG2rm
@@ -7911,22 +7979,22 @@
     0U,	// SHL8r1
     0U,	// SHL8rCL
     0U,	// SHL8ri
-    0U,	// SHLD16mrCL
+    1U,	// SHLD16mrCL
     0U,	// SHLD16mri8
-    0U,	// SHLD16rrCL
-    20U,	// SHLD16rri8
-    0U,	// SHLD32mrCL
+    1U,	// SHLD16rrCL
+    40U,	// SHLD16rri8
+    1U,	// SHLD32mrCL
     0U,	// SHLD32mri8
-    0U,	// SHLD32rrCL
-    20U,	// SHLD32rri8
-    0U,	// SHLD64mrCL
+    1U,	// SHLD32rrCL
+    40U,	// SHLD32rri8
+    1U,	// SHLD64mrCL
     0U,	// SHLD64mri8
-    0U,	// SHLD64rrCL
-    20U,	// SHLD64rri8
+    1U,	// SHLD64rrCL
+    40U,	// SHLD64rri8
     0U,	// SHLX32rm
-    4U,	// SHLX32rr
+    8U,	// SHLX32rr
     0U,	// SHLX64rm
-    4U,	// SHLX64rr
+    8U,	// SHLX64rr
     0U,	// SHR16m1
     0U,	// SHR16mCL
     0U,	// SHR16mi
@@ -7951,28 +8019,29 @@
     0U,	// SHR8r1
     0U,	// SHR8rCL
     0U,	// SHR8ri
-    0U,	// SHRD16mrCL
+    1U,	// SHRD16mrCL
     0U,	// SHRD16mri8
-    0U,	// SHRD16rrCL
-    20U,	// SHRD16rri8
-    0U,	// SHRD32mrCL
+    1U,	// SHRD16rrCL
+    40U,	// SHRD16rri8
+    1U,	// SHRD32mrCL
     0U,	// SHRD32mri8
-    0U,	// SHRD32rrCL
-    20U,	// SHRD32rri8
-    0U,	// SHRD64mrCL
+    1U,	// SHRD32rrCL
+    40U,	// SHRD32rri8
+    1U,	// SHRD64mrCL
     0U,	// SHRD64mri8
-    0U,	// SHRD64rrCL
-    20U,	// SHRD64rri8
+    1U,	// SHRD64rrCL
+    40U,	// SHRD64rri8
     0U,	// SHRX32rm
-    4U,	// SHRX32rr
+    8U,	// SHRX32rr
     0U,	// SHRX64rm
-    4U,	// SHRX64rr
-    16U,	// SHUFPDrmi
-    20U,	// SHUFPDrri
-    16U,	// SHUFPSrmi
-    20U,	// SHUFPSrri
+    8U,	// SHRX64rr
+    32U,	// SHUFPDrmi
+    40U,	// SHUFPDrri
+    32U,	// SHUFPSrmi
+    40U,	// SHUFPSrri
     0U,	// SIDT16m
-    0U,	// SIDTm
+    0U,	// SIDT32m
+    0U,	// SIDT64m
     0U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
@@ -8011,7 +8080,7 @@
     0U,	// STI
     0U,	// STMXCSR
     0U,	// STOSB
-    0U,	// STOSD
+    0U,	// STOSL
     0U,	// STOSQ
     0U,	// STOSW
     0U,	// STR16r
@@ -8210,98 +8279,94 @@
     0U,	// UNPCKLPDrr
     0U,	// UNPCKLPSrm
     0U,	// UNPCKLPSrr
-    128U,	// VAARG_64
-    36U,	// VADDPDYrm
-    4U,	// VADDPDYrr
-    40U,	// VADDPDZrm
-    284U,	// VADDPDZrmb
-    4U,	// VADDPDZrr
-    44U,	// VADDPDrm
-    4U,	// VADDPDrr
-    36U,	// VADDPSYrm
-    4U,	// VADDPSYrr
-    40U,	// VADDPSZrm
-    416U,	// VADDPSZrmb
-    4U,	// VADDPSZrr
-    44U,	// VADDPSrm
-    4U,	// VADDPSrr
-    28U,	// VADDSDZrm
-    4U,	// VADDSDZrr
-    28U,	// VADDSDrm
-    28U,	// VADDSDrm_Int
-    4U,	// VADDSDrr
-    4U,	// VADDSDrr_Int
-    32U,	// VADDSSZrm
-    4U,	// VADDSSZrr
-    32U,	// VADDSSrm
-    32U,	// VADDSSrm_Int
-    4U,	// VADDSSrr
-    4U,	// VADDSSrr_Int
-    36U,	// VADDSUBPDYrm
-    4U,	// VADDSUBPDYrr
-    44U,	// VADDSUBPDrm
-    4U,	// VADDSUBPDrr
-    36U,	// VADDSUBPSYrm
-    4U,	// VADDSUBPSYrr
-    44U,	// VADDSUBPSrm
-    4U,	// VADDSUBPSrr
-    48U,	// VAESDECLASTrm
-    4U,	// VAESDECLASTrr
-    48U,	// VAESDECrm
-    4U,	// VAESDECrr
-    48U,	// VAESENCLASTrm
-    4U,	// VAESENCLASTrr
-    48U,	// VAESENCrm
-    4U,	// VAESENCrr
+    256U,	// VAARG_64
+    72U,	// VADDPDYrm
+    8U,	// VADDPDYrr
+    80U,	// VADDPDZrm
+    568U,	// VADDPDZrmb
+    8U,	// VADDPDZrr
+    88U,	// VADDPDrm
+    8U,	// VADDPDrr
+    72U,	// VADDPSYrm
+    8U,	// VADDPSYrr
+    80U,	// VADDPSZrm
+    832U,	// VADDPSZrmb
+    8U,	// VADDPSZrr
+    88U,	// VADDPSrm
+    8U,	// VADDPSrr
+    56U,	// VADDSDZrm
+    8U,	// VADDSDZrr
+    56U,	// VADDSDrm
+    56U,	// VADDSDrm_Int
+    8U,	// VADDSDrr
+    8U,	// VADDSDrr_Int
+    64U,	// VADDSSZrm
+    8U,	// VADDSSZrr
+    64U,	// VADDSSrm
+    64U,	// VADDSSrm_Int
+    8U,	// VADDSSrr
+    8U,	// VADDSSrr_Int
+    72U,	// VADDSUBPDYrm
+    8U,	// VADDSUBPDYrr
+    88U,	// VADDSUBPDrm
+    8U,	// VADDSUBPDrr
+    72U,	// VADDSUBPSYrm
+    8U,	// VADDSUBPSYrr
+    88U,	// VADDSUBPSrm
+    8U,	// VADDSUBPSrr
+    96U,	// VAESDECLASTrm
+    8U,	// VAESDECLASTrr
+    96U,	// VAESDECrm
+    8U,	// VAESDECrr
+    96U,	// VAESENCLASTrm
+    8U,	// VAESENCLASTrr
+    96U,	// VAESENCrm
+    8U,	// VAESENCrr
     0U,	// VAESIMCrm
     0U,	// VAESIMCrr
     0U,	// VAESKEYGENASSIST128rm
-    4U,	// VAESKEYGENASSIST128rr
-    8372U,	// VALIGNDrmi
-    8836U,	// VALIGNDrri
-    8372U,	// VALIGNQrmi
-    8836U,	// VALIGNQrri
-    36U,	// VANDNPDYrm
-    4U,	// VANDNPDYrr
-    44U,	// VANDNPDrm
-    4U,	// VANDNPDrr
-    36U,	// VANDNPSYrm
-    4U,	// VANDNPSYrr
-    44U,	// VANDNPSrm
-    4U,	// VANDNPSrr
-    36U,	// VANDPDYrm
-    4U,	// VANDPDYrr
-    44U,	// VANDPDrm
-    4U,	// VANDPDrr
-    36U,	// VANDPSYrm
-    4U,	// VANDPSYrr
-    44U,	// VANDPSrm
-    4U,	// VANDPSrr
-    4U,	// VASTART_SAVE_XMM_REGS
-    1156U,	// VBLENDMPDZrm
-    1156U,	// VBLENDMPDZrm_Int
-    8837U,	// VBLENDMPDZrr
-    8837U,	// VBLENDMPDZrr_Int
-    1156U,	// VBLENDMPSZrm
-    1156U,	// VBLENDMPSZrm_Int
-    8837U,	// VBLENDMPSZrr
-    8837U,	// VBLENDMPSZrr_Int
-    8356U,	// VBLENDPDYrmi
-    8836U,	// VBLENDPDYrri
-    8364U,	// VBLENDPDrmi
-    8836U,	// VBLENDPDrri
-    8356U,	// VBLENDPSYrmi
-    8836U,	// VBLENDPSYrri
-    8364U,	// VBLENDPSrmi
-    8836U,	// VBLENDPSrri
-    8356U,	// VBLENDVPDYrm
-    8836U,	// VBLENDVPDYrr
-    8364U,	// VBLENDVPDrm
-    8836U,	// VBLENDVPDrr
-    8356U,	// VBLENDVPSYrm
-    8836U,	// VBLENDVPSYrr
-    8364U,	// VBLENDVPSrm
-    8836U,	// VBLENDVPSrr
+    8U,	// VAESKEYGENASSIST128rr
+    33128U,	// VALIGNDrmi
+    35080U,	// VALIGNDrri
+    33128U,	// VALIGNQrmi
+    35080U,	// VALIGNQrri
+    72U,	// VANDNPDYrm
+    8U,	// VANDNPDYrr
+    88U,	// VANDNPDrm
+    8U,	// VANDNPDrr
+    72U,	// VANDNPSYrm
+    8U,	// VANDNPSYrr
+    88U,	// VANDNPSrm
+    8U,	// VANDNPSrr
+    72U,	// VANDPDYrm
+    8U,	// VANDPDYrr
+    88U,	// VANDPDrm
+    8U,	// VANDPDrr
+    72U,	// VANDPSYrm
+    8U,	// VANDPSYrr
+    88U,	// VANDPSrm
+    8U,	// VANDPSrr
+    8U,	// VASTART_SAVE_XMM_REGS
+    4362U,	// VBLENDMPDZrm
+    35082U,	// VBLENDMPDZrr
+    4362U,	// VBLENDMPSZrm
+    35082U,	// VBLENDMPSZrr
+    33096U,	// VBLENDPDYrmi
+    35080U,	// VBLENDPDYrri
+    33112U,	// VBLENDPDrmi
+    35080U,	// VBLENDPDrri
+    33096U,	// VBLENDPSYrmi
+    35080U,	// VBLENDPSYrri
+    33112U,	// VBLENDPSrmi
+    35080U,	// VBLENDPSrri
+    33096U,	// VBLENDVPDYrm
+    35080U,	// VBLENDVPDYrr
+    33112U,	// VBLENDVPDrm
+    35080U,	// VBLENDVPDrr
+    33096U,	// VBLENDVPSYrm
+    35080U,	// VBLENDVPSYrr
+    33112U,	// VBLENDVPSrm
+    35080U,	// VBLENDVPSrr
     0U,	// VBROADCASTF128
     0U,	// VBROADCASTI128
     0U,	// VBROADCASTSDYrm
@@ -8314,38 +8379,48 @@
     0U,	// VBROADCASTSSZrr
     0U,	// VBROADCASTSSrm
     0U,	// VBROADCASTSSrr
-    36U,	// VCMPPDYrmi
-    8356U,	// VCMPPDYrmi_alt
-    4U,	// VCMPPDYrri
-    8836U,	// VCMPPDYrri_alt
-    40U,	// VCMPPDZrmi
-    8360U,	// VCMPPDZrmi_alt
-    4U,	// VCMPPDZrri
-    8836U,	// VCMPPDZrri_alt
-    44U,	// VCMPPDrmi
-    8364U,	// VCMPPDrmi_alt
-    4U,	// VCMPPDrri
-    8836U,	// VCMPPDrri_alt
-    36U,	// VCMPPSYrmi
-    8356U,	// VCMPPSYrmi_alt
-    4U,	// VCMPPSYrri
-    8836U,	// VCMPPSYrri_alt
-    40U,	// VCMPPSZrmi
-    8360U,	// VCMPPSZrmi_alt
-    4U,	// VCMPPSZrri
-    8836U,	// VCMPPSZrri_alt
-    44U,	// VCMPPSrmi
-    8364U,	// VCMPPSrmi_alt
-    4U,	// VCMPPSrri
-    8836U,	// VCMPPSrri_alt
-    28U,	// VCMPSDrm
-    8348U,	// VCMPSDrm_alt
-    4U,	// VCMPSDrr
-    8836U,	// VCMPSDrr_alt
-    32U,	// VCMPSSrm
-    8352U,	// VCMPSSrm_alt
-    4U,	// VCMPSSrr
-    8836U,	// VCMPSSrr_alt
+    72U,	// VCMPPDYrmi
+    33096U,	// VCMPPDYrmi_alt
+    8U,	// VCMPPDYrri
+    35080U,	// VCMPPDYrri_alt
+    0U,	// VCMPPDZrmi
+    33104U,	// VCMPPDZrmi_alt
+    0U,	// VCMPPDZrri
+    35080U,	// VCMPPDZrri_alt
+    2U,	// VCMPPDZrrib
+    88U,	// VCMPPDrmi
+    33112U,	// VCMPPDrmi_alt
+    8U,	// VCMPPDrri
+    35080U,	// VCMPPDrri_alt
+    72U,	// VCMPPSYrmi
+    33096U,	// VCMPPSYrmi_alt
+    8U,	// VCMPPSYrri
+    35080U,	// VCMPPSYrri_alt
+    0U,	// VCMPPSZrmi
+    33104U,	// VCMPPSZrmi_alt
+    0U,	// VCMPPSZrri
+    35080U,	// VCMPPSZrri_alt
+    2U,	// VCMPPSZrrib
+    88U,	// VCMPPSrmi
+    33112U,	// VCMPPSrmi_alt
+    8U,	// VCMPPSrri
+    35080U,	// VCMPPSrri_alt
+    56U,	// VCMPSDZrm
+    33080U,	// VCMPSDZrmi_alt
+    8U,	// VCMPSDZrr
+    35080U,	// VCMPSDZrri_alt
+    56U,	// VCMPSDrm
+    33080U,	// VCMPSDrm_alt
+    8U,	// VCMPSDrr
+    35080U,	// VCMPSDrr_alt
+    64U,	// VCMPSSZrm
+    33088U,	// VCMPSSZrmi_alt
+    8U,	// VCMPSSZrr
+    35080U,	// VCMPSSZrri_alt
+    64U,	// VCMPSSrm
+    33088U,	// VCMPSSrm_alt
+    8U,	// VCMPSSrr
+    35080U,	// VCMPSSrr_alt
     0U,	// VCOMISDZrm
     0U,	// VCOMISDZrr
     0U,	// VCOMISDrm
@@ -8364,18 +8439,26 @@
     0U,	// VCVTDQ2PSYrr
     0U,	// VCVTDQ2PSZrm
     0U,	// VCVTDQ2PSZrr
+    112U,	// VCVTDQ2PSZrrb
     0U,	// VCVTDQ2PSrm
     0U,	// VCVTDQ2PSrr
     0U,	// VCVTPD2DQXrm
     0U,	// VCVTPD2DQYrm
     0U,	// VCVTPD2DQYrr
+    0U,	// VCVTPD2DQZrm
+    0U,	// VCVTPD2DQZrr
+    112U,	// VCVTPD2DQZrrb
     0U,	// VCVTPD2DQrr
     0U,	// VCVTPD2PSXrm
     0U,	// VCVTPD2PSYrm
     0U,	// VCVTPD2PSYrr
     0U,	// VCVTPD2PSZrm
     0U,	// VCVTPD2PSZrr
+    112U,	// VCVTPD2PSZrrb
     0U,	// VCVTPD2PSrr
+    0U,	// VCVTPD2UDQZrm
+    0U,	// VCVTPD2UDQZrr
+    112U,	// VCVTPD2UDQZrrb
     0U,	// VCVTPH2PSYrm
     0U,	// VCVTPH2PSYrr
     0U,	// VCVTPH2PSZrm
@@ -8386,6 +8469,7 @@
     0U,	// VCVTPS2DQYrr
     0U,	// VCVTPS2DQZrm
     0U,	// VCVTPS2DQZrr
+    112U,	// VCVTPS2DQZrrb
     0U,	// VCVTPS2DQrm
     0U,	// VCVTPS2DQrr
     0U,	// VCVTPS2PDYrm
@@ -8395,11 +8479,14 @@
     0U,	// VCVTPS2PDrm
     0U,	// VCVTPS2PDrr
     0U,	// VCVTPS2PHYmr
-    4U,	// VCVTPS2PHYrr
+    8U,	// VCVTPS2PHYrr
     0U,	// VCVTPS2PHZmr
-    4U,	// VCVTPS2PHZrr
+    8U,	// VCVTPS2PHZrr
     0U,	// VCVTPS2PHmr
-    4U,	// VCVTPS2PHrr
+    8U,	// VCVTPS2PHrr
+    0U,	// VCVTPS2UDQZrm
+    0U,	// VCVTPS2UDQZrr
+    112U,	// VCVTPS2UDQZrrb
     0U,	// VCVTSD2SI64Zrm
     0U,	// VCVTSD2SI64Zrr
     0U,	// VCVTSD2SI64rm
@@ -8408,34 +8495,34 @@
     0U,	// VCVTSD2SIZrr
     0U,	// VCVTSD2SIrm
     0U,	// VCVTSD2SIrr
-    28U,	// VCVTSD2SSZrm
-    4U,	// VCVTSD2SSZrr
-    28U,	// VCVTSD2SSrm
-    4U,	// VCVTSD2SSrr
+    56U,	// VCVTSD2SSZrm
+    8U,	// VCVTSD2SSZrr
+    56U,	// VCVTSD2SSrm
+    8U,	// VCVTSD2SSrr
     0U,	// VCVTSD2USI64Zrm
     0U,	// VCVTSD2USI64Zrr
     0U,	// VCVTSD2USIZrm
     0U,	// VCVTSD2USIZrr
-    12U,	// VCVTSI2SD64rm
-    4U,	// VCVTSI2SD64rr
-    8U,	// VCVTSI2SDZrm
-    4U,	// VCVTSI2SDZrr
-    8U,	// VCVTSI2SDrm
-    4U,	// VCVTSI2SDrr
-    12U,	// VCVTSI2SS64rm
-    4U,	// VCVTSI2SS64rr
-    8U,	// VCVTSI2SSZrm
-    4U,	// VCVTSI2SSZrr
-    8U,	// VCVTSI2SSrm
-    4U,	// VCVTSI2SSrr
-    12U,	// VCVTSI642SDZrm
-    4U,	// VCVTSI642SDZrr
-    12U,	// VCVTSI642SSZrm
-    4U,	// VCVTSI642SSZrr
-    32U,	// VCVTSS2SDZrm
-    4U,	// VCVTSS2SDZrr
-    32U,	// VCVTSS2SDrm
-    4U,	// VCVTSS2SDrr
+    24U,	// VCVTSI2SD64rm
+    8U,	// VCVTSI2SD64rr
+    16U,	// VCVTSI2SDZrm
+    8U,	// VCVTSI2SDZrr
+    16U,	// VCVTSI2SDrm
+    8U,	// VCVTSI2SDrr
+    24U,	// VCVTSI2SS64rm
+    8U,	// VCVTSI2SS64rr
+    16U,	// VCVTSI2SSZrm
+    8U,	// VCVTSI2SSZrr
+    16U,	// VCVTSI2SSrm
+    8U,	// VCVTSI2SSrr
+    24U,	// VCVTSI642SDZrm
+    8U,	// VCVTSI642SDZrr
+    24U,	// VCVTSI642SSZrm
+    8U,	// VCVTSI642SSZrr
+    64U,	// VCVTSS2SDZrm
+    8U,	// VCVTSS2SDZrr
+    64U,	// VCVTSS2SDrm
+    8U,	// VCVTSS2SDrr
     0U,	// VCVTSS2SI64Zrm
     0U,	// VCVTSS2SI64Zrr
     0U,	// VCVTSS2SI64rm
@@ -8492,502 +8579,487 @@
     0U,	// VCVTUDQ2PDZrr
     0U,	// VCVTUDQ2PSZrm
     0U,	// VCVTUDQ2PSZrr
-    8U,	// VCVTUSI2SDZrm
-    4U,	// VCVTUSI2SDZrr
-    8U,	// VCVTUSI2SSZrm
-    4U,	// VCVTUSI2SSZrr
-    12U,	// VCVTUSI642SDZrm
-    4U,	// VCVTUSI642SDZrr
-    12U,	// VCVTUSI642SSZrm
-    4U,	// VCVTUSI642SSZrr
-    36U,	// VDIVPDYrm
-    4U,	// VDIVPDYrr
-    40U,	// VDIVPDZrm
-    284U,	// VDIVPDZrmb
-    4U,	// VDIVPDZrr
-    44U,	// VDIVPDrm
-    4U,	// VDIVPDrr
-    36U,	// VDIVPSYrm
-    4U,	// VDIVPSYrr
-    40U,	// VDIVPSZrm
-    416U,	// VDIVPSZrmb
-    4U,	// VDIVPSZrr
-    44U,	// VDIVPSrm
-    4U,	// VDIVPSrr
-    28U,	// VDIVSDZrm
-    4U,	// VDIVSDZrr
-    28U,	// VDIVSDrm
-    28U,	// VDIVSDrm_Int
-    4U,	// VDIVSDrr
-    4U,	// VDIVSDrr_Int
-    32U,	// VDIVSSZrm
-    4U,	// VDIVSSZrr
-    32U,	// VDIVSSrm
-    32U,	// VDIVSSrm_Int
-    4U,	// VDIVSSrr
-    4U,	// VDIVSSrr_Int
-    8364U,	// VDPPDrmi
-    8836U,	// VDPPDrri
-    8376U,	// VDPPSYrmi
-    8836U,	// VDPPSYrri
-    8364U,	// VDPPSrmi
-    8836U,	// VDPPSrri
+    112U,	// VCVTUDQ2PSZrrb
+    16U,	// VCVTUSI2SDZrm
+    8U,	// VCVTUSI2SDZrr
+    16U,	// VCVTUSI2SSZrm
+    8U,	// VCVTUSI2SSZrr
+    24U,	// VCVTUSI642SDZrm
+    8U,	// VCVTUSI642SDZrr
+    24U,	// VCVTUSI642SSZrm
+    8U,	// VCVTUSI642SSZrr
+    72U,	// VDIVPDYrm
+    8U,	// VDIVPDYrr
+    80U,	// VDIVPDZrm
+    568U,	// VDIVPDZrmb
+    8U,	// VDIVPDZrr
+    88U,	// VDIVPDrm
+    8U,	// VDIVPDrr
+    72U,	// VDIVPSYrm
+    8U,	// VDIVPSYrr
+    80U,	// VDIVPSZrm
+    832U,	// VDIVPSZrmb
+    8U,	// VDIVPSZrr
+    88U,	// VDIVPSrm
+    8U,	// VDIVPSrr
+    56U,	// VDIVSDZrm
+    8U,	// VDIVSDZrr
+    56U,	// VDIVSDrm
+    56U,	// VDIVSDrm_Int
+    8U,	// VDIVSDrr
+    8U,	// VDIVSDrr_Int
+    64U,	// VDIVSSZrm
+    8U,	// VDIVSSZrr
+    64U,	// VDIVSSrm
+    64U,	// VDIVSSrm_Int
+    8U,	// VDIVSSrr
+    8U,	// VDIVSSrr_Int
+    33112U,	// VDPPDrmi
+    35080U,	// VDPPDrri
+    33144U,	// VDPPSYrmi
+    35080U,	// VDPPSYrri
+    33112U,	// VDPPSrmi
+    35080U,	// VDPPSrri
     0U,	// VERRm
     0U,	// VERRr
     0U,	// VERWm
     0U,	// VERWr
     0U,	// VEXTRACTF128mr
-    4U,	// VEXTRACTF128rr
+    8U,	// VEXTRACTF128rr
     0U,	// VEXTRACTF32x4mr
-    4U,	// VEXTRACTF32x4rr
+    8U,	// VEXTRACTF32x4rr
     0U,	// VEXTRACTF64x4mr
-    4U,	// VEXTRACTF64x4rr
+    8U,	// VEXTRACTF64x4rr
     0U,	// VEXTRACTI128mr
-    4U,	// VEXTRACTI128rr
+    8U,	// VEXTRACTI128rr
     0U,	// VEXTRACTI32x4mr
-    4U,	// VEXTRACTI32x4rr
+    8U,	// VEXTRACTI32x4rr
     0U,	// VEXTRACTI64x4mr
-    4U,	// VEXTRACTI64x4rr
+    8U,	// VEXTRACTI64x4rr
     0U,	// VEXTRACTPSmr
-    4U,	// VEXTRACTPSrr
+    8U,	// VEXTRACTPSrr
     0U,	// VEXTRACTPSzmr
-    4U,	// VEXTRACTPSzrr
-    60U,	// VFMADD132PDZm
-    320U,	// VFMADD132PDZmb
-    60U,	// VFMADD132PSZm
-    452U,	// VFMADD132PSZmb
-    60U,	// VFMADD213PDZm
-    320U,	// VFMADD213PDZmb
-    20U,	// VFMADD213PDZr
-    60U,	// VFMADD213PSZm
-    452U,	// VFMADD213PSZmb
-    20U,	// VFMADD213PSZr
-    8364U,	// VFMADDPD4mr
-    8356U,	// VFMADDPD4mrY
-    9860U,	// VFMADDPD4rm
-    10372U,	// VFMADDPD4rmY
-    8836U,	// VFMADDPD4rr
-    8836U,	// VFMADDPD4rrY
-    8836U,	// VFMADDPD4rrY_REV
-    8836U,	// VFMADDPD4rr_REV
-    72U,	// VFMADDPDr132m
-    76U,	// VFMADDPDr132mY
-    20U,	// VFMADDPDr132r
-    20U,	// VFMADDPDr132rY
-    72U,	// VFMADDPDr213m
-    76U,	// VFMADDPDr213mY
-    20U,	// VFMADDPDr213r
-    20U,	// VFMADDPDr213rY
-    72U,	// VFMADDPDr231m
-    76U,	// VFMADDPDr231mY
-    20U,	// VFMADDPDr231r
-    20U,	// VFMADDPDr231rY
-    8364U,	// VFMADDPS4mr
-    8356U,	// VFMADDPS4mrY
-    9860U,	// VFMADDPS4rm
-    10372U,	// VFMADDPS4rmY
-    8836U,	// VFMADDPS4rr
-    8836U,	// VFMADDPS4rrY
-    8836U,	// VFMADDPS4rrY_REV
-    8836U,	// VFMADDPS4rr_REV
-    72U,	// VFMADDPSr132m
-    76U,	// VFMADDPSr132mY
-    20U,	// VFMADDPSr132r
-    20U,	// VFMADDPSr132rY
-    72U,	// VFMADDPSr213m
-    76U,	// VFMADDPSr213mY
-    20U,	// VFMADDPSr213r
-    20U,	// VFMADDPSr213rY
-    72U,	// VFMADDPSr231m
-    76U,	// VFMADDPSr231mY
-    20U,	// VFMADDPSr231r
-    20U,	// VFMADDPSr231rY
-    8348U,	// VFMADDSD4mr
-    8348U,	// VFMADDSD4mr_Int
-    2692U,	// VFMADDSD4rm
-    2692U,	// VFMADDSD4rm_Int
-    8836U,	// VFMADDSD4rr
-    8836U,	// VFMADDSD4rr_Int
-    8836U,	// VFMADDSD4rr_REV
-    72U,	// VFMADDSDZm
-    20U,	// VFMADDSDZr
-    64U,	// VFMADDSDr132m
-    20U,	// VFMADDSDr132r
-    64U,	// VFMADDSDr213m
-    64U,	// VFMADDSDr213m_Int
-    20U,	// VFMADDSDr213r
-    20U,	// VFMADDSDr213r_Int
-    64U,	// VFMADDSDr231m
-    20U,	// VFMADDSDr231r
-    8352U,	// VFMADDSS4mr
-    8352U,	// VFMADDSS4mr_Int
-    3204U,	// VFMADDSS4rm
-    3204U,	// VFMADDSS4rm_Int
-    8836U,	// VFMADDSS4rr
-    8836U,	// VFMADDSS4rr_Int
-    8836U,	// VFMADDSS4rr_REV
-    72U,	// VFMADDSSZm
-    20U,	// VFMADDSSZr
-    68U,	// VFMADDSSr132m
-    20U,	// VFMADDSSr132r
-    68U,	// VFMADDSSr213m
-    68U,	// VFMADDSSr213m_Int
-    20U,	// VFMADDSSr213r
-    20U,	// VFMADDSSr213r_Int
-    68U,	// VFMADDSSr231m
-    20U,	// VFMADDSSr231r
-    60U,	// VFMADDSUB132PDZm
-    320U,	// VFMADDSUB132PDZmb
-    60U,	// VFMADDSUB132PSZm
-    452U,	// VFMADDSUB132PSZmb
-    60U,	// VFMADDSUB213PDZm
-    320U,	// VFMADDSUB213PDZmb
-    20U,	// VFMADDSUB213PDZr
-    60U,	// VFMADDSUB213PSZm
-    452U,	// VFMADDSUB213PSZmb
-    20U,	// VFMADDSUB213PSZr
-    8364U,	// VFMADDSUBPD4mr
-    8356U,	// VFMADDSUBPD4mrY
-    9860U,	// VFMADDSUBPD4rm
-    10372U,	// VFMADDSUBPD4rmY
-    8836U,	// VFMADDSUBPD4rr
-    8836U,	// VFMADDSUBPD4rrY
-    8836U,	// VFMADDSUBPD4rrY_REV
-    8836U,	// VFMADDSUBPD4rr_REV
-    72U,	// VFMADDSUBPDr132m
-    76U,	// VFMADDSUBPDr132mY
-    20U,	// VFMADDSUBPDr132r
-    20U,	// VFMADDSUBPDr132rY
-    72U,	// VFMADDSUBPDr213m
-    76U,	// VFMADDSUBPDr213mY
-    20U,	// VFMADDSUBPDr213r
-    20U,	// VFMADDSUBPDr213rY
-    72U,	// VFMADDSUBPDr231m
-    76U,	// VFMADDSUBPDr231mY
-    20U,	// VFMADDSUBPDr231r
-    20U,	// VFMADDSUBPDr231rY
-    8364U,	// VFMADDSUBPS4mr
-    8356U,	// VFMADDSUBPS4mrY
-    9860U,	// VFMADDSUBPS4rm
-    10372U,	// VFMADDSUBPS4rmY
-    8836U,	// VFMADDSUBPS4rr
-    8836U,	// VFMADDSUBPS4rrY
-    8836U,	// VFMADDSUBPS4rrY_REV
-    8836U,	// VFMADDSUBPS4rr_REV
-    72U,	// VFMADDSUBPSr132m
-    76U,	// VFMADDSUBPSr132mY
-    20U,	// VFMADDSUBPSr132r
-    20U,	// VFMADDSUBPSr132rY
-    72U,	// VFMADDSUBPSr213m
-    76U,	// VFMADDSUBPSr213mY
-    20U,	// VFMADDSUBPSr213r
-    20U,	// VFMADDSUBPSr213rY
-    72U,	// VFMADDSUBPSr231m
-    76U,	// VFMADDSUBPSr231mY
-    20U,	// VFMADDSUBPSr231r
-    20U,	// VFMADDSUBPSr231rY
-    60U,	// VFMSUB132PDZm
-    320U,	// VFMSUB132PDZmb
-    60U,	// VFMSUB132PSZm
-    452U,	// VFMSUB132PSZmb
-    60U,	// VFMSUB213PDZm
-    320U,	// VFMSUB213PDZmb
-    20U,	// VFMSUB213PDZr
-    60U,	// VFMSUB213PSZm
-    452U,	// VFMSUB213PSZmb
-    20U,	// VFMSUB213PSZr
-    60U,	// VFMSUBADD132PDZm
-    320U,	// VFMSUBADD132PDZmb
-    60U,	// VFMSUBADD132PSZm
-    452U,	// VFMSUBADD132PSZmb
-    60U,	// VFMSUBADD213PDZm
-    320U,	// VFMSUBADD213PDZmb
-    20U,	// VFMSUBADD213PDZr
-    60U,	// VFMSUBADD213PSZm
-    452U,	// VFMSUBADD213PSZmb
-    20U,	// VFMSUBADD213PSZr
-    8364U,	// VFMSUBADDPD4mr
-    8356U,	// VFMSUBADDPD4mrY
-    9860U,	// VFMSUBADDPD4rm
-    10372U,	// VFMSUBADDPD4rmY
-    8836U,	// VFMSUBADDPD4rr
-    8836U,	// VFMSUBADDPD4rrY
-    8836U,	// VFMSUBADDPD4rrY_REV
-    8836U,	// VFMSUBADDPD4rr_REV
-    72U,	// VFMSUBADDPDr132m
-    76U,	// VFMSUBADDPDr132mY
-    20U,	// VFMSUBADDPDr132r
-    20U,	// VFMSUBADDPDr132rY
-    72U,	// VFMSUBADDPDr213m
-    76U,	// VFMSUBADDPDr213mY
-    20U,	// VFMSUBADDPDr213r
-    20U,	// VFMSUBADDPDr213rY
-    72U,	// VFMSUBADDPDr231m
-    76U,	// VFMSUBADDPDr231mY
-    20U,	// VFMSUBADDPDr231r
-    20U,	// VFMSUBADDPDr231rY
-    8364U,	// VFMSUBADDPS4mr
-    8356U,	// VFMSUBADDPS4mrY
-    9860U,	// VFMSUBADDPS4rm
-    10372U,	// VFMSUBADDPS4rmY
-    8836U,	// VFMSUBADDPS4rr
-    8836U,	// VFMSUBADDPS4rrY
-    8836U,	// VFMSUBADDPS4rrY_REV
-    8836U,	// VFMSUBADDPS4rr_REV
-    72U,	// VFMSUBADDPSr132m
-    76U,	// VFMSUBADDPSr132mY
-    20U,	// VFMSUBADDPSr132r
-    20U,	// VFMSUBADDPSr132rY
-    72U,	// VFMSUBADDPSr213m
-    76U,	// VFMSUBADDPSr213mY
-    20U,	// VFMSUBADDPSr213r
-    20U,	// VFMSUBADDPSr213rY
-    72U,	// VFMSUBADDPSr231m
-    76U,	// VFMSUBADDPSr231mY
-    20U,	// VFMSUBADDPSr231r
-    20U,	// VFMSUBADDPSr231rY
-    8364U,	// VFMSUBPD4mr
-    8356U,	// VFMSUBPD4mrY
-    9860U,	// VFMSUBPD4rm
-    10372U,	// VFMSUBPD4rmY
-    8836U,	// VFMSUBPD4rr
-    8836U,	// VFMSUBPD4rrY
-    8836U,	// VFMSUBPD4rrY_REV
-    8836U,	// VFMSUBPD4rr_REV
-    72U,	// VFMSUBPDr132m
-    76U,	// VFMSUBPDr132mY
-    20U,	// VFMSUBPDr132r
-    20U,	// VFMSUBPDr132rY
-    72U,	// VFMSUBPDr213m
-    76U,	// VFMSUBPDr213mY
-    20U,	// VFMSUBPDr213r
-    20U,	// VFMSUBPDr213rY
-    72U,	// VFMSUBPDr231m
-    76U,	// VFMSUBPDr231mY
-    20U,	// VFMSUBPDr231r
-    20U,	// VFMSUBPDr231rY
-    8364U,	// VFMSUBPS4mr
-    8356U,	// VFMSUBPS4mrY
-    9860U,	// VFMSUBPS4rm
-    10372U,	// VFMSUBPS4rmY
-    8836U,	// VFMSUBPS4rr
-    8836U,	// VFMSUBPS4rrY
-    8836U,	// VFMSUBPS4rrY_REV
-    8836U,	// VFMSUBPS4rr_REV
-    72U,	// VFMSUBPSr132m
-    76U,	// VFMSUBPSr132mY
-    20U,	// VFMSUBPSr132r
-    20U,	// VFMSUBPSr132rY
-    72U,	// VFMSUBPSr213m
-    76U,	// VFMSUBPSr213mY
-    20U,	// VFMSUBPSr213r
-    20U,	// VFMSUBPSr213rY
-    72U,	// VFMSUBPSr231m
-    76U,	// VFMSUBPSr231mY
-    20U,	// VFMSUBPSr231r
-    20U,	// VFMSUBPSr231rY
-    8348U,	// VFMSUBSD4mr
-    8348U,	// VFMSUBSD4mr_Int
-    2692U,	// VFMSUBSD4rm
-    2692U,	// VFMSUBSD4rm_Int
-    8836U,	// VFMSUBSD4rr
-    8836U,	// VFMSUBSD4rr_Int
-    8836U,	// VFMSUBSD4rr_REV
-    72U,	// VFMSUBSDZm
-    20U,	// VFMSUBSDZr
-    64U,	// VFMSUBSDr132m
-    20U,	// VFMSUBSDr132r
-    64U,	// VFMSUBSDr213m
-    64U,	// VFMSUBSDr213m_Int
-    20U,	// VFMSUBSDr213r
-    20U,	// VFMSUBSDr213r_Int
-    64U,	// VFMSUBSDr231m
-    20U,	// VFMSUBSDr231r
-    8352U,	// VFMSUBSS4mr
-    8352U,	// VFMSUBSS4mr_Int
-    3204U,	// VFMSUBSS4rm
-    3204U,	// VFMSUBSS4rm_Int
-    8836U,	// VFMSUBSS4rr
-    8836U,	// VFMSUBSS4rr_Int
-    8836U,	// VFMSUBSS4rr_REV
-    72U,	// VFMSUBSSZm
-    20U,	// VFMSUBSSZr
-    68U,	// VFMSUBSSr132m
-    20U,	// VFMSUBSSr132r
-    68U,	// VFMSUBSSr213m
-    68U,	// VFMSUBSSr213m_Int
-    20U,	// VFMSUBSSr213r
-    20U,	// VFMSUBSSr213r_Int
-    68U,	// VFMSUBSSr231m
-    20U,	// VFMSUBSSr231r
-    60U,	// VFNMADD132PDZm
-    320U,	// VFNMADD132PDZmb
-    60U,	// VFNMADD132PSZm
-    452U,	// VFNMADD132PSZmb
-    60U,	// VFNMADD213PDZm
-    320U,	// VFNMADD213PDZmb
-    20U,	// VFNMADD213PDZr
-    60U,	// VFNMADD213PSZm
-    452U,	// VFNMADD213PSZmb
-    20U,	// VFNMADD213PSZr
-    8364U,	// VFNMADDPD4mr
-    8356U,	// VFNMADDPD4mrY
-    9860U,	// VFNMADDPD4rm
-    10372U,	// VFNMADDPD4rmY
-    8836U,	// VFNMADDPD4rr
-    8836U,	// VFNMADDPD4rrY
-    8836U,	// VFNMADDPD4rrY_REV
-    8836U,	// VFNMADDPD4rr_REV
-    72U,	// VFNMADDPDr132m
-    76U,	// VFNMADDPDr132mY
-    20U,	// VFNMADDPDr132r
-    20U,	// VFNMADDPDr132rY
-    72U,	// VFNMADDPDr213m
-    76U,	// VFNMADDPDr213mY
-    20U,	// VFNMADDPDr213r
-    20U,	// VFNMADDPDr213rY
-    72U,	// VFNMADDPDr231m
-    76U,	// VFNMADDPDr231mY
-    20U,	// VFNMADDPDr231r
-    20U,	// VFNMADDPDr231rY
-    8364U,	// VFNMADDPS4mr
-    8356U,	// VFNMADDPS4mrY
-    9860U,	// VFNMADDPS4rm
-    10372U,	// VFNMADDPS4rmY
-    8836U,	// VFNMADDPS4rr
-    8836U,	// VFNMADDPS4rrY
-    8836U,	// VFNMADDPS4rrY_REV
-    8836U,	// VFNMADDPS4rr_REV
-    72U,	// VFNMADDPSr132m
-    76U,	// VFNMADDPSr132mY
-    20U,	// VFNMADDPSr132r
-    20U,	// VFNMADDPSr132rY
-    72U,	// VFNMADDPSr213m
-    76U,	// VFNMADDPSr213mY
-    20U,	// VFNMADDPSr213r
-    20U,	// VFNMADDPSr213rY
-    72U,	// VFNMADDPSr231m
-    76U,	// VFNMADDPSr231mY
-    20U,	// VFNMADDPSr231r
-    20U,	// VFNMADDPSr231rY
-    8348U,	// VFNMADDSD4mr
-    8348U,	// VFNMADDSD4mr_Int
-    2692U,	// VFNMADDSD4rm
-    2692U,	// VFNMADDSD4rm_Int
-    8836U,	// VFNMADDSD4rr
-    8836U,	// VFNMADDSD4rr_Int
-    8836U,	// VFNMADDSD4rr_REV
-    72U,	// VFNMADDSDZm
-    20U,	// VFNMADDSDZr
-    64U,	// VFNMADDSDr132m
-    20U,	// VFNMADDSDr132r
-    64U,	// VFNMADDSDr213m
-    64U,	// VFNMADDSDr213m_Int
-    20U,	// VFNMADDSDr213r
-    20U,	// VFNMADDSDr213r_Int
-    64U,	// VFNMADDSDr231m
-    20U,	// VFNMADDSDr231r
-    8352U,	// VFNMADDSS4mr
-    8352U,	// VFNMADDSS4mr_Int
-    3204U,	// VFNMADDSS4rm
-    3204U,	// VFNMADDSS4rm_Int
-    8836U,	// VFNMADDSS4rr
-    8836U,	// VFNMADDSS4rr_Int
-    8836U,	// VFNMADDSS4rr_REV
-    72U,	// VFNMADDSSZm
-    20U,	// VFNMADDSSZr
-    68U,	// VFNMADDSSr132m
-    20U,	// VFNMADDSSr132r
-    68U,	// VFNMADDSSr213m
-    68U,	// VFNMADDSSr213m_Int
-    20U,	// VFNMADDSSr213r
-    20U,	// VFNMADDSSr213r_Int
-    68U,	// VFNMADDSSr231m
-    20U,	// VFNMADDSSr231r
-    60U,	// VFNMSUB132PDZm
-    320U,	// VFNMSUB132PDZmb
-    60U,	// VFNMSUB132PSZm
-    452U,	// VFNMSUB132PSZmb
-    60U,	// VFNMSUB213PDZm
-    320U,	// VFNMSUB213PDZmb
-    20U,	// VFNMSUB213PDZr
-    60U,	// VFNMSUB213PSZm
-    452U,	// VFNMSUB213PSZmb
-    20U,	// VFNMSUB213PSZr
-    8364U,	// VFNMSUBPD4mr
-    8356U,	// VFNMSUBPD4mrY
-    9860U,	// VFNMSUBPD4rm
-    10372U,	// VFNMSUBPD4rmY
-    8836U,	// VFNMSUBPD4rr
-    8836U,	// VFNMSUBPD4rrY
-    8836U,	// VFNMSUBPD4rrY_REV
-    8836U,	// VFNMSUBPD4rr_REV
-    72U,	// VFNMSUBPDr132m
-    76U,	// VFNMSUBPDr132mY
-    20U,	// VFNMSUBPDr132r
-    20U,	// VFNMSUBPDr132rY
-    72U,	// VFNMSUBPDr213m
-    76U,	// VFNMSUBPDr213mY
-    20U,	// VFNMSUBPDr213r
-    20U,	// VFNMSUBPDr213rY
-    72U,	// VFNMSUBPDr231m
-    76U,	// VFNMSUBPDr231mY
-    20U,	// VFNMSUBPDr231r
-    20U,	// VFNMSUBPDr231rY
-    8364U,	// VFNMSUBPS4mr
-    8356U,	// VFNMSUBPS4mrY
-    9860U,	// VFNMSUBPS4rm
-    10372U,	// VFNMSUBPS4rmY
-    8836U,	// VFNMSUBPS4rr
-    8836U,	// VFNMSUBPS4rrY
-    8836U,	// VFNMSUBPS4rrY_REV
-    8836U,	// VFNMSUBPS4rr_REV
-    72U,	// VFNMSUBPSr132m
-    76U,	// VFNMSUBPSr132mY
-    20U,	// VFNMSUBPSr132r
-    20U,	// VFNMSUBPSr132rY
-    72U,	// VFNMSUBPSr213m
-    76U,	// VFNMSUBPSr213mY
-    20U,	// VFNMSUBPSr213r
-    20U,	// VFNMSUBPSr213rY
-    72U,	// VFNMSUBPSr231m
-    76U,	// VFNMSUBPSr231mY
-    20U,	// VFNMSUBPSr231r
-    20U,	// VFNMSUBPSr231rY
-    8348U,	// VFNMSUBSD4mr
-    8348U,	// VFNMSUBSD4mr_Int
-    2692U,	// VFNMSUBSD4rm
-    2692U,	// VFNMSUBSD4rm_Int
-    8836U,	// VFNMSUBSD4rr
-    8836U,	// VFNMSUBSD4rr_Int
-    8836U,	// VFNMSUBSD4rr_REV
-    72U,	// VFNMSUBSDZm
-    20U,	// VFNMSUBSDZr
-    64U,	// VFNMSUBSDr132m
-    20U,	// VFNMSUBSDr132r
-    64U,	// VFNMSUBSDr213m
-    64U,	// VFNMSUBSDr213m_Int
-    20U,	// VFNMSUBSDr213r
-    20U,	// VFNMSUBSDr213r_Int
-    64U,	// VFNMSUBSDr231m
-    20U,	// VFNMSUBSDr231r
-    8352U,	// VFNMSUBSS4mr
-    8352U,	// VFNMSUBSS4mr_Int
-    3204U,	// VFNMSUBSS4rm
-    3204U,	// VFNMSUBSS4rm_Int
-    8836U,	// VFNMSUBSS4rr
-    8836U,	// VFNMSUBSS4rr_Int
-    8836U,	// VFNMSUBSS4rr_REV
-    72U,	// VFNMSUBSSZm
-    20U,	// VFNMSUBSSZr
-    68U,	// VFNMSUBSSr132m
-    20U,	// VFNMSUBSSr132r
-    68U,	// VFNMSUBSSr213m
-    68U,	// VFNMSUBSSr213m_Int
-    20U,	// VFNMSUBSSr213r
-    20U,	// VFNMSUBSSr213r_Int
-    68U,	// VFNMSUBSSr231m
-    20U,	// VFNMSUBSSr231r
+    8U,	// VEXTRACTPSzrr
+    128U,	// VFMADD132PDZm
+    648U,	// VFMADD132PDZmb
+    128U,	// VFMADD132PSZm
+    912U,	// VFMADD132PSZmb
+    128U,	// VFMADD213PDZm
+    648U,	// VFMADD213PDZmb
+    40U,	// VFMADD213PDZr
+    128U,	// VFMADD213PSZm
+    912U,	// VFMADD213PSZmb
+    40U,	// VFMADD213PSZr
+    33112U,	// VFMADDPD4mr
+    33096U,	// VFMADDPD4mrY
+    39176U,	// VFMADDPD4rm
+    41224U,	// VFMADDPD4rmY
+    35080U,	// VFMADDPD4rr
+    35080U,	// VFMADDPD4rrY
+    35080U,	// VFMADDPD4rrY_REV
+    35080U,	// VFMADDPD4rr_REV
+    152U,	// VFMADDPDr132m
+    160U,	// VFMADDPDr132mY
+    40U,	// VFMADDPDr132r
+    40U,	// VFMADDPDr132rY
+    152U,	// VFMADDPDr213m
+    160U,	// VFMADDPDr213mY
+    40U,	// VFMADDPDr213r
+    40U,	// VFMADDPDr213rY
+    152U,	// VFMADDPDr231m
+    160U,	// VFMADDPDr231mY
+    40U,	// VFMADDPDr231r
+    40U,	// VFMADDPDr231rY
+    33112U,	// VFMADDPS4mr
+    33096U,	// VFMADDPS4mrY
+    39176U,	// VFMADDPS4rm
+    41224U,	// VFMADDPS4rmY
+    35080U,	// VFMADDPS4rr
+    35080U,	// VFMADDPS4rrY
+    35080U,	// VFMADDPS4rrY_REV
+    35080U,	// VFMADDPS4rr_REV
+    152U,	// VFMADDPSr132m
+    160U,	// VFMADDPSr132mY
+    40U,	// VFMADDPSr132r
+    40U,	// VFMADDPSr132rY
+    152U,	// VFMADDPSr213m
+    160U,	// VFMADDPSr213mY
+    40U,	// VFMADDPSr213r
+    40U,	// VFMADDPSr213rY
+    152U,	// VFMADDPSr231m
+    160U,	// VFMADDPSr231mY
+    40U,	// VFMADDPSr231r
+    40U,	// VFMADDPSr231rY
+    33080U,	// VFMADDSD4mr
+    33080U,	// VFMADDSD4mr_Int
+    10504U,	// VFMADDSD4rm
+    10504U,	// VFMADDSD4rm_Int
+    35080U,	// VFMADDSD4rr
+    35080U,	// VFMADDSD4rr_Int
+    35080U,	// VFMADDSD4rr_REV
+    152U,	// VFMADDSDZm
+    40U,	// VFMADDSDZr
+    136U,	// VFMADDSDr132m
+    40U,	// VFMADDSDr132r
+    136U,	// VFMADDSDr213m
+    40U,	// VFMADDSDr213r
+    136U,	// VFMADDSDr231m
+    40U,	// VFMADDSDr231r
+    33088U,	// VFMADDSS4mr
+    33088U,	// VFMADDSS4mr_Int
+    12552U,	// VFMADDSS4rm
+    12552U,	// VFMADDSS4rm_Int
+    35080U,	// VFMADDSS4rr
+    35080U,	// VFMADDSS4rr_Int
+    35080U,	// VFMADDSS4rr_REV
+    152U,	// VFMADDSSZm
+    40U,	// VFMADDSSZr
+    144U,	// VFMADDSSr132m
+    40U,	// VFMADDSSr132r
+    144U,	// VFMADDSSr213m
+    40U,	// VFMADDSSr213r
+    144U,	// VFMADDSSr231m
+    40U,	// VFMADDSSr231r
+    128U,	// VFMADDSUB132PDZm
+    648U,	// VFMADDSUB132PDZmb
+    128U,	// VFMADDSUB132PSZm
+    912U,	// VFMADDSUB132PSZmb
+    128U,	// VFMADDSUB213PDZm
+    648U,	// VFMADDSUB213PDZmb
+    40U,	// VFMADDSUB213PDZr
+    128U,	// VFMADDSUB213PSZm
+    912U,	// VFMADDSUB213PSZmb
+    40U,	// VFMADDSUB213PSZr
+    33112U,	// VFMADDSUBPD4mr
+    33096U,	// VFMADDSUBPD4mrY
+    39176U,	// VFMADDSUBPD4rm
+    41224U,	// VFMADDSUBPD4rmY
+    35080U,	// VFMADDSUBPD4rr
+    35080U,	// VFMADDSUBPD4rrY
+    35080U,	// VFMADDSUBPD4rrY_REV
+    35080U,	// VFMADDSUBPD4rr_REV
+    152U,	// VFMADDSUBPDr132m
+    160U,	// VFMADDSUBPDr132mY
+    40U,	// VFMADDSUBPDr132r
+    40U,	// VFMADDSUBPDr132rY
+    152U,	// VFMADDSUBPDr213m
+    160U,	// VFMADDSUBPDr213mY
+    40U,	// VFMADDSUBPDr213r
+    40U,	// VFMADDSUBPDr213rY
+    152U,	// VFMADDSUBPDr231m
+    160U,	// VFMADDSUBPDr231mY
+    40U,	// VFMADDSUBPDr231r
+    40U,	// VFMADDSUBPDr231rY
+    33112U,	// VFMADDSUBPS4mr
+    33096U,	// VFMADDSUBPS4mrY
+    39176U,	// VFMADDSUBPS4rm
+    41224U,	// VFMADDSUBPS4rmY
+    35080U,	// VFMADDSUBPS4rr
+    35080U,	// VFMADDSUBPS4rrY
+    35080U,	// VFMADDSUBPS4rrY_REV
+    35080U,	// VFMADDSUBPS4rr_REV
+    152U,	// VFMADDSUBPSr132m
+    160U,	// VFMADDSUBPSr132mY
+    40U,	// VFMADDSUBPSr132r
+    40U,	// VFMADDSUBPSr132rY
+    152U,	// VFMADDSUBPSr213m
+    160U,	// VFMADDSUBPSr213mY
+    40U,	// VFMADDSUBPSr213r
+    40U,	// VFMADDSUBPSr213rY
+    152U,	// VFMADDSUBPSr231m
+    160U,	// VFMADDSUBPSr231mY
+    40U,	// VFMADDSUBPSr231r
+    40U,	// VFMADDSUBPSr231rY
+    128U,	// VFMSUB132PDZm
+    648U,	// VFMSUB132PDZmb
+    128U,	// VFMSUB132PSZm
+    912U,	// VFMSUB132PSZmb
+    128U,	// VFMSUB213PDZm
+    648U,	// VFMSUB213PDZmb
+    40U,	// VFMSUB213PDZr
+    128U,	// VFMSUB213PSZm
+    912U,	// VFMSUB213PSZmb
+    40U,	// VFMSUB213PSZr
+    128U,	// VFMSUBADD132PDZm
+    648U,	// VFMSUBADD132PDZmb
+    128U,	// VFMSUBADD132PSZm
+    912U,	// VFMSUBADD132PSZmb
+    128U,	// VFMSUBADD213PDZm
+    648U,	// VFMSUBADD213PDZmb
+    40U,	// VFMSUBADD213PDZr
+    128U,	// VFMSUBADD213PSZm
+    912U,	// VFMSUBADD213PSZmb
+    40U,	// VFMSUBADD213PSZr
+    33112U,	// VFMSUBADDPD4mr
+    33096U,	// VFMSUBADDPD4mrY
+    39176U,	// VFMSUBADDPD4rm
+    41224U,	// VFMSUBADDPD4rmY
+    35080U,	// VFMSUBADDPD4rr
+    35080U,	// VFMSUBADDPD4rrY
+    35080U,	// VFMSUBADDPD4rrY_REV
+    35080U,	// VFMSUBADDPD4rr_REV
+    152U,	// VFMSUBADDPDr132m
+    160U,	// VFMSUBADDPDr132mY
+    40U,	// VFMSUBADDPDr132r
+    40U,	// VFMSUBADDPDr132rY
+    152U,	// VFMSUBADDPDr213m
+    160U,	// VFMSUBADDPDr213mY
+    40U,	// VFMSUBADDPDr213r
+    40U,	// VFMSUBADDPDr213rY
+    152U,	// VFMSUBADDPDr231m
+    160U,	// VFMSUBADDPDr231mY
+    40U,	// VFMSUBADDPDr231r
+    40U,	// VFMSUBADDPDr231rY
+    33112U,	// VFMSUBADDPS4mr
+    33096U,	// VFMSUBADDPS4mrY
+    39176U,	// VFMSUBADDPS4rm
+    41224U,	// VFMSUBADDPS4rmY
+    35080U,	// VFMSUBADDPS4rr
+    35080U,	// VFMSUBADDPS4rrY
+    35080U,	// VFMSUBADDPS4rrY_REV
+    35080U,	// VFMSUBADDPS4rr_REV
+    152U,	// VFMSUBADDPSr132m
+    160U,	// VFMSUBADDPSr132mY
+    40U,	// VFMSUBADDPSr132r
+    40U,	// VFMSUBADDPSr132rY
+    152U,	// VFMSUBADDPSr213m
+    160U,	// VFMSUBADDPSr213mY
+    40U,	// VFMSUBADDPSr213r
+    40U,	// VFMSUBADDPSr213rY
+    152U,	// VFMSUBADDPSr231m
+    160U,	// VFMSUBADDPSr231mY
+    40U,	// VFMSUBADDPSr231r
+    40U,	// VFMSUBADDPSr231rY
+    33112U,	// VFMSUBPD4mr
+    33096U,	// VFMSUBPD4mrY
+    39176U,	// VFMSUBPD4rm
+    41224U,	// VFMSUBPD4rmY
+    35080U,	// VFMSUBPD4rr
+    35080U,	// VFMSUBPD4rrY
+    35080U,	// VFMSUBPD4rrY_REV
+    35080U,	// VFMSUBPD4rr_REV
+    152U,	// VFMSUBPDr132m
+    160U,	// VFMSUBPDr132mY
+    40U,	// VFMSUBPDr132r
+    40U,	// VFMSUBPDr132rY
+    152U,	// VFMSUBPDr213m
+    160U,	// VFMSUBPDr213mY
+    40U,	// VFMSUBPDr213r
+    40U,	// VFMSUBPDr213rY
+    152U,	// VFMSUBPDr231m
+    160U,	// VFMSUBPDr231mY
+    40U,	// VFMSUBPDr231r
+    40U,	// VFMSUBPDr231rY
+    33112U,	// VFMSUBPS4mr
+    33096U,	// VFMSUBPS4mrY
+    39176U,	// VFMSUBPS4rm
+    41224U,	// VFMSUBPS4rmY
+    35080U,	// VFMSUBPS4rr
+    35080U,	// VFMSUBPS4rrY
+    35080U,	// VFMSUBPS4rrY_REV
+    35080U,	// VFMSUBPS4rr_REV
+    152U,	// VFMSUBPSr132m
+    160U,	// VFMSUBPSr132mY
+    40U,	// VFMSUBPSr132r
+    40U,	// VFMSUBPSr132rY
+    152U,	// VFMSUBPSr213m
+    160U,	// VFMSUBPSr213mY
+    40U,	// VFMSUBPSr213r
+    40U,	// VFMSUBPSr213rY
+    152U,	// VFMSUBPSr231m
+    160U,	// VFMSUBPSr231mY
+    40U,	// VFMSUBPSr231r
+    40U,	// VFMSUBPSr231rY
+    33080U,	// VFMSUBSD4mr
+    33080U,	// VFMSUBSD4mr_Int
+    10504U,	// VFMSUBSD4rm
+    10504U,	// VFMSUBSD4rm_Int
+    35080U,	// VFMSUBSD4rr
+    35080U,	// VFMSUBSD4rr_Int
+    35080U,	// VFMSUBSD4rr_REV
+    152U,	// VFMSUBSDZm
+    40U,	// VFMSUBSDZr
+    136U,	// VFMSUBSDr132m
+    40U,	// VFMSUBSDr132r
+    136U,	// VFMSUBSDr213m
+    40U,	// VFMSUBSDr213r
+    136U,	// VFMSUBSDr231m
+    40U,	// VFMSUBSDr231r
+    33088U,	// VFMSUBSS4mr
+    33088U,	// VFMSUBSS4mr_Int
+    12552U,	// VFMSUBSS4rm
+    12552U,	// VFMSUBSS4rm_Int
+    35080U,	// VFMSUBSS4rr
+    35080U,	// VFMSUBSS4rr_Int
+    35080U,	// VFMSUBSS4rr_REV
+    152U,	// VFMSUBSSZm
+    40U,	// VFMSUBSSZr
+    144U,	// VFMSUBSSr132m
+    40U,	// VFMSUBSSr132r
+    144U,	// VFMSUBSSr213m
+    40U,	// VFMSUBSSr213r
+    144U,	// VFMSUBSSr231m
+    40U,	// VFMSUBSSr231r
+    128U,	// VFNMADD132PDZm
+    648U,	// VFNMADD132PDZmb
+    128U,	// VFNMADD132PSZm
+    912U,	// VFNMADD132PSZmb
+    128U,	// VFNMADD213PDZm
+    648U,	// VFNMADD213PDZmb
+    40U,	// VFNMADD213PDZr
+    128U,	// VFNMADD213PSZm
+    912U,	// VFNMADD213PSZmb
+    40U,	// VFNMADD213PSZr
+    33112U,	// VFNMADDPD4mr
+    33096U,	// VFNMADDPD4mrY
+    39176U,	// VFNMADDPD4rm
+    41224U,	// VFNMADDPD4rmY
+    35080U,	// VFNMADDPD4rr
+    35080U,	// VFNMADDPD4rrY
+    35080U,	// VFNMADDPD4rrY_REV
+    35080U,	// VFNMADDPD4rr_REV
+    152U,	// VFNMADDPDr132m
+    160U,	// VFNMADDPDr132mY
+    40U,	// VFNMADDPDr132r
+    40U,	// VFNMADDPDr132rY
+    152U,	// VFNMADDPDr213m
+    160U,	// VFNMADDPDr213mY
+    40U,	// VFNMADDPDr213r
+    40U,	// VFNMADDPDr213rY
+    152U,	// VFNMADDPDr231m
+    160U,	// VFNMADDPDr231mY
+    40U,	// VFNMADDPDr231r
+    40U,	// VFNMADDPDr231rY
+    33112U,	// VFNMADDPS4mr
+    33096U,	// VFNMADDPS4mrY
+    39176U,	// VFNMADDPS4rm
+    41224U,	// VFNMADDPS4rmY
+    35080U,	// VFNMADDPS4rr
+    35080U,	// VFNMADDPS4rrY
+    35080U,	// VFNMADDPS4rrY_REV
+    35080U,	// VFNMADDPS4rr_REV
+    152U,	// VFNMADDPSr132m
+    160U,	// VFNMADDPSr132mY
+    40U,	// VFNMADDPSr132r
+    40U,	// VFNMADDPSr132rY
+    152U,	// VFNMADDPSr213m
+    160U,	// VFNMADDPSr213mY
+    40U,	// VFNMADDPSr213r
+    40U,	// VFNMADDPSr213rY
+    152U,	// VFNMADDPSr231m
+    160U,	// VFNMADDPSr231mY
+    40U,	// VFNMADDPSr231r
+    40U,	// VFNMADDPSr231rY
+    33080U,	// VFNMADDSD4mr
+    33080U,	// VFNMADDSD4mr_Int
+    10504U,	// VFNMADDSD4rm
+    10504U,	// VFNMADDSD4rm_Int
+    35080U,	// VFNMADDSD4rr
+    35080U,	// VFNMADDSD4rr_Int
+    35080U,	// VFNMADDSD4rr_REV
+    152U,	// VFNMADDSDZm
+    40U,	// VFNMADDSDZr
+    136U,	// VFNMADDSDr132m
+    40U,	// VFNMADDSDr132r
+    136U,	// VFNMADDSDr213m
+    40U,	// VFNMADDSDr213r
+    136U,	// VFNMADDSDr231m
+    40U,	// VFNMADDSDr231r
+    33088U,	// VFNMADDSS4mr
+    33088U,	// VFNMADDSS4mr_Int
+    12552U,	// VFNMADDSS4rm
+    12552U,	// VFNMADDSS4rm_Int
+    35080U,	// VFNMADDSS4rr
+    35080U,	// VFNMADDSS4rr_Int
+    35080U,	// VFNMADDSS4rr_REV
+    152U,	// VFNMADDSSZm
+    40U,	// VFNMADDSSZr
+    144U,	// VFNMADDSSr132m
+    40U,	// VFNMADDSSr132r
+    144U,	// VFNMADDSSr213m
+    40U,	// VFNMADDSSr213r
+    144U,	// VFNMADDSSr231m
+    40U,	// VFNMADDSSr231r
+    128U,	// VFNMSUB132PDZm
+    648U,	// VFNMSUB132PDZmb
+    128U,	// VFNMSUB132PSZm
+    912U,	// VFNMSUB132PSZmb
+    128U,	// VFNMSUB213PDZm
+    648U,	// VFNMSUB213PDZmb
+    40U,	// VFNMSUB213PDZr
+    128U,	// VFNMSUB213PSZm
+    912U,	// VFNMSUB213PSZmb
+    40U,	// VFNMSUB213PSZr
+    33112U,	// VFNMSUBPD4mr
+    33096U,	// VFNMSUBPD4mrY
+    39176U,	// VFNMSUBPD4rm
+    41224U,	// VFNMSUBPD4rmY
+    35080U,	// VFNMSUBPD4rr
+    35080U,	// VFNMSUBPD4rrY
+    35080U,	// VFNMSUBPD4rrY_REV
+    35080U,	// VFNMSUBPD4rr_REV
+    152U,	// VFNMSUBPDr132m
+    160U,	// VFNMSUBPDr132mY
+    40U,	// VFNMSUBPDr132r
+    40U,	// VFNMSUBPDr132rY
+    152U,	// VFNMSUBPDr213m
+    160U,	// VFNMSUBPDr213mY
+    40U,	// VFNMSUBPDr213r
+    40U,	// VFNMSUBPDr213rY
+    152U,	// VFNMSUBPDr231m
+    160U,	// VFNMSUBPDr231mY
+    40U,	// VFNMSUBPDr231r
+    40U,	// VFNMSUBPDr231rY
+    33112U,	// VFNMSUBPS4mr
+    33096U,	// VFNMSUBPS4mrY
+    39176U,	// VFNMSUBPS4rm
+    41224U,	// VFNMSUBPS4rmY
+    35080U,	// VFNMSUBPS4rr
+    35080U,	// VFNMSUBPS4rrY
+    35080U,	// VFNMSUBPS4rrY_REV
+    35080U,	// VFNMSUBPS4rr_REV
+    152U,	// VFNMSUBPSr132m
+    160U,	// VFNMSUBPSr132mY
+    40U,	// VFNMSUBPSr132r
+    40U,	// VFNMSUBPSr132rY
+    152U,	// VFNMSUBPSr213m
+    160U,	// VFNMSUBPSr213mY
+    40U,	// VFNMSUBPSr213r
+    40U,	// VFNMSUBPSr213rY
+    152U,	// VFNMSUBPSr231m
+    160U,	// VFNMSUBPSr231mY
+    40U,	// VFNMSUBPSr231r
+    40U,	// VFNMSUBPSr231rY
+    33080U,	// VFNMSUBSD4mr
+    33080U,	// VFNMSUBSD4mr_Int
+    10504U,	// VFNMSUBSD4rm
+    10504U,	// VFNMSUBSD4rm_Int
+    35080U,	// VFNMSUBSD4rr
+    35080U,	// VFNMSUBSD4rr_Int
+    35080U,	// VFNMSUBSD4rr_REV
+    152U,	// VFNMSUBSDZm
+    40U,	// VFNMSUBSDZr
+    136U,	// VFNMSUBSDr132m
+    40U,	// VFNMSUBSDr132r
+    136U,	// VFNMSUBSDr213m
+    40U,	// VFNMSUBSDr213r
+    136U,	// VFNMSUBSDr231m
+    40U,	// VFNMSUBSDr231r
+    33088U,	// VFNMSUBSS4mr
+    33088U,	// VFNMSUBSS4mr_Int
+    12552U,	// VFNMSUBSS4rm
+    12552U,	// VFNMSUBSS4rm_Int
+    35080U,	// VFNMSUBSS4rr
+    35080U,	// VFNMSUBSS4rr_Int
+    35080U,	// VFNMSUBSS4rr_REV
+    152U,	// VFNMSUBSSZm
+    40U,	// VFNMSUBSSZr
+    144U,	// VFNMSUBSSr132m
+    40U,	// VFNMSUBSSr132r
+    144U,	// VFNMSUBSSr213m
+    40U,	// VFNMSUBSSr213r
+    144U,	// VFNMSUBSSr231m
+    40U,	// VFNMSUBSSr231r
     0U,	// VFRCZPDrm
     0U,	// VFRCZPDrmY
     0U,	// VFRCZPDrr
@@ -9000,158 +9072,158 @@
     0U,	// VFRCZSDrr
     0U,	// VFRCZSSrm
     0U,	// VFRCZSSrr
-    44U,	// VFsANDNPDrm
-    4U,	// VFsANDNPDrr
-    44U,	// VFsANDNPSrm
-    4U,	// VFsANDNPSrr
-    44U,	// VFsANDPDrm
-    4U,	// VFsANDPDrr
-    44U,	// VFsANDPSrm
-    4U,	// VFsANDPSrr
-    44U,	// VFsORPDrm
-    4U,	// VFsORPDrr
-    44U,	// VFsORPSrm
-    4U,	// VFsORPSrr
-    44U,	// VFsXORPDrm
-    4U,	// VFsXORPDrr
-    44U,	// VFsXORPSrm
-    4U,	// VFsXORPSrr
+    88U,	// VFsANDNPDrm
+    8U,	// VFsANDNPDrr
+    88U,	// VFsANDNPSrm
+    8U,	// VFsANDNPSrr
+    88U,	// VFsANDPDrm
+    8U,	// VFsANDPDrr
+    88U,	// VFsANDPSrm
+    8U,	// VFsANDPSrr
+    88U,	// VFsORPDrm
+    8U,	// VFsORPDrr
+    88U,	// VFsORPSrm
+    8U,	// VFsORPSrr
+    88U,	// VFsXORPDrm
+    8U,	// VFsXORPDrr
+    88U,	// VFsXORPSrm
+    8U,	// VFsXORPSrr
     0U,	// VGATHERDPDYrm
-    1U,	// VGATHERDPDZrm
+    3U,	// VGATHERDPDZrm
     0U,	// VGATHERDPDrm
     0U,	// VGATHERDPSYrm
-    1U,	// VGATHERDPSZrm
+    3U,	// VGATHERDPSZrm
     0U,	// VGATHERDPSrm
     0U,	// VGATHERQPDYrm
-    1U,	// VGATHERQPDZrm
+    3U,	// VGATHERQPDZrm
     0U,	// VGATHERQPDrm
     0U,	// VGATHERQPSYrm
-    1U,	// VGATHERQPSZrm
+    3U,	// VGATHERQPSZrm
     0U,	// VGATHERQPSrm
-    36U,	// VHADDPDYrm
-    4U,	// VHADDPDYrr
-    44U,	// VHADDPDrm
-    4U,	// VHADDPDrr
-    36U,	// VHADDPSYrm
-    4U,	// VHADDPSYrr
-    44U,	// VHADDPSrm
-    4U,	// VHADDPSrr
-    36U,	// VHSUBPDYrm
-    4U,	// VHSUBPDYrr
-    44U,	// VHSUBPDrm
-    4U,	// VHSUBPDrr
-    36U,	// VHSUBPSYrm
-    4U,	// VHSUBPSYrr
-    44U,	// VHSUBPSrm
-    4U,	// VHSUBPSrr
-    8364U,	// VINSERTF128rm
-    8836U,	// VINSERTF128rr
-    8364U,	// VINSERTF32x4rm
-    8836U,	// VINSERTF32x4rr
-    8376U,	// VINSERTF64x4rm
-    8836U,	// VINSERTF64x4rr
-    8368U,	// VINSERTI128rm
-    8836U,	// VINSERTI128rr
-    8368U,	// VINSERTI32x4rm
-    8836U,	// VINSERTI32x4rr
-    8376U,	// VINSERTI64x4rm
-    8836U,	// VINSERTI64x4rr
-    8352U,	// VINSERTPSrm
-    8836U,	// VINSERTPSrr
-    8352U,	// VINSERTPSzrm
-    8836U,	// VINSERTPSzrr
+    72U,	// VHADDPDYrm
+    8U,	// VHADDPDYrr
+    88U,	// VHADDPDrm
+    8U,	// VHADDPDrr
+    72U,	// VHADDPSYrm
+    8U,	// VHADDPSYrr
+    88U,	// VHADDPSrm
+    8U,	// VHADDPSrr
+    72U,	// VHSUBPDYrm
+    8U,	// VHSUBPDYrr
+    88U,	// VHSUBPDrm
+    8U,	// VHSUBPDrr
+    72U,	// VHSUBPSYrm
+    8U,	// VHSUBPSYrr
+    88U,	// VHSUBPSrm
+    8U,	// VHSUBPSrr
+    33112U,	// VINSERTF128rm
+    35080U,	// VINSERTF128rr
+    33112U,	// VINSERTF32x4rm
+    35080U,	// VINSERTF32x4rr
+    33144U,	// VINSERTF64x4rm
+    35080U,	// VINSERTF64x4rr
+    33120U,	// VINSERTI128rm
+    35080U,	// VINSERTI128rr
+    33120U,	// VINSERTI32x4rm
+    35080U,	// VINSERTI32x4rr
+    33144U,	// VINSERTI64x4rm
+    35080U,	// VINSERTI64x4rr
+    33088U,	// VINSERTPSrm
+    35080U,	// VINSERTPSrr
+    33088U,	// VINSERTPSzrm
+    35080U,	// VINSERTPSzrr
     0U,	// VLDDQUYrm
     0U,	// VLDDQUrm
     0U,	// VLDMXCSR
     0U,	// VMASKMOVDQU
     0U,	// VMASKMOVDQU64
     0U,	// VMASKMOVPDYmr
-    36U,	// VMASKMOVPDYrm
+    72U,	// VMASKMOVPDYrm
     0U,	// VMASKMOVPDmr
-    44U,	// VMASKMOVPDrm
+    88U,	// VMASKMOVPDrm
     0U,	// VMASKMOVPSYmr
-    36U,	// VMASKMOVPSYrm
+    72U,	// VMASKMOVPSYrm
     0U,	// VMASKMOVPSmr
-    44U,	// VMASKMOVPSrm
-    36U,	// VMAXCPDYrm
-    4U,	// VMAXCPDYrr
-    44U,	// VMAXCPDrm
-    4U,	// VMAXCPDrr
-    36U,	// VMAXCPSYrm
-    4U,	// VMAXCPSYrr
-    44U,	// VMAXCPSrm
-    4U,	// VMAXCPSrr
-    28U,	// VMAXCSDrm
-    4U,	// VMAXCSDrr
-    32U,	// VMAXCSSrm
-    4U,	// VMAXCSSrr
-    36U,	// VMAXPDYrm
-    4U,	// VMAXPDYrr
-    40U,	// VMAXPDZrm
-    284U,	// VMAXPDZrmb
-    4U,	// VMAXPDZrr
-    44U,	// VMAXPDrm
-    4U,	// VMAXPDrr
-    36U,	// VMAXPSYrm
-    4U,	// VMAXPSYrr
-    40U,	// VMAXPSZrm
-    416U,	// VMAXPSZrmb
-    4U,	// VMAXPSZrr
-    44U,	// VMAXPSrm
-    4U,	// VMAXPSrr
-    28U,	// VMAXSDZrm
-    4U,	// VMAXSDZrr
-    28U,	// VMAXSDrm
-    28U,	// VMAXSDrm_Int
-    4U,	// VMAXSDrr
-    4U,	// VMAXSDrr_Int
-    32U,	// VMAXSSZrm
-    4U,	// VMAXSSZrr
-    32U,	// VMAXSSrm
-    32U,	// VMAXSSrm_Int
-    4U,	// VMAXSSrr
-    4U,	// VMAXSSrr_Int
+    88U,	// VMASKMOVPSrm
+    72U,	// VMAXCPDYrm
+    8U,	// VMAXCPDYrr
+    88U,	// VMAXCPDrm
+    8U,	// VMAXCPDrr
+    72U,	// VMAXCPSYrm
+    8U,	// VMAXCPSYrr
+    88U,	// VMAXCPSrm
+    8U,	// VMAXCPSrr
+    56U,	// VMAXCSDrm
+    8U,	// VMAXCSDrr
+    64U,	// VMAXCSSrm
+    8U,	// VMAXCSSrr
+    72U,	// VMAXPDYrm
+    8U,	// VMAXPDYrr
+    80U,	// VMAXPDZrm
+    568U,	// VMAXPDZrmb
+    8U,	// VMAXPDZrr
+    88U,	// VMAXPDrm
+    8U,	// VMAXPDrr
+    72U,	// VMAXPSYrm
+    8U,	// VMAXPSYrr
+    80U,	// VMAXPSZrm
+    832U,	// VMAXPSZrmb
+    8U,	// VMAXPSZrr
+    88U,	// VMAXPSrm
+    8U,	// VMAXPSrr
+    56U,	// VMAXSDZrm
+    8U,	// VMAXSDZrr
+    56U,	// VMAXSDrm
+    56U,	// VMAXSDrm_Int
+    8U,	// VMAXSDrr
+    8U,	// VMAXSDrr_Int
+    64U,	// VMAXSSZrm
+    8U,	// VMAXSSZrr
+    64U,	// VMAXSSrm
+    64U,	// VMAXSSrm_Int
+    8U,	// VMAXSSrr
+    8U,	// VMAXSSrr_Int
     0U,	// VMCALL
     0U,	// VMCLEARm
     0U,	// VMFUNC
-    36U,	// VMINCPDYrm
-    4U,	// VMINCPDYrr
-    44U,	// VMINCPDrm
-    4U,	// VMINCPDrr
-    36U,	// VMINCPSYrm
-    4U,	// VMINCPSYrr
-    44U,	// VMINCPSrm
-    4U,	// VMINCPSrr
-    28U,	// VMINCSDrm
-    4U,	// VMINCSDrr
-    32U,	// VMINCSSrm
-    4U,	// VMINCSSrr
-    36U,	// VMINPDYrm
-    4U,	// VMINPDYrr
-    40U,	// VMINPDZrm
-    284U,	// VMINPDZrmb
-    4U,	// VMINPDZrr
-    44U,	// VMINPDrm
-    4U,	// VMINPDrr
-    36U,	// VMINPSYrm
-    4U,	// VMINPSYrr
-    40U,	// VMINPSZrm
-    416U,	// VMINPSZrmb
-    4U,	// VMINPSZrr
-    44U,	// VMINPSrm
-    4U,	// VMINPSrr
-    28U,	// VMINSDZrm
-    4U,	// VMINSDZrr
-    28U,	// VMINSDrm
-    28U,	// VMINSDrm_Int
-    4U,	// VMINSDrr
-    4U,	// VMINSDrr_Int
-    32U,	// VMINSSZrm
-    4U,	// VMINSSZrr
-    32U,	// VMINSSrm
-    32U,	// VMINSSrm_Int
-    4U,	// VMINSSrr
-    4U,	// VMINSSrr_Int
+    72U,	// VMINCPDYrm
+    8U,	// VMINCPDYrr
+    88U,	// VMINCPDrm
+    8U,	// VMINCPDrr
+    72U,	// VMINCPSYrm
+    8U,	// VMINCPSYrr
+    88U,	// VMINCPSrm
+    8U,	// VMINCPSrr
+    56U,	// VMINCSDrm
+    8U,	// VMINCSDrr
+    64U,	// VMINCSSrm
+    8U,	// VMINCSSrr
+    72U,	// VMINPDYrm
+    8U,	// VMINPDYrr
+    80U,	// VMINPDZrm
+    568U,	// VMINPDZrmb
+    8U,	// VMINPDZrr
+    88U,	// VMINPDrm
+    8U,	// VMINPDrr
+    72U,	// VMINPSYrm
+    8U,	// VMINPSYrr
+    80U,	// VMINPSZrm
+    832U,	// VMINPSZrmb
+    8U,	// VMINPSZrr
+    88U,	// VMINPSrm
+    8U,	// VMINPSrr
+    56U,	// VMINSDZrm
+    8U,	// VMINSDZrr
+    56U,	// VMINSDrm
+    56U,	// VMINSDrm_Int
+    8U,	// VMINSDrr
+    8U,	// VMINSDrr_Int
+    64U,	// VMINSSZrm
+    8U,	// VMINSSZrr
+    64U,	// VMINSSrm
+    64U,	// VMINSSrm_Int
+    8U,	// VMINSSrr
+    8U,	// VMINSSrr_Int
     0U,	// VMLAUNCH
     0U,	// VMLOAD32
     0U,	// VMLOAD64
@@ -9167,9 +9239,9 @@
     0U,	// VMOVAPDYrr_REV
     0U,	// VMOVAPDZmr
     0U,	// VMOVAPDZrm
-    61U,	// VMOVAPDZrmk
+    130U,	// VMOVAPDZrmk
     0U,	// VMOVAPDZrr
-    21U,	// VMOVAPDZrrk
+    42U,	// VMOVAPDZrrk
     0U,	// VMOVAPDmr
     0U,	// VMOVAPDrm
     0U,	// VMOVAPDrr
@@ -9180,9 +9252,9 @@
     0U,	// VMOVAPSYrr_REV
     0U,	// VMOVAPSZmr
     0U,	// VMOVAPSZrm
-    61U,	// VMOVAPSZrmk
+    130U,	// VMOVAPSZrmk
     0U,	// VMOVAPSZrr
-    21U,	// VMOVAPSZrrk
+    42U,	// VMOVAPSZrrk
     0U,	// VMOVAPSmr
     0U,	// VMOVAPSrm
     0U,	// VMOVAPSrr
@@ -9217,14 +9289,16 @@
     0U,	// VMOVDQArr_REV
     0U,	// VMOVDQU32mr
     0U,	// VMOVDQU32rm
-    81U,	// VMOVDQU32rmk
+    170U,	// VMOVDQU32rmk
     0U,	// VMOVDQU32rr
-    21U,	// VMOVDQU32rrk
+    42U,	// VMOVDQU32rrk
+    12U,	// VMOVDQU32rrkz
     0U,	// VMOVDQU64mr
     0U,	// VMOVDQU64rm
-    81U,	// VMOVDQU64rmk
+    170U,	// VMOVDQU64rmk
     0U,	// VMOVDQU64rr
-    21U,	// VMOVDQU64rrk
+    42U,	// VMOVDQU64rrk
+    12U,	// VMOVDQU64rrkz
     0U,	// VMOVDQUYmr
     0U,	// VMOVDQUYrm
     0U,	// VMOVDQUYrr
@@ -9233,19 +9307,18 @@
     0U,	// VMOVDQUrm
     0U,	// VMOVDQUrr
     0U,	// VMOVDQUrr_REV
-    4U,	// VMOVHLPSZrr
-    4U,	// VMOVHLPSrr
+    8U,	// VMOVHLPSZrr
+    8U,	// VMOVHLPSrr
     0U,	// VMOVHPDmr
-    28U,	// VMOVHPDrm
+    56U,	// VMOVHPDrm
     0U,	// VMOVHPSmr
-    28U,	// VMOVHPSrm
-    4U,	// VMOVLHPSZrr
-    4U,	// VMOVLHPSrr
+    56U,	// VMOVHPSrm
+    8U,	// VMOVLHPSZrr
+    8U,	// VMOVLHPSrr
     0U,	// VMOVLPDmr
-    28U,	// VMOVLPDrm
+    56U,	// VMOVLPDrm
     0U,	// VMOVLPSmr
-    28U,	// VMOVLPSrm
-    0U,	// VMOVLQ128mr
+    56U,	// VMOVLPSrm
     0U,	// VMOVMSKPDYrr
     0U,	// VMOVMSKPDrr
     0U,	// VMOVMSKPSYrr
@@ -9263,6 +9336,7 @@
     0U,	// VMOVPDI2DImr
     0U,	// VMOVPDI2DIrr
     0U,	// VMOVPQI2QImr
+    0U,	// VMOVPQI2QIrr
     0U,	// VMOVPQIto64Zmr
     0U,	// VMOVPQIto64Zrr
     0U,	// VMOVPQIto64rr
@@ -9270,12 +9344,13 @@
     0U,	// VMOVQI2PQIrm
     0U,	// VMOVSDZmr
     0U,	// VMOVSDZrm
-    4U,	// VMOVSDZrr
-    4U,	// VMOVSDZrr_REV
+    8U,	// VMOVSDZrr
+    8U,	// VMOVSDZrr_REV
+    14634U,	// VMOVSDZrrk
     0U,	// VMOVSDmr
     0U,	// VMOVSDrm
-    4U,	// VMOVSDrr
-    4U,	// VMOVSDrr_REV
+    8U,	// VMOVSDrr
+    8U,	// VMOVSDrr_REV
     0U,	// VMOVSDto64Zmr
     0U,	// VMOVSDto64Zrr
     0U,	// VMOVSDto64mr
@@ -9298,21 +9373,22 @@
     0U,	// VMOVSS2DIrr
     0U,	// VMOVSSZmr
     0U,	// VMOVSSZrm
-    4U,	// VMOVSSZrr
-    4U,	// VMOVSSZrr_REV
+    8U,	// VMOVSSZrr
+    8U,	// VMOVSSZrr_REV
+    14634U,	// VMOVSSZrrk
     0U,	// VMOVSSmr
     0U,	// VMOVSSrm
-    4U,	// VMOVSSrr
-    4U,	// VMOVSSrr_REV
+    8U,	// VMOVSSrr
+    8U,	// VMOVSSrr_REV
     0U,	// VMOVUPDYmr
     0U,	// VMOVUPDYrm
     0U,	// VMOVUPDYrr
     0U,	// VMOVUPDYrr_REV
     0U,	// VMOVUPDZmr
     0U,	// VMOVUPDZrm
-    61U,	// VMOVUPDZrmk
+    130U,	// VMOVUPDZrmk
     0U,	// VMOVUPDZrr
-    21U,	// VMOVUPDZrrk
+    42U,	// VMOVUPDZrrk
     0U,	// VMOVUPDmr
     0U,	// VMOVUPDrm
     0U,	// VMOVUPDrr
@@ -9323,9 +9399,9 @@
     0U,	// VMOVUPSYrr_REV
     0U,	// VMOVUPSZmr
     0U,	// VMOVUPSZrm
-    61U,	// VMOVUPSZrmk
+    130U,	// VMOVUPSZrmk
     0U,	// VMOVUPSZrr
-    21U,	// VMOVUPSZrrk
+    42U,	// VMOVUPSZrrk
     0U,	// VMOVUPSmr
     0U,	// VMOVUPSrm
     0U,	// VMOVUPSrr
@@ -9336,10 +9412,10 @@
     0U,	// VMOVZPQILo2PQIrr
     0U,	// VMOVZQI2PQIrm
     0U,	// VMOVZQI2PQIrr
-    8376U,	// VMPSADBWYrmi
-    8836U,	// VMPSADBWYrri
-    8368U,	// VMPSADBWrmi
-    8836U,	// VMPSADBWrri
+    33144U,	// VMPSADBWYrmi
+    35080U,	// VMPSADBWYrri
+    33120U,	// VMPSADBWrmi
+    35080U,	// VMPSADBWrri
     0U,	// VMPTRLDm
     0U,	// VMPTRSTm
     0U,	// VMREAD32rm
@@ -9351,46 +9427,46 @@
     0U,	// VMRUN64
     0U,	// VMSAVE32
     0U,	// VMSAVE64
-    36U,	// VMULPDYrm
-    4U,	// VMULPDYrr
-    40U,	// VMULPDZrm
-    284U,	// VMULPDZrmb
-    4U,	// VMULPDZrr
-    44U,	// VMULPDrm
-    4U,	// VMULPDrr
-    36U,	// VMULPSYrm
-    4U,	// VMULPSYrr
-    40U,	// VMULPSZrm
-    416U,	// VMULPSZrmb
-    4U,	// VMULPSZrr
-    44U,	// VMULPSrm
-    4U,	// VMULPSrr
-    28U,	// VMULSDZrm
-    4U,	// VMULSDZrr
-    28U,	// VMULSDrm
-    28U,	// VMULSDrm_Int
-    4U,	// VMULSDrr
-    4U,	// VMULSDrr_Int
-    32U,	// VMULSSZrm
-    4U,	// VMULSSZrr
-    32U,	// VMULSSrm
-    32U,	// VMULSSrm_Int
-    4U,	// VMULSSrr
-    4U,	// VMULSSrr_Int
+    72U,	// VMULPDYrm
+    8U,	// VMULPDYrr
+    80U,	// VMULPDZrm
+    568U,	// VMULPDZrmb
+    8U,	// VMULPDZrr
+    88U,	// VMULPDrm
+    8U,	// VMULPDrr
+    72U,	// VMULPSYrm
+    8U,	// VMULPSYrr
+    80U,	// VMULPSZrm
+    832U,	// VMULPSZrmb
+    8U,	// VMULPSZrr
+    88U,	// VMULPSrm
+    8U,	// VMULPSrr
+    56U,	// VMULSDZrm
+    8U,	// VMULSDZrr
+    56U,	// VMULSDrm
+    56U,	// VMULSDrm_Int
+    8U,	// VMULSDrr
+    8U,	// VMULSDrr_Int
+    64U,	// VMULSSZrm
+    8U,	// VMULSSZrr
+    64U,	// VMULSSrm
+    64U,	// VMULSSrm_Int
+    8U,	// VMULSSrr
+    8U,	// VMULSSrr_Int
     0U,	// VMWRITE32rm
     0U,	// VMWRITE32rr
     0U,	// VMWRITE64rm
     0U,	// VMWRITE64rr
     0U,	// VMXOFF
     0U,	// VMXON
-    36U,	// VORPDYrm
-    4U,	// VORPDYrr
-    44U,	// VORPDrm
-    4U,	// VORPDrr
-    36U,	// VORPSYrm
-    4U,	// VORPSYrr
-    44U,	// VORPSrm
-    4U,	// VORPSrr
+    72U,	// VORPDYrm
+    8U,	// VORPDYrr
+    88U,	// VORPDrm
+    8U,	// VORPDrr
+    72U,	// VORPSYrm
+    8U,	// VORPSYrr
+    88U,	// VORPSrm
+    8U,	// VORPSrr
     0U,	// VPABSBrm128
     0U,	// VPABSBrm256
     0U,	// VPABSBrr128
@@ -9407,123 +9483,119 @@
     0U,	// VPABSWrm256
     0U,	// VPABSWrr128
     0U,	// VPABSWrr256
-    56U,	// VPACKSSDWYrm
-    4U,	// VPACKSSDWYrr
-    48U,	// VPACKSSDWrm
-    4U,	// VPACKSSDWrr
-    56U,	// VPACKSSWBYrm
-    4U,	// VPACKSSWBYrr
-    48U,	// VPACKSSWBrm
-    4U,	// VPACKSSWBrr
-    56U,	// VPACKUSDWYrm
-    4U,	// VPACKUSDWYrr
-    48U,	// VPACKUSDWrm
-    4U,	// VPACKUSDWrr
-    56U,	// VPACKUSWBYrm
-    4U,	// VPACKUSWBYrr
-    48U,	// VPACKUSWBrm
-    4U,	// VPACKUSWBrr
-    56U,	// VPADDBYrm
-    4U,	// VPADDBYrr
-    48U,	// VPADDBrm
-    4U,	// VPADDBrr
-    56U,	// VPADDDYrm
-    4U,	// VPADDDYrr
-    52U,	// VPADDDZrm
-    392U,	// VPADDDZrmb
-    4U,	// VPADDDZrr
-    48U,	// VPADDDrm
-    4U,	// VPADDDrr
-    56U,	// VPADDQYrm
-    4U,	// VPADDQYrr
-    52U,	// VPADDQZrm
-    268U,	// VPADDQZrmb
-    4U,	// VPADDQZrr
-    48U,	// VPADDQrm
-    4U,	// VPADDQrr
-    56U,	// VPADDSBYrm
-    4U,	// VPADDSBYrr
-    48U,	// VPADDSBrm
-    4U,	// VPADDSBrr
-    56U,	// VPADDSWYrm
-    4U,	// VPADDSWYrr
-    48U,	// VPADDSWrm
-    4U,	// VPADDSWrr
-    56U,	// VPADDUSBYrm
-    4U,	// VPADDUSBYrr
-    48U,	// VPADDUSBrm
-    4U,	// VPADDUSBrr
-    56U,	// VPADDUSWYrm
-    4U,	// VPADDUSWYrr
-    48U,	// VPADDUSWrm
-    4U,	// VPADDUSWrr
-    56U,	// VPADDWYrm
-    4U,	// VPADDWYrr
-    48U,	// VPADDWrm
-    4U,	// VPADDWrr
-    8368U,	// VPALIGNR128rm
-    8836U,	// VPALIGNR128rr
-    8376U,	// VPALIGNR256rm
-    8836U,	// VPALIGNR256rr
-    52U,	// VPANDDZrm
-    392U,	// VPANDDZrmb
-    4U,	// VPANDDZrr
-    52U,	// VPANDNDZrm
-    392U,	// VPANDNDZrmb
-    4U,	// VPANDNDZrr
-    52U,	// VPANDNQZrm
-    268U,	// VPANDNQZrmb
-    4U,	// VPANDNQZrr
-    56U,	// VPANDNYrm
-    4U,	// VPANDNYrr
-    48U,	// VPANDNrm
-    4U,	// VPANDNrr
-    52U,	// VPANDQZrm
-    268U,	// VPANDQZrmb
-    4U,	// VPANDQZrr
-    56U,	// VPANDYrm
-    4U,	// VPANDYrr
-    48U,	// VPANDrm
-    4U,	// VPANDrr
-    56U,	// VPAVGBYrm
-    4U,	// VPAVGBYrr
-    48U,	// VPAVGBrm
-    4U,	// VPAVGBrr
-    56U,	// VPAVGWYrm
-    4U,	// VPAVGWYrr
-    48U,	// VPAVGWrm
-    4U,	// VPAVGWrr
-    8376U,	// VPBLENDDYrmi
-    8836U,	// VPBLENDDYrri
-    8368U,	// VPBLENDDrmi
-    8836U,	// VPBLENDDrri
-    1156U,	// VPBLENDMDZrm
-    1156U,	// VPBLENDMDZrm_Int
-    8837U,	// VPBLENDMDZrr
-    8837U,	// VPBLENDMDZrr_Int
-    1156U,	// VPBLENDMQZrm
-    1156U,	// VPBLENDMQZrm_Int
-    8837U,	// VPBLENDMQZrr
-    8837U,	// VPBLENDMQZrr_Int
-    8376U,	// VPBLENDVBYrm
-    8836U,	// VPBLENDVBYrr
-    8368U,	// VPBLENDVBrm
-    8836U,	// VPBLENDVBrr
-    8376U,	// VPBLENDWYrmi
-    8836U,	// VPBLENDWYrri
-    8368U,	// VPBLENDWrmi
-    8836U,	// VPBLENDWrri
+    120U,	// VPACKSSDWYrm
+    8U,	// VPACKSSDWYrr
+    96U,	// VPACKSSDWrm
+    8U,	// VPACKSSDWrr
+    120U,	// VPACKSSWBYrm
+    8U,	// VPACKSSWBYrr
+    96U,	// VPACKSSWBrm
+    8U,	// VPACKSSWBrr
+    120U,	// VPACKUSDWYrm
+    8U,	// VPACKUSDWYrr
+    96U,	// VPACKUSDWrm
+    8U,	// VPACKUSDWrr
+    120U,	// VPACKUSWBYrm
+    8U,	// VPACKUSWBYrr
+    96U,	// VPACKUSWBrm
+    8U,	// VPACKUSWBrr
+    120U,	// VPADDBYrm
+    8U,	// VPADDBYrr
+    96U,	// VPADDBrm
+    8U,	// VPADDBrr
+    120U,	// VPADDDYrm
+    8U,	// VPADDDYrr
+    104U,	// VPADDDZrm
+    784U,	// VPADDDZrmb
+    8U,	// VPADDDZrr
+    96U,	// VPADDDrm
+    8U,	// VPADDDrr
+    120U,	// VPADDQYrm
+    8U,	// VPADDQYrr
+    104U,	// VPADDQZrm
+    536U,	// VPADDQZrmb
+    8U,	// VPADDQZrr
+    96U,	// VPADDQrm
+    8U,	// VPADDQrr
+    120U,	// VPADDSBYrm
+    8U,	// VPADDSBYrr
+    96U,	// VPADDSBrm
+    8U,	// VPADDSBrr
+    120U,	// VPADDSWYrm
+    8U,	// VPADDSWYrr
+    96U,	// VPADDSWrm
+    8U,	// VPADDSWrr
+    120U,	// VPADDUSBYrm
+    8U,	// VPADDUSBYrr
+    96U,	// VPADDUSBrm
+    8U,	// VPADDUSBrr
+    120U,	// VPADDUSWYrm
+    8U,	// VPADDUSWYrr
+    96U,	// VPADDUSWrm
+    8U,	// VPADDUSWrr
+    120U,	// VPADDWYrm
+    8U,	// VPADDWYrr
+    96U,	// VPADDWrm
+    8U,	// VPADDWrr
+    33120U,	// VPALIGNR128rm
+    35080U,	// VPALIGNR128rr
+    33144U,	// VPALIGNR256rm
+    35080U,	// VPALIGNR256rr
+    104U,	// VPANDDZrm
+    784U,	// VPANDDZrmb
+    8U,	// VPANDDZrr
+    104U,	// VPANDNDZrm
+    784U,	// VPANDNDZrmb
+    8U,	// VPANDNDZrr
+    104U,	// VPANDNQZrm
+    536U,	// VPANDNQZrmb
+    8U,	// VPANDNQZrr
+    120U,	// VPANDNYrm
+    8U,	// VPANDNYrr
+    96U,	// VPANDNrm
+    8U,	// VPANDNrr
+    104U,	// VPANDQZrm
+    536U,	// VPANDQZrmb
+    8U,	// VPANDQZrr
+    120U,	// VPANDYrm
+    8U,	// VPANDYrr
+    96U,	// VPANDrm
+    8U,	// VPANDrr
+    120U,	// VPAVGBYrm
+    8U,	// VPAVGBYrr
+    96U,	// VPAVGBrm
+    8U,	// VPAVGBrr
+    120U,	// VPAVGWYrm
+    8U,	// VPAVGWYrr
+    96U,	// VPAVGWrm
+    8U,	// VPAVGWrr
+    33144U,	// VPBLENDDYrmi
+    35080U,	// VPBLENDDYrri
+    33120U,	// VPBLENDDrmi
+    35080U,	// VPBLENDDrri
+    4362U,	// VPBLENDMDZrm
+    35082U,	// VPBLENDMDZrr
+    4362U,	// VPBLENDMQZrm
+    35082U,	// VPBLENDMQZrr
+    33144U,	// VPBLENDVBYrm
+    35080U,	// VPBLENDVBYrr
+    33120U,	// VPBLENDVBrm
+    35080U,	// VPBLENDVBrr
+    33144U,	// VPBLENDWYrmi
+    35080U,	// VPBLENDWYrri
+    33120U,	// VPBLENDWrmi
+    35080U,	// VPBLENDWrri
     0U,	// VPBROADCASTBYrm
     0U,	// VPBROADCASTBYrr
     0U,	// VPBROADCASTBrm
     0U,	// VPBROADCASTBrr
     0U,	// VPBROADCASTDYrm
     0U,	// VPBROADCASTDYrr
-    9U,	// VPBROADCASTDZkrm
-    5U,	// VPBROADCASTDZkrr
+    20U,	// VPBROADCASTDZkrm
+    12U,	// VPBROADCASTDZkrr
     0U,	// VPBROADCASTDZrm
     0U,	// VPBROADCASTDZrr
-    5U,	// VPBROADCASTDrZkrr
+    12U,	// VPBROADCASTDrZkrr
     0U,	// VPBROADCASTDrZrr
     0U,	// VPBROADCASTDrm
     0U,	// VPBROADCASTDrr
@@ -9531,11 +9603,11 @@
     0U,	// VPBROADCASTMW2Drr
     0U,	// VPBROADCASTQYrm
     0U,	// VPBROADCASTQYrr
-    13U,	// VPBROADCASTQZkrm
-    5U,	// VPBROADCASTQZkrr
+    28U,	// VPBROADCASTQZkrm
+    12U,	// VPBROADCASTQZkrr
     0U,	// VPBROADCASTQZrm
     0U,	// VPBROADCASTQZrr
-    5U,	// VPBROADCASTQrZkrr
+    12U,	// VPBROADCASTQrZkrr
     0U,	// VPBROADCASTQrZrr
     0U,	// VPBROADCASTQrm
     0U,	// VPBROADCASTQrr
@@ -9543,204 +9615,212 @@
     0U,	// VPBROADCASTWYrr
     0U,	// VPBROADCASTWrm
     0U,	// VPBROADCASTWrr
-    8368U,	// VPCLMULQDQrm
-    8836U,	// VPCLMULQDQrr
-    8368U,	// VPCMOVmr
-    8356U,	// VPCMOVmrY
-    3716U,	// VPCMOVrm
-    4228U,	// VPCMOVrmY
-    8836U,	// VPCMOVrr
-    8836U,	// VPCMOVrrY
+    33120U,	// VPCLMULQDQrm
+    35080U,	// VPCLMULQDQrr
+    33120U,	// VPCMOVmr
+    33096U,	// VPCMOVmrY
+    16648U,	// VPCMOVrm
+    18696U,	// VPCMOVrmY
+    35080U,	// VPCMOVrr
+    35080U,	// VPCMOVrrY
     0U,	// VPCMPDZrmi
-    8372U,	// VPCMPDZrmi_alt
+    33128U,	// VPCMPDZrmi_alt
     0U,	// VPCMPDZrri
-    8836U,	// VPCMPDZrri_alt
-    56U,	// VPCMPEQBYrm
-    4U,	// VPCMPEQBYrr
-    48U,	// VPCMPEQBrm
-    4U,	// VPCMPEQBrr
-    56U,	// VPCMPEQDYrm
-    4U,	// VPCMPEQDYrr
-    52U,	// VPCMPEQDZrm
-    4U,	// VPCMPEQDZrr
-    48U,	// VPCMPEQDrm
-    4U,	// VPCMPEQDrr
-    56U,	// VPCMPEQQYrm
-    4U,	// VPCMPEQQYrr
-    52U,	// VPCMPEQQZrm
-    4U,	// VPCMPEQQZrr
-    48U,	// VPCMPEQQrm
-    4U,	// VPCMPEQQrr
-    56U,	// VPCMPEQWYrm
-    4U,	// VPCMPEQWYrr
-    48U,	// VPCMPEQWrm
-    4U,	// VPCMPEQWrr
+    35080U,	// VPCMPDZrri_alt
+    120U,	// VPCMPEQBYrm
+    8U,	// VPCMPEQBYrr
+    96U,	// VPCMPEQBrm
+    8U,	// VPCMPEQBrr
+    120U,	// VPCMPEQDYrm
+    8U,	// VPCMPEQDYrr
+    104U,	// VPCMPEQDZrm
+    8U,	// VPCMPEQDZrr
+    96U,	// VPCMPEQDrm
+    8U,	// VPCMPEQDrr
+    120U,	// VPCMPEQQYrm
+    8U,	// VPCMPEQQYrr
+    104U,	// VPCMPEQQZrm
+    8U,	// VPCMPEQQZrr
+    96U,	// VPCMPEQQrm
+    8U,	// VPCMPEQQrr
+    120U,	// VPCMPEQWYrm
+    8U,	// VPCMPEQWYrr
+    96U,	// VPCMPEQWrm
+    8U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
     0U,	// VPCMPESTRIrm
-    4U,	// VPCMPESTRIrr
+    8U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
     0U,	// VPCMPESTRM128rm
-    4U,	// VPCMPESTRM128rr
-    56U,	// VPCMPGTBYrm
-    4U,	// VPCMPGTBYrr
-    48U,	// VPCMPGTBrm
-    4U,	// VPCMPGTBrr
-    56U,	// VPCMPGTDYrm
-    4U,	// VPCMPGTDYrr
-    52U,	// VPCMPGTDZrm
-    4U,	// VPCMPGTDZrr
-    48U,	// VPCMPGTDrm
-    4U,	// VPCMPGTDrr
-    56U,	// VPCMPGTQYrm
-    4U,	// VPCMPGTQYrr
-    52U,	// VPCMPGTQZrm
-    4U,	// VPCMPGTQZrr
-    48U,	// VPCMPGTQrm
-    4U,	// VPCMPGTQrr
-    56U,	// VPCMPGTWYrm
-    4U,	// VPCMPGTWYrr
-    48U,	// VPCMPGTWrm
-    4U,	// VPCMPGTWrr
+    8U,	// VPCMPESTRM128rr
+    120U,	// VPCMPGTBYrm
+    8U,	// VPCMPGTBYrr
+    96U,	// VPCMPGTBrm
+    8U,	// VPCMPGTBrr
+    120U,	// VPCMPGTDYrm
+    8U,	// VPCMPGTDYrr
+    104U,	// VPCMPGTDZrm
+    8U,	// VPCMPGTDZrr
+    96U,	// VPCMPGTDrm
+    8U,	// VPCMPGTDrr
+    120U,	// VPCMPGTQYrm
+    8U,	// VPCMPGTQYrr
+    104U,	// VPCMPGTQZrm
+    8U,	// VPCMPGTQZrr
+    96U,	// VPCMPGTQrm
+    8U,	// VPCMPGTQrr
+    120U,	// VPCMPGTWYrm
+    8U,	// VPCMPGTWYrr
+    96U,	// VPCMPGTWrm
+    8U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
     0U,	// VPCMPISTRIrm
-    4U,	// VPCMPISTRIrr
+    8U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
     0U,	// VPCMPISTRM128rm
-    4U,	// VPCMPISTRM128rr
+    8U,	// VPCMPISTRM128rr
     0U,	// VPCMPQZrmi
-    8372U,	// VPCMPQZrmi_alt
+    33128U,	// VPCMPQZrmi_alt
     0U,	// VPCMPQZrri
-    8836U,	// VPCMPQZrri_alt
+    35080U,	// VPCMPQZrri_alt
     0U,	// VPCMPUDZrmi
-    8372U,	// VPCMPUDZrmi_alt
+    33128U,	// VPCMPUDZrmi_alt
     0U,	// VPCMPUDZrri
-    8836U,	// VPCMPUDZrri_alt
+    35080U,	// VPCMPUDZrri_alt
     0U,	// VPCMPUQZrmi
-    8372U,	// VPCMPUQZrmi_alt
+    33128U,	// VPCMPUQZrmi_alt
     0U,	// VPCMPUQZrri
-    8836U,	// VPCMPUQZrri_alt
-    8368U,	// VPCOMBmi
-    8836U,	// VPCOMBri
-    8368U,	// VPCOMDmi
-    8836U,	// VPCOMDri
-    8368U,	// VPCOMQmi
-    8836U,	// VPCOMQri
-    8368U,	// VPCOMUBmi
-    8836U,	// VPCOMUBri
-    8368U,	// VPCOMUDmi
-    8836U,	// VPCOMUDri
-    8368U,	// VPCOMUQmi
-    8836U,	// VPCOMUQri
-    8368U,	// VPCOMUWmi
-    8836U,	// VPCOMUWri
-    8368U,	// VPCOMWmi
-    8836U,	// VPCOMWri
+    35080U,	// VPCMPUQZrri_alt
+    33120U,	// VPCOMBmi
+    35080U,	// VPCOMBri
+    33120U,	// VPCOMDmi
+    35080U,	// VPCOMDri
+    33120U,	// VPCOMQmi
+    35080U,	// VPCOMQri
+    33120U,	// VPCOMUBmi
+    35080U,	// VPCOMUBri
+    33120U,	// VPCOMUDmi
+    35080U,	// VPCOMUDri
+    33120U,	// VPCOMUQmi
+    35080U,	// VPCOMUQri
+    33120U,	// VPCOMUWmi
+    35080U,	// VPCOMUWri
+    33120U,	// VPCOMWmi
+    35080U,	// VPCOMWri
     0U,	// VPCONFLICTDrm
-    2U,	// VPCONFLICTDrmb
-    85U,	// VPCONFLICTDrmbk
-    393U,	// VPCONFLICTDrmbkz
-    81U,	// VPCONFLICTDrmk
-    53U,	// VPCONFLICTDrmkz
+    4U,	// VPCONFLICTDrmb
+    178U,	// VPCONFLICTDrmbk
+    788U,	// VPCONFLICTDrmbkz
+    170U,	// VPCONFLICTDrmk
+    108U,	// VPCONFLICTDrmkz
     0U,	// VPCONFLICTDrr
-    21U,	// VPCONFLICTDrrk
-    5U,	// VPCONFLICTDrrkz
+    42U,	// VPCONFLICTDrrk
+    12U,	// VPCONFLICTDrrkz
     0U,	// VPCONFLICTQrm
-    2U,	// VPCONFLICTQrmb
-    89U,	// VPCONFLICTQrmbk
-    269U,	// VPCONFLICTQrmbkz
-    81U,	// VPCONFLICTQrmk
-    53U,	// VPCONFLICTQrmkz
+    5U,	// VPCONFLICTQrmb
+    186U,	// VPCONFLICTQrmbk
+    540U,	// VPCONFLICTQrmbkz
+    170U,	// VPCONFLICTQrmk
+    108U,	// VPCONFLICTQrmkz
     0U,	// VPCONFLICTQrr
-    21U,	// VPCONFLICTQrrk
-    5U,	// VPCONFLICTQrrkz
-    8356U,	// VPERM2F128rm
-    8836U,	// VPERM2F128rr
-    8356U,	// VPERM2I128rm
-    8836U,	// VPERM2I128rr
-    56U,	// VPERMDYrm
-    4U,	// VPERMDYrr
-    52U,	// VPERMDZrm
-    4U,	// VPERMDZrr
-    80U,	// VPERMI2Drm
-    20U,	// VPERMI2Drr
-    80U,	// VPERMI2PDrm
-    20U,	// VPERMI2PDrr
-    80U,	// VPERMI2PSrm
-    20U,	// VPERMI2PSrr
-    80U,	// VPERMI2Qrm
-    20U,	// VPERMI2Qrr
-    172U,	// VPERMIL2PDmr
-    164U,	// VPERMIL2PDmrY
-    1668U,	// VPERMIL2PDrm
-    2180U,	// VPERMIL2PDrmY
-    17028U,	// VPERMIL2PDrr
-    17028U,	// VPERMIL2PDrrY
-    172U,	// VPERMIL2PSmr
-    164U,	// VPERMIL2PSmrY
-    1668U,	// VPERMIL2PSrm
-    2180U,	// VPERMIL2PSrmY
-    17028U,	// VPERMIL2PSrr
-    17028U,	// VPERMIL2PSrrY
+    42U,	// VPCONFLICTQrrk
+    12U,	// VPCONFLICTQrrkz
+    33096U,	// VPERM2F128rm
+    35080U,	// VPERM2F128rr
+    33096U,	// VPERM2I128rm
+    35080U,	// VPERM2I128rr
+    120U,	// VPERMDYrm
+    8U,	// VPERMDYrr
+    104U,	// VPERMDZrm
+    8U,	// VPERMDZrr
+    168U,	// VPERMI2Drm
+    40U,	// VPERMI2Drr
+    168U,	// VPERMI2PDrm
+    40U,	// VPERMI2PDrr
+    168U,	// VPERMI2PSrm
+    40U,	// VPERMI2PSrr
+    168U,	// VPERMI2Qrm
+    40U,	// VPERMI2Qrr
+    344U,	// VPERMIL2PDmr
+    328U,	// VPERMIL2PDmrY
+    6408U,	// VPERMIL2PDrm
+    8456U,	// VPERMIL2PDrmY
+    67848U,	// VPERMIL2PDrr
+    67848U,	// VPERMIL2PDrrY
+    344U,	// VPERMIL2PSmr
+    328U,	// VPERMIL2PSmrY
+    6408U,	// VPERMIL2PSrm
+    8456U,	// VPERMIL2PSrmY
+    67848U,	// VPERMIL2PSrr
+    67848U,	// VPERMIL2PSrrY
     0U,	// VPERMILPDYmi
-    4U,	// VPERMILPDYri
-    56U,	// VPERMILPDYrm
-    4U,	// VPERMILPDYrr
+    8U,	// VPERMILPDYri
+    120U,	// VPERMILPDYrm
+    8U,	// VPERMILPDYrr
     0U,	// VPERMILPDZmi
-    4U,	// VPERMILPDZri
+    8U,	// VPERMILPDZri
     0U,	// VPERMILPDmi
-    4U,	// VPERMILPDri
-    48U,	// VPERMILPDrm
-    4U,	// VPERMILPDrr
+    8U,	// VPERMILPDri
+    96U,	// VPERMILPDrm
+    8U,	// VPERMILPDrr
     0U,	// VPERMILPSYmi
-    4U,	// VPERMILPSYri
-    56U,	// VPERMILPSYrm
-    4U,	// VPERMILPSYrr
+    8U,	// VPERMILPSYri
+    120U,	// VPERMILPSYrm
+    8U,	// VPERMILPSYrr
     0U,	// VPERMILPSZmi
-    4U,	// VPERMILPSZri
+    8U,	// VPERMILPSZri
     0U,	// VPERMILPSmi
-    4U,	// VPERMILPSri
-    48U,	// VPERMILPSrm
-    4U,	// VPERMILPSrr
+    8U,	// VPERMILPSri
+    96U,	// VPERMILPSrm
+    8U,	// VPERMILPSrr
     0U,	// VPERMPDYmi
-    4U,	// VPERMPDYri
+    8U,	// VPERMPDYri
     0U,	// VPERMPDZmi
-    4U,	// VPERMPDZri
-    40U,	// VPERMPDZrm
-    4U,	// VPERMPDZrr
-    56U,	// VPERMPSYrm
-    4U,	// VPERMPSYrr
-    40U,	// VPERMPSZrm
-    4U,	// VPERMPSZrr
+    8U,	// VPERMPDZri
+    80U,	// VPERMPDZrm
+    8U,	// VPERMPDZrr
+    120U,	// VPERMPSYrm
+    8U,	// VPERMPSYrr
+    80U,	// VPERMPSZrm
+    8U,	// VPERMPSZrr
     0U,	// VPERMQYmi
-    4U,	// VPERMQYri
+    8U,	// VPERMQYri
     0U,	// VPERMQZmi
-    4U,	// VPERMQZri
-    52U,	// VPERMQZrm
-    4U,	// VPERMQZrr
+    8U,	// VPERMQZri
+    104U,	// VPERMQZrm
+    8U,	// VPERMQZrr
+    168U,	// VPERMT2Drm
+    40U,	// VPERMT2Drr
+    168U,	// VPERMT2PDrm
+    40U,	// VPERMT2PDrr
+    168U,	// VPERMT2PSrm
+    40U,	// VPERMT2PSrr
+    168U,	// VPERMT2Qrm
+    40U,	// VPERMT2Qrr
     0U,	// VPEXTRBmr
-    4U,	// VPEXTRBrr
+    8U,	// VPEXTRBrr
     0U,	// VPEXTRDmr
-    4U,	// VPEXTRDrr
+    8U,	// VPEXTRDrr
     0U,	// VPEXTRQmr
-    4U,	// VPEXTRQrr
+    8U,	// VPEXTRQrr
     0U,	// VPEXTRWmr
-    4U,	// VPEXTRWri
-    4U,	// VPEXTRWrr_REV
+    8U,	// VPEXTRWri
+    8U,	// VPEXTRWrr_REV
     0U,	// VPGATHERDDYrm
-    1U,	// VPGATHERDDZrm
+    3U,	// VPGATHERDDZrm
     0U,	// VPGATHERDDrm
     0U,	// VPGATHERDQYrm
-    1U,	// VPGATHERDQZrm
+    3U,	// VPGATHERDQZrm
     0U,	// VPGATHERDQrm
     0U,	// VPGATHERQDYrm
-    1U,	// VPGATHERQDZrm
+    3U,	// VPGATHERQDZrm
     0U,	// VPGATHERQDrm
     0U,	// VPGATHERQQYrm
-    1U,	// VPGATHERQQZrm
+    3U,	// VPGATHERQQZrm
     0U,	// VPGATHERQQrm
     0U,	// VPHADDBDrm
     0U,	// VPHADDBDrr
@@ -9750,14 +9830,14 @@
     0U,	// VPHADDBWrr
     0U,	// VPHADDDQrm
     0U,	// VPHADDDQrr
-    56U,	// VPHADDDYrm
-    4U,	// VPHADDDYrr
-    48U,	// VPHADDDrm
-    4U,	// VPHADDDrr
-    48U,	// VPHADDSWrm128
-    56U,	// VPHADDSWrm256
-    4U,	// VPHADDSWrr128
-    4U,	// VPHADDSWrr256
+    120U,	// VPHADDDYrm
+    8U,	// VPHADDDYrr
+    96U,	// VPHADDDrm
+    8U,	// VPHADDDrr
+    96U,	// VPHADDSWrm128
+    120U,	// VPHADDSWrm256
+    8U,	// VPHADDSWrr128
+    8U,	// VPHADDSWrr256
     0U,	// VPHADDUBDrm
     0U,	// VPHADDUBDrr
     0U,	// VPHADDUBQrm
@@ -9774,180 +9854,180 @@
     0U,	// VPHADDWDrr
     0U,	// VPHADDWQrm
     0U,	// VPHADDWQrr
-    56U,	// VPHADDWYrm
-    4U,	// VPHADDWYrr
-    48U,	// VPHADDWrm
-    4U,	// VPHADDWrr
+    120U,	// VPHADDWYrm
+    8U,	// VPHADDWYrr
+    96U,	// VPHADDWrm
+    8U,	// VPHADDWrr
     0U,	// VPHMINPOSUWrm128
     0U,	// VPHMINPOSUWrr128
     0U,	// VPHSUBBWrm
     0U,	// VPHSUBBWrr
     0U,	// VPHSUBDQrm
     0U,	// VPHSUBDQrr
-    56U,	// VPHSUBDYrm
-    4U,	// VPHSUBDYrr
-    48U,	// VPHSUBDrm
-    4U,	// VPHSUBDrr
-    48U,	// VPHSUBSWrm128
-    56U,	// VPHSUBSWrm256
-    4U,	// VPHSUBSWrr128
-    4U,	// VPHSUBSWrr256
+    120U,	// VPHSUBDYrm
+    8U,	// VPHSUBDYrr
+    96U,	// VPHSUBDrm
+    8U,	// VPHSUBDrr
+    96U,	// VPHSUBSWrm128
+    120U,	// VPHSUBSWrm256
+    8U,	// VPHSUBSWrr128
+    8U,	// VPHSUBSWrr256
     0U,	// VPHSUBWDrm
     0U,	// VPHSUBWDrr
-    56U,	// VPHSUBWYrm
-    4U,	// VPHSUBWYrr
-    48U,	// VPHSUBWrm
-    4U,	// VPHSUBWrr
-    92U,	// VPINSRBrm
-    8836U,	// VPINSRBrr
-    8328U,	// VPINSRDrm
-    8836U,	// VPINSRDrr
-    8332U,	// VPINSRQrm
-    8836U,	// VPINSRQrr
-    96U,	// VPINSRWrmi
-    8836U,	// VPINSRWrri
-    8368U,	// VPMACSDDrm
-    8836U,	// VPMACSDDrr
-    8368U,	// VPMACSDQHrm
-    8836U,	// VPMACSDQHrr
-    8368U,	// VPMACSDQLrm
-    8836U,	// VPMACSDQLrr
-    8368U,	// VPMACSSDDrm
-    8836U,	// VPMACSSDDrr
-    8368U,	// VPMACSSDQHrm
-    8836U,	// VPMACSSDQHrr
-    8368U,	// VPMACSSDQLrm
-    8836U,	// VPMACSSDQLrr
-    8368U,	// VPMACSSWDrm
-    8836U,	// VPMACSSWDrr
-    8368U,	// VPMACSSWWrm
-    8836U,	// VPMACSSWWrr
-    8368U,	// VPMACSWDrm
-    8836U,	// VPMACSWDrr
-    8368U,	// VPMACSWWrm
-    8836U,	// VPMACSWWrr
-    8368U,	// VPMADCSSWDrm
-    8836U,	// VPMADCSSWDrr
-    8368U,	// VPMADCSWDrm
-    8836U,	// VPMADCSWDrr
-    48U,	// VPMADDUBSWrm128
-    56U,	// VPMADDUBSWrm256
-    4U,	// VPMADDUBSWrr128
-    4U,	// VPMADDUBSWrr256
-    56U,	// VPMADDWDYrm
-    4U,	// VPMADDWDYrr
-    48U,	// VPMADDWDrm
-    4U,	// VPMADDWDrr
+    120U,	// VPHSUBWYrm
+    8U,	// VPHSUBWYrr
+    96U,	// VPHSUBWrm
+    8U,	// VPHSUBWrr
+    192U,	// VPINSRBrm
+    35080U,	// VPINSRBrr
+    33040U,	// VPINSRDrm
+    35080U,	// VPINSRDrr
+    33048U,	// VPINSRQrm
+    35080U,	// VPINSRQrr
+    200U,	// VPINSRWrmi
+    35080U,	// VPINSRWrri
+    33120U,	// VPMACSDDrm
+    35080U,	// VPMACSDDrr
+    33120U,	// VPMACSDQHrm
+    35080U,	// VPMACSDQHrr
+    33120U,	// VPMACSDQLrm
+    35080U,	// VPMACSDQLrr
+    33120U,	// VPMACSSDDrm
+    35080U,	// VPMACSSDDrr
+    33120U,	// VPMACSSDQHrm
+    35080U,	// VPMACSSDQHrr
+    33120U,	// VPMACSSDQLrm
+    35080U,	// VPMACSSDQLrr
+    33120U,	// VPMACSSWDrm
+    35080U,	// VPMACSSWDrr
+    33120U,	// VPMACSSWWrm
+    35080U,	// VPMACSSWWrr
+    33120U,	// VPMACSWDrm
+    35080U,	// VPMACSWDrr
+    33120U,	// VPMACSWWrm
+    35080U,	// VPMACSWWrr
+    33120U,	// VPMADCSSWDrm
+    35080U,	// VPMADCSSWDrr
+    33120U,	// VPMADCSWDrm
+    35080U,	// VPMADCSWDrr
+    96U,	// VPMADDUBSWrm128
+    120U,	// VPMADDUBSWrm256
+    8U,	// VPMADDUBSWrr128
+    8U,	// VPMADDUBSWrr256
+    120U,	// VPMADDWDYrm
+    8U,	// VPMADDWDYrr
+    96U,	// VPMADDWDrm
+    8U,	// VPMADDWDrr
     0U,	// VPMASKMOVDYmr
-    56U,	// VPMASKMOVDYrm
+    120U,	// VPMASKMOVDYrm
     0U,	// VPMASKMOVDmr
-    48U,	// VPMASKMOVDrm
+    96U,	// VPMASKMOVDrm
     0U,	// VPMASKMOVQYmr
-    56U,	// VPMASKMOVQYrm
+    120U,	// VPMASKMOVQYrm
     0U,	// VPMASKMOVQmr
-    48U,	// VPMASKMOVQrm
-    56U,	// VPMAXSBYrm
-    4U,	// VPMAXSBYrr
-    48U,	// VPMAXSBrm
-    4U,	// VPMAXSBrr
-    56U,	// VPMAXSDYrm
-    4U,	// VPMAXSDYrr
-    52U,	// VPMAXSDZrm
-    392U,	// VPMAXSDZrmb
-    4U,	// VPMAXSDZrr
-    48U,	// VPMAXSDrm
-    4U,	// VPMAXSDrr
-    52U,	// VPMAXSQZrm
-    268U,	// VPMAXSQZrmb
-    4U,	// VPMAXSQZrr
-    56U,	// VPMAXSWYrm
-    4U,	// VPMAXSWYrr
-    48U,	// VPMAXSWrm
-    4U,	// VPMAXSWrr
-    56U,	// VPMAXUBYrm
-    4U,	// VPMAXUBYrr
-    48U,	// VPMAXUBrm
-    4U,	// VPMAXUBrr
-    56U,	// VPMAXUDYrm
-    4U,	// VPMAXUDYrr
-    52U,	// VPMAXUDZrm
-    392U,	// VPMAXUDZrmb
-    4U,	// VPMAXUDZrr
-    48U,	// VPMAXUDrm
-    4U,	// VPMAXUDrr
-    52U,	// VPMAXUQZrm
-    268U,	// VPMAXUQZrmb
-    4U,	// VPMAXUQZrr
-    56U,	// VPMAXUWYrm
-    4U,	// VPMAXUWYrr
-    48U,	// VPMAXUWrm
-    4U,	// VPMAXUWrr
-    56U,	// VPMINSBYrm
-    4U,	// VPMINSBYrr
-    48U,	// VPMINSBrm
-    4U,	// VPMINSBrr
-    56U,	// VPMINSDYrm
-    4U,	// VPMINSDYrr
-    52U,	// VPMINSDZrm
-    392U,	// VPMINSDZrmb
-    4U,	// VPMINSDZrr
-    48U,	// VPMINSDrm
-    4U,	// VPMINSDrr
-    52U,	// VPMINSQZrm
-    268U,	// VPMINSQZrmb
-    4U,	// VPMINSQZrr
-    56U,	// VPMINSWYrm
-    4U,	// VPMINSWYrr
-    48U,	// VPMINSWrm
-    4U,	// VPMINSWrr
-    56U,	// VPMINUBYrm
-    4U,	// VPMINUBYrr
-    48U,	// VPMINUBrm
-    4U,	// VPMINUBrr
-    56U,	// VPMINUDYrm
-    4U,	// VPMINUDYrr
-    52U,	// VPMINUDZrm
-    392U,	// VPMINUDZrmb
-    4U,	// VPMINUDZrr
-    48U,	// VPMINUDrm
-    4U,	// VPMINUDrr
-    52U,	// VPMINUQZrm
-    268U,	// VPMINUQZrmb
-    4U,	// VPMINUQZrr
-    56U,	// VPMINUWYrm
-    4U,	// VPMINUWYrr
-    48U,	// VPMINUWrm
-    4U,	// VPMINUWrr
-    5U,	// VPMOVDBkrr
+    96U,	// VPMASKMOVQrm
+    120U,	// VPMAXSBYrm
+    8U,	// VPMAXSBYrr
+    96U,	// VPMAXSBrm
+    8U,	// VPMAXSBrr
+    120U,	// VPMAXSDYrm
+    8U,	// VPMAXSDYrr
+    104U,	// VPMAXSDZrm
+    784U,	// VPMAXSDZrmb
+    8U,	// VPMAXSDZrr
+    96U,	// VPMAXSDrm
+    8U,	// VPMAXSDrr
+    104U,	// VPMAXSQZrm
+    536U,	// VPMAXSQZrmb
+    8U,	// VPMAXSQZrr
+    120U,	// VPMAXSWYrm
+    8U,	// VPMAXSWYrr
+    96U,	// VPMAXSWrm
+    8U,	// VPMAXSWrr
+    120U,	// VPMAXUBYrm
+    8U,	// VPMAXUBYrr
+    96U,	// VPMAXUBrm
+    8U,	// VPMAXUBrr
+    120U,	// VPMAXUDYrm
+    8U,	// VPMAXUDYrr
+    104U,	// VPMAXUDZrm
+    784U,	// VPMAXUDZrmb
+    8U,	// VPMAXUDZrr
+    96U,	// VPMAXUDrm
+    8U,	// VPMAXUDrr
+    104U,	// VPMAXUQZrm
+    536U,	// VPMAXUQZrmb
+    8U,	// VPMAXUQZrr
+    120U,	// VPMAXUWYrm
+    8U,	// VPMAXUWYrr
+    96U,	// VPMAXUWrm
+    8U,	// VPMAXUWrr
+    120U,	// VPMINSBYrm
+    8U,	// VPMINSBYrr
+    96U,	// VPMINSBrm
+    8U,	// VPMINSBrr
+    120U,	// VPMINSDYrm
+    8U,	// VPMINSDYrr
+    104U,	// VPMINSDZrm
+    784U,	// VPMINSDZrmb
+    8U,	// VPMINSDZrr
+    96U,	// VPMINSDrm
+    8U,	// VPMINSDrr
+    104U,	// VPMINSQZrm
+    536U,	// VPMINSQZrmb
+    8U,	// VPMINSQZrr
+    120U,	// VPMINSWYrm
+    8U,	// VPMINSWYrr
+    96U,	// VPMINSWrm
+    8U,	// VPMINSWrr
+    120U,	// VPMINUBYrm
+    8U,	// VPMINUBYrr
+    96U,	// VPMINUBrm
+    8U,	// VPMINUBrr
+    120U,	// VPMINUDYrm
+    8U,	// VPMINUDYrr
+    104U,	// VPMINUDZrm
+    784U,	// VPMINUDZrmb
+    8U,	// VPMINUDZrr
+    96U,	// VPMINUDrm
+    8U,	// VPMINUDrr
+    104U,	// VPMINUQZrm
+    536U,	// VPMINUQZrmb
+    8U,	// VPMINUQZrr
+    120U,	// VPMINUWYrm
+    8U,	// VPMINUWYrr
+    96U,	// VPMINUWrm
+    8U,	// VPMINUWrr
+    12U,	// VPMOVDBkrr
     0U,	// VPMOVDBmr
     0U,	// VPMOVDBrr
-    5U,	// VPMOVDWkrr
+    12U,	// VPMOVDWkrr
     0U,	// VPMOVDWmr
     0U,	// VPMOVDWrr
     0U,	// VPMOVMSKBYrr
     0U,	// VPMOVMSKBrr
-    5U,	// VPMOVQBkrr
+    12U,	// VPMOVQBkrr
     0U,	// VPMOVQBmr
     0U,	// VPMOVQBrr
-    5U,	// VPMOVQDkrr
+    12U,	// VPMOVQDkrr
     0U,	// VPMOVQDmr
     0U,	// VPMOVQDrr
-    5U,	// VPMOVQWkrr
+    12U,	// VPMOVQWkrr
     0U,	// VPMOVQWmr
     0U,	// VPMOVQWrr
-    5U,	// VPMOVSDBkrr
+    12U,	// VPMOVSDBkrr
     0U,	// VPMOVSDBmr
     0U,	// VPMOVSDBrr
-    5U,	// VPMOVSDWkrr
+    12U,	// VPMOVSDWkrr
     0U,	// VPMOVSDWmr
     0U,	// VPMOVSDWrr
-    5U,	// VPMOVSQBkrr
+    12U,	// VPMOVSQBkrr
     0U,	// VPMOVSQBmr
     0U,	// VPMOVSQBrr
-    5U,	// VPMOVSQDkrr
+    12U,	// VPMOVSQDkrr
     0U,	// VPMOVSQDmr
     0U,	// VPMOVSQDrr
-    5U,	// VPMOVSQWkrr
+    12U,	// VPMOVSQWkrr
     0U,	// VPMOVSQWmr
     0U,	// VPMOVSQWrr
     0U,	// VPMOVSXBDYrm
@@ -9984,19 +10064,19 @@
     0U,	// VPMOVSXWQZrr
     0U,	// VPMOVSXWQrm
     0U,	// VPMOVSXWQrr
-    5U,	// VPMOVUSDBkrr
+    12U,	// VPMOVUSDBkrr
     0U,	// VPMOVUSDBmr
     0U,	// VPMOVUSDBrr
-    5U,	// VPMOVUSDWkrr
+    12U,	// VPMOVUSDWkrr
     0U,	// VPMOVUSDWmr
     0U,	// VPMOVUSDWrr
-    5U,	// VPMOVUSQBkrr
+    12U,	// VPMOVUSQBkrr
     0U,	// VPMOVUSQBmr
     0U,	// VPMOVUSQBrr
-    5U,	// VPMOVUSQDkrr
+    12U,	// VPMOVUSQDkrr
     0U,	// VPMOVUSQDmr
     0U,	// VPMOVUSQDrr
-    5U,	// VPMOVUSQWkrr
+    12U,	// VPMOVUSQWkrr
     0U,	// VPMOVUSQWmr
     0U,	// VPMOVUSQWrr
     0U,	// VPMOVZXBDYrm
@@ -10033,392 +10113,388 @@
     0U,	// VPMOVZXWQZrr
     0U,	// VPMOVZXWQrm
     0U,	// VPMOVZXWQrr
-    56U,	// VPMULDQYrm
-    4U,	// VPMULDQYrr
-    52U,	// VPMULDQZrm
-    4U,	// VPMULDQZrr
-    48U,	// VPMULDQrm
-    4U,	// VPMULDQrr
-    48U,	// VPMULHRSWrm128
-    56U,	// VPMULHRSWrm256
-    4U,	// VPMULHRSWrr128
-    4U,	// VPMULHRSWrr256
-    56U,	// VPMULHUWYrm
-    4U,	// VPMULHUWYrr
-    48U,	// VPMULHUWrm
-    4U,	// VPMULHUWrr
-    56U,	// VPMULHWYrm
-    4U,	// VPMULHWYrr
-    48U,	// VPMULHWrm
-    4U,	// VPMULHWrr
-    56U,	// VPMULLDYrm
-    4U,	// VPMULLDYrr
-    52U,	// VPMULLDZrm
-    392U,	// VPMULLDZrmb
-    4U,	// VPMULLDZrr
-    48U,	// VPMULLDrm
-    4U,	// VPMULLDrr
-    56U,	// VPMULLWYrm
-    4U,	// VPMULLWYrr
-    48U,	// VPMULLWrm
-    4U,	// VPMULLWrr
-    56U,	// VPMULUDQYrm
-    4U,	// VPMULUDQYrr
-    52U,	// VPMULUDQZrm
-    4U,	// VPMULUDQZrr
-    48U,	// VPMULUDQrm
-    4U,	// VPMULUDQrr
-    52U,	// VPORDZrm
-    392U,	// VPORDZrmb
-    4U,	// VPORDZrr
-    52U,	// VPORQZrm
-    268U,	// VPORQZrmb
-    4U,	// VPORQZrr
-    56U,	// VPORYrm
-    4U,	// VPORYrr
-    48U,	// VPORrm
-    4U,	// VPORrr
-    8368U,	// VPPERMmr
-    3716U,	// VPPERMrm
-    8836U,	// VPPERMrr
+    120U,	// VPMULDQYrm
+    8U,	// VPMULDQYrr
+    104U,	// VPMULDQZrm
+    8U,	// VPMULDQZrr
+    96U,	// VPMULDQrm
+    8U,	// VPMULDQrr
+    96U,	// VPMULHRSWrm128
+    120U,	// VPMULHRSWrm256
+    8U,	// VPMULHRSWrr128
+    8U,	// VPMULHRSWrr256
+    120U,	// VPMULHUWYrm
+    8U,	// VPMULHUWYrr
+    96U,	// VPMULHUWrm
+    8U,	// VPMULHUWrr
+    120U,	// VPMULHWYrm
+    8U,	// VPMULHWYrr
+    96U,	// VPMULHWrm
+    8U,	// VPMULHWrr
+    120U,	// VPMULLDYrm
+    8U,	// VPMULLDYrr
+    104U,	// VPMULLDZrm
+    784U,	// VPMULLDZrmb
+    8U,	// VPMULLDZrr
+    96U,	// VPMULLDrm
+    8U,	// VPMULLDrr
+    120U,	// VPMULLWYrm
+    8U,	// VPMULLWYrr
+    96U,	// VPMULLWrm
+    8U,	// VPMULLWrr
+    120U,	// VPMULUDQYrm
+    8U,	// VPMULUDQYrr
+    104U,	// VPMULUDQZrm
+    8U,	// VPMULUDQZrr
+    96U,	// VPMULUDQrm
+    8U,	// VPMULUDQrr
+    104U,	// VPORDZrm
+    784U,	// VPORDZrmb
+    8U,	// VPORDZrr
+    104U,	// VPORQZrm
+    536U,	// VPORQZrmb
+    8U,	// VPORQZrr
+    120U,	// VPORYrm
+    8U,	// VPORYrr
+    96U,	// VPORrm
+    8U,	// VPORrr
+    33120U,	// VPPERMmr
+    16648U,	// VPPERMrm
+    35080U,	// VPPERMrr
     0U,	// VPROTBmi
     0U,	// VPROTBmr
-    4U,	// VPROTBri
-    48U,	// VPROTBrm
-    4U,	// VPROTBrr
+    8U,	// VPROTBri
+    96U,	// VPROTBrm
+    8U,	// VPROTBrr
     0U,	// VPROTDmi
     0U,	// VPROTDmr
-    4U,	// VPROTDri
-    48U,	// VPROTDrm
-    4U,	// VPROTDrr
+    8U,	// VPROTDri
+    96U,	// VPROTDrm
+    8U,	// VPROTDrr
     0U,	// VPROTQmi
     0U,	// VPROTQmr
-    4U,	// VPROTQri
-    48U,	// VPROTQrm
-    4U,	// VPROTQrr
+    8U,	// VPROTQri
+    96U,	// VPROTQrm
+    8U,	// VPROTQrr
     0U,	// VPROTWmi
     0U,	// VPROTWmr
-    4U,	// VPROTWri
-    48U,	// VPROTWrm
-    4U,	// VPROTWrr
-    56U,	// VPSADBWYrm
-    4U,	// VPSADBWYrr
-    48U,	// VPSADBWrm
-    4U,	// VPSADBWrr
+    8U,	// VPROTWri
+    96U,	// VPROTWrm
+    8U,	// VPROTWrr
+    120U,	// VPSADBWYrm
+    8U,	// VPSADBWYrr
+    96U,	// VPSADBWrm
+    8U,	// VPSADBWrr
     0U,	// VPSCATTERDDZmr
     0U,	// VPSCATTERDQZmr
     0U,	// VPSCATTERQDZmr
     0U,	// VPSCATTERQQZmr
     0U,	// VPSHABmr
-    48U,	// VPSHABrm
-    4U,	// VPSHABrr
+    96U,	// VPSHABrm
+    8U,	// VPSHABrr
     0U,	// VPSHADmr
-    48U,	// VPSHADrm
-    4U,	// VPSHADrr
+    96U,	// VPSHADrm
+    8U,	// VPSHADrr
     0U,	// VPSHAQmr
-    48U,	// VPSHAQrm
-    4U,	// VPSHAQrr
+    96U,	// VPSHAQrm
+    8U,	// VPSHAQrr
     0U,	// VPSHAWmr
-    48U,	// VPSHAWrm
-    4U,	// VPSHAWrr
+    96U,	// VPSHAWrm
+    8U,	// VPSHAWrr
     0U,	// VPSHLBmr
-    48U,	// VPSHLBrm
-    4U,	// VPSHLBrr
+    96U,	// VPSHLBrm
+    8U,	// VPSHLBrr
     0U,	// VPSHLDmr
-    48U,	// VPSHLDrm
-    4U,	// VPSHLDrr
+    96U,	// VPSHLDrm
+    8U,	// VPSHLDrr
     0U,	// VPSHLQmr
-    48U,	// VPSHLQrm
-    4U,	// VPSHLQrr
+    96U,	// VPSHLQrm
+    8U,	// VPSHLQrr
     0U,	// VPSHLWmr
-    48U,	// VPSHLWrm
-    4U,	// VPSHLWrr
-    56U,	// VPSHUFBYrm
-    4U,	// VPSHUFBYrr
-    48U,	// VPSHUFBrm
-    4U,	// VPSHUFBrr
+    96U,	// VPSHLWrm
+    8U,	// VPSHLWrr
+    120U,	// VPSHUFBYrm
+    8U,	// VPSHUFBYrr
+    96U,	// VPSHUFBrm
+    8U,	// VPSHUFBrr
     0U,	// VPSHUFDYmi
-    4U,	// VPSHUFDYri
+    8U,	// VPSHUFDYri
     0U,	// VPSHUFDZmi
-    4U,	// VPSHUFDZri
+    8U,	// VPSHUFDZri
     0U,	// VPSHUFDmi
-    4U,	// VPSHUFDri
+    8U,	// VPSHUFDri
     0U,	// VPSHUFHWYmi
-    4U,	// VPSHUFHWYri
+    8U,	// VPSHUFHWYri
     0U,	// VPSHUFHWmi
-    4U,	// VPSHUFHWri
+    8U,	// VPSHUFHWri
     0U,	// VPSHUFLWYmi
-    4U,	// VPSHUFLWYri
+    8U,	// VPSHUFLWYri
     0U,	// VPSHUFLWmi
-    4U,	// VPSHUFLWri
-    56U,	// VPSIGNBYrm
-    4U,	// VPSIGNBYrr
-    48U,	// VPSIGNBrm
-    4U,	// VPSIGNBrr
-    56U,	// VPSIGNDYrm
-    4U,	// VPSIGNDYrr
-    48U,	// VPSIGNDrm
-    4U,	// VPSIGNDrr
-    56U,	// VPSIGNWYrm
-    4U,	// VPSIGNWYrr
-    48U,	// VPSIGNWrm
-    4U,	// VPSIGNWrr
-    4U,	// VPSLLDQYri
-    4U,	// VPSLLDQri
-    4U,	// VPSLLDYri
-    48U,	// VPSLLDYrm
-    4U,	// VPSLLDYrr
+    8U,	// VPSHUFLWri
+    120U,	// VPSIGNBYrm
+    8U,	// VPSIGNBYrr
+    96U,	// VPSIGNBrm
+    8U,	// VPSIGNBrr
+    120U,	// VPSIGNDYrm
+    8U,	// VPSIGNDYrr
+    96U,	// VPSIGNDrm
+    8U,	// VPSIGNDrr
+    120U,	// VPSIGNWYrm
+    8U,	// VPSIGNWYrr
+    96U,	// VPSIGNWrm
+    8U,	// VPSIGNWrr
+    8U,	// VPSLLDQYri
+    8U,	// VPSLLDQri
+    8U,	// VPSLLDYri
+    96U,	// VPSLLDYrm
+    8U,	// VPSLLDYrr
     0U,	// VPSLLDZmi
-    8373U,	// VPSLLDZmik
-    4U,	// VPSLLDZri
-    8837U,	// VPSLLDZrik
-    48U,	// VPSLLDZrm
-    3717U,	// VPSLLDZrmk
-    4U,	// VPSLLDZrr
-    8837U,	// VPSLLDZrrk
-    4U,	// VPSLLDri
-    48U,	// VPSLLDrm
-    4U,	// VPSLLDrr
-    4U,	// VPSLLQYri
-    48U,	// VPSLLQYrm
-    4U,	// VPSLLQYrr
+    33130U,	// VPSLLDZmik
+    8U,	// VPSLLDZri
+    35082U,	// VPSLLDZrik
+    96U,	// VPSLLDZrm
+    16650U,	// VPSLLDZrmk
+    8U,	// VPSLLDZrr
+    35082U,	// VPSLLDZrrk
+    8U,	// VPSLLDri
+    96U,	// VPSLLDrm
+    8U,	// VPSLLDrr
+    8U,	// VPSLLQYri
+    96U,	// VPSLLQYrm
+    8U,	// VPSLLQYrr
     0U,	// VPSLLQZmi
-    8373U,	// VPSLLQZmik
-    4U,	// VPSLLQZri
-    8837U,	// VPSLLQZrik
-    48U,	// VPSLLQZrm
-    3717U,	// VPSLLQZrmk
-    4U,	// VPSLLQZrr
-    8837U,	// VPSLLQZrrk
-    4U,	// VPSLLQri
-    48U,	// VPSLLQrm
-    4U,	// VPSLLQrr
-    56U,	// VPSLLVDYrm
-    4U,	// VPSLLVDYrr
-    52U,	// VPSLLVDZrm
-    4U,	// VPSLLVDZrr
-    48U,	// VPSLLVDrm
-    4U,	// VPSLLVDrr
-    56U,	// VPSLLVQYrm
-    4U,	// VPSLLVQYrr
-    52U,	// VPSLLVQZrm
-    4U,	// VPSLLVQZrr
-    48U,	// VPSLLVQrm
-    4U,	// VPSLLVQrr
-    4U,	// VPSLLWYri
-    48U,	// VPSLLWYrm
-    4U,	// VPSLLWYrr
-    4U,	// VPSLLWri
-    48U,	// VPSLLWrm
-    4U,	// VPSLLWrr
-    4U,	// VPSRADYri
-    48U,	// VPSRADYrm
-    4U,	// VPSRADYrr
+    33130U,	// VPSLLQZmik
+    8U,	// VPSLLQZri
+    35082U,	// VPSLLQZrik
+    96U,	// VPSLLQZrm
+    16650U,	// VPSLLQZrmk
+    8U,	// VPSLLQZrr
+    35082U,	// VPSLLQZrrk
+    8U,	// VPSLLQri
+    96U,	// VPSLLQrm
+    8U,	// VPSLLQrr
+    120U,	// VPSLLVDYrm
+    8U,	// VPSLLVDYrr
+    104U,	// VPSLLVDZrm
+    8U,	// VPSLLVDZrr
+    96U,	// VPSLLVDrm
+    8U,	// VPSLLVDrr
+    120U,	// VPSLLVQYrm
+    8U,	// VPSLLVQYrr
+    104U,	// VPSLLVQZrm
+    8U,	// VPSLLVQZrr
+    96U,	// VPSLLVQrm
+    8U,	// VPSLLVQrr
+    8U,	// VPSLLWYri
+    96U,	// VPSLLWYrm
+    8U,	// VPSLLWYrr
+    8U,	// VPSLLWri
+    96U,	// VPSLLWrm
+    8U,	// VPSLLWrr
+    8U,	// VPSRADYri
+    96U,	// VPSRADYrm
+    8U,	// VPSRADYrr
     0U,	// VPSRADZmi
-    8373U,	// VPSRADZmik
-    4U,	// VPSRADZri
-    8837U,	// VPSRADZrik
-    48U,	// VPSRADZrm
-    3717U,	// VPSRADZrmk
-    4U,	// VPSRADZrr
-    8837U,	// VPSRADZrrk
-    4U,	// VPSRADri
-    48U,	// VPSRADrm
-    4U,	// VPSRADrr
+    33130U,	// VPSRADZmik
+    8U,	// VPSRADZri
+    35082U,	// VPSRADZrik
+    96U,	// VPSRADZrm
+    16650U,	// VPSRADZrmk
+    8U,	// VPSRADZrr
+    35082U,	// VPSRADZrrk
+    8U,	// VPSRADri
+    96U,	// VPSRADrm
+    8U,	// VPSRADrr
     0U,	// VPSRAQZmi
-    8373U,	// VPSRAQZmik
-    4U,	// VPSRAQZri
-    8837U,	// VPSRAQZrik
-    48U,	// VPSRAQZrm
-    3717U,	// VPSRAQZrmk
-    4U,	// VPSRAQZrr
-    8837U,	// VPSRAQZrrk
-    56U,	// VPSRAVDYrm
-    4U,	// VPSRAVDYrr
-    52U,	// VPSRAVDZrm
-    4U,	// VPSRAVDZrr
-    48U,	// VPSRAVDrm
-    4U,	// VPSRAVDrr
-    52U,	// VPSRAVQZrm
-    4U,	// VPSRAVQZrr
-    4U,	// VPSRAWYri
-    48U,	// VPSRAWYrm
-    4U,	// VPSRAWYrr
-    4U,	// VPSRAWri
-    48U,	// VPSRAWrm
-    4U,	// VPSRAWrr
-    4U,	// VPSRLDQYri
-    4U,	// VPSRLDQri
-    4U,	// VPSRLDYri
-    48U,	// VPSRLDYrm
-    4U,	// VPSRLDYrr
+    33130U,	// VPSRAQZmik
+    8U,	// VPSRAQZri
+    35082U,	// VPSRAQZrik
+    96U,	// VPSRAQZrm
+    16650U,	// VPSRAQZrmk
+    8U,	// VPSRAQZrr
+    35082U,	// VPSRAQZrrk
+    120U,	// VPSRAVDYrm
+    8U,	// VPSRAVDYrr
+    104U,	// VPSRAVDZrm
+    8U,	// VPSRAVDZrr
+    96U,	// VPSRAVDrm
+    8U,	// VPSRAVDrr
+    104U,	// VPSRAVQZrm
+    8U,	// VPSRAVQZrr
+    8U,	// VPSRAWYri
+    96U,	// VPSRAWYrm
+    8U,	// VPSRAWYrr
+    8U,	// VPSRAWri
+    96U,	// VPSRAWrm
+    8U,	// VPSRAWrr
+    8U,	// VPSRLDQYri
+    8U,	// VPSRLDQri
+    8U,	// VPSRLDYri
+    96U,	// VPSRLDYrm
+    8U,	// VPSRLDYrr
     0U,	// VPSRLDZmi
-    8373U,	// VPSRLDZmik
-    4U,	// VPSRLDZri
-    8837U,	// VPSRLDZrik
-    48U,	// VPSRLDZrm
-    3717U,	// VPSRLDZrmk
-    4U,	// VPSRLDZrr
-    8837U,	// VPSRLDZrrk
-    4U,	// VPSRLDri
-    48U,	// VPSRLDrm
-    4U,	// VPSRLDrr
-    4U,	// VPSRLQYri
-    48U,	// VPSRLQYrm
-    4U,	// VPSRLQYrr
+    33130U,	// VPSRLDZmik
+    8U,	// VPSRLDZri
+    35082U,	// VPSRLDZrik
+    96U,	// VPSRLDZrm
+    16650U,	// VPSRLDZrmk
+    8U,	// VPSRLDZrr
+    35082U,	// VPSRLDZrrk
+    8U,	// VPSRLDri
+    96U,	// VPSRLDrm
+    8U,	// VPSRLDrr
+    8U,	// VPSRLQYri
+    96U,	// VPSRLQYrm
+    8U,	// VPSRLQYrr
     0U,	// VPSRLQZmi
-    8373U,	// VPSRLQZmik
-    4U,	// VPSRLQZri
-    8837U,	// VPSRLQZrik
-    48U,	// VPSRLQZrm
-    3717U,	// VPSRLQZrmk
-    4U,	// VPSRLQZrr
-    8837U,	// VPSRLQZrrk
-    4U,	// VPSRLQri
-    48U,	// VPSRLQrm
-    4U,	// VPSRLQrr
-    56U,	// VPSRLVDYrm
-    4U,	// VPSRLVDYrr
-    52U,	// VPSRLVDZrm
-    4U,	// VPSRLVDZrr
-    48U,	// VPSRLVDrm
-    4U,	// VPSRLVDrr
-    56U,	// VPSRLVQYrm
-    4U,	// VPSRLVQYrr
-    52U,	// VPSRLVQZrm
-    4U,	// VPSRLVQZrr
-    48U,	// VPSRLVQrm
-    4U,	// VPSRLVQrr
-    4U,	// VPSRLWYri
-    48U,	// VPSRLWYrm
-    4U,	// VPSRLWYrr
-    4U,	// VPSRLWri
-    48U,	// VPSRLWrm
-    4U,	// VPSRLWrr
-    56U,	// VPSUBBYrm
-    4U,	// VPSUBBYrr
-    48U,	// VPSUBBrm
-    4U,	// VPSUBBrr
-    56U,	// VPSUBDYrm
-    4U,	// VPSUBDYrr
-    52U,	// VPSUBDZrm
-    392U,	// VPSUBDZrmb
-    4U,	// VPSUBDZrr
-    48U,	// VPSUBDrm
-    4U,	// VPSUBDrr
-    56U,	// VPSUBQYrm
-    4U,	// VPSUBQYrr
-    52U,	// VPSUBQZrm
-    268U,	// VPSUBQZrmb
-    4U,	// VPSUBQZrr
-    48U,	// VPSUBQrm
-    4U,	// VPSUBQrr
-    56U,	// VPSUBSBYrm
-    4U,	// VPSUBSBYrr
-    48U,	// VPSUBSBrm
-    4U,	// VPSUBSBrr
-    56U,	// VPSUBSWYrm
-    4U,	// VPSUBSWYrr
-    48U,	// VPSUBSWrm
-    4U,	// VPSUBSWrr
-    56U,	// VPSUBUSBYrm
-    4U,	// VPSUBUSBYrr
-    48U,	// VPSUBUSBrm
-    4U,	// VPSUBUSBrr
-    56U,	// VPSUBUSWYrm
-    4U,	// VPSUBUSWYrr
-    48U,	// VPSUBUSWrm
-    4U,	// VPSUBUSWrr
-    56U,	// VPSUBWYrm
-    4U,	// VPSUBWYrr
-    48U,	// VPSUBWrm
-    4U,	// VPSUBWrr
-    40U,	// VPTESTMDZrm
-    4U,	// VPTESTMDZrr
-    40U,	// VPTESTMQZrm
-    4U,	// VPTESTMQZrr
+    33130U,	// VPSRLQZmik
+    8U,	// VPSRLQZri
+    35082U,	// VPSRLQZrik
+    96U,	// VPSRLQZrm
+    16650U,	// VPSRLQZrmk
+    8U,	// VPSRLQZrr
+    35082U,	// VPSRLQZrrk
+    8U,	// VPSRLQri
+    96U,	// VPSRLQrm
+    8U,	// VPSRLQrr
+    120U,	// VPSRLVDYrm
+    8U,	// VPSRLVDYrr
+    104U,	// VPSRLVDZrm
+    8U,	// VPSRLVDZrr
+    96U,	// VPSRLVDrm
+    8U,	// VPSRLVDrr
+    120U,	// VPSRLVQYrm
+    8U,	// VPSRLVQYrr
+    104U,	// VPSRLVQZrm
+    8U,	// VPSRLVQZrr
+    96U,	// VPSRLVQrm
+    8U,	// VPSRLVQrr
+    8U,	// VPSRLWYri
+    96U,	// VPSRLWYrm
+    8U,	// VPSRLWYrr
+    8U,	// VPSRLWri
+    96U,	// VPSRLWrm
+    8U,	// VPSRLWrr
+    120U,	// VPSUBBYrm
+    8U,	// VPSUBBYrr
+    96U,	// VPSUBBrm
+    8U,	// VPSUBBrr
+    120U,	// VPSUBDYrm
+    8U,	// VPSUBDYrr
+    104U,	// VPSUBDZrm
+    784U,	// VPSUBDZrmb
+    8U,	// VPSUBDZrr
+    96U,	// VPSUBDrm
+    8U,	// VPSUBDrr
+    120U,	// VPSUBQYrm
+    8U,	// VPSUBQYrr
+    104U,	// VPSUBQZrm
+    536U,	// VPSUBQZrmb
+    8U,	// VPSUBQZrr
+    96U,	// VPSUBQrm
+    8U,	// VPSUBQrr
+    120U,	// VPSUBSBYrm
+    8U,	// VPSUBSBYrr
+    96U,	// VPSUBSBrm
+    8U,	// VPSUBSBrr
+    120U,	// VPSUBSWYrm
+    8U,	// VPSUBSWYrr
+    96U,	// VPSUBSWrm
+    8U,	// VPSUBSWrr
+    120U,	// VPSUBUSBYrm
+    8U,	// VPSUBUSBYrr
+    96U,	// VPSUBUSBrm
+    8U,	// VPSUBUSBrr
+    120U,	// VPSUBUSWYrm
+    8U,	// VPSUBUSWYrr
+    96U,	// VPSUBUSWrm
+    8U,	// VPSUBUSWrr
+    120U,	// VPSUBWYrm
+    8U,	// VPSUBWYrr
+    96U,	// VPSUBWrm
+    8U,	// VPSUBWrr
+    80U,	// VPTESTMDZrm
+    8U,	// VPTESTMDZrr
+    80U,	// VPTESTMQZrm
+    8U,	// VPTESTMQZrr
+    80U,	// VPTESTNMDZrm
+    8U,	// VPTESTNMDZrr
+    80U,	// VPTESTNMQZrm
+    8U,	// VPTESTNMQZrr
     0U,	// VPTESTYrm
     0U,	// VPTESTYrr
     0U,	// VPTESTrm
     0U,	// VPTESTrr
-    56U,	// VPUNPCKHBWYrm
-    4U,	// VPUNPCKHBWYrr
-    48U,	// VPUNPCKHBWrm
-    4U,	// VPUNPCKHBWrr
-    56U,	// VPUNPCKHDQYrm
-    4U,	// VPUNPCKHDQYrr
-    52U,	// VPUNPCKHDQZrm
-    4U,	// VPUNPCKHDQZrr
-    48U,	// VPUNPCKHDQrm
-    4U,	// VPUNPCKHDQrr
-    56U,	// VPUNPCKHQDQYrm
-    4U,	// VPUNPCKHQDQYrr
-    52U,	// VPUNPCKHQDQZrm
-    4U,	// VPUNPCKHQDQZrr
-    48U,	// VPUNPCKHQDQrm
-    4U,	// VPUNPCKHQDQrr
-    56U,	// VPUNPCKHWDYrm
-    4U,	// VPUNPCKHWDYrr
-    48U,	// VPUNPCKHWDrm
-    4U,	// VPUNPCKHWDrr
-    56U,	// VPUNPCKLBWYrm
-    4U,	// VPUNPCKLBWYrr
-    48U,	// VPUNPCKLBWrm
-    4U,	// VPUNPCKLBWrr
-    56U,	// VPUNPCKLDQYrm
-    4U,	// VPUNPCKLDQYrr
-    52U,	// VPUNPCKLDQZrm
-    4U,	// VPUNPCKLDQZrr
-    48U,	// VPUNPCKLDQrm
-    4U,	// VPUNPCKLDQrr
-    56U,	// VPUNPCKLQDQYrm
-    4U,	// VPUNPCKLQDQYrr
-    52U,	// VPUNPCKLQDQZrm
-    4U,	// VPUNPCKLQDQZrr
-    48U,	// VPUNPCKLQDQrm
-    4U,	// VPUNPCKLQDQrr
-    56U,	// VPUNPCKLWDYrm
-    4U,	// VPUNPCKLWDYrr
-    48U,	// VPUNPCKLWDrm
-    4U,	// VPUNPCKLWDrr
-    52U,	// VPXORDZrm
-    392U,	// VPXORDZrmb
-    4U,	// VPXORDZrr
-    52U,	// VPXORQZrm
-    268U,	// VPXORQZrmb
-    4U,	// VPXORQZrr
-    56U,	// VPXORYrm
-    4U,	// VPXORYrr
-    48U,	// VPXORrm
-    4U,	// VPXORrr
+    120U,	// VPUNPCKHBWYrm
+    8U,	// VPUNPCKHBWYrr
+    96U,	// VPUNPCKHBWrm
+    8U,	// VPUNPCKHBWrr
+    120U,	// VPUNPCKHDQYrm
+    8U,	// VPUNPCKHDQYrr
+    104U,	// VPUNPCKHDQZrm
+    8U,	// VPUNPCKHDQZrr
+    96U,	// VPUNPCKHDQrm
+    8U,	// VPUNPCKHDQrr
+    120U,	// VPUNPCKHQDQYrm
+    8U,	// VPUNPCKHQDQYrr
+    104U,	// VPUNPCKHQDQZrm
+    8U,	// VPUNPCKHQDQZrr
+    96U,	// VPUNPCKHQDQrm
+    8U,	// VPUNPCKHQDQrr
+    120U,	// VPUNPCKHWDYrm
+    8U,	// VPUNPCKHWDYrr
+    96U,	// VPUNPCKHWDrm
+    8U,	// VPUNPCKHWDrr
+    120U,	// VPUNPCKLBWYrm
+    8U,	// VPUNPCKLBWYrr
+    96U,	// VPUNPCKLBWrm
+    8U,	// VPUNPCKLBWrr
+    120U,	// VPUNPCKLDQYrm
+    8U,	// VPUNPCKLDQYrr
+    104U,	// VPUNPCKLDQZrm
+    8U,	// VPUNPCKLDQZrr
+    96U,	// VPUNPCKLDQrm
+    8U,	// VPUNPCKLDQrr
+    120U,	// VPUNPCKLQDQYrm
+    8U,	// VPUNPCKLQDQYrr
+    104U,	// VPUNPCKLQDQZrm
+    8U,	// VPUNPCKLQDQZrr
+    96U,	// VPUNPCKLQDQrm
+    8U,	// VPUNPCKLQDQrr
+    120U,	// VPUNPCKLWDYrm
+    8U,	// VPUNPCKLWDYrr
+    96U,	// VPUNPCKLWDrm
+    8U,	// VPUNPCKLWDrr
+    104U,	// VPXORDZrm
+    784U,	// VPXORDZrmb
+    8U,	// VPXORDZrr
+    104U,	// VPXORQZrm
+    536U,	// VPXORQZrmb
+    8U,	// VPXORQZrr
+    120U,	// VPXORYrm
+    8U,	// VPXORYrr
+    96U,	// VPXORrm
+    8U,	// VPXORrr
     0U,	// VRCP14PDZm
-    0U,	// VRCP14PDZm_Int
     0U,	// VRCP14PDZr
-    0U,	// VRCP14PDZr_Int
     0U,	// VRCP14PSZm
-    0U,	// VRCP14PSZm_Int
     0U,	// VRCP14PSZr
-    0U,	// VRCP14PSZr_Int
-    28U,	// VRCP14SDZm
-    28U,	// VRCP14SDZm_Int
-    4U,	// VRCP14SDZr
-    32U,	// VRCP14SSZm
-    32U,	// VRCP14SSZm_Int
-    4U,	// VRCP14SSZr
+    56U,	// VRCP14SDrm
+    8U,	// VRCP14SDrr
+    64U,	// VRCP14SSrm
+    8U,	// VRCP14SSrr
     0U,	// VRCP28PDZm
-    0U,	// VRCP28PDZm_Int
     0U,	// VRCP28PDZr
-    0U,	// VRCP28PDZr_Int
+    2U,	// VRCP28PDZrb
     0U,	// VRCP28PSZm
-    0U,	// VRCP28PSZm_Int
     0U,	// VRCP28PSZr
-    0U,	// VRCP28PSZr_Int
-    28U,	// VRCP28SDZm
-    28U,	// VRCP28SDZm_Int
-    4U,	// VRCP28SDZr
-    32U,	// VRCP28SSZm
-    32U,	// VRCP28SSZm_Int
-    4U,	// VRCP28SSZr
+    2U,	// VRCP28PSZrb
+    56U,	// VRCP28SDrm
+    8U,	// VRCP28SDrr
+    1032U,	// VRCP28SDrrb
+    64U,	// VRCP28SSrm
+    8U,	// VRCP28SSrr
+    1032U,	// VRCP28SSrrb
     0U,	// VRCPPSYm
     0U,	// VRCPPSYm_Int
     0U,	// VRCPPSYr
@@ -10427,61 +10503,51 @@
     0U,	// VRCPPSm_Int
     0U,	// VRCPPSr
     0U,	// VRCPPSr_Int
-    32U,	// VRCPSSm
-    32U,	// VRCPSSm_Int
-    4U,	// VRCPSSr
-    8348U,	// VRNDSCALESDm
-    8836U,	// VRNDSCALESDr
-    8836U,	// VRNDSCALESDr_Int
-    8352U,	// VRNDSCALESSm
-    8836U,	// VRNDSCALESSr
-    8836U,	// VRNDSCALESSr_Int
-    0U,	// VRNDSCALEZPDm
-    4U,	// VRNDSCALEZPDr
-    0U,	// VRNDSCALEZPSm
-    4U,	// VRNDSCALEZPSr
+    64U,	// VRCPSSm
+    64U,	// VRCPSSm_Int
+    8U,	// VRCPSSr
+    0U,	// VRNDSCALEPDZm
+    8U,	// VRNDSCALEPDZr
+    0U,	// VRNDSCALEPSZm
+    8U,	// VRNDSCALEPSZr
+    56U,	// VRNDSCALESDm
+    8U,	// VRNDSCALESDr
+    64U,	// VRNDSCALESSm
+    8U,	// VRNDSCALESSr
     0U,	// VROUNDPDm
-    4U,	// VROUNDPDr
+    8U,	// VROUNDPDr
     0U,	// VROUNDPSm
-    4U,	// VROUNDPSr
-    8348U,	// VROUNDSDm
-    8836U,	// VROUNDSDr
-    8836U,	// VROUNDSDr_Int
-    8352U,	// VROUNDSSm
-    8836U,	// VROUNDSSr
-    8836U,	// VROUNDSSr_Int
+    8U,	// VROUNDPSr
+    33080U,	// VROUNDSDm
+    35080U,	// VROUNDSDr
+    35080U,	// VROUNDSDr_Int
+    33088U,	// VROUNDSSm
+    35080U,	// VROUNDSSr
+    35080U,	// VROUNDSSr_Int
     0U,	// VROUNDYPDm
-    4U,	// VROUNDYPDr
+    8U,	// VROUNDYPDr
     0U,	// VROUNDYPSm
-    4U,	// VROUNDYPSr
+    8U,	// VROUNDYPSr
     0U,	// VRSQRT14PDZm
-    0U,	// VRSQRT14PDZm_Int
     0U,	// VRSQRT14PDZr
-    0U,	// VRSQRT14PDZr_Int
     0U,	// VRSQRT14PSZm
-    0U,	// VRSQRT14PSZm_Int
     0U,	// VRSQRT14PSZr
-    0U,	// VRSQRT14PSZr_Int
-    28U,	// VRSQRT14SDZm
-    28U,	// VRSQRT14SDZm_Int
-    4U,	// VRSQRT14SDZr
-    32U,	// VRSQRT14SSZm
-    32U,	// VRSQRT14SSZm_Int
-    4U,	// VRSQRT14SSZr
+    56U,	// VRSQRT14SDrm
+    8U,	// VRSQRT14SDrr
+    64U,	// VRSQRT14SSrm
+    8U,	// VRSQRT14SSrr
     0U,	// VRSQRT28PDZm
-    0U,	// VRSQRT28PDZm_Int
     0U,	// VRSQRT28PDZr
-    0U,	// VRSQRT28PDZr_Int
+    2U,	// VRSQRT28PDZrb
     0U,	// VRSQRT28PSZm
-    0U,	// VRSQRT28PSZm_Int
     0U,	// VRSQRT28PSZr
-    0U,	// VRSQRT28PSZr_Int
-    28U,	// VRSQRT28SDZm
-    28U,	// VRSQRT28SDZm_Int
-    4U,	// VRSQRT28SDZr
-    32U,	// VRSQRT28SSZm
-    32U,	// VRSQRT28SSZm_Int
-    4U,	// VRSQRT28SSZr
+    2U,	// VRSQRT28PSZrb
+    56U,	// VRSQRT28SDrm
+    8U,	// VRSQRT28SDrr
+    1032U,	// VRSQRT28SDrrb
+    64U,	// VRSQRT28SSrm
+    8U,	// VRSQRT28SSrr
+    1032U,	// VRSQRT28SSrrb
     0U,	// VRSQRTPSYm
     0U,	// VRSQRTPSYm_Int
     0U,	// VRSQRTPSYr
@@ -10490,25 +10556,25 @@
     0U,	// VRSQRTPSm_Int
     0U,	// VRSQRTPSr
     0U,	// VRSQRTPSr_Int
-    32U,	// VRSQRTSSm
-    32U,	// VRSQRTSSm_Int
-    4U,	// VRSQRTSSr
+    64U,	// VRSQRTSSm
+    64U,	// VRSQRTSSm_Int
+    8U,	// VRSQRTSSr
     0U,	// VSCATTERDPDZmr
     0U,	// VSCATTERDPSZmr
     0U,	// VSCATTERQPDZmr
     0U,	// VSCATTERQPSZmr
-    8356U,	// VSHUFPDYrmi
-    8836U,	// VSHUFPDYrri
-    8360U,	// VSHUFPDZrmi
-    8836U,	// VSHUFPDZrri
-    8364U,	// VSHUFPDrmi
-    8836U,	// VSHUFPDrri
-    8356U,	// VSHUFPSYrmi
-    8836U,	// VSHUFPSYrri
-    8360U,	// VSHUFPSZrmi
-    8836U,	// VSHUFPSZrri
-    8364U,	// VSHUFPSrmi
-    8836U,	// VSHUFPSrri
+    33096U,	// VSHUFPDYrmi
+    35080U,	// VSHUFPDYrri
+    33104U,	// VSHUFPDZrmi
+    35080U,	// VSHUFPDZrri
+    33112U,	// VSHUFPDrmi
+    35080U,	// VSHUFPDrri
+    33096U,	// VSHUFPSYrmi
+    35080U,	// VSHUFPSYrri
+    33104U,	// VSHUFPSZrmi
+    35080U,	// VSHUFPSZrri
+    33112U,	// VSHUFPSrmi
+    35080U,	// VSHUFPSrri
     0U,	// VSQRTPDYm
     0U,	// VSQRTPDYr
     0U,	// VSQRTPDZm_Int
@@ -10525,47 +10591,47 @@
     0U,	// VSQRTPSZrr
     0U,	// VSQRTPSm
     0U,	// VSQRTPSr
-    28U,	// VSQRTSDZm
-    28U,	// VSQRTSDZm_Int
-    4U,	// VSQRTSDZr
-    4U,	// VSQRTSDZr_Int
-    28U,	// VSQRTSDm
-    28U,	// VSQRTSDm_Int
-    4U,	// VSQRTSDr
-    32U,	// VSQRTSSZm
-    32U,	// VSQRTSSZm_Int
-    4U,	// VSQRTSSZr
-    4U,	// VSQRTSSZr_Int
-    32U,	// VSQRTSSm
-    32U,	// VSQRTSSm_Int
-    4U,	// VSQRTSSr
+    56U,	// VSQRTSDZm
+    56U,	// VSQRTSDZm_Int
+    8U,	// VSQRTSDZr
+    8U,	// VSQRTSDZr_Int
+    56U,	// VSQRTSDm
+    56U,	// VSQRTSDm_Int
+    8U,	// VSQRTSDr
+    64U,	// VSQRTSSZm
+    64U,	// VSQRTSSZm_Int
+    8U,	// VSQRTSSZr
+    8U,	// VSQRTSSZr_Int
+    64U,	// VSQRTSSm
+    64U,	// VSQRTSSm_Int
+    8U,	// VSQRTSSr
     0U,	// VSTMXCSR
-    36U,	// VSUBPDYrm
-    4U,	// VSUBPDYrr
-    40U,	// VSUBPDZrm
-    284U,	// VSUBPDZrmb
-    4U,	// VSUBPDZrr
-    44U,	// VSUBPDrm
-    4U,	// VSUBPDrr
-    36U,	// VSUBPSYrm
-    4U,	// VSUBPSYrr
-    40U,	// VSUBPSZrm
-    416U,	// VSUBPSZrmb
-    4U,	// VSUBPSZrr
-    44U,	// VSUBPSrm
-    4U,	// VSUBPSrr
-    28U,	// VSUBSDZrm
-    4U,	// VSUBSDZrr
-    28U,	// VSUBSDrm
-    28U,	// VSUBSDrm_Int
-    4U,	// VSUBSDrr
-    4U,	// VSUBSDrr_Int
-    32U,	// VSUBSSZrm
-    4U,	// VSUBSSZrr
-    32U,	// VSUBSSrm
-    32U,	// VSUBSSrm_Int
-    4U,	// VSUBSSrr
-    4U,	// VSUBSSrr_Int
+    72U,	// VSUBPDYrm
+    8U,	// VSUBPDYrr
+    80U,	// VSUBPDZrm
+    568U,	// VSUBPDZrmb
+    8U,	// VSUBPDZrr
+    88U,	// VSUBPDrm
+    8U,	// VSUBPDrr
+    72U,	// VSUBPSYrm
+    8U,	// VSUBPSYrr
+    80U,	// VSUBPSZrm
+    832U,	// VSUBPSZrmb
+    8U,	// VSUBPSZrr
+    88U,	// VSUBPSrm
+    8U,	// VSUBPSrr
+    56U,	// VSUBSDZrm
+    8U,	// VSUBSDZrr
+    56U,	// VSUBSDrm
+    56U,	// VSUBSDrm_Int
+    8U,	// VSUBSDrr
+    8U,	// VSUBSDrr_Int
+    64U,	// VSUBSSZrm
+    8U,	// VSUBSSZrr
+    64U,	// VSUBSSrm
+    64U,	// VSUBSSrm_Int
+    8U,	// VSUBSSrr
+    8U,	// VSUBSSrr_Int
     0U,	// VTESTPDYrm
     0U,	// VTESTPDYrr
     0U,	// VTESTPDrm
@@ -10582,38 +10648,38 @@
     0U,	// VUCOMISSZrr
     0U,	// VUCOMISSrm
     0U,	// VUCOMISSrr
-    36U,	// VUNPCKHPDYrm
-    4U,	// VUNPCKHPDYrr
-    40U,	// VUNPCKHPDZrm
-    4U,	// VUNPCKHPDZrr
-    44U,	// VUNPCKHPDrm
-    4U,	// VUNPCKHPDrr
-    36U,	// VUNPCKHPSYrm
-    4U,	// VUNPCKHPSYrr
-    40U,	// VUNPCKHPSZrm
-    4U,	// VUNPCKHPSZrr
-    44U,	// VUNPCKHPSrm
-    4U,	// VUNPCKHPSrr
-    36U,	// VUNPCKLPDYrm
-    4U,	// VUNPCKLPDYrr
-    40U,	// VUNPCKLPDZrm
-    4U,	// VUNPCKLPDZrr
-    44U,	// VUNPCKLPDrm
-    4U,	// VUNPCKLPDrr
-    36U,	// VUNPCKLPSYrm
-    4U,	// VUNPCKLPSYrr
-    40U,	// VUNPCKLPSZrm
-    4U,	// VUNPCKLPSZrr
-    44U,	// VUNPCKLPSrm
-    4U,	// VUNPCKLPSrr
-    36U,	// VXORPDYrm
-    4U,	// VXORPDYrr
-    44U,	// VXORPDrm
-    4U,	// VXORPDrr
-    36U,	// VXORPSYrm
-    4U,	// VXORPSYrr
-    44U,	// VXORPSrm
-    4U,	// VXORPSrr
+    72U,	// VUNPCKHPDYrm
+    8U,	// VUNPCKHPDYrr
+    80U,	// VUNPCKHPDZrm
+    8U,	// VUNPCKHPDZrr
+    88U,	// VUNPCKHPDrm
+    8U,	// VUNPCKHPDrr
+    72U,	// VUNPCKHPSYrm
+    8U,	// VUNPCKHPSYrr
+    80U,	// VUNPCKHPSZrm
+    8U,	// VUNPCKHPSZrr
+    88U,	// VUNPCKHPSrm
+    8U,	// VUNPCKHPSrr
+    72U,	// VUNPCKLPDYrm
+    8U,	// VUNPCKLPDYrr
+    80U,	// VUNPCKLPDZrm
+    8U,	// VUNPCKLPDZrr
+    88U,	// VUNPCKLPDrm
+    8U,	// VUNPCKLPDrr
+    72U,	// VUNPCKLPSYrm
+    8U,	// VUNPCKLPSYrr
+    80U,	// VUNPCKLPSZrm
+    8U,	// VUNPCKLPSZrr
+    88U,	// VUNPCKLPSrm
+    8U,	// VUNPCKLPSrr
+    72U,	// VXORPDYrm
+    8U,	// VXORPDYrr
+    88U,	// VXORPDrm
+    8U,	// VXORPDrr
+    72U,	// VXORPSYrm
+    8U,	// VXORPSYrr
+    88U,	// VXORPSrm
+    8U,	// VXORPSrr
     0U,	// VZEROALL
     0U,	// VZEROUPPER
     0U,	// V_SET0
@@ -10716,1132 +10782,1274 @@
   };
 
   static char AsmStrs[] = {
-  /* 0 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 12 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 22 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 34 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 46 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 56 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 66 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 77 */ 'c', 'r', 'c', '3', '2', 9, 0,
-  /* 84 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
-  /* 95 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 105 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 117 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 130 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 142 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 152 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 163 */ 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
-  /* 172 */ 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
-  /* 182 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
-  /* 194 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
-  /* 205 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 216 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 231 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 245 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 260 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 274 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 289 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 303 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 318 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 332 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 344 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 358 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 371 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 387 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 399 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 413 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 426 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 442 */ 'l', 'e', 'a', 9, 0,
-  /* 447 */ 'j', 'a', 9, 0,
-  /* 451 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 462 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 471 */ 's', 'e', 't', 'a', 9, 0,
-  /* 477 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 490 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
-  /* 497 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 509 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 520 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 528 */ 's', 'b', 'b', 9, 0,
-  /* 533 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 541 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 549 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 9, 0,
-  /* 560 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 9, 0,
-  /* 570 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 9, 0,
-  /* 579 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 588 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 596 */ 'j', 'b', 9, 0,
-  /* 600 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 611 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 619 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 627 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 636 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 646 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 9, 0,
-  /* 657 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 9, 0,
-  /* 667 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 9, 0,
-  /* 676 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 685 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 694 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 702 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 711 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 720 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 729 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 739 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 749 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 758 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 767 */ 's', 'e', 't', 'b', 9, 0,
-  /* 773 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 783 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 791 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 805 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 814 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 823 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 830 */ 'f', 'i', 's', 'u', 'b', 9, 0,
-  /* 837 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 846 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 857 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 864 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 875 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 886 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 893 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 901 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 910 */ 'a', 'd', 'c', 9, 0,
-  /* 915 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 924 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 931 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 938 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 946 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 955 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 964 */ 'i', 'n', 'c', 9, 0,
-  /* 969 */ 'b', 't', 'c', 9, 0,
-  /* 974 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 9, 0,
-  /* 984 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 9, 0,
-  /* 1001 */ 'a', 'a', 'd', 9, 0,
-  /* 1006 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
-  /* 1014 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 1022 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 1030 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 1040 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 1051 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 1060 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 1068 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 1079 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 1090 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 1097 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
-  /* 1104 */ 'x', 'a', 'd', 'd', 9, 0,
-  /* 1110 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 1119 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 1127 */ 'v', 'p', 'a', 'n', 'd', 'd', 9, 0,
-  /* 1135 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 1145 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 1157 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 9, 0,
-  /* 1170 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 1180 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 1191 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
-  /* 1199 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 1206 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 1215 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 1222 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 1231 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 1240 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 1246 */ 'f', 'l', 'd', 9, 0,
-  /* 1251 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 1259 */ 'f', 'i', 'l', 'd', 9, 0,
-  /* 1265 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 1273 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 1282 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 1290 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 1299 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 9, 0,
-  /* 1310 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 1318 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 1326 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 9, 0,
-  /* 1336 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 1343 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
-  /* 1351 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 9, 0,
-  /* 1360 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 9, 0,
-  /* 1369 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 1378 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 1385 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1401 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1414 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1428 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1444 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1457 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1471 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1487 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1500 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1514 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1530 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1543 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1557 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 9, 0,
-  /* 1568 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 1578 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 1590 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 1601 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 1613 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 1624 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1640 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1653 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1667 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1683 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1696 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1710 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 9, 0,
-  /* 1720 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 9, 0,
-  /* 1732 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 9, 0,
-  /* 1742 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 9, 0,
-  /* 1754 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 1763 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 1771 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1784 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1795 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1804 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1814 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1825 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1833 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1846 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1855 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1865 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1876 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1884 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 1892 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 1902 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 1912 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 1924 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 1937 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 9, 0,
-  /* 1950 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 1959 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 1970 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 1979 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 1990 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 2001 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 2012 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 2020 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 2029 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 2037 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 9, 0,
-  /* 2048 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 2057 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 2066 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 2074 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 2081 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 2089 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 2101 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 2114 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 2121 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 2129 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 2139 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 2148 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 2157 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 2166 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 2177 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 2185 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 2197 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 2205 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 2214 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 2224 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 2236 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 9, 0,
-  /* 2249 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 9, 0,
-  /* 2260 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 9, 0,
-  /* 2270 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 9, 0,
-  /* 2279 */ 's', 'h', 'r', 'd', 9, 0,
-  /* 2285 */ 'v', 'p', 'o', 'r', 'd', 9, 0,
-  /* 2292 */ 'v', 'p', 'x', 'o', 'r', 'd', 9, 0,
-  /* 2300 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 2309 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 2318 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2331 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2345 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2358 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2372 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2385 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2399 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2412 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2426 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 2437 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 2449 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 2460 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2473 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2487 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2500 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2514 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 9, 0,
-  /* 2524 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 9, 0,
-  /* 2536 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 9, 0,
-  /* 2546 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 9, 0,
-  /* 2558 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 2566 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 2576 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 2587 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 2595 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 2605 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 2616 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 2624 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 2634 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 9, 0,
-  /* 2647 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 2657 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 2666 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 2674 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 2683 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 2691 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 2699 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 2708 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 2717 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 2731 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 2739 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 2747 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 2756 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 2764 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 2773 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 9, 0,
-  /* 2786 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 2796 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 2804 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 2818 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 2827 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 2836 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 2845 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 2854 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 2863 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 2872 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 2881 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 2893 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 2900 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 2910 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 2920 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 2930 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 2942 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 2954 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 2964 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 2975 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 2986 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 2998 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 3009 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 3020 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 3031 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
-  /* 3039 */ 'j', 'a', 'e', 9, 0,
-  /* 3044 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 3051 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
-  /* 3059 */ 'j', 'b', 'e', 9, 0,
-  /* 3064 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 3071 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 3079 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 3086 */ 'j', 'g', 'e', 9, 0,
-  /* 3091 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 3100 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 3107 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
-  /* 3115 */ 'j', 'e', 9, 0,
-  /* 3119 */ 'j', 'l', 'e', 9, 0,
-  /* 3124 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 3131 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
-  /* 3139 */ 'j', 'n', 'e', 9, 0,
-  /* 3144 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 3152 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 3159 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 3167 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 3174 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3184 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3194 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3204 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3214 */ 's', 'e', 't', 'e', 9, 0,
-  /* 3220 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
-  /* 3229 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 3240 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 3248 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 3256 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 3263 */ 'b', 's', 'f', 9, 0,
-  /* 3268 */ 'r', 'e', 't', 'f', 9, 0,
-  /* 3274 */ 'n', 'e', 'g', 9, 0,
-  /* 3279 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
-  /* 3288 */ 'j', 'g', 9, 0,
-  /* 3292 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 3300 */ 's', 'e', 't', 'g', 9, 0,
-  /* 3306 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
-  /* 3313 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 3323 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 3329 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 3340 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 3351 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 3363 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 3372 */ 'p', 'u', 's', 'h', 9, 0,
-  /* 3378 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 3384 */ 'b', 'z', 'h', 'i', 9, 0,
-  /* 3390 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 3397 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 3405 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 3416 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 3426 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 3437 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 3447 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 3455 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 3464 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 3476 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 3488 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 3500 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 3511 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 3523 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 3534 */ 'b', 'l', 's', 'i', 9, 0,
-  /* 3540 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 9, 0,
-  /* 3553 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 9, 0,
-  /* 3565 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 9, 0,
-  /* 3578 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 9, 0,
-  /* 3590 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
-  /* 3598 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 3606 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
-  /* 3614 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 3621 */ 'r', 'c', 'l', 9, 0,
-  /* 3626 */ 's', 'h', 'l', 9, 0,
-  /* 3631 */ 'j', 'l', 9, 0,
-  /* 3635 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
-  /* 3642 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 3651 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 3660 */ 'r', 'o', 'l', 9, 0,
-  /* 3665 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 3671 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 3682 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 3694 */ 'l', 's', 'l', 9, 0,
-  /* 3699 */ 's', 'e', 't', 'l', 9, 0,
-  /* 3705 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 3712 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
-  /* 3719 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
-  /* 3726 */ 'a', 'a', 'm', 9, 0,
-  /* 3731 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 3737 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
-  /* 3744 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 3751 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 3759 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 3771 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 3783 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 3791 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 3799 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 3806 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 3813 */ 'j', 'o', 9, 0,
-  /* 3817 */ 'j', 'n', 'o', 9, 0,
-  /* 3822 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 3829 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
-  /* 3837 */ 's', 'e', 't', 'o', 9, 0,
-  /* 3843 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
-  /* 3850 */ 'b', 's', 'w', 'a', 'p', 9, 0,
-  /* 3857 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 3864 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 3871 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 3878 */ 'p', 'd', 'e', 'p', 9, 0,
-  /* 3884 */ 'j', 'p', 9, 0,
-  /* 3888 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 3895 */ 'c', 'm', 'p', 9, 0,
-  /* 3900 */ 'l', 'j', 'm', 'p', 9, 0,
-  /* 3906 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 3913 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
-  /* 3921 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 3929 */ 'j', 'n', 'p', 9, 0,
-  /* 3934 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 3941 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
-  /* 3949 */ 'n', 'o', 'p', 9, 0,
-  /* 3954 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 3960 */ 'p', 'o', 'p', 9, 0,
-  /* 3965 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 3973 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 3981 */ 's', 'e', 't', 'p', 9, 0,
-  /* 3987 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 3994 */ 'f', 's', 't', 'p', 9, 0,
-  /* 4000 */ 'f', 'i', 's', 't', 'p', 9, 0,
-  /* 4007 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
-  /* 4015 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 4025 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 4036 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 4047 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 4063 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 4070 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
-  /* 4077 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 9, 0,
-  /* 4094 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 9, 0,
-  /* 4104 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 4113 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 4121 */ 'v', 'p', 's', 'r', 'a', 'q', 9, 0,
-  /* 4129 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 4139 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 4150 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 4158 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 4169 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 4180 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 4192 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 4203 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 4212 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 4224 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 4235 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 4245 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 4253 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 4263 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 4275 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 4287 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 4296 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 4305 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 4314 */ 'v', 'p', 'a', 'n', 'd', 'q', 9, 0,
-  /* 4322 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 4335 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 4348 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 4360 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 4372 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 9, 0,
-  /* 4385 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 4395 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 9, 0,
-  /* 4408 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 9, 0,
-  /* 4421 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 4432 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 4442 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 4453 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 4464 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 4473 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
-  /* 4482 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 4490 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 4498 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 4506 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 9, 0,
-  /* 4517 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 4525 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 4533 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 9, 0,
-  /* 4543 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 9, 0,
-  /* 4552 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 9, 0,
-  /* 4561 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 4569 */ 'j', 'm', 'p', 'q', 9, 0,
-  /* 4575 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 4585 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 4597 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 9, 0,
-  /* 4610 */ 'v', 'p', 'o', 'r', 'q', 9, 0,
-  /* 4617 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
-  /* 4627 */ 'v', 'p', 'x', 'o', 'r', 'q', 9, 0,
-  /* 4635 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 4644 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 4653 */ 'v', 'p', 'a', 'b', 's', 'q', 9, 0,
-  /* 4661 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 9, 0,
-  /* 4670 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 9, 0,
-  /* 4679 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 9, 0,
-  /* 4692 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 4702 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 4710 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 4718 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 4727 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 4741 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 4750 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 9, 0,
-  /* 4759 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 4768 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 9, 0,
-  /* 4777 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 9, 0,
-  /* 4786 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 4795 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 4804 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 4816 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 4823 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 4833 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 4844 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 4855 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 4866 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 4875 */ 'l', 'a', 'r', 9, 0,
-  /* 4880 */ 's', 'a', 'r', 9, 0,
-  /* 4885 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 4893 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
-  /* 4901 */ 'r', 'c', 'r', 9, 0,
-  /* 4906 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 4913 */ 's', 'h', 'r', 9, 0,
-  /* 4918 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 4928 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 4934 */ 'r', 'o', 'r', 9, 0,
-  /* 4939 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 4947 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 4956 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 4963 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 4969 */ 'b', 's', 'r', 9, 0,
-  /* 4974 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 4984 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 4994 */ 'b', 'l', 's', 'r', 9, 0,
-  /* 5000 */ 'b', 't', 'r', 9, 0,
-  /* 5005 */ 'l', 't', 'r', 9, 0,
-  /* 5010 */ 's', 't', 'r', 9, 0,
-  /* 5015 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 5022 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 5029 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
-  /* 5037 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
-  /* 5045 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 5051 */ 'l', 'd', 's', 9, 0,
-  /* 5056 */ 'l', 'e', 's', 9, 0,
-  /* 5061 */ 'l', 'f', 's', 9, 0,
-  /* 5066 */ 'l', 'g', 's', 9, 0,
-  /* 5071 */ 'j', 's', 9, 0,
-  /* 5075 */ 'j', 'n', 's', 9, 0,
-  /* 5080 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 5087 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
-  /* 5095 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 5111 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 5124 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 5138 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 5154 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 5167 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 5181 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5197 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5210 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5224 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5240 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5253 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5267 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 5278 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 5289 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 9, 0,
-  /* 5300 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 5310 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 5322 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 5333 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 5345 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5361 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5374 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5388 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5404 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5417 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5431 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 9, 0,
-  /* 5441 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 9, 0,
-  /* 5453 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 9, 0,
-  /* 5463 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 9, 0,
-  /* 5475 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 5484 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5497 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5508 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5517 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5527 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5538 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5546 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5559 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5568 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5578 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5589 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5597 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 5605 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 5615 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 5625 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 5637 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 5650 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 9, 0,
-  /* 5663 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 5672 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 5683 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 5693 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 5702 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 5713 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 5723 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 5734 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 5745 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 5753 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 5762 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 9, 0,
-  /* 5773 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 5782 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 5791 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 5799 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 5807 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 5814 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 5822 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 5834 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 5847 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 5854 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 5862 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 5874 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 5884 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 5895 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 5905 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 5914 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 5923 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 5932 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 5943 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 5951 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 5963 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 5971 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 5980 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 5993 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 6007 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 6020 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 6034 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 6047 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 6061 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 6074 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 6088 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 6099 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
-  /* 6110 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
-  /* 6122 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 6135 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 6149 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 6162 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 6176 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 9, 0,
-  /* 6186 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 9, 0,
-  /* 6198 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 9, 0,
-  /* 6208 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 9, 0,
-  /* 6220 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 6230 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 6241 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 6249 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 6259 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 6270 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 6278 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 6288 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 9, 0,
-  /* 6301 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 6311 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 6320 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 6328 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 6336 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 6344 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 6352 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 6361 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 6371 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 6380 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 6394 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 6402 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 6410 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 6418 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 6427 */ 'b', 't', 's', 9, 0,
-  /* 6432 */ 's', 'e', 't', 's', 9, 0,
-  /* 6438 */ 'c', 'm', 'o', 'v', 's', 9, 0,
-  /* 6445 */ 'b', 't', 9, 0,
-  /* 6449 */ 'l', 'g', 'd', 't', 9, 0,
-  /* 6455 */ 's', 'g', 'd', 't', 9, 0,
-  /* 6461 */ 'l', 'i', 'd', 't', 9, 0,
-  /* 6467 */ 's', 'i', 'd', 't', 9, 0,
-  /* 6473 */ 'l', 'l', 'd', 't', 9, 0,
-  /* 6479 */ 's', 'l', 'd', 't', 9, 0,
-  /* 6485 */ 'r', 'e', 't', 9, 0,
-  /* 6490 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 6499 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
-  /* 6507 */ 'l', 'z', 'c', 'n', 't', 9, 0,
-  /* 6514 */ 't', 'z', 'c', 'n', 't', 9, 0,
-  /* 6521 */ 'i', 'n', 't', 9, 0,
-  /* 6526 */ 'n', 'o', 't', 9, 0,
-  /* 6531 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 6539 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 6549 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 6557 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 6566 */ 'v', 's', 'q', 'r', 't', 9, 0,
-  /* 6573 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 6586 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 6599 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 6607 */ 'f', 's', 't', 9, 0,
-  /* 6612 */ 'f', 'i', 's', 't', 9, 0,
-  /* 6618 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 6636 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 6645 */ 'o', 'u', 't', 9, 0,
-  /* 6650 */ 'p', 'e', 'x', 't', 9, 0,
-  /* 6656 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 6664 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 6677 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 6686 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 6692 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
-  /* 6699 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 6707 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 6716 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 6724 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 6732 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 6740 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 6750 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 6760 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 6769 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 6779 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 6791 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 9, 0,
-  /* 6801 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 6813 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 6824 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 6833 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 6841 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 6852 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 6863 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 6870 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 6878 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 6887 */ 'k', 'a', 'd', 'd', 'w', 9, 0,
-  /* 6894 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 6902 */ 'k', 'a', 'n', 'd', 'w', 9, 0,
-  /* 6909 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 6919 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 6930 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 6941 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 9, 0,
-  /* 6952 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 9, 0,
-  /* 6962 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 9, 0,
-  /* 6971 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 6978 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 6986 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 6994 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 7005 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 7015 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 7024 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 7031 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 7041 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 7049 */ 'c', 'a', 'l', 'l', 'w', 9, 0,
-  /* 7056 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 7064 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 7073 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 7081 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 9, 0,
-  /* 7091 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 7099 */ 'k', 'a', 'n', 'd', 'n', 'w', 9, 0,
-  /* 7107 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 7116 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 7126 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 9, 0,
-  /* 7137 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 9, 0,
-  /* 7147 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 9, 0,
-  /* 7156 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 7162 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 7171 */ 'k', 'o', 'r', 'w', 9, 0,
-  /* 7177 */ 'k', 'x', 'n', 'o', 'r', 'w', 9, 0,
-  /* 7185 */ 'k', 'x', 'o', 'r', 'w', 9, 0,
-  /* 7192 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 7201 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 9, 0,
-  /* 7211 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 7220 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 7228 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 7240 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 7250 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 7259 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 7269 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 7278 */ 'l', 'm', 's', 'w', 9, 0,
-  /* 7284 */ 's', 'm', 's', 'w', 9, 0,
-  /* 7290 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 7299 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 7310 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 7318 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 7328 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 7338 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 7347 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 7357 */ 'k', 'n', 'o', 't', 'w', 9, 0,
-  /* 7364 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 7372 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 7386 */ 'k', 't', 'e', 's', 't', 'w', 9, 0,
-  /* 7394 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 9, 0,
-  /* 7404 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 7414 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 7423 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 7432 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 7445 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 7454 */ 'k', 'm', 'o', 'v', 'w', 9, 0,
-  /* 7461 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 7471 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 7482 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 7489 */ 'a', 'd', 'c', 'x', 9, 0,
-  /* 7495 */ 's', 'h', 'l', 'x', 9, 0,
-  /* 7501 */ 'm', 'u', 'l', 'x', 9, 0,
-  /* 7507 */ 'a', 'd', 'o', 'x', 9, 0,
-  /* 7513 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 7526 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 7538 */ 's', 'a', 'r', 'x', 9, 0,
-  /* 7544 */ 's', 'h', 'r', 'x', 9, 0,
-  /* 7550 */ 'r', 'o', 'r', 'x', 9, 0,
-  /* 7556 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 7568 */ 'm', 'o', 'v', 's', 'x', 9, 0,
-  /* 7575 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
-  /* 7582 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 7589 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 7595 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 7602 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7618 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7633 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7650 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7666 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7682 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7697 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7713 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7728 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 7737 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 7746 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
-  /* 7755 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 7764 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 7773 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
-  /* 7781 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
-  /* 7790 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
-  /* 7799 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
-  /* 7811 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
-  /* 7821 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
-  /* 7830 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 7839 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 7848 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
-  /* 7857 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 7866 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 7875 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
-  /* 7885 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
-  /* 7893 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
-  /* 7902 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
-  /* 7911 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
-  /* 7923 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
-  /* 7933 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
-  /* 7942 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7952 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7962 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7972 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7982 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7992 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 8003 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 8012 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 8022 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 8032 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 8045 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 8056 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 8066 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8076 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8086 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8096 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8106 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8116 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8127 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8137 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8147 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8160 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 8171 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 8182 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 8205 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 8229 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8249 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8269 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8289 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8310 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8331 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8352 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8374 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8395 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8417 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8439 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8459 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8480 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8501 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8523 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8542 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8562 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8582 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8603 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8623 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8642 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8662 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8682 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8701 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8719 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8738 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8757 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8777 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8796 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8816 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8836 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8856 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8876 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8896 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8916 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8936 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8955 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8975 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8995 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9014 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9032 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9051 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9070 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9090 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9109 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9129 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9148 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9168 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9186 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9205 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9224 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9244 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9262 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9281 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9299 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9318 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9336 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9353 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9371 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9389 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9408 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9429 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9450 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 9456 */ 'f', 'l', 'd', '1', 0,
-  /* 9461 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 9468 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 9474 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 9482 */ 'i', 'n', 't', '1', 0,
-  /* 9487 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 9506 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 9524 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 9537 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 9550 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 9568 */ 'u', 'd', '2', 0,
-  /* 9572 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 9579 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 9586 */ 'i', 'n', 't', '3', 0,
-  /* 9591 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 9610 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 9628 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 9641 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 9654 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 9672 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 9678 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 9685 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 9693 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 9706 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 9713 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 9723 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 9732 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 9750 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 9766 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 9778 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 9793 */ 'a', 'a', 'a', 0,
-  /* 9797 */ 'd', 'a', 'a', 0,
-  /* 9801 */ 'p', 'u', 's', 'h', 'a', 0,
-  /* 9807 */ 'p', 'o', 'p', 'a', 0,
-  /* 9812 */ 'u', 'd', '2', 'b', 0,
-  /* 9817 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 9827 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 9837 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 9847 */ 's', 'c', 'a', 's', 'b', 0,
-  /* 9853 */ 'l', 'o', 'd', 's', 'b', 0,
-  /* 9859 */ 'i', 'n', 's', 'b', 0,
-  /* 9864 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 9874 */ 'c', 'm', 'p', 's', 'b', 0,
-  /* 9880 */ 'o', 'u', 't', 's', 'b', 0,
-  /* 9886 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 9896 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 9902 */ 'c', 'l', 'a', 'c', 0,
-  /* 9907 */ 's', 't', 'a', 'c', 0,
-  /* 9912 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 9922 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 9929 */ 's', 'a', 'l', 'c', 0,
-  /* 9934 */ 'c', 'l', 'c', 0,
-  /* 9938 */ 'c', 'm', 'c', 0,
-  /* 9942 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 9948 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 9955 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 9961 */ 's', 't', 'c', 0,
-  /* 9965 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 9972 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 9978 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 9984 */ 'c', 'l', 'd', 0,
-  /* 9988 */ 'x', 'e', 'n', 'd', 0,
-  /* 9993 */ 's', 'c', 'a', 's', 'd', 0,
-  /* 9999 */ 'l', 'o', 'd', 's', 'd', 0,
-  /* 10005 */ 'i', 'n', 's', 'd', 0,
-  /* 10010 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 10020 */ 'c', 'm', 'p', 's', 'd', 0,
-  /* 10026 */ 'o', 'u', 't', 's', 'd', 0,
-  /* 10032 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 10042 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 10048 */ 's', 't', 'd', 0,
-  /* 10052 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 10059 */ 'c', 'w', 'd', 0,
-  /* 10063 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 10070 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 10077 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 10084 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 10091 */ 'c', 'w', 'd', 'e', 0,
-  /* 10096 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 10103 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 10112 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 10118 */ 'c', 'd', 'q', 'e', 0,
-  /* 10123 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 10132 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 10139 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 10148 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 10154 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 10160 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 10167 */ 'l', 'a', 'h', 'f', 0,
-  /* 10172 */ 's', 'a', 'h', 'f', 0,
-  /* 10177 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 10183 */ 'p', 'o', 'p', 'f', 0,
-  /* 10188 */ 'r', 'e', 't', 'f', 0,
-  /* 10193 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 10202 */ 'c', 'l', 'g', 'i', 0,
-  /* 10207 */ 's', 't', 'g', 'i', 0,
-  /* 10212 */ 'c', 'l', 'i', 0,
-  /* 10216 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 10222 */ 's', 't', 'i', 0,
-  /* 10226 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 10241 */ 'l', 'o', 'c', 'k', 0,
-  /* 10246 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 10257 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 10265 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 10272 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 10280 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 10289 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 10297 */ 'f', 'x', 'a', 'm', 0,
-  /* 10302 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 10308 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 10315 */ 'r', 's', 'm', 0,
-  /* 10319 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 10326 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 10332 */ 'f', 's', 'i', 'n', 0,
-  /* 10337 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 10364 */ 'c', 'q', 'o', 0,
-  /* 10368 */ 'i', 'n', 't', 'o', 0,
-  /* 10373 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 10380 */ 'r', 'e', 'p', 0,
-  /* 10384 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 10390 */ 'v', 'c', 'm', 'p', 0,
-  /* 10395 */ 'f', 'n', 'o', 'p', 0,
-  /* 10400 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 10407 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 10415 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 10423 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 10431 */ 'c', 'd', 'q', 0,
-  /* 10435 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 10442 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 10448 */ 's', 'c', 'a', 's', 'q', 0,
-  /* 10454 */ 'l', 'o', 'd', 's', 'q', 0,
-  /* 10460 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 10470 */ 'c', 'm', 'p', 's', 'q', 0,
-  /* 10476 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 10486 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 10492 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 10503 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 10512 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 10520 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 10526 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 10532 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 10542 */ 'a', 'a', 's', 0,
-  /* 10546 */ 'd', 'a', 's', 0,
-  /* 10550 */ 'f', 'a', 'b', 's', 0,
-  /* 10555 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 10563 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 10571 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 10578 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 10586 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 10593 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 10601 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 10608 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 10616 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 10623 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 10630 */ 'f', 'c', 'h', 's', 0,
-  /* 10635 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 10680 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 10686 */ 'f', 'c', 'o', 's', 0,
-  /* 10691 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 10699 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 10707 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 10714 */ 'c', 'l', 't', 's', 0,
-  /* 10719 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 10726 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 10734 */ 'i', 'r', 'e', 't', 0,
-  /* 10739 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 10746 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 10752 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 10759 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 10767 */ 'h', 'l', 't', 0,
-  /* 10771 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 10779 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 10785 */ 'x', 't', 'e', 's', 't', 0,
-  /* 10791 */ 'f', 't', 's', 't', 0,
-  /* 10796 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 10803 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 10810 */ 'c', 'b', 'w', 0,
-  /* 10814 */ 's', 'c', 'a', 's', 'w', 0,
-  /* 10820 */ 'l', 'o', 'd', 's', 'w', 0,
-  /* 10826 */ 'i', 'n', 's', 'w', 0,
-  /* 10831 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 10841 */ 'c', 'm', 'p', 's', 'w', 0,
-  /* 10847 */ 'o', 'u', 't', 's', 'w', 0,
-  /* 10853 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 10863 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 10869 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
-  /* 10879 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 10890 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 10901 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 10912 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 10922 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 10933 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 10945 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 10956 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 10967 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 10977 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 10994 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 11011 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 11021 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 11031 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 11042 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 11049 */ 'f', 'l', 'd', 'z', 0,
+  /* 0 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 32, 9, 0,
+  /* 12 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 32, 9, 0,
+  /* 24 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
+  /* 36 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
+  /* 47 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
+  /* 57 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
+  /* 69 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
+  /* 80 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
+  /* 90 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
+  /* 101 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
+  /* 112 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
+  /* 130 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
+  /* 139 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
+  /* 148 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
+  /* 160 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
+  /* 172 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
+  /* 181 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 190 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 203 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 217 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
+  /* 227 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
+  /* 236 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
+  /* 246 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
+  /* 255 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
+  /* 267 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
+  /* 279 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
+  /* 288 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
+  /* 299 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 309 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
+  /* 319 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 336 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 350 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 365 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 382 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 396 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 411 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
+  /* 423 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 435 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 448 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
+  /* 460 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
+  /* 472 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 503 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 518 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 535 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 549 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 564 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 575 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 588 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 599 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 612 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 32, 9, 0,
+  /* 622 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
+  /* 631 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
+  /* 640 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 653 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 667 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
+  /* 681 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
+  /* 691 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
+  /* 703 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
+  /* 712 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
+  /* 724 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
+  /* 734 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
+  /* 743 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
+  /* 752 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 765 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 779 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 32, 9, 0,
+  /* 789 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
+  /* 798 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
+  /* 807 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
+  /* 818 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 831 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 845 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
+  /* 857 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
+  /* 868 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
+  /* 878 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
+  /* 886 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 895 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 907 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 920 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 934 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 949 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 963 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 978 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
+  /* 989 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1002 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1013 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1026 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
+  /* 1035 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
+  /* 1049 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
+  /* 1059 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
+  /* 1074 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
+  /* 1083 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
+  /* 1093 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
+  /* 1107 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
+  /* 1118 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
+  /* 1133 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
+  /* 1143 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
+  /* 1153 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
+  /* 1163 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
+  /* 1173 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
+  /* 1183 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
+  /* 1195 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
+  /* 1207 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
+  /* 1219 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1232 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1244 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1257 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1269 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1283 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1296 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1310 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1323 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
+  /* 1334 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
+  /* 1346 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
+  /* 1358 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
+  /* 1376 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
+  /* 1387 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
+  /* 1398 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
+  /* 1407 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
+  /* 1416 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
+  /* 1428 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
+  /* 1440 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1453 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1465 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1478 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1490 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
+  /* 1499 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
+  /* 1512 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
+  /* 1525 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
+  /* 1535 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1544 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
+  /* 1558 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
+  /* 1572 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1585 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1599 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1613 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1626 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1640 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1653 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
+  /* 1664 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
+  /* 1676 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
+  /* 1688 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
+  /* 1697 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
+  /* 1706 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
+  /* 1718 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
+  /* 1730 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
+  /* 1739 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
+  /* 1750 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 1760 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
+  /* 1770 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
+  /* 1781 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1794 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1808 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
+  /* 1816 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 1825 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
+  /* 1834 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
+  /* 1844 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
+  /* 1854 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
+  /* 1868 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
+  /* 1879 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
+  /* 1894 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
+  /* 1904 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
+  /* 1914 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
+  /* 1924 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
+  /* 1934 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
+  /* 1944 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
+  /* 1956 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
+  /* 1968 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 1985 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 1999 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2014 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2031 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2045 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2060 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
+  /* 2072 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
+  /* 2084 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2096 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2109 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
+  /* 2121 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2138 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2152 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2167 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2184 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2198 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2213 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2224 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2237 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2248 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2261 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 32, 9, 0,
+  /* 2271 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
+  /* 2280 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
+  /* 2289 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2302 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2316 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
+  /* 2330 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
+  /* 2340 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
+  /* 2352 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
+  /* 2361 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
+  /* 2373 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
+  /* 2383 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
+  /* 2392 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
+  /* 2401 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2414 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2428 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 32, 9, 0,
+  /* 2438 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
+  /* 2447 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
+  /* 2456 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2468 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2481 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2495 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2510 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2524 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2539 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
+  /* 2550 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
+  /* 2563 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
+  /* 2574 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
+  /* 2587 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
+  /* 2601 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
+  /* 2616 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
+  /* 2625 */ 'v', 's', 'q', 'r', 't', 32, 9, 0,
+  /* 2633 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 2644 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 2652 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 2664 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 2675 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 2685 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 2696 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 2705 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 2717 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 2728 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 2738 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 2745 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 2754 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 2762 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 2773 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 2781 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 2792 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 2800 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 2812 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 2822 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 2834 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 2846 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 2856 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 2866 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 2877 */ 'c', 'r', 'c', '3', '2', 9, 0,
+  /* 2884 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 2894 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 2906 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 2919 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 2931 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 2941 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 2952 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
+  /* 2962 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
+  /* 2973 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
+  /* 2985 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 2996 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3011 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3025 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3040 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3054 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3069 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3083 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3098 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3112 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3124 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3138 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3151 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3167 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3179 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3193 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3206 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3222 */ 'l', 'e', 'a', 9, 0,
+  /* 3227 */ 'j', 'a', 9, 0,
+  /* 3231 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3242 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3251 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3257 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3270 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
+  /* 3277 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3289 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3300 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3308 */ 's', 'b', 'b', 9, 0,
+  /* 3313 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3321 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3329 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3338 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3346 */ 'j', 'b', 9, 0,
+  /* 3350 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3361 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3369 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3377 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3386 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3396 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 3405 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 3414 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 3422 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 3431 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 3440 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 3449 */ 's', 't', 'o', 's', 'b', 9, 0,
+  /* 3456 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 3463 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 3473 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 3483 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 3492 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 3499 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 3508 */ 's', 'e', 't', 'b', 9, 0,
+  /* 3514 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 3524 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 3532 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 3546 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 3555 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 3564 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 3571 */ 'f', 'i', 's', 'u', 'b', 9, 0,
+  /* 3578 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 3587 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 3598 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 3605 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 3616 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 3627 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 3634 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3642 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3651 */ 'a', 'd', 'c', 9, 0,
+  /* 3656 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 3665 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 3672 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 3679 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 3687 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 3696 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 3705 */ 'i', 'n', 'c', 9, 0,
+  /* 3710 */ 'b', 't', 'c', 9, 0,
+  /* 3715 */ 'a', 'a', 'd', 9, 0,
+  /* 3720 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
+  /* 3728 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 3736 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 3744 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 3754 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 3765 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 3774 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 3782 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 3793 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 3804 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 3811 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
+  /* 3818 */ 'x', 'a', 'd', 'd', 9, 0,
+  /* 3824 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3833 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3841 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 3851 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 3863 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 3873 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 3884 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
+  /* 3892 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 3899 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 3908 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 3915 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 3924 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 3933 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 3939 */ 'f', 'l', 'd', 9, 0,
+  /* 3944 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 3952 */ 'f', 'i', 'l', 'd', 9, 0,
+  /* 3958 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 3966 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 3975 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 3983 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 3992 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 4000 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4008 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4015 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
+  /* 4023 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4032 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4039 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4055 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4068 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4082 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4098 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4111 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4125 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4141 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4154 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4168 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4184 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4197 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4211 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4221 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4233 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4244 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4255 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4271 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4284 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4298 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4314 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4327 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4341 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4350 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4358 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4371 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4382 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4391 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4401 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4412 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4420 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4433 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4442 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4452 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4463 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4471 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4479 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4489 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4499 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 4511 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 4520 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 4531 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 4540 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 4551 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 4562 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 4573 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 4581 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 4590 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 4598 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 4607 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 4616 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 4624 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 4631 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 4639 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 4651 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4658 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4666 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 4676 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 4685 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 4694 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 4703 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 4714 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 4722 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 4734 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 4742 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 4751 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 4761 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 4773 */ 's', 'h', 'r', 'd', 9, 0,
+  /* 4779 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 4788 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 4797 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4810 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4824 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4837 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4851 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4864 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4878 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4891 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4905 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 4916 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 4928 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 4939 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4952 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4966 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4979 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4993 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 5001 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5011 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5022 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5030 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5040 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5051 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5059 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5069 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5079 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5088 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5096 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5105 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5113 */ 's', 't', 'o', 's', 'd', 9, 0,
+  /* 5120 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5128 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5137 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5146 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5160 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5168 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5176 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5185 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5193 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5202 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5212 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5220 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5234 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5243 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5252 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5261 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5270 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5279 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5288 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5297 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5309 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5316 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5326 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5336 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5346 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5358 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5370 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5380 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5391 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5402 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5414 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5425 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5436 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5447 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
+  /* 5455 */ 'j', 'a', 'e', 9, 0,
+  /* 5460 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 5467 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
+  /* 5475 */ 'j', 'b', 'e', 9, 0,
+  /* 5480 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 5487 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 5495 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 5502 */ 'j', 'g', 'e', 9, 0,
+  /* 5507 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 5516 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 5523 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
+  /* 5531 */ 'j', 'e', 9, 0,
+  /* 5535 */ 'j', 'l', 'e', 9, 0,
+  /* 5540 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 5547 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
+  /* 5555 */ 'j', 'n', 'e', 9, 0,
+  /* 5560 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 5568 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 5575 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 5583 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 5590 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5600 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5610 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5620 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5630 */ 's', 'e', 't', 'e', 9, 0,
+  /* 5636 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
+  /* 5645 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 5656 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 5664 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 5672 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 5679 */ 'b', 's', 'f', 9, 0,
+  /* 5684 */ 'r', 'e', 't', 'f', 9, 0,
+  /* 5690 */ 'n', 'e', 'g', 9, 0,
+  /* 5695 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
+  /* 5704 */ 'j', 'g', 9, 0,
+  /* 5708 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 5716 */ 's', 'e', 't', 'g', 9, 0,
+  /* 5722 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
+  /* 5729 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 5739 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 5745 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 5756 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 5767 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 5779 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 5788 */ 'p', 'u', 's', 'h', 9, 0,
+  /* 5794 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 5800 */ 'b', 'z', 'h', 'i', 9, 0,
+  /* 5806 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5813 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5821 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5832 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5842 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 5853 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 5863 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 5871 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 5880 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 5892 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 5904 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 5916 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 5927 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 5939 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 5950 */ 'b', 'l', 's', 'i', 9, 0,
+  /* 5956 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
+  /* 5964 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 5972 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
+  /* 5980 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 5987 */ 'r', 'c', 'l', 9, 0,
+  /* 5992 */ 's', 'h', 'l', 9, 0,
+  /* 5997 */ 'j', 'l', 9, 0,
+  /* 6001 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 6008 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6017 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6026 */ 'r', 'o', 'l', 9, 0,
+  /* 6031 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6037 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6048 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 6060 */ 'l', 's', 'l', 9, 0,
+  /* 6065 */ 's', 'e', 't', 'l', 9, 0,
+  /* 6071 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 6078 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 6085 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 6092 */ 'a', 'a', 'm', 9, 0,
+  /* 6097 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 6103 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 6110 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 6117 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 6125 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 6137 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 6149 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 6157 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 6165 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 6172 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 6179 */ 'j', 'o', 9, 0,
+  /* 6183 */ 'j', 'n', 'o', 9, 0,
+  /* 6188 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 6195 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 6203 */ 's', 'e', 't', 'o', 9, 0,
+  /* 6209 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 6216 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 6223 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 6230 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 6237 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 6244 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 6250 */ 'j', 'p', 9, 0,
+  /* 6254 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 6261 */ 'c', 'm', 'p', 9, 0,
+  /* 6266 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 6272 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6279 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6287 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6295 */ 'j', 'n', 'p', 9, 0,
+  /* 6300 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 6307 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 6315 */ 'n', 'o', 'p', 9, 0,
+  /* 6320 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 6326 */ 'p', 'o', 'p', 9, 0,
+  /* 6331 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 6339 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 6347 */ 's', 'e', 't', 'p', 9, 0,
+  /* 6353 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 6360 */ 'f', 's', 't', 'p', 9, 0,
+  /* 6366 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 6373 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 6381 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 6391 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 6402 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 6413 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 6429 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 6436 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 6443 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 6452 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 6460 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 6470 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 6481 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 6489 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 6500 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 6511 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6523 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6534 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 6543 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6555 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6566 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 6576 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 6584 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 6594 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 6606 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 6618 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 6627 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 6636 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 6645 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 6658 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6671 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6683 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 6695 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 6705 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 6716 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 6726 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 6737 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 6748 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 6757 */ 'r', 'e', 't', 'f', 'q', 9, 0,
+  /* 6764 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 6772 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 6780 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 6788 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 6796 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 6804 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 6812 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 6822 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 6834 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 6843 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 6852 */ 's', 't', 'o', 's', 'q', 9, 0,
+  /* 6859 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 6866 */ 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 6873 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 6883 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 6891 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 6899 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 6908 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 6922 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 6931 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 6940 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 6949 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 6958 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 6970 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 6977 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 6987 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 6998 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 7009 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 7020 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 7029 */ 'l', 'a', 'r', 9, 0,
+  /* 7034 */ 's', 'a', 'r', 9, 0,
+  /* 7039 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 7047 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 7055 */ 'r', 'c', 'r', 9, 0,
+  /* 7060 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 7067 */ 's', 'h', 'r', 9, 0,
+  /* 7072 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 7082 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 7088 */ 'r', 'o', 'r', 9, 0,
+  /* 7093 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7101 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7110 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 7117 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 7123 */ 'b', 's', 'r', 9, 0,
+  /* 7128 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7138 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7148 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 7154 */ 'b', 't', 'r', 9, 0,
+  /* 7159 */ 'l', 't', 'r', 9, 0,
+  /* 7164 */ 's', 't', 'r', 9, 0,
+  /* 7169 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 7176 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7183 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7191 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 7199 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 7205 */ 'l', 'd', 's', 9, 0,
+  /* 7210 */ 'l', 'e', 's', 9, 0,
+  /* 7215 */ 'l', 'f', 's', 9, 0,
+  /* 7220 */ 'l', 'g', 's', 9, 0,
+  /* 7225 */ 'j', 's', 9, 0,
+  /* 7229 */ 'j', 'n', 's', 9, 0,
+  /* 7234 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 7241 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 7249 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7265 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7278 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7292 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7308 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7321 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7335 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7351 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7364 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7378 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7394 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7407 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7421 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 7432 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 7443 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 7453 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 7465 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 7476 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7492 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7505 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7519 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7535 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7548 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7562 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 7571 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7584 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7595 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7604 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7614 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7625 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7633 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7646 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7655 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7665 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7676 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7684 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 7692 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 7702 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 7712 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 7724 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 7733 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 7744 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 7754 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 7763 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 7774 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 7784 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 7795 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 7806 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 7814 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 7823 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 7832 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 7841 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 7849 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 7857 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 7864 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 7872 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 7884 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 7891 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 7899 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 7911 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 7921 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 7932 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 7942 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 7951 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 7960 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 7969 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 7980 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 7988 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 8000 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 8008 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 8017 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8030 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8044 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8057 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8071 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8084 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8098 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8111 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8125 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 8136 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8147 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8159 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8172 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8186 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8199 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8213 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8223 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8234 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8242 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8252 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8263 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8271 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 8281 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8291 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8300 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 8308 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 8316 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 8324 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 8332 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 8341 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8351 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8360 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 8374 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 8382 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 8390 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 8398 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 8407 */ 'b', 't', 's', 9, 0,
+  /* 8412 */ 's', 'e', 't', 's', 9, 0,
+  /* 8418 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 8425 */ 'b', 't', 9, 0,
+  /* 8429 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 8435 */ 's', 'g', 'd', 't', 9, 0,
+  /* 8441 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 8447 */ 's', 'i', 'd', 't', 9, 0,
+  /* 8453 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 8459 */ 's', 'l', 'd', 't', 9, 0,
+  /* 8465 */ 'r', 'e', 't', 9, 0,
+  /* 8470 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 8479 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 8487 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 8494 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 8501 */ 'i', 'n', 't', 9, 0,
+  /* 8506 */ 'n', 'o', 't', 9, 0,
+  /* 8511 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 8519 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 8529 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 8537 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 8546 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8559 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8572 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 8580 */ 'f', 's', 't', 9, 0,
+  /* 8585 */ 'f', 'i', 's', 't', 9, 0,
+  /* 8591 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 8609 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 8618 */ 'o', 'u', 't', 9, 0,
+  /* 8623 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 8629 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 8637 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8650 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8659 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 8665 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 8672 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 8680 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 8689 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 8697 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 8705 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 8713 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 8723 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8733 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8742 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 8752 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 8764 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 8776 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 8787 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 8796 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 8804 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 8815 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 8826 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 8833 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 8841 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 8850 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 8858 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 8868 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 8879 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 8890 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 8897 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 8905 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 8913 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 8924 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 8934 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 8943 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 8950 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 8960 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 8968 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 8976 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 8985 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 8993 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 9001 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 9010 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 9020 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 9026 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 9035 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 9044 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 9053 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 9061 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 9073 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9083 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9092 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9102 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9111 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 9117 */ 's', 'm', 's', 'w', 9, 0,
+  /* 9123 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 9132 */ 's', 't', 'o', 's', 'w', 9, 0,
+  /* 9139 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 9146 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 9157 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 9165 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 9175 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 9185 */ 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 9192 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 9201 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 9211 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 9219 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 9233 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 9243 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 9252 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 9261 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 9274 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 9283 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 9293 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 9304 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 9311 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 9317 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 9323 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 9329 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 9335 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9348 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9360 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 9366 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 9372 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 9378 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 9390 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 9397 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 9404 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 9411 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 9417 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 9424 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9440 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9455 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9472 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9488 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9504 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9519 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9535 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9550 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9559 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9570 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9581 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9590 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 9599 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9608 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9617 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 9625 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 9634 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 9643 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 9655 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 9665 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 9674 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9683 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9692 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 9701 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9710 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9719 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 9729 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 9737 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 9746 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 9755 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 9767 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 9777 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 9786 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9797 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9808 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9818 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9828 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9838 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9848 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9858 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9870 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9882 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9893 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9902 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9912 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9922 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9935 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9946 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9956 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9966 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9976 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9986 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9996 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10006 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10017 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10027 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10039 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10051 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10061 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10074 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10085 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
+  /* 10096 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
+  /* 10107 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
+  /* 10118 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 10129 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 10152 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 10176 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10196 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10216 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10236 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10257 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10278 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10299 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10321 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10342 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10364 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10386 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10406 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10427 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10448 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10470 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10489 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10509 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10529 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10550 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10570 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10589 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10609 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10629 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10648 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10666 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10685 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10704 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10724 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10743 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10763 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10783 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10803 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10823 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10843 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10863 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10883 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10902 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10922 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10942 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10961 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10979 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10998 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11017 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11037 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11056 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11076 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11095 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11115 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11133 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11152 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11171 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11191 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11209 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11228 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11246 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11265 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11283 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11300 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11318 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11336 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11355 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11376 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11397 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 11403 */ 'f', 'l', 'd', '1', 0,
+  /* 11408 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 11415 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 11421 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 11429 */ 'i', 'n', 't', '1', 0,
+  /* 11434 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 11453 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 11471 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 11484 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 11497 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 11515 */ 'u', 'd', '2', 0,
+  /* 11519 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 11526 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 11533 */ 'i', 'n', 't', '3', 0,
+  /* 11538 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 11557 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 11575 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 11588 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11601 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11619 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 11625 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 11632 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 11640 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 11653 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 11660 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 11670 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 11679 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 11697 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 11713 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 11725 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 11740 */ 'a', 'a', 'a', 0,
+  /* 11744 */ 'd', 'a', 'a', 0,
+  /* 11748 */ 'u', 'd', '2', 'b', 0,
+  /* 11753 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 11763 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 11773 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 11783 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 11793 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 11803 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 11809 */ 'c', 'l', 'a', 'c', 0,
+  /* 11814 */ 's', 't', 'a', 'c', 0,
+  /* 11819 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 11829 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 11836 */ 's', 'a', 'l', 'c', 0,
+  /* 11841 */ 'c', 'l', 'c', 0,
+  /* 11845 */ 'c', 'm', 'c', 0,
+  /* 11849 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 11855 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 11862 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 11868 */ 's', 't', 'c', 0,
+  /* 11872 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 11879 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 11885 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 11891 */ 'c', 'l', 'd', 0,
+  /* 11895 */ 'x', 'e', 'n', 'd', 0,
+  /* 11900 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 11910 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 11920 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 11926 */ 's', 't', 'd', 0,
+  /* 11930 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 11937 */ 'c', 'w', 'd', 0,
+  /* 11941 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 11948 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11955 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11962 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11969 */ 'c', 'w', 'd', 'e', 0,
+  /* 11974 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 11981 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 11990 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 11996 */ 'c', 'd', 'q', 'e', 0,
+  /* 12001 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 12010 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 12017 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 12026 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 12032 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 12038 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 12045 */ 'l', 'a', 'h', 'f', 0,
+  /* 12050 */ 's', 'a', 'h', 'f', 0,
+  /* 12055 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 12061 */ 'p', 'o', 'p', 'f', 0,
+  /* 12066 */ 'r', 'e', 't', 'f', 0,
+  /* 12071 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 12080 */ 'c', 'l', 'g', 'i', 0,
+  /* 12085 */ 's', 't', 'g', 'i', 0,
+  /* 12090 */ 'c', 'l', 'i', 0,
+  /* 12094 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 12100 */ 's', 't', 'i', 0,
+  /* 12104 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 12119 */ 'l', 'o', 'c', 'k', 0,
+  /* 12124 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 12135 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 12142 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 12148 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 12156 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 12163 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 12171 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 12180 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 12188 */ 'f', 'x', 'a', 'm', 0,
+  /* 12193 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 12199 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 12206 */ 'r', 's', 'm', 0,
+  /* 12210 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 12217 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 12223 */ 'f', 's', 'i', 'n', 0,
+  /* 12228 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 12255 */ 'c', 'q', 'o', 0,
+  /* 12259 */ 'i', 'n', 't', 'o', 0,
+  /* 12264 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 12271 */ 'r', 'e', 'p', 0,
+  /* 12275 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 12281 */ 'v', 'c', 'm', 'p', 0,
+  /* 12286 */ 'f', 'n', 'o', 'p', 0,
+  /* 12291 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 12298 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 12306 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 12314 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 12322 */ 'c', 'd', 'q', 0,
+  /* 12326 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 12333 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 12339 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 12345 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 12355 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 12365 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 12371 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 12382 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 12391 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 12399 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 12405 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 12411 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 12421 */ 'a', 'a', 's', 0,
+  /* 12425 */ 'd', 'a', 's', 0,
+  /* 12429 */ 'f', 'a', 'b', 's', 0,
+  /* 12434 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 12442 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 12450 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 12457 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 12465 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 12472 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 12480 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 12487 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 12495 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 12502 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 12509 */ 'f', 'c', 'h', 's', 0,
+  /* 12514 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 12559 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 12565 */ 'f', 'c', 'o', 's', 0,
+  /* 12570 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 12578 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 12586 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 12593 */ 'c', 'l', 't', 's', 0,
+  /* 12598 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 12605 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 12613 */ 'i', 'r', 'e', 't', 0,
+  /* 12618 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 12625 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 12631 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 12638 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 12646 */ 'h', 'l', 't', 0,
+  /* 12650 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 12658 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 12664 */ 'x', 't', 'e', 's', 't', 0,
+  /* 12670 */ 'f', 't', 's', 't', 0,
+  /* 12675 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 12682 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 12689 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 12696 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 12702 */ 'c', 'b', 'w', 0,
+  /* 12706 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 12716 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 12726 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 12732 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 12742 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 12753 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 12764 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 12775 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 12785 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 12796 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 12808 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 12819 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 12830 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 12840 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12857 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12874 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 12884 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12894 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12905 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 12912 */ 'f', 'l', 'd', 'z', 0,
   };
 
   // Emit the opcode for the instruction.
@@ -11852,7 +12060,7 @@
   SStream_concat(O, "%s", AsmStrs+(Bits & 16383)-1);
 
 
-  // Fragment 0 encoded into 6 bits for 33 unique commands.
+  // Fragment 0 encoded into 6 bits for 45 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 63);
   switch ((Bits >> 14) & 63) {
   default:   // unreachable.
@@ -11894,7 +12102,7 @@
     printf64mem(MI, 0, O); 
     break;
   case 9:
-    // CALL64pcrel32, CALLpcrel16, CALLpcrel32, EH_SjLj_Setup, JAE_1, JAE_4, ...
+    // CALL64pcrel32, CALLpcrel16, CALLpcrel32, EH_SjLj_Setup, JAE_1, JAE_2, ...
     printPCRelImm(MI, 0, O); 
     break;
   case 10:
@@ -11906,104 +12114,168 @@
     printSSECC(MI, 3, O); 
     break;
   case 12:
+    // CMPS16
+    printSrcIdx16(MI, 1, O); 
+    SStream_concat(O, "%s", ", "); 
+    printDstIdx16(MI, 0, O); 
+    return;
+    break;
+  case 13:
+    // CMPS32
+    printSrcIdx32(MI, 1, O); 
+    SStream_concat(O, "%s", ", "); 
+    printDstIdx32(MI, 0, O); 
+    return;
+    break;
+  case 14:
+    // CMPS64
+    printSrcIdx64(MI, 1, O); 
+    SStream_concat(O, "%s", ", "); 
+    printDstIdx64(MI, 0, O); 
+    return;
+    break;
+  case 15:
+    // CMPS8
+    printSrcIdx8(MI, 1, O); 
+    SStream_concat(O, "%s", ", "); 
+    printDstIdx8(MI, 0, O); 
+    return;
+    break;
+  case 16:
     // CMPXCHG16B, LCMPXCHG16B, MOVDQAmr, MOVDQUmr, VEXTRACTI128mr, VEXTRACTI...
     printi128mem(MI, 0, O); 
     break;
-  case 13:
+  case 17:
     // FARCALL16m, FARCALL32m, FARCALL64, FARJMP16m, FARJMP32m, FARJMP64, FXR...
     printopaquemem(MI, 0, O); 
     return;
     break;
-  case 14:
+  case 18:
+    // IN16, MOVSW, SCAS16, STOSW
+    printDstIdx16(MI, 0, O); 
+    break;
+  case 19:
+    // IN32, MOVSL, SCAS32, STOSL
+    printDstIdx32(MI, 0, O); 
+    break;
+  case 20:
+    // IN8, MOVSB, SCAS8, STOSB
+    printDstIdx8(MI, 0, O); 
+    break;
+  case 21:
     // Int_VCMPSDrm, Int_VCMPSSrm, VCMPPDYrmi, VCMPPDZrmi, VCMPPDrmi, VCMPPSY...
     printAVXCC(MI, 7, O); 
     break;
-  case 15:
-    // Int_VCMPSDrr, Int_VCMPSSrr, VCMPPDYrri, VCMPPDZrri, VCMPPDrri, VCMPPSY...
+  case 22:
+    // Int_VCMPSDrr, Int_VCMPSSrr, VCMPPDYrri, VCMPPDZrri, VCMPPDZrrib, VCMPP...
     printAVXCC(MI, 3, O); 
     break;
-  case 16:
+  case 23:
     // LD_F80m, ST_FP80m
     printf80mem(MI, 0, O); 
     return;
     break;
-  case 17:
+  case 24:
+    // LODSB, OUTSB
+    printSrcIdx8(MI, 0, O); 
+    return;
+    break;
+  case 25:
+    // LODSL, OUTSL
+    printSrcIdx32(MI, 0, O); 
+    return;
+    break;
+  case 26:
+    // LODSQ
+    printSrcIdx64(MI, 0, O); 
+    return;
+    break;
+  case 27:
+    // LODSW, OUTSW
+    printSrcIdx16(MI, 0, O); 
+    return;
+    break;
+  case 28:
     // LXADD16, XCHG16rm
     printi16mem(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 1, O); 
     return;
     break;
-  case 18:
+  case 29:
     // LXADD32, XCHG32rm
     printi32mem(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 1, O); 
     return;
     break;
-  case 19:
+  case 30:
     // LXADD64, XCHG64rm
     printi64mem(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 1, O); 
     return;
     break;
-  case 20:
+  case 31:
     // LXADD8, XCHG8rm
     printi8mem(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 1, O); 
     return;
     break;
-  case 21:
-    // MOV16ao16, MOV16o16a, MOV64ao16, MOV64o16a
+  case 32:
+    // MOV16ao16, MOV16ao16_16, MOV16o16a, MOV16o16a_16, MOV64ao16, MOV64o16a
     printMemOffs16(MI, 0, O); 
     break;
-  case 22:
-    // MOV32ao32, MOV32o32a, MOV64ao32, MOV64o32a
+  case 33:
+    // MOV32ao32, MOV32ao32_16, MOV32o32a, MOV32o32a_16, MOV64ao32, MOV64o32a
     printMemOffs32(MI, 0, O); 
     break;
-  case 23:
+  case 34:
     // MOV64ao64, MOV64o64a
     printMemOffs64(MI, 0, O); 
     break;
-  case 24:
-    // MOV64ao8, MOV64o8a, MOV8ao8, MOV8o8a
+  case 35:
+    // MOV64ao8, MOV64o8a, MOV8ao8, MOV8ao8_16, MOV8o8a, MOV8o8a_16
     printMemOffs8(MI, 0, O); 
     break;
-  case 25:
+  case 36:
     // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
     printf128mem(MI, 0, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 5, O); 
     break;
-  case 26:
+  case 37:
+    // MOVSQ, SCAS64, STOSQ
+    printDstIdx64(MI, 0, O); 
+    break;
+  case 38:
     // VCVTPS2PHZmr, VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr, VMOVAPDYm...
     printf256mem(MI, 0, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 5, O); 
     break;
-  case 27:
+  case 39:
     // VEXTRACTI64x4mr, VMOVDQAYmr, VMOVDQUYmr, VPMASKMOVDYmr, VPMASKMOVQYmr,...
     printi256mem(MI, 0, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 5, O); 
     break;
-  case 28:
+  case 40:
     // VMOVAPDZmr, VMOVAPSZmr, VMOVUPDZmr, VMOVUPSZmr
     printf512mem(MI, 0, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 5, O); 
     return;
     break;
-  case 29:
+  case 41:
     // VMOVDQA32mr, VMOVDQA64mr, VMOVDQU32mr, VMOVDQU64mr
     printi512mem(MI, 0, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 5, O); 
     return;
     break;
-  case 30:
+  case 42:
     // VPSCATTERDDZmr, VSCATTERDPSZmr
     printi32mem(MI, 1, O); 
     SStream_concat(O, "%s", " {"); 
@@ -12012,7 +12284,7 @@
     printOperand(MI, 7, O); 
     return;
     break;
-  case 31:
+  case 43:
     // VPSCATTERDQZmr, VPSCATTERQDZmr, VPSCATTERQQZmr, VSCATTERDPDZmr, VSCATT...
     printi64mem(MI, 1, O); 
     SStream_concat(O, "%s", " {"); 
@@ -12021,7 +12293,7 @@
     printOperand(MI, 7, O); 
     return;
     break;
-  case 32:
+  case 44:
     // XCHG16rr, XCHG32rr, XCHG64rr, XCHG8rr
     printOperand(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
@@ -12031,7 +12303,7 @@
   }
 
 
-  // Fragment 1 encoded into 5 bits for 24 unique commands.
+  // Fragment 1 encoded into 5 bits for 27 unique commands.
   //printf("Frag-1: %"PRIu64"\n", (Bits >> 20) & 31);
   switch ((Bits >> 20) & 31) {
   default:   // unreachable.
@@ -12073,13 +12345,13 @@
     return;
     break;
   case 8:
-    // CMPPDrmi, CMPPDrri, VCMPPDYrmi, VCMPPDYrri, VCMPPDZrmi, VCMPPDZrri, VC...
+    // CMPPDrmi, CMPPDrri, VCMPPDYrmi, VCMPPDYrri, VCMPPDrmi, VCMPPDrri
     SStream_concat(O, "%s", "pd\t"); 
     printOperand(MI, 0, O); 
     SStream_concat(O, "%s", ", "); 
     break;
   case 9:
-    // CMPPSrmi, CMPPSrri, VCMPPSYrmi, VCMPPSYrri, VCMPPSZrmi, VCMPPSZrri, VC...
+    // CMPPSrmi, CMPPSrri, VCMPPSYrmi, VCMPPSYrri, VCMPPSrmi, VCMPPSrri
     SStream_concat(O, "%s", "ps\t"); 
     printOperand(MI, 0, O); 
     SStream_concat(O, "%s", ", "); 
@@ -12097,45 +12369,66 @@
     SStream_concat(O, "%s", ", "); 
     break;
   case 12:
-    // MOV16ao16, MOV64ao16, OUT16ir
-    SStream_concat(O, "%s", ", ax"); 
+    // IN16, IN32, IN8
+    SStream_concat(O, "%s", ", dx"); 
     return;
     break;
   case 13:
-    // MOV32ao32, MOV64ao32, OUT32ir
-    SStream_concat(O, "%s", ", eax"); 
+    // MOV16ao16, MOV16ao16_16, MOV64ao16, OUT16ir, STOSW
+    SStream_concat(O, "%s", ", ax"); 
     return;
     break;
   case 14:
-    // MOV64ao64
-    SStream_concat(O, "%s", ", rax"); 
+    // MOV32ao32, MOV32ao32_16, MOV64ao32, OUT32ir, STOSL
+    SStream_concat(O, "%s", ", eax"); 
     return;
     break;
   case 15:
-    // MOV64ao8, MOV8ao8, OUT8ir
-    SStream_concat(O, "%s", ", al"); 
+    // MOV64ao64, STOSQ
+    SStream_concat(O, "%s", ", rax"); 
     return;
     break;
   case 16:
+    // MOV64ao8, MOV8ao8, MOV8ao8_16, OUT8ir, STOSB
+    SStream_concat(O, "%s", ", al"); 
+    return;
+    break;
+  case 17:
     // RCL16mCL, RCL16rCL, RCL32mCL, RCL32rCL, RCL64mCL, RCL64rCL, RCL8mCL, R...
     SStream_concat(O, "%s", ", cl"); 
     return;
     break;
-  case 17:
+  case 18:
     // ROL16m1, ROL16r1, ROL32m1, ROL32r1, ROL64m1, ROL64r1, ROL8m1, ROL8r1, ...
     SStream_concat(O, "%s", ", 1"); 
     return;
     break;
-  case 18:
+  case 19:
     // TAILJMPd, TAILJMPd64, TAILJMPm, TAILJMPm64, TAILJMPr64
     SStream_concat(O, "%s", "  # TAILCALL"); 
     return;
     break;
-  case 19:
-    // VBLENDMPDZrr, VBLENDMPDZrr_Int, VBLENDMPSZrr, VBLENDMPSZrr_Int, VGATHE...
+  case 20:
+    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VGATHERDPDZrm,...
     SStream_concat(O, "%s", " {"); 
     break;
-  case 20:
+  case 21:
+    // VCMPPDZrmi, VCMPPDZrri, VCMPPDZrrib
+    SStream_concat(O, "%s", "pd \t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, "%s", ", "); 
+    printOperand(MI, 1, O); 
+    SStream_concat(O, "%s", ", "); 
+    break;
+  case 22:
+    // VCMPPSZrmi, VCMPPSZrri, VCMPPSZrrib
+    SStream_concat(O, "%s", "ps \t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, "%s", ", "); 
+    printOperand(MI, 1, O); 
+    SStream_concat(O, "%s", ", "); 
+    break;
+  case 23:
     // VPCMPDZrmi, VPCMPDZrri
     SStream_concat(O, "%s", "d\t"); 
     printOperand(MI, 0, O); 
@@ -12143,7 +12436,7 @@
     printOperand(MI, 1, O); 
     SStream_concat(O, "%s", ", "); 
     break;
-  case 21:
+  case 24:
     // VPCMPQZrmi, VPCMPQZrri
     SStream_concat(O, "%s", "q\t"); 
     printOperand(MI, 0, O); 
@@ -12151,7 +12444,7 @@
     printOperand(MI, 1, O); 
     SStream_concat(O, "%s", ", "); 
     break;
-  case 22:
+  case 25:
     // VPCMPUDZrmi, VPCMPUDZrri
     SStream_concat(O, "%s", "ud\t"); 
     printOperand(MI, 0, O); 
@@ -12159,7 +12452,7 @@
     printOperand(MI, 1, O); 
     SStream_concat(O, "%s", ", "); 
     break;
-  case 23:
+  case 26:
     // VPCMPUQZrmi, VPCMPUQZrri
     SStream_concat(O, "%s", "uq\t"); 
     printOperand(MI, 0, O); 
@@ -12170,9 +12463,9 @@
   }
 
 
-  // Fragment 2 encoded into 5 bits for 31 unique commands.
-  //printf("Frag-2: %"PRIu64"\n", (Bits >> 25) & 31);
-  switch ((Bits >> 25) & 31) {
+  // Fragment 2 encoded into 6 bits for 36 unique commands.
+  //printf("Frag-2: %"PRIu64"\n", (Bits >> 25) & 63);
+  switch ((Bits >> 25) & 63) {
   default:   // unreachable.
   case 0:
     // ADC16mi, ADC16mi8, ADC16mr, ADC32mi, ADC32mi8, ADC32mr, ADC64mi32, ADC...
@@ -12263,53 +12556,80 @@
     return;
     break;
   case 21:
+    // MOVSB
+    printSrcIdx8(MI, 1, O); 
+    return;
+    break;
+  case 22:
+    // MOVSL
+    printSrcIdx32(MI, 1, O); 
+    return;
+    break;
+  case 23:
+    // MOVSQ
+    printSrcIdx64(MI, 1, O); 
+    return;
+    break;
+  case 24:
+    // MOVSW
+    printSrcIdx16(MI, 1, O); 
+    return;
+    break;
+  case 25:
     // PINSRBrm
     printi8mem(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 7, O); 
     return;
     break;
-  case 22:
+  case 26:
+    // VCMPPDZrmi, VCMPPSZrmi
+    printf512mem(MI, 2, O); 
+    SStream_concat(O, "%s", ", "); 
+    printAVXCC(MI, 7, O); 
+    return;
+    break;
+  case 27:
     // VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQAYrm, VMOVDQUYrm, VMOVNTD...
     printi256mem(MI, 1, O); 
     break;
-  case 23:
+  case 28:
     // VCVTDQ2PSZrm, VMOVDQA32rm, VMOVDQA64rm, VMOVDQU32rm, VMOVDQU64rm, VPAB...
     printi512mem(MI, 1, O); 
     break;
-  case 24:
+  case 29:
     // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPH2PSZrm, VCVTPS2DQYrm, VCVTPS2PDZrm, ...
     printf256mem(MI, 1, O); 
     break;
-  case 25:
-    // VCVTPD2PSZrm, VCVTPS2DQZrm, VCVTTPD2DQZrm, VCVTTPD2UDQZrm, VCVTTPS2DQZ...
+  case 30:
+    // VCVTPD2DQZrm, VCVTPD2PSZrm, VCVTPD2UDQZrm, VCVTPS2DQZrm, VCVTPS2UDQZrm...
     printf512mem(MI, 1, O); 
     break;
-  case 26:
+  case 31:
     // VCVTPS2PHYmr, VCVTPS2PHZmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VEXTRACTF...
     printOperand(MI, 6, O); 
     return;
     break;
-  case 27:
+  case 32:
     // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
     printi64mem(MI, 3, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 8, O); 
     return;
     break;
-  case 28:
+  case 33:
     // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
     printOperand(MI, 3, O); 
     SStream_concat(O, "%s", "}, "); 
     break;
-  case 29:
+  case 34:
     // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
     printi32mem(MI, 3, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 8, O); 
     return;
     break;
-  case 30:
+  case 35:
     // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
     printi512mem(MI, 2, O); 
     return;
@@ -12317,9 +12637,9 @@
   }
 
 
-  // Fragment 3 encoded into 4 bits for 10 unique commands.
-  //printf("Frag-3: %"PRIu64"\n", (Bits >> 30) & 15);
-  switch ((Bits >> 30) & 15) {
+  // Fragment 3 encoded into 4 bits for 11 unique commands.
+  //printf("Frag-3: %"PRIu64"\n", (Bits >> 31) & 15);
+  switch ((Bits >> 31) & 15) {
   default:   // unreachable.
   case 0:
     // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
@@ -12340,29 +12660,34 @@
     return;
     break;
   case 4:
-    // VBLENDMPDZrr, VBLENDMPDZrr_Int, VBLENDMPSZrr, VBLENDMPSZrr_Int, VMOVAP...
+    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VMOVAPDZrmk, V...
     SStream_concat(O, "%s", "}, "); 
     break;
   case 5:
+    // VCMPPDZrrib, VCMPPSZrrib, VRCP28PDZrb, VRCP28PSZrb, VRSQRT28PDZrb, VRS...
+    SStream_concat(O, "%s", ", {sae}"); 
+    return;
+    break;
+  case 6:
     // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
     printi64mem(MI, 4, O); 
     return;
     break;
-  case 6:
+  case 7:
     // VGATHERDPSZrm, VPGATHERDDZrm
     printi32mem(MI, 4, O); 
     return;
     break;
-  case 7:
-    // VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBROADCASTDrZkrr, VPBROADCASTQZkr...
+  case 8:
+    // VMOVDQU32rrkz, VMOVDQU64rrkz, VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBR...
     SStream_concat(O, "%s", "} {z}, "); 
     break;
-  case 8:
+  case 9:
     // VPCONFLICTDrmb
     SStream_concat(O, "%s", "{1to16}"); 
     return;
     break;
-  case 9:
+  case 10:
     // VPCONFLICTQrmb
     SStream_concat(O, "%s", "{1to8}"); 
     return;
@@ -12370,9 +12695,9 @@
   }
 
 
-  // Fragment 4 encoded into 5 bits for 25 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 34) & 31);
-  switch ((Bits >> 34) & 31) {
+  // Fragment 4 encoded into 5 bits for 26 unique commands.
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 35) & 31);
+  switch ((Bits >> 35) & 31) {
   default:   // unreachable.
   case 0:
     // AESKEYGENASSIST128rm, BEXTR32rm, BEXTR64rm, BEXTRI32mi, BEXTRI64mi, BZ...
@@ -12398,7 +12723,6 @@
   case 5:
     // BLENDPDrri, BLENDPSrri, CMPPDrri_alt, CMPPSrri_alt, CMPSDrr_alt, CMPSS...
     printOperand(MI, 3, O); 
-    return;
     break;
   case 6:
     // INSERTQI
@@ -12418,7 +12742,7 @@
     printf256mem(MI, 2, O); 
     break;
   case 10:
-    // VADDPDZrm, VADDPSZrm, VCMPPDZrmi, VCMPPDZrmi_alt, VCMPPSZrmi, VCMPPSZr...
+    // VADDPDZrm, VADDPSZrm, VCMPPDZrmi_alt, VCMPPSZrmi_alt, VDIVPDZrm, VDIVP...
     printf512mem(MI, 2, O); 
     break;
   case 11:
@@ -12434,57 +12758,62 @@
     printi512mem(MI, 2, O); 
     break;
   case 14:
+    // VCVTDQ2PSZrrb, VCVTPD2DQZrrb, VCVTPD2PSZrrb, VCVTPD2UDQZrrb, VCVTPS2DQ...
+    printRoundingControl(MI, 2, O); 
+    return;
+    break;
+  case 15:
     // VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMPSADBWYrmi, VPACKSSDWYrm,...
     printi256mem(MI, 2, O); 
     break;
-  case 15:
+  case 16:
     // VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZm, VFMADD213PSZm, VFMADDSUB1...
     printf512mem(MI, 3, O); 
     return;
     break;
-  case 16:
+  case 17:
     // VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSDr132m, VFMADDSDr213m, VFMADDSD...
     printf64mem(MI, 3, O); 
     break;
-  case 17:
+  case 18:
     // VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSSr132m, VFMADDSSr213m, VFMADDSS...
     printf32mem(MI, 3, O); 
     break;
-  case 18:
+  case 19:
     // VFMADDPDr132m, VFMADDPDr213m, VFMADDPDr231m, VFMADDPSr132m, VFMADDPSr2...
     printf128mem(MI, 3, O); 
     return;
     break;
-  case 19:
+  case 20:
     // VFMADDPDr132mY, VFMADDPDr213mY, VFMADDPDr231mY, VFMADDPSr132mY, VFMADD...
     printf256mem(MI, 3, O); 
     return;
     break;
-  case 20:
+  case 21:
     // VMOVDQU32rmk, VMOVDQU64rmk, VPCONFLICTDrmk, VPCONFLICTQrmk, VPERMI2Drm...
     printi512mem(MI, 3, O); 
     return;
     break;
-  case 21:
+  case 22:
     // VPCONFLICTDrmbk
     printi32mem(MI, 3, O); 
     SStream_concat(O, "%s", "{1to16}"); 
     return;
     break;
-  case 22:
+  case 23:
     // VPCONFLICTQrmbk
     printi64mem(MI, 3, O); 
     SStream_concat(O, "%s", "{1to8}"); 
     return;
     break;
-  case 23:
+  case 24:
     // VPINSRBrm
     printi8mem(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
     printOperand(MI, 7, O); 
     return;
     break;
-  case 24:
+  case 25:
     // VPINSRWrmi
     printi16mem(MI, 2, O); 
     SStream_concat(O, "%s", ", "); 
@@ -12494,9 +12823,9 @@
   }
 
 
-  // Fragment 5 encoded into 2 bits for 4 unique commands.
-  //printf("Frag-5: %"PRIu64"\n", (Bits >> 39) & 3);
-  switch ((Bits >> 39) & 3) {
+  // Fragment 5 encoded into 3 bits for 5 unique commands.
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 7);
+  switch ((Bits >> 40) & 7) {
   default:   // unreachable.
   case 0:
     // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
@@ -12516,23 +12845,28 @@
     SStream_concat(O, "%s", "{1to16}"); 
     return;
     break;
+  case 4:
+    // VRCP28SDrrb, VRCP28SSrrb, VRSQRT28SDrrb, VRSQRT28SSrrb
+    SStream_concat(O, "%s", ", {sae}"); 
+    return;
+    break;
   }
 
 
-  // Fragment 6 encoded into 4 bits for 9 unique commands.
-  //printf("Frag-6: %"PRIu64"\n", (Bits >> 41) & 15);
-  switch ((Bits >> 41) & 15) {
+  // Fragment 6 encoded into 4 bits for 10 unique commands.
+  //printf("Frag-6: %"PRIu64"\n", (Bits >> 43) & 15);
+  switch ((Bits >> 43) & 15) {
   default:   // unreachable.
   case 0:
     // VAARG_64, VALIGNDrmi, VALIGNQrmi, VBLENDPDYrmi, VBLENDPDrmi, VBLENDPSY...
     printOperand(MI, 7, O); 
     break;
   case 1:
-    // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPDZrr_Int, VBLENDMPSZrr, ...
+    // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPSZrr, VBLENDPDYrri, VBLE...
     printOperand(MI, 3, O); 
     break;
   case 2:
-    // VBLENDMPDZrm, VBLENDMPDZrm_Int, VBLENDMPSZrm, VBLENDMPSZrm_Int, VPBLEN...
+    // VBLENDMPDZrm, VBLENDMPSZrm, VPBLENDMDZrm, VPBLENDMQZrm
     printf512mem(MI, 3, O); 
     return;
     break;
@@ -12555,11 +12889,16 @@
     return;
     break;
   case 7:
+    // VMOVSDZrrk, VMOVSSZrrk
+    printOperand(MI, 4, O); 
+    return;
+    break;
+  case 8:
     // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
     printi128mem(MI, 3, O); 
     return;
     break;
-  case 8:
+  case 9:
     // VPCMOVrmY
     printi256mem(MI, 3, O); 
     return;
@@ -12568,8 +12907,8 @@
 
 
   // Fragment 7 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-7: %"PRIu64"\n", (Bits >> 45) & 1);
-  if ((Bits >> 45) & 1) {
+  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 1);
+  if ((Bits >> 47) & 1) {
     // VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrr, VBLENDMP...
     return;
   } else {
@@ -12579,8 +12918,8 @@
 
 
   // Fragment 8 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-8: %"PRIu64"\n", (Bits >> 46) & 1);
-  if ((Bits >> 46) & 1) {
+  //printf("Frag-8: %"PRIu64"\n", (Bits >> 48) & 1);
+  if ((Bits >> 48) & 1) {
     // VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL2PSrr, VPERMIL2PSrrY
     printOperand(MI, 4, O); 
     return;