x86: update core
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
index f022dd4..563cbd1 100644
--- a/arch/X86/X86GenAsmWriter1.inc
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -25,129 +25,129 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    11661U,	// DBG_VALUE
+    11653U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    11654U,	// BUNDLE
-    11726U,	// LIFETIME_START
-    11641U,	// LIFETIME_END
+    11646U,	// BUNDLE
+    11718U,	// LIFETIME_START
+    11633U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    11741U,	// AAA
-    20100U,	// AAD8i8
-    22477U,	// AAM8i8
-    12422U,	// AAS
-    12430U,	// ABS_F
+    11733U,	// AAA
+    20092U,	// AAD8i8
+    22469U,	// AAM8i8
+    12414U,	// AAS
+    12422U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    11356U,	// ACQUIRE_MOV16rm
-    11356U,	// ACQUIRE_MOV32rm
-    11356U,	// ACQUIRE_MOV64rm
-    11356U,	// ACQUIRE_MOV8rm
-    26077U,	// ADC16i16
-    1084996U,	// ADC16mi
-    1084996U,	// ADC16mi8
-    1084996U,	// ADC16mr
-    35704388U,	// ADC16ri
-    35704388U,	// ADC16ri8
-    3198532U,	// ADC16rm
-    35704388U,	// ADC16rr
-    68177476U,	// ADC16rr_REV
-    26213U,	// ADC32i32
-    1117764U,	// ADC32mi
-    1117764U,	// ADC32mi8
-    1117764U,	// ADC32mr
-    35704388U,	// ADC32ri
-    35704388U,	// ADC32ri8
-    4247108U,	// ADC32rm
-    35704388U,	// ADC32rr
-    68177476U,	// ADC32rr_REV
-    26361U,	// ADC64i32
-    1134148U,	// ADC64mi32
-    1134148U,	// ADC64mi8
-    1134148U,	// ADC64mr
-    35704388U,	// ADC64ri32
-    35704388U,	// ADC64ri8
-    5295684U,	// ADC64rm
-    35704388U,	// ADC64rr
-    68177476U,	// ADC64rr_REV
-    25975U,	// ADC8i8
-    1150532U,	// ADC8mi
-    1150532U,	// ADC8mr
-    35704388U,	// ADC8ri
-    6344260U,	// ADC8rm
-    35704388U,	// ADC8rr
-    68177476U,	// ADC8rr_REV
-    101737568U,	// ADCX32rm
-    135292000U,	// ADCX32rr
-    168846432U,	// ADCX64rm
-    135292000U,	// ADCX64rr
-    26086U,	// ADD16i16
-    1085151U,	// ADD16mi
-    1085151U,	// ADD16mi8
-    1085151U,	// ADD16mr
-    35704543U,	// ADD16ri
-    35704543U,	// ADD16ri8
+    11348U,	// ACQUIRE_MOV16rm
+    11348U,	// ACQUIRE_MOV32rm
+    11348U,	// ACQUIRE_MOV64rm
+    11348U,	// ACQUIRE_MOV8rm
+    26069U,	// ADC16i16
+    1084988U,	// ADC16mi
+    1084988U,	// ADC16mi8
+    1084988U,	// ADC16mr
+    35704380U,	// ADC16ri
+    35704380U,	// ADC16ri8
+    3198524U,	// ADC16rm
+    35704380U,	// ADC16rr
+    68177468U,	// ADC16rr_REV
+    26205U,	// ADC32i32
+    1117756U,	// ADC32mi
+    1117756U,	// ADC32mi8
+    1117756U,	// ADC32mr
+    35704380U,	// ADC32ri
+    35704380U,	// ADC32ri8
+    4247100U,	// ADC32rm
+    35704380U,	// ADC32rr
+    68177468U,	// ADC32rr_REV
+    26353U,	// ADC64i32
+    1134140U,	// ADC64mi32
+    1134140U,	// ADC64mi8
+    1134140U,	// ADC64mr
+    35704380U,	// ADC64ri32
+    35704380U,	// ADC64ri8
+    5295676U,	// ADC64rm
+    35704380U,	// ADC64rr
+    68177468U,	// ADC64rr_REV
+    25967U,	// ADC8i8
+    1150524U,	// ADC8mi
+    1150524U,	// ADC8mr
+    35704380U,	// ADC8ri
+    6344252U,	// ADC8rm
+    35704380U,	// ADC8rr
+    68177468U,	// ADC8rr_REV
+    101737560U,	// ADCX32rm
+    135291992U,	// ADCX32rr
+    168846424U,	// ADCX64rm
+    135291992U,	// ADCX64rr
+    26078U,	// ADD16i16
+    1085143U,	// ADD16mi
+    1085143U,	// ADD16mi8
+    1085143U,	// ADD16mr
+    35704535U,	// ADD16ri
+    35704535U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    3198687U,	// ADD16rm
-    35704543U,	// ADD16rr
+    3198679U,	// ADD16rm
+    35704535U,	// ADD16rr
     0U,	// ADD16rr_DB
-    68177631U,	// ADD16rr_REV
-    26223U,	// ADD32i32
-    1117919U,	// ADD32mi
-    1117919U,	// ADD32mi8
-    1117919U,	// ADD32mr
-    35704543U,	// ADD32ri
-    35704543U,	// ADD32ri8
+    68177623U,	// ADD16rr_REV
+    26215U,	// ADD32i32
+    1117911U,	// ADD32mi
+    1117911U,	// ADD32mi8
+    1117911U,	// ADD32mr
+    35704535U,	// ADD32ri
+    35704535U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    4247263U,	// ADD32rm
-    35704543U,	// ADD32rr
+    4247255U,	// ADD32rm
+    35704535U,	// ADD32rr
     0U,	// ADD32rr_DB
-    68177631U,	// ADD32rr_REV
-    26371U,	// ADD64i32
-    1134303U,	// ADD64mi32
-    1134303U,	// ADD64mi8
-    1134303U,	// ADD64mr
-    35704543U,	// ADD64ri32
+    68177623U,	// ADD32rr_REV
+    26363U,	// ADD64i32
+    1134295U,	// ADD64mi32
+    1134295U,	// ADD64mi8
+    1134295U,	// ADD64mr
+    35704535U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    35704543U,	// ADD64ri8
+    35704535U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    5295839U,	// ADD64rm
-    35704543U,	// ADD64rr
+    5295831U,	// ADD64rm
+    35704535U,	// ADD64rr
     0U,	// ADD64rr_DB
-    68177631U,	// ADD64rr_REV
-    25984U,	// ADD8i8
-    1150687U,	// ADD8mi
-    1150687U,	// ADD8mr
-    35704543U,	// ADD8ri
-    6344415U,	// ADD8rm
-    35704543U,	// ADD8rr
-    68177631U,	// ADD8rr_REV
-    202395979U,	// ADDPDrm
-    68178251U,	// ADDPDrr
-    202399192U,	// ADDPSrm
-    68181464U,	// ADDPSrr
-    235951018U,	// ADDSDrm
-    235951018U,	// ADDSDrm_Int
-    68178858U,	// ADDSDrr
-    68178858U,	// ADDSDrr_Int
-    269508662U,	// ADDSSrm
-    269508662U,	// ADDSSrm_Int
-    68182070U,	// ADDSSrr
-    68182070U,	// ADDSSrr_Int
-    202395914U,	// ADDSUBPDrm
-    68178186U,	// ADDSUBPDrr
-    202399127U,	// ADDSUBPSrm
-    68181399U,	// ADDSUBPSrr
-    118494U,	// ADD_F32m
-    134878U,	// ADD_F64m
-    36580U,	// ADD_FI16m
-    69348U,	// ADD_FI32m
-    22622U,	// ADD_FPrST0
-    20190U,	// ADD_FST0r
+    68177623U,	// ADD64rr_REV
+    25976U,	// ADD8i8
+    1150679U,	// ADD8mi
+    1150679U,	// ADD8mr
+    35704535U,	// ADD8ri
+    6344407U,	// ADD8rm
+    35704535U,	// ADD8rr
+    68177623U,	// ADD8rr_REV
+    202395971U,	// ADDPDrm
+    68178243U,	// ADDPDrr
+    202399184U,	// ADDPSrm
+    68181456U,	// ADDPSrr
+    235951010U,	// ADDSDrm
+    235951010U,	// ADDSDrm_Int
+    68178850U,	// ADDSDrr
+    68178850U,	// ADDSDrr_Int
+    269508654U,	// ADDSSrm
+    269508654U,	// ADDSSrm_Int
+    68182062U,	// ADDSSrr
+    68182062U,	// ADDSSrr_Int
+    202395906U,	// ADDSUBPDrm
+    68178178U,	// ADDSUBPDrr
+    202399119U,	// ADDSUBPSrm
+    68181391U,	// ADDSUBPSrr
+    118486U,	// ADD_F32m
+    134870U,	// ADD_F64m
+    36572U,	// ADD_FI16m
+    69340U,	// ADD_FI32m
+    22614U,	// ADD_FPrST0
+    20182U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -162,585 +162,585 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    7360222U,	// ADD_FrST0
-    11680U,	// ADJCALLSTACKDOWN32
-    11680U,	// ADJCALLSTACKDOWN64
-    11698U,	// ADJCALLSTACKUP32
-    11698U,	// ADJCALLSTACKUP64
-    101737586U,	// ADOX32rm
-    135292018U,	// ADOX32rr
-    168846450U,	// ADOX64rm
-    135292018U,	// ADOX64rr
-    303063396U,	// AESDECLASTrm
-    68182372U,	// AESDECLASTrr
-    303058506U,	// AESDECrm
-    68177482U,	// AESDECrr
-    303063409U,	// AESENCLASTrm
-    68182385U,	// AESENCLASTrr
-    303058546U,	// AESENCrm
-    68177522U,	// AESENCrr
-    336612969U,	// AESIMCrm
-    135286377U,	// AESIMCrr
-    2484101521U,	// AESKEYGENASSIST128rm
-    2282774929U,	// AESKEYGENASSIST128rr
-    26095U,	// AND16i16
-    1085355U,	// AND16mi
-    1085355U,	// AND16mi8
-    1085355U,	// AND16mr
-    35704747U,	// AND16ri
-    35704747U,	// AND16ri8
-    3198891U,	// AND16rm
-    35704747U,	// AND16rr
-    68177835U,	// AND16rr_REV
-    26233U,	// AND32i32
-    1118123U,	// AND32mi
-    1118123U,	// AND32mi8
-    1118123U,	// AND32mr
-    35704747U,	// AND32ri
-    35704747U,	// AND32ri8
-    4247467U,	// AND32rm
-    35704747U,	// AND32rr
-    68177835U,	// AND32rr_REV
-    26381U,	// AND64i32
-    1134507U,	// AND64mi32
-    1134507U,	// AND64mi8
-    1134507U,	// AND64mr
-    35704747U,	// AND64ri32
-    35704747U,	// AND64ri8
-    5296043U,	// AND64rm
-    35704747U,	// AND64rr
-    68177835U,	// AND64rr_REV
-    25993U,	// AND8i8
-    1150891U,	// AND8mi
-    1150891U,	// AND8mr
-    35704747U,	// AND8ri
-    6344619U,	// AND8rm
-    35704747U,	// AND8rr
-    68177835U,	// AND8rr_REV
-    2282772488U,	// ANDN32rm
-    2282772488U,	// ANDN32rr
-    2282772488U,	// ANDN64rm
-    2282772488U,	// ANDN64rr
-    202396161U,	// ANDNPDrm
-    68178433U,	// ANDNPDrr
-    202399386U,	// ANDNPSrm
-    68181658U,	// ANDNPSrr
-    202396025U,	// ANDPDrm
-    68178297U,	// ANDPDrr
-    202399238U,	// ANDPSrm
-    68181510U,	// ANDPSrr
-    1087376U,	// ARPL16mr
-    135288720U,	// ARPL16rr
-    10258U,	// ATOMADD6432
-    11038U,	// ATOMAND16
-    10471U,	// ATOMAND32
-    10725U,	// ATOMAND64
-    10279U,	// ATOMAND6432
-    11192U,	// ATOMAND8
-    11153U,	// ATOMMAX16
-    10686U,	// ATOMMAX32
-    10999U,	// ATOMMAX64
-    10428U,	// ATOMMAX6432
-    11319U,	// ATOMMAX8
-    11077U,	// ATOMMIN16
-    10571U,	// ATOMMIN32
-    10884U,	// ATOMMIN64
-    10322U,	// ATOMMIN6432
-    11229U,	// ATOMMIN8
-    11057U,	// ATOMNAND16
-    10490U,	// ATOMNAND32
-    10744U,	// ATOMNAND64
-    10300U,	// ATOMNAND6432
-    11210U,	// ATOMNAND8
-    11116U,	// ATOMOR16
-    10649U,	// ATOMOR32
-    10962U,	// ATOMOR64
-    10387U,	// ATOMOR6432
-    11284U,	// ATOMOR8
-    10237U,	// ATOMSUB6432
-    10365U,	// ATOMSWAP6432
-    11172U,	// ATOMUMAX16
-    10705U,	// ATOMUMAX32
-    11018U,	// ATOMUMAX64
-    10449U,	// ATOMUMAX6432
-    11337U,	// ATOMUMAX8
-    11096U,	// ATOMUMIN16
-    10590U,	// ATOMUMIN32
-    10903U,	// ATOMUMIN64
-    10343U,	// ATOMUMIN6432
-    11247U,	// ATOMUMIN8
-    11134U,	// ATOMXOR16
-    10667U,	// ATOMXOR32
-    10980U,	// ATOMXOR64
-    10407U,	// ATOMXOR6432
-    11301U,	// ATOMXOR8
+    7360214U,	// ADD_FrST0
+    11672U,	// ADJCALLSTACKDOWN32
+    11672U,	// ADJCALLSTACKDOWN64
+    11690U,	// ADJCALLSTACKUP32
+    11690U,	// ADJCALLSTACKUP64
+    101737578U,	// ADOX32rm
+    135292010U,	// ADOX32rr
+    168846442U,	// ADOX64rm
+    135292010U,	// ADOX64rr
+    303063388U,	// AESDECLASTrm
+    68182364U,	// AESDECLASTrr
+    303058498U,	// AESDECrm
+    68177474U,	// AESDECrr
+    303063401U,	// AESENCLASTrm
+    68182377U,	// AESENCLASTrr
+    303058538U,	// AESENCrm
+    68177514U,	// AESENCrr
+    336612961U,	// AESIMCrm
+    135286369U,	// AESIMCrr
+    2484101513U,	// AESKEYGENASSIST128rm
+    2282774921U,	// AESKEYGENASSIST128rr
+    26087U,	// AND16i16
+    1085347U,	// AND16mi
+    1085347U,	// AND16mi8
+    1085347U,	// AND16mr
+    35704739U,	// AND16ri
+    35704739U,	// AND16ri8
+    3198883U,	// AND16rm
+    35704739U,	// AND16rr
+    68177827U,	// AND16rr_REV
+    26225U,	// AND32i32
+    1118115U,	// AND32mi
+    1118115U,	// AND32mi8
+    1118115U,	// AND32mr
+    35704739U,	// AND32ri
+    35704739U,	// AND32ri8
+    4247459U,	// AND32rm
+    35704739U,	// AND32rr
+    68177827U,	// AND32rr_REV
+    26373U,	// AND64i32
+    1134499U,	// AND64mi32
+    1134499U,	// AND64mi8
+    1134499U,	// AND64mr
+    35704739U,	// AND64ri32
+    35704739U,	// AND64ri8
+    5296035U,	// AND64rm
+    35704739U,	// AND64rr
+    68177827U,	// AND64rr_REV
+    25985U,	// AND8i8
+    1150883U,	// AND8mi
+    1150883U,	// AND8mr
+    35704739U,	// AND8ri
+    6344611U,	// AND8rm
+    35704739U,	// AND8rr
+    68177827U,	// AND8rr_REV
+    2282772480U,	// ANDN32rm
+    2282772480U,	// ANDN32rr
+    2282772480U,	// ANDN64rm
+    2282772480U,	// ANDN64rr
+    202396153U,	// ANDNPDrm
+    68178425U,	// ANDNPDrr
+    202399378U,	// ANDNPSrm
+    68181650U,	// ANDNPSrr
+    202396017U,	// ANDPDrm
+    68178289U,	// ANDPDrr
+    202399230U,	// ANDPSrm
+    68181502U,	// ANDPSrr
+    1087368U,	// ARPL16mr
+    135288712U,	// ARPL16rr
+    10250U,	// ATOMADD6432
+    11030U,	// ATOMAND16
+    10463U,	// ATOMAND32
+    10717U,	// ATOMAND64
+    10271U,	// ATOMAND6432
+    11184U,	// ATOMAND8
+    11145U,	// ATOMMAX16
+    10678U,	// ATOMMAX32
+    10991U,	// ATOMMAX64
+    10420U,	// ATOMMAX6432
+    11311U,	// ATOMMAX8
+    11069U,	// ATOMMIN16
+    10563U,	// ATOMMIN32
+    10876U,	// ATOMMIN64
+    10314U,	// ATOMMIN6432
+    11221U,	// ATOMMIN8
+    11049U,	// ATOMNAND16
+    10482U,	// ATOMNAND32
+    10736U,	// ATOMNAND64
+    10292U,	// ATOMNAND6432
+    11202U,	// ATOMNAND8
+    11108U,	// ATOMOR16
+    10641U,	// ATOMOR32
+    10954U,	// ATOMOR64
+    10379U,	// ATOMOR6432
+    11276U,	// ATOMOR8
+    10229U,	// ATOMSUB6432
+    10357U,	// ATOMSWAP6432
+    11164U,	// ATOMUMAX16
+    10697U,	// ATOMUMAX32
+    11010U,	// ATOMUMAX64
+    10441U,	// ATOMUMAX6432
+    11329U,	// ATOMUMAX8
+    11088U,	// ATOMUMIN16
+    10582U,	// ATOMUMIN32
+    10895U,	// ATOMUMIN64
+    10335U,	// ATOMUMIN6432
+    11239U,	// ATOMUMIN8
+    11126U,	// ATOMXOR16
+    10659U,	// ATOMXOR32
+    10972U,	// ATOMXOR64
+    10399U,	// ATOMXOR6432
+    11293U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    2249219074U,	// BEXTR32rm
-    2282773506U,	// BEXTR32rr
-    2316327938U,	// BEXTR64rm
-    2282773506U,	// BEXTR64rr
-    2249219074U,	// BEXTRI32mi
-    2282773506U,	// BEXTRI32ri
-    2316327938U,	// BEXTRI64mi
-    2282773506U,	// BEXTRI64ri
-    101734265U,	// BLCFILL32rm
-    135288697U,	// BLCFILL32rr
-    168843129U,	// BLCFILL64rm
-    135288697U,	// BLCFILL64rr
-    101734051U,	// BLCI32rm
-    135288483U,	// BLCI32rr
-    168842915U,	// BLCI64rm
-    135288483U,	// BLCI64rr
-    101731922U,	// BLCIC32rm
-    135286354U,	// BLCIC32rr
-    168840786U,	// BLCIC64rm
-    135286354U,	// BLCIC64rr
-    101734221U,	// BLCMSK32rm
-    135288653U,	// BLCMSK32rr
-    168843085U,	// BLCMSK64rm
-    135288653U,	// BLCMSK64rr
-    101735456U,	// BLCS32rm
-    135289888U,	// BLCS32rr
-    168844320U,	// BLCS64rm
-    135289888U,	// BLCS64rr
-    2349879681U,	// BLENDPDrmi
-    2215661953U,	// BLENDPDrri
-    2349882894U,	// BLENDPSrmi
-    2215665166U,	// BLENDPSrri
-    202396257U,	// BLENDVPDrm0
-    68178529U,	// BLENDVPDrr0
-    202399523U,	// BLENDVPSrm0
-    68181795U,	// BLENDVPSrr0
-    101734274U,	// BLSFILL32rm
-    135288706U,	// BLSFILL32rr
-    168843138U,	// BLSFILL64rm
-    135288706U,	// BLSFILL64rr
-    101734207U,	// BLSI32rm
-    135288639U,	// BLSI32rr
-    168843071U,	// BLSI64rm
-    135288639U,	// BLSI64rr
-    101731929U,	// BLSIC32rm
-    135286361U,	// BLSIC32rr
-    168840793U,	// BLSIC64rm
-    135286361U,	// BLSIC64rr
-    101734229U,	// BLSMSK32rm
-    135288661U,	// BLSMSK32rr
-    168843093U,	// BLSMSK64rm
-    135288661U,	// BLSMSK64rr
-    101735405U,	// BLSR32rm
-    135289837U,	// BLSR32rr
-    168844269U,	// BLSR64rm
-    135289837U,	// BLSR64rr
-    370167745U,	// BOUNDS16rm
-    101732289U,	// BOUNDS32rm
-    370169392U,	// BSF16rm
-    135288368U,	// BSF16rr
-    101733936U,	// BSF32rm
-    135288368U,	// BSF32rr
-    168842800U,	// BSF64rm
-    135288368U,	// BSF64rr
-    370170836U,	// BSR16rm
-    135289812U,	// BSR16rr
-    101735380U,	// BSR32rm
-    135289812U,	// BSR32rr
-    168844244U,	// BSR64rm
-    135289812U,	// BSR64rr
-    22601U,	// BSWAP32r
-    22601U,	// BSWAP64r
-    1089770U,	// BT16mi8
-    1089770U,	// BT16mr
-    135291114U,	// BT16ri8
-    135291114U,	// BT16rr
-    1122538U,	// BT32mi8
-    1122538U,	// BT32mr
-    135291114U,	// BT32ri8
-    135291114U,	// BT32rr
-    1138922U,	// BT64mi8
-    1138922U,	// BT64mr
-    135291114U,	// BT64ri8
-    135291114U,	// BT64rr
-    1085055U,	// BTC16mi8
-    1085055U,	// BTC16mr
-    135286399U,	// BTC16ri8
-    135286399U,	// BTC16rr
-    1117823U,	// BTC32mi8
-    1117823U,	// BTC32mr
-    135286399U,	// BTC32ri8
-    135286399U,	// BTC32rr
-    1134207U,	// BTC64mi8
-    1134207U,	// BTC64mr
-    135286399U,	// BTC64ri8
-    135286399U,	// BTC64rr
-    1088499U,	// BTR16mi8
-    1088499U,	// BTR16mr
-    135289843U,	// BTR16ri8
-    135289843U,	// BTR16rr
-    1121267U,	// BTR32mi8
-    1121267U,	// BTR32mr
-    135289843U,	// BTR32ri8
-    135289843U,	// BTR32rr
-    1137651U,	// BTR64mi8
-    1137651U,	// BTR64mr
-    135289843U,	// BTR64ri8
-    135289843U,	// BTR64rr
-    1089752U,	// BTS16mi8
-    1089752U,	// BTS16mr
-    135291096U,	// BTS16ri8
-    135291096U,	// BTS16rr
-    1122520U,	// BTS32mi8
-    1122520U,	// BTS32mr
-    135291096U,	// BTS32ri8
-    135291096U,	// BTS32rr
-    1138904U,	// BTS64mi8
-    1138904U,	// BTS64mr
-    135291096U,	// BTS64ri8
-    135291096U,	// BTS64rr
-    2249217705U,	// BZHI32rm
-    2282772137U,	// BZHI32rr
-    2316326569U,	// BZHI64rm
-    2282772137U,	// BZHI64rr
-    38771U,	// CALL16m
-    22387U,	// CALL16r
-    71539U,	// CALL32m
-    22387U,	// CALL32r
-    87923U,	// CALL64m
-    153459U,	// CALL64pcrel32
-    22387U,	// CALL64r
-    153459U,	// CALLpcrel16
-    153459U,	// CALLpcrel32
-    12703U,	// CBW
-    12323U,	// CDQ
-    11997U,	// CDQE
-    12510U,	// CHS_F
+    2249219066U,	// BEXTR32rm
+    2282773498U,	// BEXTR32rr
+    2316327930U,	// BEXTR64rm
+    2282773498U,	// BEXTR64rr
+    2249219066U,	// BEXTRI32mi
+    2282773498U,	// BEXTRI32ri
+    2316327930U,	// BEXTRI64mi
+    2282773498U,	// BEXTRI64ri
+    101734257U,	// BLCFILL32rm
+    135288689U,	// BLCFILL32rr
+    168843121U,	// BLCFILL64rm
+    135288689U,	// BLCFILL64rr
+    101734043U,	// BLCI32rm
+    135288475U,	// BLCI32rr
+    168842907U,	// BLCI64rm
+    135288475U,	// BLCI64rr
+    101731914U,	// BLCIC32rm
+    135286346U,	// BLCIC32rr
+    168840778U,	// BLCIC64rm
+    135286346U,	// BLCIC64rr
+    101734213U,	// BLCMSK32rm
+    135288645U,	// BLCMSK32rr
+    168843077U,	// BLCMSK64rm
+    135288645U,	// BLCMSK64rr
+    101735448U,	// BLCS32rm
+    135289880U,	// BLCS32rr
+    168844312U,	// BLCS64rm
+    135289880U,	// BLCS64rr
+    2349879673U,	// BLENDPDrmi
+    2215661945U,	// BLENDPDrri
+    2349882886U,	// BLENDPSrmi
+    2215665158U,	// BLENDPSrri
+    202396249U,	// BLENDVPDrm0
+    68178521U,	// BLENDVPDrr0
+    202399515U,	// BLENDVPSrm0
+    68181787U,	// BLENDVPSrr0
+    101734266U,	// BLSFILL32rm
+    135288698U,	// BLSFILL32rr
+    168843130U,	// BLSFILL64rm
+    135288698U,	// BLSFILL64rr
+    101734199U,	// BLSI32rm
+    135288631U,	// BLSI32rr
+    168843063U,	// BLSI64rm
+    135288631U,	// BLSI64rr
+    101731921U,	// BLSIC32rm
+    135286353U,	// BLSIC32rr
+    168840785U,	// BLSIC64rm
+    135286353U,	// BLSIC64rr
+    101734221U,	// BLSMSK32rm
+    135288653U,	// BLSMSK32rr
+    168843085U,	// BLSMSK64rm
+    135288653U,	// BLSMSK64rr
+    101735397U,	// BLSR32rm
+    135289829U,	// BLSR32rr
+    168844261U,	// BLSR64rm
+    135289829U,	// BLSR64rr
+    370167737U,	// BOUNDS16rm
+    101732281U,	// BOUNDS32rm
+    370169384U,	// BSF16rm
+    135288360U,	// BSF16rr
+    101733928U,	// BSF32rm
+    135288360U,	// BSF32rr
+    168842792U,	// BSF64rm
+    135288360U,	// BSF64rr
+    370170828U,	// BSR16rm
+    135289804U,	// BSR16rr
+    101735372U,	// BSR32rm
+    135289804U,	// BSR32rr
+    168844236U,	// BSR64rm
+    135289804U,	// BSR64rr
+    22593U,	// BSWAP32r
+    22593U,	// BSWAP64r
+    1089762U,	// BT16mi8
+    1089762U,	// BT16mr
+    135291106U,	// BT16ri8
+    135291106U,	// BT16rr
+    1122530U,	// BT32mi8
+    1122530U,	// BT32mr
+    135291106U,	// BT32ri8
+    135291106U,	// BT32rr
+    1138914U,	// BT64mi8
+    1138914U,	// BT64mr
+    135291106U,	// BT64ri8
+    135291106U,	// BT64rr
+    1085047U,	// BTC16mi8
+    1085047U,	// BTC16mr
+    135286391U,	// BTC16ri8
+    135286391U,	// BTC16rr
+    1117815U,	// BTC32mi8
+    1117815U,	// BTC32mr
+    135286391U,	// BTC32ri8
+    135286391U,	// BTC32rr
+    1134199U,	// BTC64mi8
+    1134199U,	// BTC64mr
+    135286391U,	// BTC64ri8
+    135286391U,	// BTC64rr
+    1088491U,	// BTR16mi8
+    1088491U,	// BTR16mr
+    135289835U,	// BTR16ri8
+    135289835U,	// BTR16rr
+    1121259U,	// BTR32mi8
+    1121259U,	// BTR32mr
+    135289835U,	// BTR32ri8
+    135289835U,	// BTR32rr
+    1137643U,	// BTR64mi8
+    1137643U,	// BTR64mr
+    135289835U,	// BTR64ri8
+    135289835U,	// BTR64rr
+    1089744U,	// BTS16mi8
+    1089744U,	// BTS16mr
+    135291088U,	// BTS16ri8
+    135291088U,	// BTS16rr
+    1122512U,	// BTS32mi8
+    1122512U,	// BTS32mr
+    135291088U,	// BTS32ri8
+    135291088U,	// BTS32rr
+    1138896U,	// BTS64mi8
+    1138896U,	// BTS64mr
+    135291088U,	// BTS64ri8
+    135291088U,	// BTS64rr
+    2249217697U,	// BZHI32rm
+    2282772129U,	// BZHI32rr
+    2316326561U,	// BZHI64rm
+    2282772129U,	// BZHI64rr
+    38763U,	// CALL16m
+    22379U,	// CALL16r
+    71531U,	// CALL32m
+    22379U,	// CALL32r
+    87915U,	// CALL64m
+    153451U,	// CALL64pcrel32
+    22379U,	// CALL64r
+    153451U,	// CALLpcrel16
+    153451U,	// CALLpcrel32
+    12695U,	// CBW
+    12315U,	// CDQ
+    11989U,	// CDQE
+    12502U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    11810U,	// CLAC
-    11842U,	// CLC
-    11892U,	// CLD
-    104084U,	// CLFLUSH
-    12081U,	// CLGI
-    12091U,	// CLI
-    12594U,	// CLTS
-    11846U,	// CMC
-    403721415U,	// CMOVA16rm
-    68177095U,	// CMOVA16rr
-    437275847U,	// CMOVA32rm
-    68177095U,	// CMOVA32rr
-    470830279U,	// CMOVA64rm
-    68177095U,	// CMOVA64rr
-    403723612U,	// CMOVAE16rm
-    68179292U,	// CMOVAE16rr
-    437278044U,	// CMOVAE32rm
-    68179292U,	// CMOVAE32rr
-    470832476U,	// CMOVAE64rm
-    68179292U,	// CMOVAE64rr
-    403721743U,	// CMOVB16rm
-    68177423U,	// CMOVB16rr
-    437276175U,	// CMOVB32rm
-    68177423U,	// CMOVB32rr
-    470830607U,	// CMOVB64rm
-    68177423U,	// CMOVB64rr
-    403723632U,	// CMOVBE16rm
-    68179312U,	// CMOVBE16rr
-    437278064U,	// CMOVBE32rm
-    68179312U,	// CMOVBE32rr
-    470832496U,	// CMOVBE64rm
-    68179312U,	// CMOVBE64rr
-    25857U,	// CMOVBE_F
+    11802U,	// CLAC
+    11834U,	// CLC
+    11884U,	// CLD
+    104076U,	// CLFLUSH
+    12073U,	// CLGI
+    12083U,	// CLI
+    12586U,	// CLTS
+    11838U,	// CMC
+    403721407U,	// CMOVA16rm
+    68177087U,	// CMOVA16rr
+    437275839U,	// CMOVA32rm
+    68177087U,	// CMOVA32rr
+    470830271U,	// CMOVA64rm
+    68177087U,	// CMOVA64rr
+    403723604U,	// CMOVAE16rm
+    68179284U,	// CMOVAE16rr
+    437278036U,	// CMOVAE32rm
+    68179284U,	// CMOVAE32rr
+    470832468U,	// CMOVAE64rm
+    68179284U,	// CMOVAE64rr
+    403721735U,	// CMOVB16rm
+    68177415U,	// CMOVB16rr
+    437276167U,	// CMOVB32rm
+    68177415U,	// CMOVB32rr
+    470830599U,	// CMOVB64rm
+    68177415U,	// CMOVB64rr
+    403723624U,	// CMOVBE16rm
+    68179304U,	// CMOVBE16rr
+    437278056U,	// CMOVBE32rm
+    68179304U,	// CMOVBE32rr
+    470832488U,	// CMOVBE64rm
+    68179304U,	// CMOVBE64rr
+    25849U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    25825U,	// CMOVB_F
+    25817U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    403723817U,	// CMOVE16rm
-    68179497U,	// CMOVE16rr
-    437278249U,	// CMOVE32rm
-    68179497U,	// CMOVE32rr
-    470832681U,	// CMOVE64rm
-    68179497U,	// CMOVE64rr
-    25889U,	// CMOVE_F
+    403723809U,	// CMOVE16rm
+    68179489U,	// CMOVE16rr
+    437278241U,	// CMOVE32rm
+    68179489U,	// CMOVE32rr
+    470832673U,	// CMOVE64rm
+    68179489U,	// CMOVE64rr
+    25881U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    403723867U,	// CMOVG16rm
-    68179547U,	// CMOVG16rr
-    437278299U,	// CMOVG32rm
-    68179547U,	// CMOVG32rr
-    470832731U,	// CMOVG64rm
-    68179547U,	// CMOVG64rr
-    403723668U,	// CMOVGE16rm
-    68179348U,	// CMOVGE16rr
-    437278100U,	// CMOVGE32rm
-    68179348U,	// CMOVGE32rr
-    470832532U,	// CMOVGE64rm
-    68179348U,	// CMOVGE64rr
-    403724230U,	// CMOVL16rm
-    68179910U,	// CMOVL16rr
-    437278662U,	// CMOVL32rm
-    68179910U,	// CMOVL32rr
-    470833094U,	// CMOVL64rm
-    68179910U,	// CMOVL64rr
-    403723692U,	// CMOVLE16rm
-    68179372U,	// CMOVLE16rr
-    437278124U,	// CMOVLE32rm
-    68179372U,	// CMOVLE32rr
-    470832556U,	// CMOVLE64rm
-    68179372U,	// CMOVLE64rr
-    25840U,	// CMOVNBE_F
+    403723859U,	// CMOVG16rm
+    68179539U,	// CMOVG16rr
+    437278291U,	// CMOVG32rm
+    68179539U,	// CMOVG32rr
+    470832723U,	// CMOVG64rm
+    68179539U,	// CMOVG64rr
+    403723660U,	// CMOVGE16rm
+    68179340U,	// CMOVGE16rr
+    437278092U,	// CMOVGE32rm
+    68179340U,	// CMOVGE32rr
+    470832524U,	// CMOVGE64rm
+    68179340U,	// CMOVGE64rr
+    403724222U,	// CMOVL16rm
+    68179902U,	// CMOVL16rr
+    437278654U,	// CMOVL32rm
+    68179902U,	// CMOVL32rr
+    470833086U,	// CMOVL64rm
+    68179902U,	// CMOVL64rr
+    403723684U,	// CMOVLE16rm
+    68179364U,	// CMOVLE16rr
+    437278116U,	// CMOVLE32rm
+    68179364U,	// CMOVLE32rr
+    470832548U,	// CMOVLE64rm
+    68179364U,	// CMOVLE64rr
+    25832U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    25809U,	// CMOVNB_F
+    25801U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    403723720U,	// CMOVNE16rm
-    68179400U,	// CMOVNE16rr
-    437278152U,	// CMOVNE32rm
-    68179400U,	// CMOVNE32rr
-    470832584U,	// CMOVNE64rm
-    68179400U,	// CMOVNE64rr
-    25873U,	// CMOVNE_F
+    403723712U,	// CMOVNE16rm
+    68179392U,	// CMOVNE16rr
+    437278144U,	// CMOVNE32rm
+    68179392U,	// CMOVNE32rr
+    470832576U,	// CMOVNE64rm
+    68179392U,	// CMOVNE64rr
+    25865U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    403724340U,	// CMOVNO16rm
-    68180020U,	// CMOVNO16rr
-    437278772U,	// CMOVNO32rm
-    68180020U,	// CMOVNO32rr
-    470833204U,	// CMOVNO64rm
-    68180020U,	// CMOVNO64rr
-    403724452U,	// CMOVNP16rm
-    68180132U,	// CMOVNP16rr
-    437278884U,	// CMOVNP32rm
-    68180132U,	// CMOVNP32rr
-    470833316U,	// CMOVNP64rm
-    68180132U,	// CMOVNP64rr
-    25904U,	// CMOVNP_F
+    403724332U,	// CMOVNO16rm
+    68180012U,	// CMOVNO16rr
+    437278764U,	// CMOVNO32rm
+    68180012U,	// CMOVNO32rr
+    470833196U,	// CMOVNO64rm
+    68180012U,	// CMOVNO64rr
+    403724444U,	// CMOVNP16rm
+    68180124U,	// CMOVNP16rr
+    437278876U,	// CMOVNP32rm
+    68180124U,	// CMOVNP32rr
+    470833308U,	// CMOVNP64rm
+    68180124U,	// CMOVNP64rr
+    25896U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    403725386U,	// CMOVNS16rm
-    68181066U,	// CMOVNS16rr
-    437279818U,	// CMOVNS32rm
-    68181066U,	// CMOVNS32rr
-    470834250U,	// CMOVNS64rm
-    68181066U,	// CMOVNS64rr
-    403724354U,	// CMOVO16rm
-    68180034U,	// CMOVO16rr
-    437278786U,	// CMOVO32rm
-    68180034U,	// CMOVO32rr
-    470833218U,	// CMOVO64rm
-    68180034U,	// CMOVO64rr
-    403724581U,	// CMOVP16rm
-    68180261U,	// CMOVP16rr
-    437279013U,	// CMOVP32rm
-    68180261U,	// CMOVP32rr
-    470833445U,	// CMOVP64rm
-    68180261U,	// CMOVP64rr
-    25920U,	// CMOVP_F
+    403725378U,	// CMOVNS16rm
+    68181058U,	// CMOVNS16rr
+    437279810U,	// CMOVNS32rm
+    68181058U,	// CMOVNS32rr
+    470834242U,	// CMOVNS64rm
+    68181058U,	// CMOVNS64rr
+    403724346U,	// CMOVO16rm
+    68180026U,	// CMOVO16rr
+    437278778U,	// CMOVO32rm
+    68180026U,	// CMOVO32rr
+    470833210U,	// CMOVO64rm
+    68180026U,	// CMOVO64rr
+    403724573U,	// CMOVP16rm
+    68180253U,	// CMOVP16rr
+    437279005U,	// CMOVP32rm
+    68180253U,	// CMOVP32rr
+    470833437U,	// CMOVP64rm
+    68180253U,	// CMOVP64rr
+    25912U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    403726563U,	// CMOVS16rm
-    68182243U,	// CMOVS16rr
-    437280995U,	// CMOVS32rm
-    68182243U,	// CMOVS32rr
-    470835427U,	// CMOVS64rm
-    68182243U,	// CMOVS64rr
-    10630U,	// CMOV_FR32
-    10943U,	// CMOV_FR64
-    10197U,	// CMOV_GR16
-    10177U,	// CMOV_GR32
-    11266U,	// CMOV_GR8
-    10610U,	// CMOV_RFP32
-    10923U,	// CMOV_RFP64
-    10217U,	// CMOV_RFP80
-    10530U,	// CMOV_V16F32
-    10764U,	// CMOV_V2F64
-    10824U,	// CMOV_V2I64
-    10510U,	// CMOV_V4F32
-    10784U,	// CMOV_V4F64
-    10844U,	// CMOV_V4I64
-    10551U,	// CMOV_V8F32
-    10804U,	// CMOV_V8F64
-    10864U,	// CMOV_V8I64
-    26122U,	// CMP16i16
-    1087606U,	// CMP16mi
-    1087606U,	// CMP16mi8
-    1087606U,	// CMP16mr
-    135288950U,	// CMP16ri
-    135288950U,	// CMP16ri8
-    370169974U,	// CMP16rm
-    135288950U,	// CMP16rr
-    135288950U,	// CMP16rr_REV
-    26287U,	// CMP32i32
-    1120374U,	// CMP32mi
-    1120374U,	// CMP32mi8
-    1120374U,	// CMP32mr
-    135288950U,	// CMP32ri
-    135288950U,	// CMP32ri8
-    101734518U,	// CMP32rm
-    135288950U,	// CMP32rr
-    135288950U,	// CMP32rr_REV
-    26402U,	// CMP64i32
-    1136758U,	// CMP64mi32
-    1136758U,	// CMP64mi8
-    1136758U,	// CMP64mr
-    135288950U,	// CMP64ri32
-    135288950U,	// CMP64ri8
-    168843382U,	// CMP64rm
-    135288950U,	// CMP64rr
-    135288950U,	// CMP64rr_REV
-    26010U,	// CMP8i8
-    1153142U,	// CMP8mi
-    1153142U,	// CMP8mr
-    135288950U,	// CMP8ri
-    504387702U,	// CMP8rm
-    135288950U,	// CMP8rr
-    135288950U,	// CMP8rr_REV
-    209891318U,	// CMPPDrmi
-    2349879833U,	// CMPPDrmi_alt
-    75689974U,	// CMPPDrri
-    2215662105U,	// CMPPDrri_alt
-    210939894U,	// CMPPSrmi
-    2349883066U,	// CMPPSrmi_alt
-    76738550U,	// CMPPSrri
-    2215665338U,	// CMPPSrri_alt
-    205748U,	// CMPS16
-    218114U,	// CMPS32
-    236236U,	// CMPS64
-    249217U,	// CMPS8
-    245542902U,	// CMPSDrm
-    2383434754U,	// CMPSDrm_alt
-    77787126U,	// CMPSDrr
-    2215662594U,	// CMPSDrr_alt
-    280145910U,	// CMPSSrm
-    2416992390U,	// CMPSSrm_alt
-    78835702U,	// CMPSSrr
-    2215665798U,	// CMPSSrr_alt
-    265422U,	// CMPXCHG16B
-    1087040U,	// CMPXCHG16rm
-    135288384U,	// CMPXCHG16rr
-    1119808U,	// CMPXCHG32rm
-    135288384U,	// CMPXCHG32rr
-    1136192U,	// CMPXCHG64rm
-    135288384U,	// CMPXCHG64rr
-    85210U,	// CMPXCHG8B
-    1152576U,	// CMPXCHG8rm
-    135288384U,	// CMPXCHG8rr
-    537940944U,	// COMISDrm
-    135287760U,	// COMISDrr
-    537944156U,	// COMISSrm
-    135290972U,	// COMISSrr
-    22657U,	// COMP_FST0r
-    22248U,	// COM_FIPr
-    22191U,	// COM_FIr
-    22482U,	// COM_FST0r
-    12566U,	// COS_F
+    403726555U,	// CMOVS16rm
+    68182235U,	// CMOVS16rr
+    437280987U,	// CMOVS32rm
+    68182235U,	// CMOVS32rr
+    470835419U,	// CMOVS64rm
+    68182235U,	// CMOVS64rr
+    10622U,	// CMOV_FR32
+    10935U,	// CMOV_FR64
+    10189U,	// CMOV_GR16
+    10169U,	// CMOV_GR32
+    11258U,	// CMOV_GR8
+    10602U,	// CMOV_RFP32
+    10915U,	// CMOV_RFP64
+    10209U,	// CMOV_RFP80
+    10522U,	// CMOV_V16F32
+    10756U,	// CMOV_V2F64
+    10816U,	// CMOV_V2I64
+    10502U,	// CMOV_V4F32
+    10776U,	// CMOV_V4F64
+    10836U,	// CMOV_V4I64
+    10543U,	// CMOV_V8F32
+    10796U,	// CMOV_V8F64
+    10856U,	// CMOV_V8I64
+    26114U,	// CMP16i16
+    1087598U,	// CMP16mi
+    1087598U,	// CMP16mi8
+    1087598U,	// CMP16mr
+    135288942U,	// CMP16ri
+    135288942U,	// CMP16ri8
+    370169966U,	// CMP16rm
+    135288942U,	// CMP16rr
+    135288942U,	// CMP16rr_REV
+    26279U,	// CMP32i32
+    1120366U,	// CMP32mi
+    1120366U,	// CMP32mi8
+    1120366U,	// CMP32mr
+    135288942U,	// CMP32ri
+    135288942U,	// CMP32ri8
+    101734510U,	// CMP32rm
+    135288942U,	// CMP32rr
+    135288942U,	// CMP32rr_REV
+    26394U,	// CMP64i32
+    1136750U,	// CMP64mi32
+    1136750U,	// CMP64mi8
+    1136750U,	// CMP64mr
+    135288942U,	// CMP64ri32
+    135288942U,	// CMP64ri8
+    168843374U,	// CMP64rm
+    135288942U,	// CMP64rr
+    135288942U,	// CMP64rr_REV
+    26002U,	// CMP8i8
+    1153134U,	// CMP8mi
+    1153134U,	// CMP8mr
+    135288942U,	// CMP8ri
+    504387694U,	// CMP8rm
+    135288942U,	// CMP8rr
+    135288942U,	// CMP8rr_REV
+    209891310U,	// CMPPDrmi
+    2349879825U,	// CMPPDrmi_alt
+    75689966U,	// CMPPDrri
+    2215662097U,	// CMPPDrri_alt
+    210939886U,	// CMPPSrmi
+    2349883058U,	// CMPPSrmi_alt
+    76738542U,	// CMPPSrri
+    2215665330U,	// CMPPSrri_alt
+    205740U,	// CMPS16
+    218106U,	// CMPS32
+    236228U,	// CMPS64
+    249209U,	// CMPS8
+    245542894U,	// CMPSDrm
+    2383434746U,	// CMPSDrm_alt
+    77787118U,	// CMPSDrr
+    2215662586U,	// CMPSDrr_alt
+    280145902U,	// CMPSSrm
+    2416992382U,	// CMPSSrm_alt
+    78835694U,	// CMPSSrr
+    2215665790U,	// CMPSSrr_alt
+    265414U,	// CMPXCHG16B
+    1087032U,	// CMPXCHG16rm
+    135288376U,	// CMPXCHG16rr
+    1119800U,	// CMPXCHG32rm
+    135288376U,	// CMPXCHG32rr
+    1136184U,	// CMPXCHG64rm
+    135288376U,	// CMPXCHG64rr
+    85202U,	// CMPXCHG8B
+    1152568U,	// CMPXCHG8rm
+    135288376U,	// CMPXCHG8rr
+    537940936U,	// COMISDrm
+    135287752U,	// COMISDrr
+    537944148U,	// COMISSrm
+    135290964U,	// COMISSrr
+    22649U,	// COMP_FST0r
+    22240U,	// COM_FIPr
+    22183U,	// COM_FIr
+    22474U,	// COM_FST0r
+    12558U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    11886U,	// CPUID32
-    11886U,	// CPUID64
-    12256U,	// CQO
-    3197758U,	// CRC32r32m16
-    4246334U,	// CRC32r32m32
-    6343486U,	// CRC32r32m8
-    35703614U,	// CRC32r32r16
-    35703614U,	// CRC32r32r32
-    35703614U,	// CRC32r32r8
-    5294910U,	// CRC32r64m64
-    6343486U,	// CRC32r64m8
-    35703614U,	// CRC32r64r64
-    35703614U,	// CRC32r64r8
-    12440U,	// CS_PREFIX
-    168841355U,	// CVTDQ2PDrm
-    135286923U,	// CVTDQ2PDrr
-    336616747U,	// CVTDQ2PSrm
-    135290155U,	// CVTDQ2PSrr
-    537942397U,	// CVTPD2DQrm
-    135289213U,	// CVTPD2DQrr
-    537943295U,	// CVTPD2PSrm
-    135290111U,	// CVTPD2PSrr
-    537942429U,	// CVTPS2DQrm
-    135289245U,	// CVTPS2DQrr
-    571494550U,	// CVTPS2PDrm
-    135286934U,	// CVTPS2PDrr
-    571496222U,	// CVTSD2SI64rm
-    135288606U,	// CVTSD2SI64rr
-    571496222U,	// CVTSD2SIrm
-    135288606U,	// CVTSD2SIrr
-    571498431U,	// CVTSD2SSrm
-    135290815U,	// CVTSD2SSrr
-    168842027U,	// CVTSI2SD64rm
-    135287595U,	// CVTSI2SD64rr
-    101733163U,	// CVTSI2SDrm
-    135287595U,	// CVTSI2SDrr
-    168845258U,	// CVTSI2SS64rm
-    135290826U,	// CVTSI2SS64rr
-    101736394U,	// CVTSI2SSrm
-    135290826U,	// CVTSI2SSrr
-    605049666U,	// CVTSS2SDrm
-    135287618U,	// CVTSS2SDrr
-    605050677U,	// CVTSS2SI64rm
-    135288629U,	// CVTSS2SI64rr
-    605050677U,	// CVTSS2SIrm
-    135288629U,	// CVTSS2SIrr
-    537942385U,	// CVTTPD2DQrm
-    135289201U,	// CVTTPD2DQrr
-    537942417U,	// CVTTPS2DQrm
-    135289233U,	// CVTTPS2DQrr
-    571496210U,	// CVTTSD2SI64rm
-    135288594U,	// CVTTSD2SI64rr
-    571496210U,	// CVTTSD2SIrm
-    135288594U,	// CVTTSD2SIrr
-    605050665U,	// CVTTSS2SI64rm
-    135288617U,	// CVTTSS2SI64rr
-    605050665U,	// CVTTSS2SIrm
-    135288617U,	// CVTTSS2SIrr
-    11938U,	// CWD
-    11970U,	// CWDE
-    11745U,	// DAA
-    12426U,	// DAS
-    11626U,	// DATA16_PREFIX
-    36429U,	// DEC16m
-    20045U,	// DEC16r
-    20045U,	// DEC32_16r
-    20045U,	// DEC32_32r
-    69197U,	// DEC32m
-    20045U,	// DEC32r
-    36429U,	// DEC64_16m
-    20045U,	// DEC64_16r
-    69197U,	// DEC64_32m
-    20045U,	// DEC64_32r
-    85581U,	// DEC64m
-    20045U,	// DEC64r
-    101965U,	// DEC8m
-    20045U,	// DEC8r
-    41429U,	// DIV16m
-    25045U,	// DIV16r
-    74197U,	// DIV32m
-    25045U,	// DIV32r
-    90581U,	// DIV64m
-    25045U,	// DIV64r
-    106965U,	// DIV8m
-    25045U,	// DIV8r
-    202396268U,	// DIVPDrm
-    68178540U,	// DIVPDrr
-    202399534U,	// DIVPSrm
-    68181806U,	// DIVPSrr
-    121865U,	// DIVR_F32m
-    138249U,	// DIVR_F64m
-    39952U,	// DIVR_FI16m
-    72720U,	// DIVR_FI32m
-    22724U,	// DIVR_FPrST0
-    23561U,	// DIVR_FST0r
+    11878U,	// CPUID32
+    11878U,	// CPUID64
+    12248U,	// CQO
+    3197750U,	// CRC32r32m16
+    4246326U,	// CRC32r32m32
+    6343478U,	// CRC32r32m8
+    35703606U,	// CRC32r32r16
+    35703606U,	// CRC32r32r32
+    35703606U,	// CRC32r32r8
+    5294902U,	// CRC32r64m64
+    6343478U,	// CRC32r64m8
+    35703606U,	// CRC32r64r64
+    35703606U,	// CRC32r64r8
+    12432U,	// CS_PREFIX
+    168841347U,	// CVTDQ2PDrm
+    135286915U,	// CVTDQ2PDrr
+    336616739U,	// CVTDQ2PSrm
+    135290147U,	// CVTDQ2PSrr
+    537942389U,	// CVTPD2DQrm
+    135289205U,	// CVTPD2DQrr
+    537943287U,	// CVTPD2PSrm
+    135290103U,	// CVTPD2PSrr
+    537942421U,	// CVTPS2DQrm
+    135289237U,	// CVTPS2DQrr
+    571494542U,	// CVTPS2PDrm
+    135286926U,	// CVTPS2PDrr
+    571496214U,	// CVTSD2SI64rm
+    135288598U,	// CVTSD2SI64rr
+    571496214U,	// CVTSD2SIrm
+    135288598U,	// CVTSD2SIrr
+    571498423U,	// CVTSD2SSrm
+    135290807U,	// CVTSD2SSrr
+    168842019U,	// CVTSI2SD64rm
+    135287587U,	// CVTSI2SD64rr
+    101733155U,	// CVTSI2SDrm
+    135287587U,	// CVTSI2SDrr
+    168845250U,	// CVTSI2SS64rm
+    135290818U,	// CVTSI2SS64rr
+    101736386U,	// CVTSI2SSrm
+    135290818U,	// CVTSI2SSrr
+    605049658U,	// CVTSS2SDrm
+    135287610U,	// CVTSS2SDrr
+    605050669U,	// CVTSS2SI64rm
+    135288621U,	// CVTSS2SI64rr
+    605050669U,	// CVTSS2SIrm
+    135288621U,	// CVTSS2SIrr
+    537942377U,	// CVTTPD2DQrm
+    135289193U,	// CVTTPD2DQrr
+    537942409U,	// CVTTPS2DQrm
+    135289225U,	// CVTTPS2DQrr
+    571496202U,	// CVTTSD2SI64rm
+    135288586U,	// CVTTSD2SI64rr
+    571496202U,	// CVTTSD2SIrm
+    135288586U,	// CVTTSD2SIrr
+    605050657U,	// CVTTSS2SI64rm
+    135288609U,	// CVTTSS2SI64rr
+    605050657U,	// CVTTSS2SIrm
+    135288609U,	// CVTTSS2SIrr
+    11930U,	// CWD
+    11962U,	// CWDE
+    11737U,	// DAA
+    12418U,	// DAS
+    11618U,	// DATA16_PREFIX
+    36421U,	// DEC16m
+    20037U,	// DEC16r
+    20037U,	// DEC32_16r
+    20037U,	// DEC32_32r
+    69189U,	// DEC32m
+    20037U,	// DEC32r
+    36421U,	// DEC64_16m
+    20037U,	// DEC64_16r
+    69189U,	// DEC64_32m
+    20037U,	// DEC64_32r
+    85573U,	// DEC64m
+    20037U,	// DEC64r
+    101957U,	// DEC8m
+    20037U,	// DEC8r
+    41421U,	// DIV16m
+    25037U,	// DIV16r
+    74189U,	// DIV32m
+    25037U,	// DIV32r
+    90573U,	// DIV64m
+    25037U,	// DIV64r
+    106957U,	// DIV8m
+    25037U,	// DIV8r
+    202396260U,	// DIVPDrm
+    68178532U,	// DIVPDrr
+    202399526U,	// DIVPSrm
+    68181798U,	// DIVPSrr
+    121857U,	// DIVR_F32m
+    138241U,	// DIVR_F64m
+    39944U,	// DIVR_FI16m
+    72712U,	// DIVR_FI32m
+    22716U,	// DIVR_FPrST0
+    23553U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -752,21 +752,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    7363593U,	// DIVR_FrST0
-    235951146U,	// DIVSDrm
-    235951146U,	// DIVSDrm_Int
-    68178986U,	// DIVSDrr
-    68178986U,	// DIVSDrr_Int
-    269508792U,	// DIVSSrm
-    269508792U,	// DIVSSrm_Int
-    68182200U,	// DIVSSrr
-    68182200U,	// DIVSSrr_Int
-    123348U,	// DIV_F32m
-    139732U,	// DIV_F64m
-    41434U,	// DIV_FI16m
-    74202U,	// DIV_FI32m
-    22814U,	// DIV_FPrST0
-    25044U,	// DIV_FST0r
+    7363585U,	// DIVR_FrST0
+    235951138U,	// DIVSDrm
+    235951138U,	// DIVSDrm_Int
+    68178978U,	// DIVSDrr
+    68178978U,	// DIVSDrr_Int
+    269508784U,	// DIVSSrm
+    269508784U,	// DIVSSrm_Int
+    68182192U,	// DIVSSrr
+    68182192U,	// DIVSSrr_Int
+    123340U,	// DIV_F32m
+    139724U,	// DIV_F64m
+    41426U,	// DIV_FI16m
+    74194U,	// DIV_FI32m
+    22806U,	// DIV_FPrST0
+    25036U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -781,64 +781,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    7365076U,	// DIV_FrST0
-    2349879826U,	// DPPDrmi
-    2215662098U,	// DPPDrri
-    2349883059U,	// DPPSrmi
-    2215665331U,	// DPPSrri
-    12448U,	// DS_PREFIX
-    26514U,	// EH_RETURN
-    26514U,	// EH_RETURN64
-    11435U,	// EH_SjLj_LongJmp32
-    11539U,	// EH_SjLj_LongJmp64
-    11454U,	// EH_SjLj_SetJmp32
-    11558U,	// EH_SjLj_SetJmp64
-    153870U,	// EH_SjLj_Setup
-    135289749U,	// ENTER
-    12463U,	// ES_PREFIX
-    2148654813U,	// EXTRACTPSmr
-    2282774237U,	// EXTRACTPSrr
-    35707582U,	// EXTRQ
-    639687358U,	// EXTRQI
-    11416U,	// F2XM1
-    135288690U,	// FARCALL16i
-    284530U,	// FARCALL16m
-    135288690U,	// FARCALL32i
-    284530U,	// FARCALL32m
-    284530U,	// FARCALL64
-    135288955U,	// FARJMP16i
-    284795U,	// FARJMP16m
-    135288955U,	// FARJMP32i
-    284795U,	// FARJMP32m
-    284795U,	// FARJMP64
-    118622U,	// FBLDm
-    121042U,	// FBSTPm
-    120786U,	// FCOM32m
-    137170U,	// FCOM64m
-    120961U,	// FCOMP32m
-    137345U,	// FCOMP64m
-    12292U,	// FCOMPP
-    12307U,	// FDECSTP
-    12560U,	// FEMMS
-    21880U,	// FFREE
-    38872U,	// FICOM16m
-    71640U,	// FICOM32m
-    39048U,	// FICOMP16m
-    71816U,	// FICOMP32m
-    12315U,	// FINCSTP
-    41595U,	// FLDCW16m
-    123361U,	// FLDENVm
-    11942U,	// FLDL2E
-    12599U,	// FLDL2T
-    11520U,	// FLDLG2
-    11527U,	// FLDLN2
-    12095U,	// FLDPI
-    12906U,	// FNCLEX
-    12632U,	// FNINIT
-    12287U,	// FNOP
-    41602U,	// FNSTCW16m
-    12733U,	// FNSTSW16r
-    123846U,	// FNSTSWm
+    7365068U,	// DIV_FrST0
+    2349879818U,	// DPPDrmi
+    2215662090U,	// DPPDrri
+    2349883051U,	// DPPSrmi
+    2215665323U,	// DPPSrri
+    12440U,	// DS_PREFIX
+    26506U,	// EH_RETURN
+    26506U,	// EH_RETURN64
+    11427U,	// EH_SjLj_LongJmp32
+    11531U,	// EH_SjLj_LongJmp64
+    11446U,	// EH_SjLj_SetJmp32
+    11550U,	// EH_SjLj_SetJmp64
+    153862U,	// EH_SjLj_Setup
+    135289741U,	// ENTER
+    12455U,	// ES_PREFIX
+    2148654805U,	// EXTRACTPSmr
+    2282774229U,	// EXTRACTPSrr
+    35707574U,	// EXTRQ
+    639687350U,	// EXTRQI
+    11408U,	// F2XM1
+    135288682U,	// FARCALL16i
+    284522U,	// FARCALL16m
+    135288682U,	// FARCALL32i
+    284522U,	// FARCALL32m
+    284522U,	// FARCALL64
+    135288947U,	// FARJMP16i
+    284787U,	// FARJMP16m
+    135288947U,	// FARJMP32i
+    284787U,	// FARJMP32m
+    284787U,	// FARJMP64
+    118614U,	// FBLDm
+    121034U,	// FBSTPm
+    120778U,	// FCOM32m
+    137162U,	// FCOM64m
+    120953U,	// FCOMP32m
+    137337U,	// FCOMP64m
+    12284U,	// FCOMPP
+    12299U,	// FDECSTP
+    12552U,	// FEMMS
+    21872U,	// FFREE
+    38864U,	// FICOM16m
+    71632U,	// FICOM32m
+    39040U,	// FICOMP16m
+    71808U,	// FICOMP32m
+    12307U,	// FINCSTP
+    41587U,	// FLDCW16m
+    123353U,	// FLDENVm
+    11934U,	// FLDL2E
+    12591U,	// FLDL2T
+    11512U,	// FLDLG2
+    11519U,	// FLDLN2
+    12087U,	// FLDPI
+    12898U,	// FNCLEX
+    12624U,	// FNINIT
+    12279U,	// FNOP
+    41594U,	// FNSTCW16m
+    12725U,	// FNSTSW16r
+    123838U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -848,71 +848,71 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    12211U,	// FPATAN
-    12194U,	// FPREM
-    11409U,	// FPREM1
-    12218U,	// FPTAN
-    12651U,	// FRNDINT
-    121782U,	// FRSTORm
-    120345U,	// FSAVEm
-    11975U,	// FSCALE
-    12200U,	// FSETPM
-    12571U,	// FSINCOS
-    123369U,	// FSTENVm
-    12478U,	// FS_PREFIX
-    12189U,	// FXAM
-    285630U,	// FXRSTOR
-    281491U,	// FXRSTOR64
-    284193U,	// FXSAVE
-    281481U,	// FXSAVE64
-    12606U,	// FXTRACT
-    12727U,	// FYL2X
-    11422U,	// FYL2XP1
+    12203U,	// FPATAN
+    12186U,	// FPREM
+    11401U,	// FPREM1
+    12210U,	// FPTAN
+    12643U,	// FRNDINT
+    121774U,	// FRSTORm
+    120337U,	// FSAVEm
+    11967U,	// FSCALE
+    12192U,	// FSETPM
+    12563U,	// FSINCOS
+    123361U,	// FSTENVm
+    12470U,	// FS_PREFIX
+    12181U,	// FXAM
+    285622U,	// FXRSTOR
+    281483U,	// FXRSTOR64
+    284185U,	// FXSAVE
+    281473U,	// FXSAVE64
+    12598U,	// FXTRACT
+    12719U,	// FYL2X
+    11414U,	// FYL2XP1
     0U,	// FpPOP_RETVAL
-    202396161U,	// FsANDNPDrm
-    68178433U,	// FsANDNPDrr
-    202399386U,	// FsANDNPSrm
-    68181658U,	// FsANDNPSrr
-    202396025U,	// FsANDPDrm
-    68178297U,	// FsANDPDrr
-    202399238U,	// FsANDPSrm
-    68181510U,	// FsANDPSrr
+    202396153U,	// FsANDNPDrm
+    68178425U,	// FsANDNPDrr
+    202399378U,	// FsANDNPSrm
+    68181650U,	// FsANDNPSrr
+    202396017U,	// FsANDPDrm
+    68178289U,	// FsANDPDrr
+    202399230U,	// FsANDPSrm
+    68181502U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    537940215U,	// FsMOVAPDrm
-    537943436U,	// FsMOVAPSrm
-    202396205U,	// FsORPDrm
-    68178477U,	// FsORPDrr
-    202399438U,	// FsORPSrm
-    68181710U,	// FsORPSrr
-    537940214U,	// FsVMOVAPDrm
-    537943435U,	// FsVMOVAPSrm
-    202396212U,	// FsXORPDrm
-    68178484U,	// FsXORPDrr
-    202399445U,	// FsXORPSrm
-    68181717U,	// FsXORPSrr
-    11830U,	// GETSEC
-    12493U,	// GS_PREFIX
-    202395987U,	// HADDPDrm
-    68178259U,	// HADDPDrr
-    202399200U,	// HADDPSrm
-    68181472U,	// HADDPSrr
-    12647U,	// HLT
-    202395936U,	// HSUBPDrm
-    68178208U,	// HSUBPDrr
-    202399149U,	// HSUBPSrm
-    68181421U,	// HSUBPSrr
-    41435U,	// IDIV16m
-    25051U,	// IDIV16r
-    74203U,	// IDIV32m
-    25051U,	// IDIV32r
-    90587U,	// IDIV64m
-    25051U,	// IDIV64r
-    106971U,	// IDIV8m
-    25051U,	// IDIV8r
-    36721U,	// ILD_F16m
-    69489U,	// ILD_F32m
-    85873U,	// ILD_F64m
+    537940207U,	// FsMOVAPDrm
+    537943428U,	// FsMOVAPSrm
+    202396197U,	// FsORPDrm
+    68178469U,	// FsORPDrr
+    202399430U,	// FsORPSrm
+    68181702U,	// FsORPSrr
+    537940206U,	// FsVMOVAPDrm
+    537943427U,	// FsVMOVAPSrm
+    202396204U,	// FsXORPDrm
+    68178476U,	// FsXORPDrr
+    202399437U,	// FsXORPSrm
+    68181709U,	// FsXORPSrr
+    11822U,	// GETSEC
+    12485U,	// GS_PREFIX
+    202395979U,	// HADDPDrm
+    68178251U,	// HADDPDrr
+    202399192U,	// HADDPSrm
+    68181464U,	// HADDPSrr
+    12639U,	// HLT
+    202395928U,	// HSUBPDrm
+    68178200U,	// HSUBPDrr
+    202399141U,	// HSUBPSrm
+    68181413U,	// HSUBPSrr
+    41427U,	// IDIV16m
+    25043U,	// IDIV16r
+    74195U,	// IDIV32m
+    25043U,	// IDIV32r
+    90579U,	// IDIV64m
+    25043U,	// IDIV64r
+    106963U,	// IDIV8m
+    25043U,	// IDIV8r
+    36713U,	// ILD_F16m
+    69481U,	// ILD_F32m
+    85865U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -922,79 +922,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    38848U,	// IMUL16m
-    22464U,	// IMUL16r
-    403724224U,	// IMUL16rm
-    2517653440U,	// IMUL16rmi
-    2517653440U,	// IMUL16rmi8
-    68179904U,	// IMUL16rr
-    2282772416U,	// IMUL16rri
-    2282772416U,	// IMUL16rri8
-    71616U,	// IMUL32m
-    22464U,	// IMUL32r
-    437278656U,	// IMUL32rm
-    2249217984U,	// IMUL32rmi
-    2249217984U,	// IMUL32rmi8
-    68179904U,	// IMUL32rr
-    2282772416U,	// IMUL32rri
-    2282772416U,	// IMUL32rri8
-    88000U,	// IMUL64m
-    22464U,	// IMUL64r
-    470833088U,	// IMUL64rm
-    2316326848U,	// IMUL64rmi32
-    2316326848U,	// IMUL64rmi8
-    68179904U,	// IMUL64rr
-    2282772416U,	// IMUL64rri32
-    2282772416U,	// IMUL64rri8
-    104384U,	// IMUL8m
-    22464U,	// IMUL8r
-    12886951U,	// IN16
-    26114U,	// IN16ri
-    12885U,	// IN16rr
-    12899308U,	// IN32
-    26278U,	// IN32ri
-    12895U,	// IN32rr
-    12914036U,	// IN8
-    26002U,	// IN8ri
-    12875U,	// IN8rr
-    36474U,	// INC16m
-    20090U,	// INC16r
-    20090U,	// INC32_16r
-    20090U,	// INC32_32r
-    69242U,	// INC32m
-    20090U,	// INC32r
-    36474U,	// INC64_16m
-    20090U,	// INC64_16r
-    69242U,	// INC64_32m
-    20090U,	// INC64_32r
-    85626U,	// INC64m
-    20090U,	// INC64r
-    102010U,	// INC8m
-    20090U,	// INC8r
-    2416991987U,	// INSERTPSrm
-    2215665395U,	// INSERTPSrr
-    35707636U,	// INSERTQ
-    2787171060U,	// INSERTQI
-    24886U,	// INT
-    11430U,	// INT1
-    11534U,	// INT3
-    12260U,	// INTO
-    11933U,	// INVD
-    336617792U,	// INVEPT32
-    336617792U,	// INVEPT64
-    104013U,	// INVLPG
-    12841U,	// INVLPGA32
-    12858U,	// INVLPGA64
-    336613196U,	// INVPCID32
-    336613196U,	// INVPCID64
-    336613205U,	// INVVPID32
-    336613205U,	// INVVPID64
-    12614U,	// IRET16
-    11921U,	// IRET32
-    12366U,	// IRET64
-    39142U,	// ISTT_FP16m
-    71910U,	// ISTT_FP32m
-    88294U,	// ISTT_FP64m
+    38840U,	// IMUL16m
+    22456U,	// IMUL16r
+    403724216U,	// IMUL16rm
+    2517653432U,	// IMUL16rmi
+    2517653432U,	// IMUL16rmi8
+    68179896U,	// IMUL16rr
+    2282772408U,	// IMUL16rri
+    2282772408U,	// IMUL16rri8
+    71608U,	// IMUL32m
+    22456U,	// IMUL32r
+    437278648U,	// IMUL32rm
+    2249217976U,	// IMUL32rmi
+    2249217976U,	// IMUL32rmi8
+    68179896U,	// IMUL32rr
+    2282772408U,	// IMUL32rri
+    2282772408U,	// IMUL32rri8
+    87992U,	// IMUL64m
+    22456U,	// IMUL64r
+    470833080U,	// IMUL64rm
+    2316326840U,	// IMUL64rmi32
+    2316326840U,	// IMUL64rmi8
+    68179896U,	// IMUL64rr
+    2282772408U,	// IMUL64rri32
+    2282772408U,	// IMUL64rri8
+    104376U,	// IMUL8m
+    22456U,	// IMUL8r
+    12886943U,	// IN16
+    26106U,	// IN16ri
+    12877U,	// IN16rr
+    12899300U,	// IN32
+    26270U,	// IN32ri
+    12887U,	// IN32rr
+    12914028U,	// IN8
+    25994U,	// IN8ri
+    12867U,	// IN8rr
+    36466U,	// INC16m
+    20082U,	// INC16r
+    20082U,	// INC32_16r
+    20082U,	// INC32_32r
+    69234U,	// INC32m
+    20082U,	// INC32r
+    36466U,	// INC64_16m
+    20082U,	// INC64_16r
+    69234U,	// INC64_32m
+    20082U,	// INC64_32r
+    85618U,	// INC64m
+    20082U,	// INC64r
+    102002U,	// INC8m
+    20082U,	// INC8r
+    2416991979U,	// INSERTPSrm
+    2215665387U,	// INSERTPSrr
+    35707628U,	// INSERTQ
+    2787171052U,	// INSERTQI
+    24878U,	// INT
+    11422U,	// INT1
+    11526U,	// INT3
+    12252U,	// INTO
+    11925U,	// INVD
+    336617784U,	// INVEPT32
+    336617784U,	// INVEPT64
+    104005U,	// INVLPG
+    12833U,	// INVLPGA32
+    12850U,	// INVLPGA64
+    336613188U,	// INVPCID32
+    336613188U,	// INVPCID64
+    336613197U,	// INVVPID32
+    336613197U,	// INVVPID64
+    12606U,	// IRET16
+    11913U,	// IRET32
+    12358U,	// IRET64
+    39134U,	// ISTT_FP16m
+    71902U,	// ISTT_FP32m
+    88286U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -1004,11 +1004,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    41354U,	// IST_F16m
-    74122U,	// IST_F32m
-    39135U,	// IST_FP16m
-    71903U,	// IST_FP32m
-    88287U,	// IST_FP64m
+    41346U,	// IST_F16m
+    74114U,	// IST_F32m
+    39127U,	// IST_FP16m
+    71895U,	// IST_FP32m
+    88279U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -1018,213 +1018,213 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    245542902U,	// Int_CMPSDrm
-    77787126U,	// Int_CMPSDrr
-    280145910U,	// Int_CMPSSrm
-    78835702U,	// Int_CMPSSrr
-    537940944U,	// Int_COMISDrm
-    135287760U,	// Int_COMISDrr
-    537944156U,	// Int_COMISSrm
-    135290972U,	// Int_COMISSrr
-    235954111U,	// Int_CVTSD2SSrm
-    68181951U,	// Int_CVTSD2SSrr
-    470831915U,	// Int_CVTSI2SD64rm
-    68178731U,	// Int_CVTSI2SD64rr
-    437277483U,	// Int_CVTSI2SDrm
-    68178731U,	// Int_CVTSI2SDrr
-    470835146U,	// Int_CVTSI2SS64rm
-    68181962U,	// Int_CVTSI2SS64rr
-    437280714U,	// Int_CVTSI2SSrm
-    68181962U,	// Int_CVTSI2SSrr
-    269505346U,	// Int_CVTSS2SDrm
-    68178754U,	// Int_CVTSS2SDrr
-    571496210U,	// Int_CVTTSD2SI64rm
-    135288594U,	// Int_CVTTSD2SI64rr
-    571496210U,	// Int_CVTTSD2SIrm
-    135288594U,	// Int_CVTTSD2SIrr
-    605050665U,	// Int_CVTTSS2SI64rm
-    135288617U,	// Int_CVTTSS2SI64rr
-    605050665U,	// Int_CVTTSS2SIrm
-    135288617U,	// Int_CVTTSS2SIrr
-    11714U,	// Int_MemBarrier
-    537940943U,	// Int_UCOMISDrm
-    135287759U,	// Int_UCOMISDrr
-    537944155U,	// Int_UCOMISSrm
-    135290971U,	// Int_UCOMISSrr
-    2292543482U,	// Int_VCMPSDrm
-    2292559866U,	// Int_VCMPSDrr
-    2293592058U,	// Int_VCMPSSrm
-    2293608442U,	// Int_VCMPSSrr
-    537940952U,	// Int_VCOMISDZrm
-    135287768U,	// Int_VCOMISDZrr
-    537940952U,	// Int_VCOMISDrm
-    135287768U,	// Int_VCOMISDrr
-    537944164U,	// Int_VCOMISSZrm
-    135290980U,	// Int_VCOMISSZrr
-    537944164U,	// Int_VCOMISSrm
-    135290980U,	// Int_VCOMISSrr
-    2282774462U,	// Int_VCVTSD2SSrm
-    2282774462U,	// Int_VCVTSD2SSrr
-    2282771242U,	// Int_VCVTSI2SD64Zrm
-    2282771242U,	// Int_VCVTSI2SD64Zrr
-    2282771242U,	// Int_VCVTSI2SD64rm
-    2282771242U,	// Int_VCVTSI2SD64rr
-    2282771242U,	// Int_VCVTSI2SDZrm
-    2282771242U,	// Int_VCVTSI2SDZrr
-    2282771242U,	// Int_VCVTSI2SDrm
-    2282771242U,	// Int_VCVTSI2SDrr
-    2282774473U,	// Int_VCVTSI2SS64Zrm
-    2282774473U,	// Int_VCVTSI2SS64Zrr
-    2282774473U,	// Int_VCVTSI2SS64rm
-    2282774473U,	// Int_VCVTSI2SS64rr
-    2282774473U,	// Int_VCVTSI2SSZrm
-    2282774473U,	// Int_VCVTSI2SSZrr
-    2282774473U,	// Int_VCVTSI2SSrm
-    2282774473U,	// Int_VCVTSI2SSrr
-    2282771265U,	// Int_VCVTSS2SDrm
-    2282771265U,	// Int_VCVTSS2SDrr
+    245542894U,	// Int_CMPSDrm
+    77787118U,	// Int_CMPSDrr
+    280145902U,	// Int_CMPSSrm
+    78835694U,	// Int_CMPSSrr
+    537940936U,	// Int_COMISDrm
+    135287752U,	// Int_COMISDrr
+    537944148U,	// Int_COMISSrm
+    135290964U,	// Int_COMISSrr
+    235954103U,	// Int_CVTSD2SSrm
+    68181943U,	// Int_CVTSD2SSrr
+    470831907U,	// Int_CVTSI2SD64rm
+    68178723U,	// Int_CVTSI2SD64rr
+    437277475U,	// Int_CVTSI2SDrm
+    68178723U,	// Int_CVTSI2SDrr
+    470835138U,	// Int_CVTSI2SS64rm
+    68181954U,	// Int_CVTSI2SS64rr
+    437280706U,	// Int_CVTSI2SSrm
+    68181954U,	// Int_CVTSI2SSrr
+    269505338U,	// Int_CVTSS2SDrm
+    68178746U,	// Int_CVTSS2SDrr
+    571496202U,	// Int_CVTTSD2SI64rm
+    135288586U,	// Int_CVTTSD2SI64rr
+    571496202U,	// Int_CVTTSD2SIrm
+    135288586U,	// Int_CVTTSD2SIrr
+    605050657U,	// Int_CVTTSS2SI64rm
+    135288609U,	// Int_CVTTSS2SI64rr
+    605050657U,	// Int_CVTTSS2SIrm
+    135288609U,	// Int_CVTTSS2SIrr
+    11706U,	// Int_MemBarrier
+    537940935U,	// Int_UCOMISDrm
+    135287751U,	// Int_UCOMISDrr
+    537944147U,	// Int_UCOMISSrm
+    135290963U,	// Int_UCOMISSrr
+    2292543474U,	// Int_VCMPSDrm
+    2292559858U,	// Int_VCMPSDrr
+    2293592050U,	// Int_VCMPSSrm
+    2293608434U,	// Int_VCMPSSrr
+    537940944U,	// Int_VCOMISDZrm
+    135287760U,	// Int_VCOMISDZrr
+    537940944U,	// Int_VCOMISDrm
+    135287760U,	// Int_VCOMISDrr
+    537944156U,	// Int_VCOMISSZrm
+    135290972U,	// Int_VCOMISSZrr
+    537944156U,	// Int_VCOMISSrm
+    135290972U,	// Int_VCOMISSrr
+    2282774454U,	// Int_VCVTSD2SSrm
+    2282774454U,	// Int_VCVTSD2SSrr
+    2282771234U,	// Int_VCVTSI2SD64Zrm
+    2282771234U,	// Int_VCVTSI2SD64Zrr
+    2282771234U,	// Int_VCVTSI2SD64rm
+    2282771234U,	// Int_VCVTSI2SD64rr
+    2282771234U,	// Int_VCVTSI2SDZrm
+    2282771234U,	// Int_VCVTSI2SDZrr
+    2282771234U,	// Int_VCVTSI2SDrm
+    2282771234U,	// Int_VCVTSI2SDrr
+    2282774465U,	// Int_VCVTSI2SS64Zrm
+    2282774465U,	// Int_VCVTSI2SS64Zrr
+    2282774465U,	// Int_VCVTSI2SS64rm
+    2282774465U,	// Int_VCVTSI2SS64rr
+    2282774465U,	// Int_VCVTSI2SSZrm
+    2282774465U,	// Int_VCVTSI2SSZrr
+    2282774465U,	// Int_VCVTSI2SSrm
+    2282774465U,	// Int_VCVTSI2SSrr
+    2282771257U,	// Int_VCVTSS2SDrm
+    2282771257U,	// Int_VCVTSS2SDrr
     571491524U,	// Int_VCVTTSD2SI64Zrm
     135283908U,	// Int_VCVTTSD2SI64Zrr
-    571496209U,	// Int_VCVTTSD2SI64rm
-    135288593U,	// Int_VCVTTSD2SI64rr
+    571496201U,	// Int_VCVTTSD2SI64rm
+    135288585U,	// Int_VCVTTSD2SI64rr
     571491524U,	// Int_VCVTTSD2SIZrm
     135283908U,	// Int_VCVTTSD2SIZrr
-    571496209U,	// Int_VCVTTSD2SIrm
-    135288593U,	// Int_VCVTTSD2SIrr
+    571496201U,	// Int_VCVTTSD2SIrm
+    135288585U,	// Int_VCVTTSD2SIrr
     571491574U,	// Int_VCVTTSD2USI64Zrm
     135283958U,	// Int_VCVTTSD2USI64Zrr
     571491574U,	// Int_VCVTTSD2USIZrm
     135283958U,	// Int_VCVTTSD2USIZrr
     605045981U,	// Int_VCVTTSS2SI64Zrm
     135283933U,	// Int_VCVTTSS2SI64Zrr
-    605050664U,	// Int_VCVTTSS2SI64rm
-    135288616U,	// Int_VCVTTSS2SI64rr
+    605050656U,	// Int_VCVTTSS2SI64rm
+    135288608U,	// Int_VCVTTSS2SI64rr
     605045981U,	// Int_VCVTTSS2SIZrm
     135283933U,	// Int_VCVTTSS2SIZrr
-    605050664U,	// Int_VCVTTSS2SIrm
-    135288616U,	// Int_VCVTTSS2SIrr
+    605050656U,	// Int_VCVTTSS2SIrm
+    135288608U,	// Int_VCVTTSS2SIrr
     605046033U,	// Int_VCVTTSS2USI64Zrm
     135283985U,	// Int_VCVTTSS2USI64Zrr
     605046033U,	// Int_VCVTTSS2USIZrm
     135283985U,	// Int_VCVTTSS2USIZrr
-    2282771253U,	// Int_VCVTUSI2SD64Zrm
-    2282771253U,	// Int_VCVTUSI2SD64Zrr
-    2282771253U,	// Int_VCVTUSI2SDZrm
-    2282771253U,	// Int_VCVTUSI2SDZrr
-    2282774484U,	// Int_VCVTUSI2SS64Zrm
-    2282774484U,	// Int_VCVTUSI2SS64Zrr
-    2282774484U,	// Int_VCVTUSI2SSZrm
-    2282774484U,	// Int_VCVTUSI2SSZrr
-    537940942U,	// Int_VUCOMISDZrm
-    135287758U,	// Int_VUCOMISDZrr
-    537940942U,	// Int_VUCOMISDrm
-    135287758U,	// Int_VUCOMISDrr
-    537944154U,	// Int_VUCOMISSZrm
-    135290970U,	// Int_VUCOMISSZrr
-    537944154U,	// Int_VUCOMISSrm
-    135290970U,	// Int_VUCOMISSrr
-    152912U,	// JAE_1
-    152912U,	// JAE_2
-    152912U,	// JAE_4
-    150684U,	// JA_1
-    150684U,	// JA_2
-    150684U,	// JA_4
-    152932U,	// JBE_1
-    152932U,	// JBE_2
-    152932U,	// JBE_4
-    150803U,	// JB_1
-    150803U,	// JB_2
-    150803U,	// JB_4
-    156868U,	// JCXZ
-    156861U,	// JECXZ_32
-    156861U,	// JECXZ_64
-    152988U,	// JE_1
-    152988U,	// JE_2
-    152988U,	// JE_4
-    152959U,	// JGE_1
-    152959U,	// JGE_2
-    152959U,	// JGE_4
-    153161U,	// JG_1
-    153161U,	// JG_2
-    153161U,	// JG_4
-    152992U,	// JLE_1
-    152992U,	// JLE_2
-    152992U,	// JLE_4
-    153454U,	// JL_1
-    153454U,	// JL_2
-    153454U,	// JL_4
-    39036U,	// JMP16m
-    22652U,	// JMP16r
-    71804U,	// JMP32m
-    22652U,	// JMP32r
-    88188U,	// JMP64m
-    22652U,	// JMP64r
-    153724U,	// JMP_1
-    153724U,	// JMP_2
-    153724U,	// JMP_4
-    153012U,	// JNE_1
-    153012U,	// JNE_2
-    153012U,	// JNE_4
-    153640U,	// JNO_1
-    153640U,	// JNO_2
-    153640U,	// JNO_4
-    153752U,	// JNP_1
-    153752U,	// JNP_2
-    153752U,	// JNP_4
-    154686U,	// JNS_1
-    154686U,	// JNS_2
-    154686U,	// JNS_4
-    153636U,	// JO_1
-    153636U,	// JO_2
-    153636U,	// JO_4
-    153707U,	// JP_1
-    153707U,	// JP_2
-    153707U,	// JP_4
-    156874U,	// JRCXZ
-    154682U,	// JS_1
-    154682U,	// JS_2
-    154682U,	// JS_4
-    2282769033U,	// KANDNWrr
-    2282768981U,	// KANDWrr
-    135285481U,	// KMOVWkk
-    370166505U,	// KMOVWkm
-    135285481U,	// KMOVWkr
-    1084137U,	// KMOVWmk
-    135285481U,	// KMOVWrk
-    135285462U,	// KNOTWrr
-    135285470U,	// KORTESTWrr
-    2282769075U,	// KORWrr
+    2282771245U,	// Int_VCVTUSI2SD64Zrm
+    2282771245U,	// Int_VCVTUSI2SD64Zrr
+    2282771245U,	// Int_VCVTUSI2SDZrm
+    2282771245U,	// Int_VCVTUSI2SDZrr
+    2282774476U,	// Int_VCVTUSI2SS64Zrm
+    2282774476U,	// Int_VCVTUSI2SS64Zrr
+    2282774476U,	// Int_VCVTUSI2SSZrm
+    2282774476U,	// Int_VCVTUSI2SSZrr
+    537940934U,	// Int_VUCOMISDZrm
+    135287750U,	// Int_VUCOMISDZrr
+    537940934U,	// Int_VUCOMISDrm
+    135287750U,	// Int_VUCOMISDrr
+    537944146U,	// Int_VUCOMISSZrm
+    135290962U,	// Int_VUCOMISSZrr
+    537944146U,	// Int_VUCOMISSrm
+    135290962U,	// Int_VUCOMISSrr
+    152904U,	// JAE_1
+    152904U,	// JAE_2
+    152904U,	// JAE_4
+    150676U,	// JA_1
+    150676U,	// JA_2
+    150676U,	// JA_4
+    152924U,	// JBE_1
+    152924U,	// JBE_2
+    152924U,	// JBE_4
+    150795U,	// JB_1
+    150795U,	// JB_2
+    150795U,	// JB_4
+    156860U,	// JCXZ
+    156853U,	// JECXZ_32
+    156853U,	// JECXZ_64
+    152980U,	// JE_1
+    152980U,	// JE_2
+    152980U,	// JE_4
+    152951U,	// JGE_1
+    152951U,	// JGE_2
+    152951U,	// JGE_4
+    153153U,	// JG_1
+    153153U,	// JG_2
+    153153U,	// JG_4
+    152984U,	// JLE_1
+    152984U,	// JLE_2
+    152984U,	// JLE_4
+    153446U,	// JL_1
+    153446U,	// JL_2
+    153446U,	// JL_4
+    39028U,	// JMP16m
+    22644U,	// JMP16r
+    71796U,	// JMP32m
+    22644U,	// JMP32r
+    88180U,	// JMP64m
+    22644U,	// JMP64r
+    153716U,	// JMP_1
+    153716U,	// JMP_2
+    153716U,	// JMP_4
+    153004U,	// JNE_1
+    153004U,	// JNE_2
+    153004U,	// JNE_4
+    153632U,	// JNO_1
+    153632U,	// JNO_2
+    153632U,	// JNO_4
+    153744U,	// JNP_1
+    153744U,	// JNP_2
+    153744U,	// JNP_4
+    154678U,	// JNS_1
+    154678U,	// JNS_2
+    154678U,	// JNS_4
+    153628U,	// JO_1
+    153628U,	// JO_2
+    153628U,	// JO_4
+    153699U,	// JP_1
+    153699U,	// JP_2
+    153699U,	// JP_4
+    156866U,	// JRCXZ
+    154674U,	// JS_1
+    154674U,	// JS_2
+    154674U,	// JS_4
+    2282769025U,	// KANDNWrr
+    2282768973U,	// KANDWrr
+    135285473U,	// KMOVWkk
+    370166497U,	// KMOVWkm
+    135285473U,	// KMOVWkr
+    1084129U,	// KMOVWmk
+    135285473U,	// KMOVWrk
+    135285454U,	// KNOTWrr
+    135285462U,	// KORTESTWrr
+    2282769067U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    2282769022U,	// KSHIFTLWri
-    2282769099U,	// KSHIFTRWri
-    2282768970U,	// KUNPCKBWrr
-    2282769082U,	// KXNORWrr
-    2282769091U,	// KXORWrr
-    12046U,	// LAHF
-    370170742U,	// LAR16rm
-    135289718U,	// LAR16rr
-    370170742U,	// LAR32rm
-    135289718U,	// LAR32rr
-    370170742U,	// LAR64rm
-    135289718U,	// LAR64rr
-    1087040U,	// LCMPXCHG16
-    265422U,	// LCMPXCHG16B
-    1119808U,	// LCMPXCHG32
-    1136192U,	// LCMPXCHG64
-    1152576U,	// LCMPXCHG8
-    85210U,	// LCMPXCHG8B
-    336617911U,	// LDDQUrm
-    72666U,	// LDMXCSR
-    672160806U,	// LDS16rm
-    672160806U,	// LDS32rm
-    12913U,	// LD_F0
-    11404U,	// LD_F1
-    118628U,	// LD_F32m
-    135012U,	// LD_F64m
-    380772U,	// LD_F80m
+    2282769014U,	// KSHIFTLWri
+    2282769091U,	// KSHIFTRWri
+    2282768962U,	// KUNPCKBWrr
+    2282769074U,	// KXNORWrr
+    2282769083U,	// KXORWrr
+    12038U,	// LAHF
+    370170734U,	// LAR16rm
+    135289710U,	// LAR16rr
+    370170734U,	// LAR32rm
+    135289710U,	// LAR32rr
+    370170734U,	// LAR64rm
+    135289710U,	// LAR64rr
+    1087032U,	// LCMPXCHG16
+    265414U,	// LCMPXCHG16B
+    1119800U,	// LCMPXCHG32
+    1136184U,	// LCMPXCHG64
+    1152568U,	// LCMPXCHG8
+    85202U,	// LCMPXCHG8B
+    336617903U,	// LDDQUrm
+    72658U,	// LDMXCSR
+    672160798U,	// LDS16rm
+    672160798U,	// LDS32rm
+    12905U,	// LD_F0
+    11396U,	// LD_F1
+    118620U,	// LD_F32m
+    135004U,	// LD_F64m
+    380764U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1237,579 +1237,582 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    20324U,	// LD_Frr
-    101731479U,	// LEA16r
-    101731479U,	// LEA32r
-    101731479U,	// LEA64_32r
-    168840343U,	// LEA64r
-    12033U,	// LEAVE
-    12033U,	// LEAVE64
-    672160811U,	// LES16rm
-    672160811U,	// LES32rm
-    11949U,	// LFENCE
-    672160816U,	// LFS16rm
-    672160816U,	// LFS32rm
-    672160816U,	// LFS64rm
-    286958U,	// LGDT16m
-    286958U,	// LGDT32m
-    286958U,	// LGDT64m
-    672160821U,	// LGS16rm
-    672160821U,	// LGS32rm
-    672160821U,	// LGS64rm
-    286970U,	// LIDT16m
-    286970U,	// LIDT32m
-    286970U,	// LIDT64m
-    41222U,	// LLDT16m
-    24838U,	// LLDT16r
-    41880U,	// LMSW16m
-    25496U,	// LMSW16r
-    1085151U,	// LOCK_ADD16mi
-    1085151U,	// LOCK_ADD16mi8
-    1085151U,	// LOCK_ADD16mr
-    1117919U,	// LOCK_ADD32mi
-    1117919U,	// LOCK_ADD32mi8
-    1117919U,	// LOCK_ADD32mr
-    1134303U,	// LOCK_ADD64mi32
-    1134303U,	// LOCK_ADD64mi8
-    1134303U,	// LOCK_ADD64mr
-    1150687U,	// LOCK_ADD8mi
-    1150687U,	// LOCK_ADD8mr
-    1085355U,	// LOCK_AND16mi
-    1085355U,	// LOCK_AND16mi8
-    1085355U,	// LOCK_AND16mr
-    1118123U,	// LOCK_AND32mi
-    1118123U,	// LOCK_AND32mi8
-    1118123U,	// LOCK_AND32mr
-    1134507U,	// LOCK_AND64mi32
-    1134507U,	// LOCK_AND64mi8
-    1134507U,	// LOCK_AND64mr
-    1150891U,	// LOCK_AND8mi
-    1150891U,	// LOCK_AND8mr
-    36429U,	// LOCK_DEC16m
-    69197U,	// LOCK_DEC32m
-    85581U,	// LOCK_DEC64m
-    101965U,	// LOCK_DEC8m
-    36474U,	// LOCK_INC16m
-    69242U,	// LOCK_INC32m
-    85626U,	// LOCK_INC64m
-    102010U,	// LOCK_INC8m
-    1088429U,	// LOCK_OR16mi
-    1088429U,	// LOCK_OR16mi8
-    1088429U,	// LOCK_OR16mr
-    1121197U,	// LOCK_OR32mi
-    1121197U,	// LOCK_OR32mi8
-    1121197U,	// LOCK_OR32mr
-    1137581U,	// LOCK_OR64mi32
-    1137581U,	// LOCK_OR64mi8
-    1137581U,	// LOCK_OR64mr
-    1153965U,	// LOCK_OR8mi
-    1153965U,	// LOCK_OR8mr
-    12120U,	// LOCK_PREFIX
-    1084911U,	// LOCK_SUB16mi
-    1084911U,	// LOCK_SUB16mi8
-    1084911U,	// LOCK_SUB16mr
-    1117679U,	// LOCK_SUB32mi
-    1117679U,	// LOCK_SUB32mi8
-    1117679U,	// LOCK_SUB32mr
-    1134063U,	// LOCK_SUB64mi32
-    1134063U,	// LOCK_SUB64mi8
-    1134063U,	// LOCK_SUB64mr
-    1150447U,	// LOCK_SUB8mi
-    1150447U,	// LOCK_SUB8mr
-    1088457U,	// LOCK_XOR16mi
-    1088457U,	// LOCK_XOR16mi8
-    1088457U,	// LOCK_XOR16mr
-    1121225U,	// LOCK_XOR32mi
-    1121225U,	// LOCK_XOR32mi8
-    1121225U,	// LOCK_XOR32mr
-    1137609U,	// LOCK_XOR64mi32
-    1137609U,	// LOCK_XOR64mi8
-    1137609U,	// LOCK_XOR64mr
-    1153993U,	// LOCK_XOR8mi
-    1153993U,	// LOCK_XOR8mr
-    402787U,	// LODSB
-    419471U,	// LODSL
-    436024U,	// LODSQ
-    452166U,	// LODSW
-    153777U,	// LOOP
-    153040U,	// LOOPE
-    153017U,	// LOOPNE
-    22069U,	// LRETIL
-    23142U,	// LRETIQ
-    22069U,	// LRETIW
-    12067U,	// LRETL
-    12340U,	// LRETQ
-    12067U,	// LRETW
-    370169773U,	// LSL16rm
-    135288749U,	// LSL16rr
-    101734317U,	// LSL32rm
-    135288749U,	// LSL32rr
-    168843181U,	// LSL64rm
-    135288749U,	// LSL64rr
-    672161904U,	// LSS16rm
-    672161904U,	// LSS32rm
-    672161904U,	// LSS64rm
-    39928U,	// LTRm
-    23544U,	// LTRr
-    462571U,	// LXADD16
-    478955U,	// LXADD32
-    495339U,	// LXADD64
-    511723U,	// LXADD8
-    370172200U,	// LZCNT16rm
-    135291176U,	// LZCNT16rr
-    101736744U,	// LZCNT32rm
-    135291176U,	// LZCNT32rr
-    168845608U,	// LZCNT64rm
-    135291176U,	// LZCNT64rr
-    135291327U,	// MASKMOVDQU
-    135291327U,	// MASKMOVDQU64
-    202396288U,	// MAXCPDrm
-    68178560U,	// MAXCPDrr
-    202399554U,	// MAXCPSrm
-    68181826U,	// MAXCPSrr
-    235951163U,	// MAXCSDrm
-    68179003U,	// MAXCSDrr
-    269508808U,	// MAXCSSrm
-    68182216U,	// MAXCSSrr
-    202396288U,	// MAXPDrm
-    68178560U,	// MAXPDrr
-    202399554U,	// MAXPSrm
-    68181826U,	// MAXPSrr
-    235951163U,	// MAXSDrm
-    235951163U,	// MAXSDrm_Int
-    68179003U,	// MAXSDrr
-    68179003U,	// MAXSDrr_Int
-    269508808U,	// MAXSSrm
-    269508808U,	// MAXSSrm_Int
-    68182216U,	// MAXSSrr
-    68182216U,	// MAXSSrr_Int
-    11956U,	// MFENCE
-    202396170U,	// MINCPDrm
-    68178442U,	// MINCPDrr
-    202399395U,	// MINCPSrm
-    68181667U,	// MINCPSrr
-    235951083U,	// MINCSDrm
-    68178923U,	// MINCSDrr
-    269508726U,	// MINCSSrm
-    68182134U,	// MINCSSrr
-    202396170U,	// MINPDrm
-    68178442U,	// MINPDrr
-    202399395U,	// MINPSrm
-    68181667U,	// MINPSrr
-    235951083U,	// MINSDrm
-    235951083U,	// MINSDrm_Int
-    68178923U,	// MINSDrr
-    68178923U,	// MINSDrr_Int
-    269508726U,	// MINSSrm
-    269508726U,	// MINSSrm_Int
-    68182134U,	// MINSSrr
-    68182134U,	// MINSSrr_Int
-    537941705U,	// MMX_CVTPD2PIirm
-    135288521U,	// MMX_CVTPD2PIirr
-    168841332U,	// MMX_CVTPI2PDirm
-    135286900U,	// MMX_CVTPI2PDirr
-    470834452U,	// MMX_CVTPI2PSirm
-    68181268U,	// MMX_CVTPI2PSirr
-    571496158U,	// MMX_CVTPS2PIirm
-    135288542U,	// MMX_CVTPS2PIirr
-    537941694U,	// MMX_CVTTPD2PIirm
-    135288510U,	// MMX_CVTTPD2PIirr
-    571496147U,	// MMX_CVTTPS2PIirm
-    135288531U,	// MMX_CVTTPS2PIirr
-    12561U,	// MMX_EMMS
-    135289649U,	// MMX_MASKMOVQ
-    135289649U,	// MMX_MASKMOVQ64
-    135287992U,	// MMX_MOVD64from64rr
-    135287992U,	// MMX_MOVD64grr
-    1119416U,	// MMX_MOVD64mr
-    101733560U,	// MMX_MOVD64rm
-    135287992U,	// MMX_MOVD64rr
-    135287992U,	// MMX_MOVD64to64rr
-    135289132U,	// MMX_MOVDQ2Qrr
-    135289132U,	// MMX_MOVFR642Qrr
-    1137380U,	// MMX_MOVNTQmr
-    135289223U,	// MMX_MOVQ2DQrr
-    135289223U,	// MMX_MOVQ2FR64rr
-    1137461U,	// MMX_MOVQ64mr
-    168844085U,	// MMX_MOVQ64rm
-    135289653U,	// MMX_MOVQ64rr
-    168840536U,	// MMX_PABSBrm64
-    135286104U,	// MMX_PABSBrr64
-    168842115U,	// MMX_PABSDrm64
-    135287683U,	// MMX_PABSDrr64
-    168846175U,	// MMX_PABSWrm64
-    135291743U,	// MMX_PABSWrr64
-    470835878U,	// MMX_PACKSSDWirm
-    68182694U,	// MMX_PACKSSDWirr
-    470830615U,	// MMX_PACKSSWBirm
-    68177431U,	// MMX_PACKSSWBirr
-    470830626U,	// MMX_PACKUSWBirm
-    68177442U,	// MMX_PACKUSWBirr
-    470830331U,	// MMX_PADDBirm
-    68177147U,	// MMX_PADDBirr
-    470830843U,	// MMX_PADDDirm
-    68177659U,	// MMX_PADDDirr
-    470833586U,	// MMX_PADDQirm
-    68180402U,	// MMX_PADDQirr
-    470830441U,	// MMX_PADDSBirm
-    68177257U,	// MMX_PADDSBirr
-    470836112U,	// MMX_PADDSWirm
-    68182928U,	// MMX_PADDSWirr
-    470830483U,	// MMX_PADDUSBirm
-    68177299U,	// MMX_PADDUSBirr
-    470836185U,	// MMX_PADDUSWirm
-    68183001U,	// MMX_PADDUSWirr
-    470835860U,	// MMX_PADDWirm
-    68182676U,	// MMX_PADDWirr
-    2618317730U,	// MMX_PALIGNR64irm
-    2215664546U,	// MMX_PALIGNR64irr
-    470833159U,	// MMX_PANDNirm
-    68179975U,	// MMX_PANDNirr
-    470831018U,	// MMX_PANDirm
-    68177834U,	// MMX_PANDirr
-    470830348U,	// MMX_PAVGBirm
-    68177164U,	// MMX_PAVGBirr
-    470835915U,	// MMX_PAVGWirm
-    68182731U,	// MMX_PAVGWirr
-    470830396U,	// MMX_PCMPEQBirm
-    68177212U,	// MMX_PCMPEQBirr
-    470831761U,	// MMX_PCMPEQDirm
-    68178577U,	// MMX_PCMPEQDirr
-    470836020U,	// MMX_PCMPEQWirm
-    68182836U,	// MMX_PCMPEQWirr
-    470830524U,	// MMX_PCMPGTBirm
-    68177340U,	// MMX_PCMPGTBirr
-    470832212U,	// MMX_PCMPGTDirm
-    68179028U,	// MMX_PCMPGTDirr
-    470836211U,	// MMX_PCMPGTWirm
-    68183027U,	// MMX_PCMPGTWirr
-    2282775382U,	// MMX_PEXTRWirri
-    470836102U,	// MMX_PHADDSWrm64
-    68182918U,	// MMX_PHADDSWrr64
-    470835851U,	// MMX_PHADDWrm64
-    68182667U,	// MMX_PHADDWrr64
-    470830834U,	// MMX_PHADDrm64
-    68177650U,	// MMX_PHADDrr64
-    470830775U,	// MMX_PHSUBDrm64
-    68177591U,	// MMX_PHSUBDrr64
-    470836083U,	// MMX_PHSUBSWrm64
-    68182899U,	// MMX_PHSUBSWrr64
-    470835797U,	// MMX_PHSUBWrm64
-    68182613U,	// MMX_PHSUBWrr64
-    2551210829U,	// MMX_PINSRWirmi
-    2215666509U,	// MMX_PINSRWirri
-    470836071U,	// MMX_PMADDUBSWrm64
-    68182887U,	// MMX_PMADDUBSWrr64
-    470832346U,	// MMX_PMADDWDirm
-    68179162U,	// MMX_PMADDWDirr
-    470836202U,	// MMX_PMAXSWirm
-    68183018U,	// MMX_PMAXSWirr
-    470830588U,	// MMX_PMAXUBirm
-    68177404U,	// MMX_PMAXUBirr
-    470836133U,	// MMX_PMINSWirm
-    68182949U,	// MMX_PMINSWirr
-    470830565U,	// MMX_PMINUBirm
-    68177381U,	// MMX_PMINUBirr
-    135286040U,	// MMX_PMOVMSKBrr
-    470836156U,	// MMX_PMULHRSWrm64
-    68182972U,	// MMX_PMULHRSWrr64
-    470836243U,	// MMX_PMULHUWirm
-    68183059U,	// MMX_PMULHUWirr
-    470835944U,	// MMX_PMULHWirm
-    68182760U,	// MMX_PMULHWirr
-    470835986U,	// MMX_PMULLWirm
-    68182802U,	// MMX_PMULLWirr
-    470833726U,	// MMX_PMULUDQirm
-    68180542U,	// MMX_PMULUDQirr
-    470834092U,	// MMX_PORirm
-    68180908U,	// MMX_PORirr
-    470835734U,	// MMX_PSADBWirm
-    68182550U,	// MMX_PSADBWirr
-    470830339U,	// MMX_PSHUFBrm64
-    68177155U,	// MMX_PSHUFBrr64
-    2316329666U,	// MMX_PSHUFWmi
-    2282775234U,	// MMX_PSHUFWri
-    470830387U,	// MMX_PSIGNBrm64
-    68177203U,	// MMX_PSIGNBrr64
-    470831033U,	// MMX_PSIGNDrm64
-    68177849U,	// MMX_PSIGNDrr64
-    470836011U,	// MMX_PSIGNWrm64
-    68182827U,	// MMX_PSIGNWrr64
-    68177784U,	// MMX_PSLLDri
-    470830968U,	// MMX_PSLLDrm
-    68177784U,	// MMX_PSLLDrr
-    68180598U,	// MMX_PSLLQri
-    470833782U,	// MMX_PSLLQrm
-    68180598U,	// MMX_PSLLQrr
-    68182794U,	// MMX_PSLLWri
-    470835978U,	// MMX_PSLLWrm
-    68182794U,	// MMX_PSLLWrr
-    68177562U,	// MMX_PSRADri
-    470830746U,	// MMX_PSRADrm
-    68177562U,	// MMX_PSRADrr
-    68182531U,	// MMX_PSRAWri
-    470835715U,	// MMX_PSRAWrm
-    68182531U,	// MMX_PSRAWrr
-    68177801U,	// MMX_PSRLDri
-    470830985U,	// MMX_PSRLDrm
-    68177801U,	// MMX_PSRLDrr
-    68180606U,	// MMX_PSRLQri
-    470833790U,	// MMX_PSRLQrm
-    68180606U,	// MMX_PSRLQrr
-    68182811U,	// MMX_PSRLWri
-    470835995U,	// MMX_PSRLWrm
-    68182811U,	// MMX_PSRLWrr
-    470830323U,	// MMX_PSUBBirm
-    68177139U,	// MMX_PSUBBirr
-    470830784U,	// MMX_PSUBDirm
-    68177600U,	// MMX_PSUBDirr
-    470833491U,	// MMX_PSUBQirm
-    68180307U,	// MMX_PSUBQirr
-    470830432U,	// MMX_PSUBSBirm
-    68177248U,	// MMX_PSUBSBirr
-    470836093U,	// MMX_PSUBSWirm
-    68182909U,	// MMX_PSUBSWirr
-    470830473U,	// MMX_PSUBUSBirm
-    68177289U,	// MMX_PSUBUSBirr
-    470836175U,	// MMX_PSUBUSWirm
-    68182991U,	// MMX_PSUBUSWirr
-    470835806U,	// MMX_PSUBWirm
-    68182622U,	// MMX_PSUBWirr
-    470835762U,	// MMX_PUNPCKHBWirm
-    68182578U,	// MMX_PUNPCKHBWirr
-    470833604U,	// MMX_PUNPCKHDQirm
-    68180420U,	// MMX_PUNPCKHDQirr
-    470832356U,	// MMX_PUNPCKHWDirm
-    68179172U,	// MMX_PUNPCKHWDirr
-    470835774U,	// MMX_PUNPCKLBWirm
-    68182590U,	// MMX_PUNPCKLBWirr
-    470833616U,	// MMX_PUNPCKLDQirm
-    68180432U,	// MMX_PUNPCKLDQirr
-    470832368U,	// MMX_PUNPCKLWDirm
-    68179184U,	// MMX_PUNPCKLWDirr
-    470834120U,	// MMX_PXORirm
-    68180936U,	// MMX_PXORirr
+    20316U,	// LD_Frr
+    101731471U,	// LEA16r
+    101731471U,	// LEA32r
+    101731471U,	// LEA64_32r
+    168840335U,	// LEA64r
+    12025U,	// LEAVE
+    12025U,	// LEAVE64
+    672160803U,	// LES16rm
+    672160803U,	// LES32rm
+    11941U,	// LFENCE
+    672160808U,	// LFS16rm
+    672160808U,	// LFS32rm
+    672160808U,	// LFS64rm
+    286950U,	// LGDT16m
+    286950U,	// LGDT32m
+    286950U,	// LGDT64m
+    672160813U,	// LGS16rm
+    672160813U,	// LGS32rm
+    672160813U,	// LGS64rm
+    286962U,	// LIDT16m
+    286962U,	// LIDT32m
+    286962U,	// LIDT64m
+    41214U,	// LLDT16m
+    24830U,	// LLDT16r
+    41872U,	// LMSW16m
+    25488U,	// LMSW16r
+    1085143U,	// LOCK_ADD16mi
+    1085143U,	// LOCK_ADD16mi8
+    1085143U,	// LOCK_ADD16mr
+    1117911U,	// LOCK_ADD32mi
+    1117911U,	// LOCK_ADD32mi8
+    1117911U,	// LOCK_ADD32mr
+    1134295U,	// LOCK_ADD64mi32
+    1134295U,	// LOCK_ADD64mi8
+    1134295U,	// LOCK_ADD64mr
+    1150679U,	// LOCK_ADD8mi
+    1150679U,	// LOCK_ADD8mr
+    1085347U,	// LOCK_AND16mi
+    1085347U,	// LOCK_AND16mi8
+    1085347U,	// LOCK_AND16mr
+    1118115U,	// LOCK_AND32mi
+    1118115U,	// LOCK_AND32mi8
+    1118115U,	// LOCK_AND32mr
+    1134499U,	// LOCK_AND64mi32
+    1134499U,	// LOCK_AND64mi8
+    1134499U,	// LOCK_AND64mr
+    1150883U,	// LOCK_AND8mi
+    1150883U,	// LOCK_AND8mr
+    36421U,	// LOCK_DEC16m
+    69189U,	// LOCK_DEC32m
+    85573U,	// LOCK_DEC64m
+    101957U,	// LOCK_DEC8m
+    36466U,	// LOCK_INC16m
+    69234U,	// LOCK_INC32m
+    85618U,	// LOCK_INC64m
+    102002U,	// LOCK_INC8m
+    1088421U,	// LOCK_OR16mi
+    1088421U,	// LOCK_OR16mi8
+    1088421U,	// LOCK_OR16mr
+    1121189U,	// LOCK_OR32mi
+    1121189U,	// LOCK_OR32mi8
+    1121189U,	// LOCK_OR32mr
+    1137573U,	// LOCK_OR64mi32
+    1137573U,	// LOCK_OR64mi8
+    1137573U,	// LOCK_OR64mr
+    1153957U,	// LOCK_OR8mi
+    1153957U,	// LOCK_OR8mr
+    12112U,	// LOCK_PREFIX
+    1084903U,	// LOCK_SUB16mi
+    1084903U,	// LOCK_SUB16mi8
+    1084903U,	// LOCK_SUB16mr
+    1117671U,	// LOCK_SUB32mi
+    1117671U,	// LOCK_SUB32mi8
+    1117671U,	// LOCK_SUB32mr
+    1134055U,	// LOCK_SUB64mi32
+    1134055U,	// LOCK_SUB64mi8
+    1134055U,	// LOCK_SUB64mr
+    1150439U,	// LOCK_SUB8mi
+    1150439U,	// LOCK_SUB8mr
+    1088449U,	// LOCK_XOR16mi
+    1088449U,	// LOCK_XOR16mi8
+    1088449U,	// LOCK_XOR16mr
+    1121217U,	// LOCK_XOR32mi
+    1121217U,	// LOCK_XOR32mi8
+    1121217U,	// LOCK_XOR32mr
+    1137601U,	// LOCK_XOR64mi32
+    1137601U,	// LOCK_XOR64mi8
+    1137601U,	// LOCK_XOR64mr
+    1153985U,	// LOCK_XOR8mi
+    1153985U,	// LOCK_XOR8mr
+    402779U,	// LODSB
+    419463U,	// LODSL
+    436016U,	// LODSQ
+    452158U,	// LODSW
+    153769U,	// LOOP
+    153032U,	// LOOPE
+    153009U,	// LOOPNE
+    22061U,	// LRETIL
+    23134U,	// LRETIQ
+    22061U,	// LRETIW
+    12059U,	// LRETL
+    12332U,	// LRETQ
+    12059U,	// LRETW
+    370169765U,	// LSL16rm
+    135288741U,	// LSL16rr
+    101734309U,	// LSL32rm
+    135288741U,	// LSL32rr
+    168843173U,	// LSL64rm
+    135288741U,	// LSL64rr
+    672161896U,	// LSS16rm
+    672161896U,	// LSS32rm
+    672161896U,	// LSS64rm
+    39920U,	// LTRm
+    23536U,	// LTRr
+    462563U,	// LXADD16
+    478947U,	// LXADD32
+    495331U,	// LXADD64
+    511715U,	// LXADD8
+    370172192U,	// LZCNT16rm
+    135291168U,	// LZCNT16rr
+    101736736U,	// LZCNT32rm
+    135291168U,	// LZCNT32rr
+    168845600U,	// LZCNT64rm
+    135291168U,	// LZCNT64rr
+    135291319U,	// MASKMOVDQU
+    135291319U,	// MASKMOVDQU64
+    202396280U,	// MAXCPDrm
+    68178552U,	// MAXCPDrr
+    202399546U,	// MAXCPSrm
+    68181818U,	// MAXCPSrr
+    235951155U,	// MAXCSDrm
+    68178995U,	// MAXCSDrr
+    269508800U,	// MAXCSSrm
+    68182208U,	// MAXCSSrr
+    202396280U,	// MAXPDrm
+    68178552U,	// MAXPDrr
+    202399546U,	// MAXPSrm
+    68181818U,	// MAXPSrr
+    235951155U,	// MAXSDrm
+    235951155U,	// MAXSDrm_Int
+    68178995U,	// MAXSDrr
+    68178995U,	// MAXSDrr_Int
+    269508800U,	// MAXSSrm
+    269508800U,	// MAXSSrm_Int
+    68182208U,	// MAXSSrr
+    68182208U,	// MAXSSrr_Int
+    11948U,	// MFENCE
+    202396162U,	// MINCPDrm
+    68178434U,	// MINCPDrr
+    202399387U,	// MINCPSrm
+    68181659U,	// MINCPSrr
+    235951075U,	// MINCSDrm
+    68178915U,	// MINCSDrr
+    269508718U,	// MINCSSrm
+    68182126U,	// MINCSSrr
+    202396162U,	// MINPDrm
+    68178434U,	// MINPDrr
+    202399387U,	// MINPSrm
+    68181659U,	// MINPSrr
+    235951075U,	// MINSDrm
+    235951075U,	// MINSDrm_Int
+    68178915U,	// MINSDrr
+    68178915U,	// MINSDrr_Int
+    269508718U,	// MINSSrm
+    269508718U,	// MINSSrm_Int
+    68182126U,	// MINSSrr
+    68182126U,	// MINSSrr_Int
+    537941697U,	// MMX_CVTPD2PIirm
+    135288513U,	// MMX_CVTPD2PIirr
+    168841324U,	// MMX_CVTPI2PDirm
+    135286892U,	// MMX_CVTPI2PDirr
+    470834444U,	// MMX_CVTPI2PSirm
+    68181260U,	// MMX_CVTPI2PSirr
+    571496150U,	// MMX_CVTPS2PIirm
+    135288534U,	// MMX_CVTPS2PIirr
+    537941686U,	// MMX_CVTTPD2PIirm
+    135288502U,	// MMX_CVTTPD2PIirr
+    571496139U,	// MMX_CVTTPS2PIirm
+    135288523U,	// MMX_CVTTPS2PIirr
+    12553U,	// MMX_EMMS
+    135289641U,	// MMX_MASKMOVQ
+    135289641U,	// MMX_MASKMOVQ64
+    135287984U,	// MMX_MOVD64from64rr
+    135287984U,	// MMX_MOVD64grr
+    1119408U,	// MMX_MOVD64mr
+    101733552U,	// MMX_MOVD64rm
+    135287984U,	// MMX_MOVD64rr
+    135287984U,	// MMX_MOVD64to64rr
+    135289124U,	// MMX_MOVDQ2Qrr
+    135289124U,	// MMX_MOVFR642Qrr
+    1137372U,	// MMX_MOVNTQmr
+    135289215U,	// MMX_MOVQ2DQrr
+    135289215U,	// MMX_MOVQ2FR64rr
+    1137453U,	// MMX_MOVQ64mr
+    168844077U,	// MMX_MOVQ64rm
+    135289645U,	// MMX_MOVQ64rr
+    168840528U,	// MMX_PABSBrm64
+    135286096U,	// MMX_PABSBrr64
+    168842107U,	// MMX_PABSDrm64
+    135287675U,	// MMX_PABSDrr64
+    168846167U,	// MMX_PABSWrm64
+    135291735U,	// MMX_PABSWrr64
+    470835870U,	// MMX_PACKSSDWirm
+    68182686U,	// MMX_PACKSSDWirr
+    470830607U,	// MMX_PACKSSWBirm
+    68177423U,	// MMX_PACKSSWBirr
+    470830618U,	// MMX_PACKUSWBirm
+    68177434U,	// MMX_PACKUSWBirr
+    470830323U,	// MMX_PADDBirm
+    68177139U,	// MMX_PADDBirr
+    470830835U,	// MMX_PADDDirm
+    68177651U,	// MMX_PADDDirr
+    470833578U,	// MMX_PADDQirm
+    68180394U,	// MMX_PADDQirr
+    470830433U,	// MMX_PADDSBirm
+    68177249U,	// MMX_PADDSBirr
+    470836104U,	// MMX_PADDSWirm
+    68182920U,	// MMX_PADDSWirr
+    470830475U,	// MMX_PADDUSBirm
+    68177291U,	// MMX_PADDUSBirr
+    470836177U,	// MMX_PADDUSWirm
+    68182993U,	// MMX_PADDUSWirr
+    470835852U,	// MMX_PADDWirm
+    68182668U,	// MMX_PADDWirr
+    2618317722U,	// MMX_PALIGNR64irm
+    2215664538U,	// MMX_PALIGNR64irr
+    470833151U,	// MMX_PANDNirm
+    68179967U,	// MMX_PANDNirr
+    470831010U,	// MMX_PANDirm
+    68177826U,	// MMX_PANDirr
+    470830340U,	// MMX_PAVGBirm
+    68177156U,	// MMX_PAVGBirr
+    470835907U,	// MMX_PAVGWirm
+    68182723U,	// MMX_PAVGWirr
+    470830388U,	// MMX_PCMPEQBirm
+    68177204U,	// MMX_PCMPEQBirr
+    470831753U,	// MMX_PCMPEQDirm
+    68178569U,	// MMX_PCMPEQDirr
+    470836012U,	// MMX_PCMPEQWirm
+    68182828U,	// MMX_PCMPEQWirr
+    470830516U,	// MMX_PCMPGTBirm
+    68177332U,	// MMX_PCMPGTBirr
+    470832204U,	// MMX_PCMPGTDirm
+    68179020U,	// MMX_PCMPGTDirr
+    470836203U,	// MMX_PCMPGTWirm
+    68183019U,	// MMX_PCMPGTWirr
+    2282775374U,	// MMX_PEXTRWirri
+    470836094U,	// MMX_PHADDSWrm64
+    68182910U,	// MMX_PHADDSWrr64
+    470835843U,	// MMX_PHADDWrm64
+    68182659U,	// MMX_PHADDWrr64
+    470830826U,	// MMX_PHADDrm64
+    68177642U,	// MMX_PHADDrr64
+    470830767U,	// MMX_PHSUBDrm64
+    68177583U,	// MMX_PHSUBDrr64
+    470836075U,	// MMX_PHSUBSWrm64
+    68182891U,	// MMX_PHSUBSWrr64
+    470835789U,	// MMX_PHSUBWrm64
+    68182605U,	// MMX_PHSUBWrr64
+    2551210821U,	// MMX_PINSRWirmi
+    2215666501U,	// MMX_PINSRWirri
+    470836063U,	// MMX_PMADDUBSWrm64
+    68182879U,	// MMX_PMADDUBSWrr64
+    470832338U,	// MMX_PMADDWDirm
+    68179154U,	// MMX_PMADDWDirr
+    470836194U,	// MMX_PMAXSWirm
+    68183010U,	// MMX_PMAXSWirr
+    470830580U,	// MMX_PMAXUBirm
+    68177396U,	// MMX_PMAXUBirr
+    470836125U,	// MMX_PMINSWirm
+    68182941U,	// MMX_PMINSWirr
+    470830557U,	// MMX_PMINUBirm
+    68177373U,	// MMX_PMINUBirr
+    135286032U,	// MMX_PMOVMSKBrr
+    470836148U,	// MMX_PMULHRSWrm64
+    68182964U,	// MMX_PMULHRSWrr64
+    470836235U,	// MMX_PMULHUWirm
+    68183051U,	// MMX_PMULHUWirr
+    470835936U,	// MMX_PMULHWirm
+    68182752U,	// MMX_PMULHWirr
+    470835978U,	// MMX_PMULLWirm
+    68182794U,	// MMX_PMULLWirr
+    470833718U,	// MMX_PMULUDQirm
+    68180534U,	// MMX_PMULUDQirr
+    470834084U,	// MMX_PORirm
+    68180900U,	// MMX_PORirr
+    470835726U,	// MMX_PSADBWirm
+    68182542U,	// MMX_PSADBWirr
+    470830331U,	// MMX_PSHUFBrm64
+    68177147U,	// MMX_PSHUFBrr64
+    2316329658U,	// MMX_PSHUFWmi
+    2282775226U,	// MMX_PSHUFWri
+    470830379U,	// MMX_PSIGNBrm64
+    68177195U,	// MMX_PSIGNBrr64
+    470831025U,	// MMX_PSIGNDrm64
+    68177841U,	// MMX_PSIGNDrr64
+    470836003U,	// MMX_PSIGNWrm64
+    68182819U,	// MMX_PSIGNWrr64
+    68177776U,	// MMX_PSLLDri
+    470830960U,	// MMX_PSLLDrm
+    68177776U,	// MMX_PSLLDrr
+    68180590U,	// MMX_PSLLQri
+    470833774U,	// MMX_PSLLQrm
+    68180590U,	// MMX_PSLLQrr
+    68182786U,	// MMX_PSLLWri
+    470835970U,	// MMX_PSLLWrm
+    68182786U,	// MMX_PSLLWrr
+    68177554U,	// MMX_PSRADri
+    470830738U,	// MMX_PSRADrm
+    68177554U,	// MMX_PSRADrr
+    68182523U,	// MMX_PSRAWri
+    470835707U,	// MMX_PSRAWrm
+    68182523U,	// MMX_PSRAWrr
+    68177793U,	// MMX_PSRLDri
+    470830977U,	// MMX_PSRLDrm
+    68177793U,	// MMX_PSRLDrr
+    68180598U,	// MMX_PSRLQri
+    470833782U,	// MMX_PSRLQrm
+    68180598U,	// MMX_PSRLQrr
+    68182803U,	// MMX_PSRLWri
+    470835987U,	// MMX_PSRLWrm
+    68182803U,	// MMX_PSRLWrr
+    470830315U,	// MMX_PSUBBirm
+    68177131U,	// MMX_PSUBBirr
+    470830776U,	// MMX_PSUBDirm
+    68177592U,	// MMX_PSUBDirr
+    470833483U,	// MMX_PSUBQirm
+    68180299U,	// MMX_PSUBQirr
+    470830424U,	// MMX_PSUBSBirm
+    68177240U,	// MMX_PSUBSBirr
+    470836085U,	// MMX_PSUBSWirm
+    68182901U,	// MMX_PSUBSWirr
+    470830465U,	// MMX_PSUBUSBirm
+    68177281U,	// MMX_PSUBUSBirr
+    470836167U,	// MMX_PSUBUSWirm
+    68182983U,	// MMX_PSUBUSWirr
+    470835798U,	// MMX_PSUBWirm
+    68182614U,	// MMX_PSUBWirr
+    470835754U,	// MMX_PUNPCKHBWirm
+    68182570U,	// MMX_PUNPCKHBWirr
+    470833596U,	// MMX_PUNPCKHDQirm
+    68180412U,	// MMX_PUNPCKHDQirr
+    470832348U,	// MMX_PUNPCKHWDirm
+    68179164U,	// MMX_PUNPCKHWDirr
+    470835766U,	// MMX_PUNPCKLBWirm
+    68182582U,	// MMX_PUNPCKLBWirr
+    470833608U,	// MMX_PUNPCKLDQirm
+    68180424U,	// MMX_PUNPCKLDQirr
+    470832360U,	// MMX_PUNPCKLWDirm
+    68179176U,	// MMX_PUNPCKLWDirr
+    470834112U,	// MMX_PXORirm
+    68180928U,	// MMX_PXORirr
     0U,	// MONITOR
-    12392U,	// MONITORrrr
-    12181U,	// MONTMUL
+    12384U,	// MONITORrrr
+    12173U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    14164469U,	// MOV16ao16
-    14164469U,	// MOV16ao16_16
-    1090037U,	// MOV16mi
-    1090037U,	// MOV16mr
-    1090037U,	// MOV16ms
-    534066U,	// MOV16o16a
-    534066U,	// MOV16o16a_16
-    135291381U,	// MOV16ri
-    370172405U,	// MOV16rm
-    135291381U,	// MOV16rr
-    135291381U,	// MOV16rr_REV
-    135291381U,	// MOV16rs
-    370172405U,	// MOV16sm
-    135291381U,	// MOV16sr
-    15229429U,	// MOV32ao32
-    15229429U,	// MOV32ao32_16
-    135291381U,	// MOV32cr
-    135291381U,	// MOV32dr
-    1122805U,	// MOV32mi
-    1122805U,	// MOV32mr
-    1122805U,	// MOV32ms
-    550619U,	// MOV32o32a
-    550619U,	// MOV32o32a_16
+    14164461U,	// MOV16ao16
+    14164461U,	// MOV16ao16_16
+    1090029U,	// MOV16mi
+    1090029U,	// MOV16mr
+    1090029U,	// MOV16ms
+    534058U,	// MOV16o16a
+    534058U,	// MOV16o16a_16
+    135291373U,	// MOV16ri
+    135291373U,	// MOV16ri_alt
+    370172397U,	// MOV16rm
+    135291373U,	// MOV16rr
+    135291373U,	// MOV16rr_REV
+    135291373U,	// MOV16rs
+    370172397U,	// MOV16sm
+    135291373U,	// MOV16sr
+    15229421U,	// MOV32ao32
+    15229421U,	// MOV32ao32_16
+    135291373U,	// MOV32cr
+    135291373U,	// MOV32dr
+    1122797U,	// MOV32mi
+    1122797U,	// MOV32mr
+    1122797U,	// MOV32ms
+    550611U,	// MOV32o32a
+    550611U,	// MOV32o32a_16
     0U,	// MOV32r0
-    135291381U,	// MOV32rc
-    135291381U,	// MOV32rd
-    135291381U,	// MOV32ri
+    135291373U,	// MOV32rc
+    135291373U,	// MOV32rd
+    135291373U,	// MOV32ri
     0U,	// MOV32ri64
-    101736949U,	// MOV32rm
-    135291381U,	// MOV32rr
-    135291381U,	// MOV32rr_REV
-    135291381U,	// MOV32rs
-    101736949U,	// MOV32sm
-    135291381U,	// MOV32sr
-    14162968U,	// MOV64ao16
-    15227928U,	// MOV64ao32
-    16292888U,	// MOV64ao64
-    17357848U,	// MOV64ao8
-    135291381U,	// MOV64cr
-    135291381U,	// MOV64dr
-    1139189U,	// MOV64mi32
-    1139189U,	// MOV64mr
-    1139189U,	// MOV64ms
-    534044U,	// MOV64o16a
-    550595U,	// MOV64o32a
-    567118U,	// MOV64o64a
-    583084U,	// MOV64o8a
-    135291381U,	// MOV64rc
-    135291381U,	// MOV64rd
-    135289880U,	// MOV64ri
-    135291381U,	// MOV64ri32
-    168845813U,	// MOV64rm
-    135291381U,	// MOV64rr
-    135291381U,	// MOV64rr_REV
-    135291381U,	// MOV64rs
-    168845813U,	// MOV64sm
-    135291381U,	// MOV64sr
-    135289653U,	// MOV64toPQIrr
-    168844085U,	// MOV64toSDrm
-    135289653U,	// MOV64toSDrr
-    17359349U,	// MOV8ao8
-    17359349U,	// MOV8ao8_16
-    1155573U,	// MOV8mi
-    1155573U,	// MOV8mr
-    1155573U,	// MOV8mr_NOREX
-    583106U,	// MOV8o8a
-    583106U,	// MOV8o8a_16
-    135291381U,	// MOV8ri
-    504390133U,	// MOV8rm
-    504390133U,	// MOV8rm_NOREX
-    135291381U,	// MOV8rr
-    135291381U,	// MOV8rr_NOREX
-    135291381U,	// MOV8rr_REV
-    594167U,	// MOVAPDmr
-    537940215U,	// MOVAPDrm
-    135287031U,	// MOVAPDrr
-    135287031U,	// MOVAPDrr_REV
-    597388U,	// MOVAPSmr
-    537943436U,	// MOVAPSrm
-    135290252U,	// MOVAPSrr
-    135290252U,	// MOVAPSrr_REV
-    1086833U,	// MOVBE16mr
-    370169201U,	// MOVBE16rm
-    1119601U,	// MOVBE32mr
-    101733745U,	// MOVBE32rm
-    1135985U,	// MOVBE64mr
-    168842609U,	// MOVBE64rm
-    571496687U,	// MOVDDUPrm
-    135289071U,	// MOVDDUPrr
-    101733560U,	// MOVDI2PDIrm
-    135287992U,	// MOVDI2PDIrr
-    101733560U,	// MOVDI2SSrm
-    135287992U,	// MOVDI2SSrr
-    1313964U,	// MOVDQAmr
-    336612524U,	// MOVDQArm
-    135285932U,	// MOVDQArr
-    135285932U,	// MOVDQArr_REV
-    1319363U,	// MOVDQUmr
-    336617923U,	// MOVDQUrm
-    135291331U,	// MOVDQUrr
-    135291331U,	// MOVDQUrr_REV
-    68181600U,	// MOVHLPSrr
-    1184181U,	// MOVHPDmr
-    235950517U,	// MOVHPDrm
-    1187404U,	// MOVHPSmr
-    235953740U,	// MOVHPSrm
-    68181570U,	// MOVLHPSrr
-    1184231U,	// MOVLPDmr
-    235950567U,	// MOVLPDrm
-    1187464U,	// MOVLPSmr
-    235953800U,	// MOVLPSrm
-    135287230U,	// MOVMSKPDrr
-    135290453U,	// MOVMSKPSrr
-    336612513U,	// MOVNTDQArm
-    596521U,	// MOVNTDQmr
-    1136453U,	// MOVNTI_64mr
-    1120069U,	// MOVNTImr
-    594492U,	// MOVNTPDmr
-    597737U,	// MOVNTPSmr
-    1184777U,	// MOVNTSD
-    1171597U,	// MOVNTSS
+    135291373U,	// MOV32ri_alt
+    101736941U,	// MOV32rm
+    135291373U,	// MOV32rr
+    135291373U,	// MOV32rr_REV
+    135291373U,	// MOV32rs
+    101736941U,	// MOV32sm
+    135291373U,	// MOV32sr
+    14162960U,	// MOV64ao16
+    15227920U,	// MOV64ao32
+    16292880U,	// MOV64ao64
+    17357840U,	// MOV64ao8
+    135291373U,	// MOV64cr
+    135291373U,	// MOV64dr
+    1139181U,	// MOV64mi32
+    1139181U,	// MOV64mr
+    1139181U,	// MOV64ms
+    534036U,	// MOV64o16a
+    550587U,	// MOV64o32a
+    567110U,	// MOV64o64a
+    583076U,	// MOV64o8a
+    135291373U,	// MOV64rc
+    135291373U,	// MOV64rd
+    135289872U,	// MOV64ri
+    135291373U,	// MOV64ri32
+    168845805U,	// MOV64rm
+    135291373U,	// MOV64rr
+    135291373U,	// MOV64rr_REV
+    135291373U,	// MOV64rs
+    168845805U,	// MOV64sm
+    135291373U,	// MOV64sr
+    135289645U,	// MOV64toPQIrr
+    168844077U,	// MOV64toSDrm
+    135289645U,	// MOV64toSDrr
+    17359341U,	// MOV8ao8
+    17359341U,	// MOV8ao8_16
+    1155565U,	// MOV8mi
+    1155565U,	// MOV8mr
+    1155565U,	// MOV8mr_NOREX
+    583098U,	// MOV8o8a
+    583098U,	// MOV8o8a_16
+    135291373U,	// MOV8ri
+    135291373U,	// MOV8ri_alt
+    504390125U,	// MOV8rm
+    504390125U,	// MOV8rm_NOREX
+    135291373U,	// MOV8rr
+    135291373U,	// MOV8rr_NOREX
+    135291373U,	// MOV8rr_REV
+    594159U,	// MOVAPDmr
+    537940207U,	// MOVAPDrm
+    135287023U,	// MOVAPDrr
+    135287023U,	// MOVAPDrr_REV
+    597380U,	// MOVAPSmr
+    537943428U,	// MOVAPSrm
+    135290244U,	// MOVAPSrr
+    135290244U,	// MOVAPSrr_REV
+    1086825U,	// MOVBE16mr
+    370169193U,	// MOVBE16rm
+    1119593U,	// MOVBE32mr
+    101733737U,	// MOVBE32rm
+    1135977U,	// MOVBE64mr
+    168842601U,	// MOVBE64rm
+    571496679U,	// MOVDDUPrm
+    135289063U,	// MOVDDUPrr
+    101733552U,	// MOVDI2PDIrm
+    135287984U,	// MOVDI2PDIrr
+    101733552U,	// MOVDI2SSrm
+    135287984U,	// MOVDI2SSrr
+    1313956U,	// MOVDQAmr
+    336612516U,	// MOVDQArm
+    135285924U,	// MOVDQArr
+    135285924U,	// MOVDQArr_REV
+    1319355U,	// MOVDQUmr
+    336617915U,	// MOVDQUrm
+    135291323U,	// MOVDQUrr
+    135291323U,	// MOVDQUrr_REV
+    68181592U,	// MOVHLPSrr
+    1184173U,	// MOVHPDmr
+    235950509U,	// MOVHPDrm
+    1187396U,	// MOVHPSmr
+    235953732U,	// MOVHPSrm
+    68181562U,	// MOVLHPSrr
+    1184223U,	// MOVLPDmr
+    235950559U,	// MOVLPDrm
+    1187456U,	// MOVLPSmr
+    235953792U,	// MOVLPSrm
+    135287222U,	// MOVMSKPDrr
+    135290445U,	// MOVMSKPSrr
+    336612505U,	// MOVNTDQArm
+    596513U,	// MOVNTDQmr
+    1136445U,	// MOVNTI_64mr
+    1120061U,	// MOVNTImr
+    594484U,	// MOVNTPDmr
+    597729U,	// MOVNTPSmr
+    1184769U,	// MOVNTSD
+    1171589U,	// MOVNTSS
     0U,	// MOVPC32r
-    1119416U,	// MOVPDI2DImr
-    135287992U,	// MOVPDI2DIrr
-    1137461U,	// MOVPQI2QImr
-    135289653U,	// MOVPQI2QIrr
-    135289653U,	// MOVPQIto64rr
-    168844085U,	// MOVQI2PQIrm
-    706022821U,	// MOVSB
-    1184818U,	// MOVSDmr
-    571495474U,	// MOVSDrm
-    68178994U,	// MOVSDrr
-    68178994U,	// MOVSDrr_REV
-    1137461U,	// MOVSDto64mr
-    135289653U,	// MOVSDto64rr
-    537942265U,	// MOVSHDUPrm
-    135289081U,	// MOVSHDUPrr
-    739562546U,	// MOVSL
-    537942276U,	// MOVSLDUPrm
-    135289092U,	// MOVSLDUPrr
-    773413587U,	// MOVSQ
-    1119416U,	// MOVSS2DImr
-    135287992U,	// MOVSS2DIrr
-    1171648U,	// MOVSSmr
-    605053120U,	// MOVSSrm
-    68182208U,	// MOVSSrr
-    68182208U,	// MOVSSrr_REV
-    806659042U,	// MOVSW
-    504390831U,	// MOVSX16rm8
-    135292079U,	// MOVSX16rr8
-    370173103U,	// MOVSX32rm16
-    504390831U,	// MOVSX32rm8
-    135292079U,	// MOVSX32rr16
-    135292079U,	// MOVSX32rr8
-    370173103U,	// MOVSX64rm16
-    101733704U,	// MOVSX64rm32
-    504390831U,	// MOVSX64rm8
-    135292079U,	// MOVSX64rr16
-    135288136U,	// MOVSX64rr32
-    135292079U,	// MOVSX64rr8
-    594520U,	// MOVUPDmr
-    537940568U,	// MOVUPDrm
-    135287384U,	// MOVUPDrr
-    135287384U,	// MOVUPDrr_REV
-    597786U,	// MOVUPSmr
-    537943834U,	// MOVUPSrm
-    135290650U,	// MOVUPSrr
-    135290650U,	// MOVUPSrr_REV
-    336616245U,	// MOVZPQILo2PQIrm
-    135289653U,	// MOVZPQILo2PQIrr
-    168844085U,	// MOVZQI2PQIrm
-    135289653U,	// MOVZQI2PQIrr
-    504390838U,	// MOVZX16rm8
-    135292086U,	// MOVZX16rr8
-    504390838U,	// MOVZX32_NOREXrm8
-    135292086U,	// MOVZX32_NOREXrr8
-    370173110U,	// MOVZX32rm16
-    504390838U,	// MOVZX32rm8
-    135292086U,	// MOVZX32rr16
-    135292086U,	// MOVZX32rr8
-    370173110U,	// MOVZX64rm16_Q
-    504390838U,	// MOVZX64rm8_Q
-    135292086U,	// MOVZX64rr16_Q
-    135292086U,	// MOVZX64rr8_Q
-    2450547221U,	// MPSADBWrmi
-    2215666197U,	// MPSADBWrri
-    38842U,	// MUL16m
-    22458U,	// MUL16r
-    71610U,	// MUL32m
-    22458U,	// MUL32r
-    87994U,	// MUL64m
-    22458U,	// MUL64r
-    104378U,	// MUL8m
-    22458U,	// MUL8r
-    202396127U,	// MULPDrm
-    68178399U,	// MULPDrr
-    202399360U,	// MULPSrm
-    68181632U,	// MULPSrr
-    235951074U,	// MULSDrm
-    235951074U,	// MULSDrm_Int
-    68178914U,	// MULSDrr
-    68178914U,	// MULSDrr_Int
-    269508718U,	// MULSSrm
-    269508718U,	// MULSSrm_Int
-    68182126U,	// MULSSrr
-    68182126U,	// MULSSrr_Int
-    2282775660U,	// MULX32rm
-    2282775660U,	// MULX32rr
-    2282775660U,	// MULX64rm
-    2282775660U,	// MULX64rr
-    120761U,	// MUL_F32m
-    137145U,	// MUL_F64m
-    38847U,	// MUL_FI16m
-    71615U,	// MUL_FI32m
-    22639U,	// MUL_FPrST0
-    22457U,	// MUL_FST0r
+    1119408U,	// MOVPDI2DImr
+    135287984U,	// MOVPDI2DIrr
+    1137453U,	// MOVPQI2QImr
+    135289645U,	// MOVPQI2QIrr
+    135289645U,	// MOVPQIto64rr
+    168844077U,	// MOVQI2PQIrm
+    706022813U,	// MOVSB
+    1184810U,	// MOVSDmr
+    571495466U,	// MOVSDrm
+    68178986U,	// MOVSDrr
+    68178986U,	// MOVSDrr_REV
+    1137453U,	// MOVSDto64mr
+    135289645U,	// MOVSDto64rr
+    537942257U,	// MOVSHDUPrm
+    135289073U,	// MOVSHDUPrr
+    739562538U,	// MOVSL
+    537942268U,	// MOVSLDUPrm
+    135289084U,	// MOVSLDUPrr
+    773413579U,	// MOVSQ
+    1119408U,	// MOVSS2DImr
+    135287984U,	// MOVSS2DIrr
+    1171640U,	// MOVSSmr
+    605053112U,	// MOVSSrm
+    68182200U,	// MOVSSrr
+    68182200U,	// MOVSSrr_REV
+    806659034U,	// MOVSW
+    504390823U,	// MOVSX16rm8
+    135292071U,	// MOVSX16rr8
+    370173095U,	// MOVSX32rm16
+    504390823U,	// MOVSX32rm8
+    135292071U,	// MOVSX32rr16
+    135292071U,	// MOVSX32rr8
+    370173095U,	// MOVSX64rm16
+    101733696U,	// MOVSX64rm32
+    504390823U,	// MOVSX64rm8
+    135292071U,	// MOVSX64rr16
+    135288128U,	// MOVSX64rr32
+    135292071U,	// MOVSX64rr8
+    594512U,	// MOVUPDmr
+    537940560U,	// MOVUPDrm
+    135287376U,	// MOVUPDrr
+    135287376U,	// MOVUPDrr_REV
+    597778U,	// MOVUPSmr
+    537943826U,	// MOVUPSrm
+    135290642U,	// MOVUPSrr
+    135290642U,	// MOVUPSrr_REV
+    336616237U,	// MOVZPQILo2PQIrm
+    135289645U,	// MOVZPQILo2PQIrr
+    168844077U,	// MOVZQI2PQIrm
+    135289645U,	// MOVZQI2PQIrr
+    504390830U,	// MOVZX16rm8
+    135292078U,	// MOVZX16rr8
+    504390830U,	// MOVZX32_NOREXrm8
+    135292078U,	// MOVZX32_NOREXrr8
+    370173102U,	// MOVZX32rm16
+    504390830U,	// MOVZX32rm8
+    135292078U,	// MOVZX32rr16
+    135292078U,	// MOVZX32rr8
+    370173102U,	// MOVZX64rm16_Q
+    504390830U,	// MOVZX64rm8_Q
+    135292078U,	// MOVZX64rr16_Q
+    135292078U,	// MOVZX64rr8_Q
+    2450547213U,	// MPSADBWrmi
+    2215666189U,	// MPSADBWrri
+    38834U,	// MUL16m
+    22450U,	// MUL16r
+    71602U,	// MUL32m
+    22450U,	// MUL32r
+    87986U,	// MUL64m
+    22450U,	// MUL64r
+    104370U,	// MUL8m
+    22450U,	// MUL8r
+    202396119U,	// MULPDrm
+    68178391U,	// MULPDrr
+    202399352U,	// MULPSrm
+    68181624U,	// MULPSrr
+    235951066U,	// MULSDrm
+    235951066U,	// MULSDrm_Int
+    68178906U,	// MULSDrr
+    68178906U,	// MULSDrr_Int
+    269508710U,	// MULSSrm
+    269508710U,	// MULSSrm_Int
+    68182118U,	// MULSSrr
+    68182118U,	// MULSSrr_Int
+    2282775652U,	// MULX32rm
+    2282775652U,	// MULX32rr
+    2282775652U,	// MULX64rm
+    2282775652U,	// MULX64rr
+    120753U,	// MUL_F32m
+    137137U,	// MUL_F64m
+    38839U,	// MUL_FI16m
+    71607U,	// MUL_FI32m
+    22631U,	// MUL_FPrST0
+    22449U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1824,891 +1827,891 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    7362489U,	// MUL_FrST0
-    12626U,	// MWAITrr
-    38459U,	// NEG16m
-    22075U,	// NEG16r
-    71227U,	// NEG32m
-    22075U,	// NEG32r
-    87611U,	// NEG64m
-    22075U,	// NEG64r
-    103995U,	// NEG8m
-    22075U,	// NEG8r
-    12288U,	// NOOP
-    71852U,	// NOOPL
-    39084U,	// NOOPW
-    41275U,	// NOT16m
-    24891U,	// NOT16r
-    74043U,	// NOT32m
-    24891U,	// NOT32r
-    90427U,	// NOT64m
-    24891U,	// NOT64r
-    106811U,	// NOT8m
-    24891U,	// NOT8r
-    26132U,	// OR16i16
-    1088429U,	// OR16mi
-    1088429U,	// OR16mi8
-    1088429U,	// OR16mr
-    35707821U,	// OR16ri
-    35707821U,	// OR16ri8
-    3201965U,	// OR16rm
-    35707821U,	// OR16rr
-    68180909U,	// OR16rr_REV
-    26298U,	// OR32i32
-    1121197U,	// OR32mi
-    1121197U,	// OR32mi8
-    1121197U,	// OR32mr
-    1121197U,	// OR32mrLocked
-    35707821U,	// OR32ri
-    35707821U,	// OR32ri8
-    4250541U,	// OR32rm
-    35707821U,	// OR32rr
-    68180909U,	// OR32rr_REV
-    26437U,	// OR64i32
-    1137581U,	// OR64mi32
-    1137581U,	// OR64mi8
-    1137581U,	// OR64mr
-    35707821U,	// OR64ri32
-    35707821U,	// OR64ri8
-    5299117U,	// OR64rm
-    35707821U,	// OR64rr
-    68180909U,	// OR64rr_REV
-    26020U,	// OR8i8
-    1153965U,	// OR8mi
-    1153965U,	// OR8mr
-    35707821U,	// OR8ri
-    6347693U,	// OR8rm
-    35707821U,	// OR8rr
-    68180909U,	// OR8rr_REV
-    202396205U,	// ORPDrm
-    68178477U,	// ORPDrr
-    202399438U,	// ORPSrm
-    68181710U,	// ORPSrr
-    13656491U,	// OUT16ir
-    12743U,	// OUT16rr
-    14705067U,	// OUT32ir
-    12797U,	// OUT32rr
-    16802219U,	// OUT8ir
-    12125U,	// OUT8rr
-    403302U,	// OUTSB
-    419697U,	// OUTSL
-    452476U,	// OUTSW
-    336612696U,	// PABSBrm128
-    135286104U,	// PABSBrr128
-    336614275U,	// PABSDrm128
-    135287683U,	// PABSDrr128
-    336618335U,	// PABSWrm128
-    135291743U,	// PABSWrr128
-    303063718U,	// PACKSSDWrm
-    68182694U,	// PACKSSDWrr
-    303058455U,	// PACKSSWBrm
-    68177431U,	// PACKSSWBrr
-    303063729U,	// PACKUSDWrm
-    68182705U,	// PACKUSDWrr
-    303058466U,	// PACKUSWBrm
-    68177442U,	// PACKUSWBrr
-    303058171U,	// PADDBrm
-    68177147U,	// PADDBrr
-    303058683U,	// PADDDrm
-    68177659U,	// PADDDrr
-    303061426U,	// PADDQrm
-    68180402U,	// PADDQrr
-    303058281U,	// PADDSBrm
-    68177257U,	// PADDSBrr
-    303063952U,	// PADDSWrm
-    68182928U,	// PADDSWrr
-    303058323U,	// PADDUSBrm
-    68177299U,	// PADDUSBrr
-    303064025U,	// PADDUSWrm
-    68183001U,	// PADDUSWrr
-    303063700U,	// PADDWrm
-    68182676U,	// PADDWrr
-    2450545570U,	// PALIGNR128rm
-    2215664546U,	// PALIGNR128rr
-    303060999U,	// PANDNrm
-    68179975U,	// PANDNrr
-    303058858U,	// PANDrm
-    68177834U,	// PANDrr
-    12027U,	// PAUSE
-    303058188U,	// PAVGBrm
-    68177164U,	// PAVGBrr
-    470830492U,	// PAVGUSBrm
-    68177308U,	// PAVGUSBrr
-    303063755U,	// PAVGWrm
-    68182731U,	// PAVGWrr
-    303058437U,	// PBLENDVBrm0
-    68177413U,	// PBLENDVBrr0
-    2450547356U,	// PBLENDWrmi
-    2215666332U,	// PBLENDWrri
-    2450545169U,	// PCLMULQDQrm
-    2215664145U,	// PCLMULQDQrr
-    303058236U,	// PCMPEQBrm
-    68177212U,	// PCMPEQBrr
-    303059601U,	// PCMPEQDrm
-    68178577U,	// PCMPEQDrr
-    303061662U,	// PCMPEQQrm
-    68180638U,	// PCMPEQQrr
-    303063860U,	// PCMPEQWrm
-    68182836U,	// PCMPEQWrr
+    7362481U,	// MUL_FrST0
+    12618U,	// MWAITrr
+    38451U,	// NEG16m
+    22067U,	// NEG16r
+    71219U,	// NEG32m
+    22067U,	// NEG32r
+    87603U,	// NEG64m
+    22067U,	// NEG64r
+    103987U,	// NEG8m
+    22067U,	// NEG8r
+    12280U,	// NOOP
+    71844U,	// NOOPL
+    39076U,	// NOOPW
+    41267U,	// NOT16m
+    24883U,	// NOT16r
+    74035U,	// NOT32m
+    24883U,	// NOT32r
+    90419U,	// NOT64m
+    24883U,	// NOT64r
+    106803U,	// NOT8m
+    24883U,	// NOT8r
+    26124U,	// OR16i16
+    1088421U,	// OR16mi
+    1088421U,	// OR16mi8
+    1088421U,	// OR16mr
+    35707813U,	// OR16ri
+    35707813U,	// OR16ri8
+    3201957U,	// OR16rm
+    35707813U,	// OR16rr
+    68180901U,	// OR16rr_REV
+    26290U,	// OR32i32
+    1121189U,	// OR32mi
+    1121189U,	// OR32mi8
+    1121189U,	// OR32mr
+    1121189U,	// OR32mrLocked
+    35707813U,	// OR32ri
+    35707813U,	// OR32ri8
+    4250533U,	// OR32rm
+    35707813U,	// OR32rr
+    68180901U,	// OR32rr_REV
+    26429U,	// OR64i32
+    1137573U,	// OR64mi32
+    1137573U,	// OR64mi8
+    1137573U,	// OR64mr
+    35707813U,	// OR64ri32
+    35707813U,	// OR64ri8
+    5299109U,	// OR64rm
+    35707813U,	// OR64rr
+    68180901U,	// OR64rr_REV
+    26012U,	// OR8i8
+    1153957U,	// OR8mi
+    1153957U,	// OR8mr
+    35707813U,	// OR8ri
+    6347685U,	// OR8rm
+    35707813U,	// OR8rr
+    68180901U,	// OR8rr_REV
+    202396197U,	// ORPDrm
+    68178469U,	// ORPDrr
+    202399430U,	// ORPSrm
+    68181702U,	// ORPSrr
+    13656483U,	// OUT16ir
+    12735U,	// OUT16rr
+    14705059U,	// OUT32ir
+    12789U,	// OUT32rr
+    16802211U,	// OUT8ir
+    12117U,	// OUT8rr
+    403294U,	// OUTSB
+    419689U,	// OUTSL
+    452468U,	// OUTSW
+    336612688U,	// PABSBrm128
+    135286096U,	// PABSBrr128
+    336614267U,	// PABSDrm128
+    135287675U,	// PABSDrr128
+    336618327U,	// PABSWrm128
+    135291735U,	// PABSWrr128
+    303063710U,	// PACKSSDWrm
+    68182686U,	// PACKSSDWrr
+    303058447U,	// PACKSSWBrm
+    68177423U,	// PACKSSWBrr
+    303063721U,	// PACKUSDWrm
+    68182697U,	// PACKUSDWrr
+    303058458U,	// PACKUSWBrm
+    68177434U,	// PACKUSWBrr
+    303058163U,	// PADDBrm
+    68177139U,	// PADDBrr
+    303058675U,	// PADDDrm
+    68177651U,	// PADDDrr
+    303061418U,	// PADDQrm
+    68180394U,	// PADDQrr
+    303058273U,	// PADDSBrm
+    68177249U,	// PADDSBrr
+    303063944U,	// PADDSWrm
+    68182920U,	// PADDSWrr
+    303058315U,	// PADDUSBrm
+    68177291U,	// PADDUSBrr
+    303064017U,	// PADDUSWrm
+    68182993U,	// PADDUSWrr
+    303063692U,	// PADDWrm
+    68182668U,	// PADDWrr
+    2450545562U,	// PALIGNR128rm
+    2215664538U,	// PALIGNR128rr
+    303060991U,	// PANDNrm
+    68179967U,	// PANDNrr
+    303058850U,	// PANDrm
+    68177826U,	// PANDrr
+    12019U,	// PAUSE
+    303058180U,	// PAVGBrm
+    68177156U,	// PAVGBrr
+    470830484U,	// PAVGUSBrm
+    68177300U,	// PAVGUSBrr
+    303063747U,	// PAVGWrm
+    68182723U,	// PAVGWrr
+    303058429U,	// PBLENDVBrm0
+    68177405U,	// PBLENDVBrr0
+    2450547348U,	// PBLENDWrmi
+    2215666324U,	// PBLENDWrri
+    2450545161U,	// PCLMULQDQrm
+    2215664137U,	// PCLMULQDQrr
+    303058228U,	// PCMPEQBrm
+    68177204U,	// PCMPEQBrr
+    303059593U,	// PCMPEQDrm
+    68178569U,	// PCMPEQDrr
+    303061654U,	// PCMPEQQrm
+    68180630U,	// PCMPEQQrr
+    303063852U,	// PCMPEQWrm
+    68182828U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    2484098810U,	// PCMPESTRIrm
-    2282772218U,	// PCMPESTRIrr
+    2484098802U,	// PCMPESTRIrm
+    2282772210U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    2484099055U,	// PCMPESTRM128rm
-    2282772463U,	// PCMPESTRM128rr
-    303058364U,	// PCMPGTBrm
-    68177340U,	// PCMPGTBrr
-    303060052U,	// PCMPGTDrm
-    68179028U,	// PCMPGTDrr
-    303061723U,	// PCMPGTQrm
-    68180699U,	// PCMPGTQrr
-    303064051U,	// PCMPGTWrm
-    68183027U,	// PCMPGTWrr
+    2484099047U,	// PCMPESTRM128rm
+    2282772455U,	// PCMPESTRM128rr
+    303058356U,	// PCMPGTBrm
+    68177332U,	// PCMPGTBrr
+    303060044U,	// PCMPGTDrm
+    68179020U,	// PCMPGTDrr
+    303061715U,	// PCMPGTQrm
+    68180691U,	// PCMPGTQrr
+    303064043U,	// PCMPGTWrm
+    68183019U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    2484098822U,	// PCMPISTRIrm
-    2282772230U,	// PCMPISTRIrr
+    2484098814U,	// PCMPISTRIrm
+    2282772222U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    2484099067U,	// PCMPISTRM128rm
-    2282772475U,	// PCMPISTRM128rr
-    2282772581U,	// PDEP32rm
-    2282772581U,	// PDEP32rr
-    2282772581U,	// PDEP64rm
-    2282772581U,	// PDEP64rr
-    2282774960U,	// PEXT32rm
-    2282774960U,	// PEXT32rr
-    2282774960U,	// PEXT64rm
-    2282774960U,	// PEXT64rr
-    2148633935U,	// PEXTRBmr
-    2282769743U,	// PEXTRBrr
-    2148602550U,	// PEXTRDmr
-    2282771126U,	// PEXTRDrr
-    2148620989U,	// PEXTRQmr
-    2282773181U,	// PEXTRQrr
-    2148574038U,	// PEXTRWmr
-    2282775382U,	// PEXTRWri
-    2282775382U,	// PEXTRWrr_REV
-    168841029U,	// PF2IDrm
-    135286597U,	// PF2IDrr
-    168846064U,	// PF2IWrm
-    135291632U,	// PF2IWrr
-    470830636U,	// PFACCrm
-    68177452U,	// PFACCrr
-    470830813U,	// PFADDrm
-    68177629U,	// PFADDrr
-    470833757U,	// PFCMPEQrm
-    68180573U,	// PFCMPEQrr
-    470832516U,	// PFCMPGErm
-    68179332U,	// PFCMPGErr
-    470835479U,	// PFCMPGTrm
-    68182295U,	// PFCMPGTrr
-    470836313U,	// PFMAXrm
-    68183129U,	// PFMAXrr
-    470833174U,	// PFMINrm
-    68179990U,	// PFMINrr
-    470833080U,	// PFMULrm
-    68179896U,	// PFMULrr
-    470830643U,	// PFNACCrm
-    68177459U,	// PFNACCrr
-    470830651U,	// PFPNACCrm
-    68177467U,	// PFPNACCrr
-    470829855U,	// PFRCPIT1rm
-    68176671U,	// PFRCPIT1rr
-    470829940U,	// PFRCPIT2rm
-    68176756U,	// PFRCPIT2rr
-    168843351U,	// PFRCPrm
-    135288919U,	// PFRCPrr
-    470829865U,	// PFRSQIT1rm
-    68176681U,	// PFRSQIT1rr
-    168845658U,	// PFRSQRTrm
-    135291226U,	// PFRSQRTrr
-    470834048U,	// PFSUBRrm
-    68180864U,	// PFSUBRrr
-    470830573U,	// PFSUBrm
-    68177389U,	// PFSUBrr
-    303058674U,	// PHADDDrm
-    68177650U,	// PHADDDrr
-    303063942U,	// PHADDSWrm128
-    68182918U,	// PHADDSWrr128
-    303063691U,	// PHADDWrm
-    68182667U,	// PHADDWrr
-    336618543U,	// PHMINPOSUWrm128
-    135291951U,	// PHMINPOSUWrr128
-    303058615U,	// PHSUBDrm
-    68177591U,	// PHSUBDrr
-    303063923U,	// PHSUBSWrm128
-    68182899U,	// PHSUBSWrr128
-    303063637U,	// PHSUBWrm
-    68182613U,	// PHSUBWrr
-    168841013U,	// PI2FDrm
-    135286581U,	// PI2FDrr
-    168846011U,	// PI2FWrm
-    135291579U,	// PI2FWrr
-    839929158U,	// PINSRBrm
-    2215660870U,	// PINSRBrr
-    2584761005U,	// PINSRDrm
-    2215662253U,	// PINSRDrr
-    2618317492U,	// PINSRQrm
-    2215664308U,	// PINSRQrr
-    2551210829U,	// PINSRWrmi
-    2215666509U,	// PINSRWrri
-    303063911U,	// PMADDUBSWrm128
-    68182887U,	// PMADDUBSWrr128
-    303060186U,	// PMADDWDrm
-    68179162U,	// PMADDWDrr
-    303058349U,	// PMAXSBrm
-    68177325U,	// PMAXSBrr
-    303060026U,	// PMAXSDrm
-    68179002U,	// PMAXSDrr
-    303064042U,	// PMAXSWrm
-    68183018U,	// PMAXSWrr
-    303058428U,	// PMAXUBrm
-    68177404U,	// PMAXUBrr
-    303060111U,	// PMAXUDrm
-    68179087U,	// PMAXUDrr
-    303064124U,	// PMAXUWrm
-    68183100U,	// PMAXUWrr
-    303058290U,	// PMINSBrm
-    68177266U,	// PMINSBrr
-    303059946U,	// PMINSDrm
-    68178922U,	// PMINSDrr
-    303063973U,	// PMINSWrm
-    68182949U,	// PMINSWrr
-    303058405U,	// PMINUBrm
-    68177381U,	// PMINUBrr
-    303060093U,	// PMINUDrm
-    68179069U,	// PMINUDrr
-    303064102U,	// PMINUWrm
-    68183078U,	// PMINUWrr
-    135286040U,	// PMOVMSKBrr
-    101732040U,	// PMOVSXBDrm
-    135286472U,	// PMOVSXBDrr
-    370170203U,	// PMOVSXBQrm
-    135289179U,	// PMOVSXBQrr
-    168845926U,	// PMOVSXBWrm
-    135291494U,	// PMOVSXBWrr
-    168843848U,	// PMOVSXDQrm
-    135289416U,	// PMOVSXDQrr
-    168842547U,	// PMOVSXWDrm
-    135288115U,	// PMOVSXWDrr
-    101735256U,	// PMOVSXWQrm
-    135289688U,	// PMOVSXWQrr
-    101732051U,	// PMOVZXBDrm
-    135286483U,	// PMOVZXBDrr
-    370170214U,	// PMOVZXBQrm
-    135289190U,	// PMOVZXBQrr
-    168845937U,	// PMOVZXBWrm
-    135291505U,	// PMOVZXBWrr
-    168843859U,	// PMOVZXDQrm
-    135289427U,	// PMOVZXDQrr
-    168842558U,	// PMOVZXWDrm
-    135288126U,	// PMOVZXWDrr
-    101735267U,	// PMOVZXWQrm
-    135289699U,	// PMOVZXWQrr
-    303061486U,	// PMULDQrm
-    68180462U,	// PMULDQrr
-    303063996U,	// PMULHRSWrm128
-    68182972U,	// PMULHRSWrr128
-    470836035U,	// PMULHRWrm
-    68182851U,	// PMULHRWrr
-    303064083U,	// PMULHUWrm
-    68183059U,	// PMULHUWrr
-    303063784U,	// PMULHWrm
-    68182760U,	// PMULHWrr
-    303058816U,	// PMULLDrm
-    68177792U,	// PMULLDrr
-    303063826U,	// PMULLWrm
-    68182802U,	// PMULLWrr
-    303061566U,	// PMULUDQrm
-    68180542U,	// PMULUDQrr
-    22711U,	// POP16r
-    39095U,	// POP16rmm
-    22711U,	// POP16rmr
-    22711U,	// POP32r
-    71863U,	// POP32rmm
-    22711U,	// POP32rmr
-    22711U,	// POP64r
-    88247U,	// POP64rmm
-    22711U,	// POP64rmr
-    12697U,	// POPA16
-    12143U,	// POPA32
-    370172192U,	// POPCNT16rm
-    135291168U,	// POPCNT16rr
-    101736736U,	// POPCNT32rm
-    135291168U,	// POPCNT32rr
-    168845600U,	// POPCNT64rm
-    135291168U,	// POPCNT64rr
-    12451U,	// POPDS16
-    12451U,	// POPDS32
-    12466U,	// POPES16
-    12466U,	// POPES32
-    12062U,	// POPF16
-    11880U,	// POPF32
-    12334U,	// POPF64
-    12481U,	// POPFS16
-    12481U,	// POPFS32
-    12481U,	// POPFS64
-    12496U,	// POPGS16
-    12496U,	// POPGS32
-    12496U,	// POPGS64
-    12587U,	// POPSS16
-    12587U,	// POPSS32
-    303061932U,	// PORrm
-    68180908U,	// PORrr
-    104034U,	// PREFETCH
-    101562U,	// PREFETCHNTA
-    101105U,	// PREFETCHT0
-    101139U,	// PREFETCHT1
-    101224U,	// PREFETCHT2
-    107218U,	// PREFETCHW
-    303063574U,	// PSADBWrm
-    68182550U,	// PSADBWrr
-    303058179U,	// PSHUFBrm
-    68177155U,	// PSHUFBrr
-    2484096829U,	// PSHUFDmi
-    2282770237U,	// PSHUFDri
-    2484101854U,	// PSHUFHWmi
-    2282775262U,	// PSHUFHWri
-    2484101880U,	// PSHUFLWmi
-    2282775288U,	// PSHUFLWri
-    303058227U,	// PSIGNBrm
-    68177203U,	// PSIGNBrr
-    303058873U,	// PSIGNDrm
-    68177849U,	// PSIGNDrr
-    303063851U,	// PSIGNWrm
-    68182827U,	// PSIGNWrr
-    68180444U,	// PSLLDQri
-    68177784U,	// PSLLDri
-    303058808U,	// PSLLDrm
-    68177784U,	// PSLLDrr
-    68180598U,	// PSLLQri
-    303061622U,	// PSLLQrm
-    68180598U,	// PSLLQrr
-    68182794U,	// PSLLWri
-    303063818U,	// PSLLWrm
-    68182794U,	// PSLLWrr
-    68177562U,	// PSRADri
-    303058586U,	// PSRADrm
-    68177562U,	// PSRADrr
-    68182531U,	// PSRAWri
-    303063555U,	// PSRAWrm
-    68182531U,	// PSRAWrr
-    68180453U,	// PSRLDQri
-    68177801U,	// PSRLDri
-    303058825U,	// PSRLDrm
-    68177801U,	// PSRLDrr
-    68180606U,	// PSRLQri
-    303061630U,	// PSRLQrm
-    68180606U,	// PSRLQrr
-    68182811U,	// PSRLWri
-    303063835U,	// PSRLWrm
-    68182811U,	// PSRLWrr
-    303058163U,	// PSUBBrm
-    68177139U,	// PSUBBrr
-    303058624U,	// PSUBDrm
-    68177600U,	// PSUBDrr
-    303061331U,	// PSUBQrm
-    68180307U,	// PSUBQrr
-    303058272U,	// PSUBSBrm
-    68177248U,	// PSUBSBrr
-    303063933U,	// PSUBSWrm
-    68182909U,	// PSUBSWrr
-    303058313U,	// PSUBUSBrm
-    68177289U,	// PSUBUSBrr
-    303064015U,	// PSUBUSWrm
-    68182991U,	// PSUBUSWrr
-    303063646U,	// PSUBWrm
-    68182622U,	// PSUBWrr
-    168841471U,	// PSWAPDrm
-    135287039U,	// PSWAPDrr
-    537944446U,	// PTESTrm
-    135291262U,	// PTESTrr
-    303063602U,	// PUNPCKHBWrm
-    68182578U,	// PUNPCKHBWrr
-    303061444U,	// PUNPCKHDQrm
-    68180420U,	// PUNPCKHDQrr
-    303061495U,	// PUNPCKHQDQrm
-    68180471U,	// PUNPCKHQDQrr
-    303060196U,	// PUNPCKHWDrm
-    68179172U,	// PUNPCKHWDrr
-    303063614U,	// PUNPCKLBWrm
-    68182590U,	// PUNPCKLBWrr
-    303061456U,	// PUNPCKLDQrm
-    68180432U,	// PUNPCKLDQrr
-    303061508U,	// PUNPCKLQDQrm
-    68180484U,	// PUNPCKLQDQrr
-    303060208U,	// PUNPCKLWDrm
-    68179184U,	// PUNPCKLWDrr
-    22173U,	// PUSH16i8
-    22173U,	// PUSH16r
-    38557U,	// PUSH16rmm
-    22173U,	// PUSH16rmr
-    22173U,	// PUSH32i8
-    22173U,	// PUSH32r
-    71325U,	// PUSH32rmm
-    22173U,	// PUSH32rmr
-    22173U,	// PUSH64i16
-    22173U,	// PUSH64i32
-    22173U,	// PUSH64i8
-    22173U,	// PUSH64r
-    87709U,	// PUSH64rmm
-    22173U,	// PUSH64rmr
-    12690U,	// PUSHA16
-    12136U,	// PUSHA32
-    12435U,	// PUSHCS16
-    12435U,	// PUSHCS32
-    12443U,	// PUSHDS16
-    12443U,	// PUSHDS32
-    12458U,	// PUSHES16
-    12458U,	// PUSHES32
-    12056U,	// PUSHF16
-    11873U,	// PUSHF32
-    12327U,	// PUSHF64
-    12473U,	// PUSHFS16
-    12473U,	// PUSHFS32
-    12473U,	// PUSHFS64
-    12488U,	// PUSHGS16
-    12488U,	// PUSHGS32
-    12488U,	// PUSHGS64
-    12579U,	// PUSHSS16
-    12579U,	// PUSHSS32
-    22173U,	// PUSHi16
-    22173U,	// PUSHi32
-    303061960U,	// PXORrm
-    68180936U,	// PXORrr
-    38756U,	// RCL16m1
-    17864548U,	// RCL16mCL
-    1087332U,	// RCL16mi
-    22372U,	// RCL16r1
-    17848164U,	// RCL16rCL
-    68179812U,	// RCL16ri
-    71524U,	// RCL32m1
-    17897316U,	// RCL32mCL
-    1120100U,	// RCL32mi
-    22372U,	// RCL32r1
-    17848164U,	// RCL32rCL
-    68179812U,	// RCL32ri
-    87908U,	// RCL64m1
-    17913700U,	// RCL64mCL
-    1136484U,	// RCL64mi
-    22372U,	// RCL64r1
-    17848164U,	// RCL64rCL
-    68179812U,	// RCL64ri
-    104292U,	// RCL8m1
-    17930084U,	// RCL8mCL
-    1152868U,	// RCL8mi
-    22372U,	// RCL8r1
-    17848164U,	// RCL8rCL
-    68179812U,	// RCL8ri
-    537943723U,	// RCPPSm
-    537943723U,	// RCPPSm_Int
-    135290539U,	// RCPPSr
-    135290539U,	// RCPPSr_Int
-    605053054U,	// RCPSSm
-    269508734U,	// RCPSSm_Int
-    135291006U,	// RCPSSr
-    68182142U,	// RCPSSr_Int
-    39824U,	// RCR16m1
-    17865616U,	// RCR16mCL
-    1088400U,	// RCR16mi
-    23440U,	// RCR16r1
-    17849232U,	// RCR16rCL
-    68180880U,	// RCR16ri
-    72592U,	// RCR32m1
-    17898384U,	// RCR32mCL
-    1121168U,	// RCR32mi
-    23440U,	// RCR32r1
-    17849232U,	// RCR32rCL
-    68180880U,	// RCR32ri
-    88976U,	// RCR64m1
-    17914768U,	// RCR64mCL
-    1137552U,	// RCR64mi
-    23440U,	// RCR64r1
-    17849232U,	// RCR64rCL
-    68180880U,	// RCR64ri
-    105360U,	// RCR8m1
-    17931152U,	// RCR8mCL
-    1153936U,	// RCR8mi
-    23440U,	// RCR8r1
-    17849232U,	// RCR8rCL
-    68180880U,	// RCR8ri
-    21975U,	// RDFSBASE
-    21975U,	// RDFSBASE64
-    21995U,	// RDGSBASE
-    21995U,	// RDGSBASE64
-    12400U,	// RDMSR
-    11850U,	// RDPMC
-    20400U,	// RDRAND16r
-    20400U,	// RDRAND32r
-    20400U,	// RDRAND64r
-    20269U,	// RDSEED16r
-    20269U,	// RDSEED32r
-    20269U,	// RDSEED64r
-    11863U,	// RDTSC
-    12265U,	// RDTSCP
-    11377U,	// RELEASE_MOV16mr
-    11377U,	// RELEASE_MOV32mr
-    11377U,	// RELEASE_MOV64mr
-    11377U,	// RELEASE_MOV8mr
-    11991U,	// REPNE_PREFIX
-    11794U,	// REP_MOVSB_32
-    11794U,	// REP_MOVSB_64
-    11911U,	// REP_MOVSD_32
-    11911U,	// REP_MOVSD_64
-    12356U,	// REP_MOVSQ_64
-    12717U,	// REP_MOVSW_32
-    12717U,	// REP_MOVSW_64
-    12272U,	// REP_PREFIX
-    11784U,	// REP_STOSB_32
-    11784U,	// REP_STOSB_64
-    11901U,	// REP_STOSD_32
-    11901U,	// REP_STOSD_64
-    12346U,	// REP_STOSQ_64
-    12707U,	// REP_STOSW_32
-    12707U,	// REP_STOSW_64
-    24850U,	// RETIL
-    24850U,	// RETIQ
-    24850U,	// RETIW
-    12615U,	// RETL
-    12615U,	// RETQ
-    12615U,	// RETW
-    11620U,	// REX64_PREFIX
-    18913163U,	// ROL16m1
-    17864587U,	// ROL16mCL
-    1087371U,	// ROL16mi
-    18896779U,	// ROL16r1
-    17848203U,	// ROL16rCL
-    68179851U,	// ROL16ri
-    18945931U,	// ROL32m1
-    17897355U,	// ROL32mCL
-    1120139U,	// ROL32mi
-    18896779U,	// ROL32r1
-    17848203U,	// ROL32rCL
-    68179851U,	// ROL32ri
-    18962315U,	// ROL64m1
-    17913739U,	// ROL64mCL
-    1136523U,	// ROL64mi
-    18896779U,	// ROL64r1
-    17848203U,	// ROL64rCL
-    68179851U,	// ROL64ri
-    18978699U,	// ROL8m1
-    17930123U,	// ROL8mCL
-    1152907U,	// ROL8mi
-    18896779U,	// ROL8r1
-    17848203U,	// ROL8rCL
-    68179851U,	// ROL8ri
-    18914225U,	// ROR16m1
-    17865649U,	// ROR16mCL
-    1088433U,	// ROR16mi
-    18897841U,	// ROR16r1
-    17849265U,	// ROR16rCL
-    68180913U,	// ROR16ri
-    18946993U,	// ROR32m1
-    17898417U,	// ROR32mCL
-    1121201U,	// ROR32mi
-    18897841U,	// ROR32r1
-    17849265U,	// ROR32rCL
-    68180913U,	// ROR32ri
-    18963377U,	// ROR64m1
-    17914801U,	// ROR64mCL
-    1137585U,	// ROR64mi
-    18897841U,	// ROR64r1
-    17849265U,	// ROR64rCL
-    68180913U,	// ROR64ri
-    18979761U,	// ROR8m1
-    17931185U,	// ROR8mCL
-    1153969U,	// ROR8mi
-    18897841U,	// ROR8r1
-    17849265U,	// ROR8rCL
-    68180913U,	// ROR8ri
-    2249221277U,	// RORX32mi
-    2282775709U,	// RORX32ri
-    2316330141U,	// RORX64mi
-    2282775709U,	// RORX64ri
-    2685424011U,	// ROUNDPDm
-    2282770827U,	// ROUNDPDr
-    2685427224U,	// ROUNDPSm
-    2282774040U,	// ROUNDPSr
-    2383434693U,	// ROUNDSDm
-    2215662533U,	// ROUNDSDr
-    2215662533U,	// ROUNDSDr_Int
-    2416992337U,	// ROUNDSSm
-    2215665745U,	// ROUNDSSr
-    2215665745U,	// ROUNDSSr_Int
-    12207U,	// RSM
-    537943806U,	// RSQRTPSm
-    537943806U,	// RSQRTPSm_Int
-    135290622U,	// RSQRTPSr
-    135290622U,	// RSQRTPSr_Int
-    605053079U,	// RSQRTSSm
-    269508759U,	// RSQRTSSm_Int
-    135291031U,	// RSQRTSSr
-    68182167U,	// RSQRTSSr_Int
-    12051U,	// SAHF
-    11837U,	// SALC
-    18914171U,	// SAR16m1
-    17865595U,	// SAR16mCL
-    1088379U,	// SAR16mi
-    18897787U,	// SAR16r1
-    17849211U,	// SAR16rCL
-    68180859U,	// SAR16ri
-    18946939U,	// SAR32m1
-    17898363U,	// SAR32mCL
-    1121147U,	// SAR32mi
-    18897787U,	// SAR32r1
-    17849211U,	// SAR32rCL
-    68180859U,	// SAR32ri
-    18963323U,	// SAR64m1
-    17914747U,	// SAR64mCL
-    1137531U,	// SAR64mi
-    18897787U,	// SAR64r1
-    17849211U,	// SAR64rCL
-    68180859U,	// SAR64ri
-    18979707U,	// SAR8m1
-    17931131U,	// SAR8mCL
-    1153915U,	// SAR8mi
-    18897787U,	// SAR8r1
-    17849211U,	// SAR8rCL
-    68180859U,	// SAR8ri
-    2249221265U,	// SARX32rm
-    2282775697U,	// SARX32rr
-    2316330129U,	// SARX64rm
-    2282775697U,	// SARX64rr
-    26059U,	// SBB16i16
-    1084653U,	// SBB16mi
-    1084653U,	// SBB16mi8
-    1084653U,	// SBB16mr
-    35704045U,	// SBB16ri
-    35704045U,	// SBB16ri8
-    3198189U,	// SBB16rm
-    35704045U,	// SBB16rr
-    68177133U,	// SBB16rr_REV
-    26193U,	// SBB32i32
-    1117421U,	// SBB32mi
-    1117421U,	// SBB32mi8
-    1117421U,	// SBB32mr
-    35704045U,	// SBB32ri
-    35704045U,	// SBB32ri8
-    4246765U,	// SBB32rm
-    35704045U,	// SBB32rr
-    68177133U,	// SBB32rr_REV
-    26341U,	// SBB64i32
-    1133805U,	// SBB64mi32
-    1133805U,	// SBB64mi8
-    1133805U,	// SBB64mr
-    35704045U,	// SBB64ri32
-    35704045U,	// SBB64ri8
-    5295341U,	// SBB64rm
-    35704045U,	// SBB64rr
-    68177133U,	// SBB64rr_REV
-    25935U,	// SBB8i8
-    1150189U,	// SBB8mi
-    1150189U,	// SBB8mr
-    35704045U,	// SBB8ri
-    6343917U,	// SBB8rm
-    35704045U,	// SBB8rr
-    68177133U,	// SBB8rr_REV
-    304699U,	// SCAS16
-    321155U,	// SCAS32
-    616236U,	// SCAS64
-    337240U,	// SCAS8
-    12515U,	// SEG_ALLOCA_32
-    12515U,	// SEG_ALLOCA_64
-    103765U,	// SETAEm
-    21845U,	// SETAEr
-    101556U,	// SETAm
-    19636U,	// SETAr
-    103785U,	// SETBEm
-    21865U,	// SETBEr
+    2484099059U,	// PCMPISTRM128rm
+    2282772467U,	// PCMPISTRM128rr
+    2282772573U,	// PDEP32rm
+    2282772573U,	// PDEP32rr
+    2282772573U,	// PDEP64rm
+    2282772573U,	// PDEP64rr
+    2282774952U,	// PEXT32rm
+    2282774952U,	// PEXT32rr
+    2282774952U,	// PEXT64rm
+    2282774952U,	// PEXT64rr
+    2148633927U,	// PEXTRBmr
+    2282769735U,	// PEXTRBrr
+    2148602542U,	// PEXTRDmr
+    2282771118U,	// PEXTRDrr
+    2148620981U,	// PEXTRQmr
+    2282773173U,	// PEXTRQrr
+    2148574030U,	// PEXTRWmr
+    2282775374U,	// PEXTRWri
+    2282775374U,	// PEXTRWrr_REV
+    168841021U,	// PF2IDrm
+    135286589U,	// PF2IDrr
+    168846056U,	// PF2IWrm
+    135291624U,	// PF2IWrr
+    470830628U,	// PFACCrm
+    68177444U,	// PFACCrr
+    470830805U,	// PFADDrm
+    68177621U,	// PFADDrr
+    470833749U,	// PFCMPEQrm
+    68180565U,	// PFCMPEQrr
+    470832508U,	// PFCMPGErm
+    68179324U,	// PFCMPGErr
+    470835471U,	// PFCMPGTrm
+    68182287U,	// PFCMPGTrr
+    470836305U,	// PFMAXrm
+    68183121U,	// PFMAXrr
+    470833166U,	// PFMINrm
+    68179982U,	// PFMINrr
+    470833072U,	// PFMULrm
+    68179888U,	// PFMULrr
+    470830635U,	// PFNACCrm
+    68177451U,	// PFNACCrr
+    470830643U,	// PFPNACCrm
+    68177459U,	// PFPNACCrr
+    470829847U,	// PFRCPIT1rm
+    68176663U,	// PFRCPIT1rr
+    470829932U,	// PFRCPIT2rm
+    68176748U,	// PFRCPIT2rr
+    168843343U,	// PFRCPrm
+    135288911U,	// PFRCPrr
+    470829857U,	// PFRSQIT1rm
+    68176673U,	// PFRSQIT1rr
+    168845650U,	// PFRSQRTrm
+    135291218U,	// PFRSQRTrr
+    470834040U,	// PFSUBRrm
+    68180856U,	// PFSUBRrr
+    470830565U,	// PFSUBrm
+    68177381U,	// PFSUBrr
+    303058666U,	// PHADDDrm
+    68177642U,	// PHADDDrr
+    303063934U,	// PHADDSWrm128
+    68182910U,	// PHADDSWrr128
+    303063683U,	// PHADDWrm
+    68182659U,	// PHADDWrr
+    336618535U,	// PHMINPOSUWrm128
+    135291943U,	// PHMINPOSUWrr128
+    303058607U,	// PHSUBDrm
+    68177583U,	// PHSUBDrr
+    303063915U,	// PHSUBSWrm128
+    68182891U,	// PHSUBSWrr128
+    303063629U,	// PHSUBWrm
+    68182605U,	// PHSUBWrr
+    168841005U,	// PI2FDrm
+    135286573U,	// PI2FDrr
+    168846003U,	// PI2FWrm
+    135291571U,	// PI2FWrr
+    839929150U,	// PINSRBrm
+    2215660862U,	// PINSRBrr
+    2584760997U,	// PINSRDrm
+    2215662245U,	// PINSRDrr
+    2618317484U,	// PINSRQrm
+    2215664300U,	// PINSRQrr
+    2551210821U,	// PINSRWrmi
+    2215666501U,	// PINSRWrri
+    303063903U,	// PMADDUBSWrm128
+    68182879U,	// PMADDUBSWrr128
+    303060178U,	// PMADDWDrm
+    68179154U,	// PMADDWDrr
+    303058341U,	// PMAXSBrm
+    68177317U,	// PMAXSBrr
+    303060018U,	// PMAXSDrm
+    68178994U,	// PMAXSDrr
+    303064034U,	// PMAXSWrm
+    68183010U,	// PMAXSWrr
+    303058420U,	// PMAXUBrm
+    68177396U,	// PMAXUBrr
+    303060103U,	// PMAXUDrm
+    68179079U,	// PMAXUDrr
+    303064116U,	// PMAXUWrm
+    68183092U,	// PMAXUWrr
+    303058282U,	// PMINSBrm
+    68177258U,	// PMINSBrr
+    303059938U,	// PMINSDrm
+    68178914U,	// PMINSDrr
+    303063965U,	// PMINSWrm
+    68182941U,	// PMINSWrr
+    303058397U,	// PMINUBrm
+    68177373U,	// PMINUBrr
+    303060085U,	// PMINUDrm
+    68179061U,	// PMINUDrr
+    303064094U,	// PMINUWrm
+    68183070U,	// PMINUWrr
+    135286032U,	// PMOVMSKBrr
+    101732032U,	// PMOVSXBDrm
+    135286464U,	// PMOVSXBDrr
+    370170195U,	// PMOVSXBQrm
+    135289171U,	// PMOVSXBQrr
+    168845918U,	// PMOVSXBWrm
+    135291486U,	// PMOVSXBWrr
+    168843840U,	// PMOVSXDQrm
+    135289408U,	// PMOVSXDQrr
+    168842539U,	// PMOVSXWDrm
+    135288107U,	// PMOVSXWDrr
+    101735248U,	// PMOVSXWQrm
+    135289680U,	// PMOVSXWQrr
+    101732043U,	// PMOVZXBDrm
+    135286475U,	// PMOVZXBDrr
+    370170206U,	// PMOVZXBQrm
+    135289182U,	// PMOVZXBQrr
+    168845929U,	// PMOVZXBWrm
+    135291497U,	// PMOVZXBWrr
+    168843851U,	// PMOVZXDQrm
+    135289419U,	// PMOVZXDQrr
+    168842550U,	// PMOVZXWDrm
+    135288118U,	// PMOVZXWDrr
+    101735259U,	// PMOVZXWQrm
+    135289691U,	// PMOVZXWQrr
+    303061478U,	// PMULDQrm
+    68180454U,	// PMULDQrr
+    303063988U,	// PMULHRSWrm128
+    68182964U,	// PMULHRSWrr128
+    470836027U,	// PMULHRWrm
+    68182843U,	// PMULHRWrr
+    303064075U,	// PMULHUWrm
+    68183051U,	// PMULHUWrr
+    303063776U,	// PMULHWrm
+    68182752U,	// PMULHWrr
+    303058808U,	// PMULLDrm
+    68177784U,	// PMULLDrr
+    303063818U,	// PMULLWrm
+    68182794U,	// PMULLWrr
+    303061558U,	// PMULUDQrm
+    68180534U,	// PMULUDQrr
+    22703U,	// POP16r
+    39087U,	// POP16rmm
+    22703U,	// POP16rmr
+    22703U,	// POP32r
+    71855U,	// POP32rmm
+    22703U,	// POP32rmr
+    22703U,	// POP64r
+    88239U,	// POP64rmm
+    22703U,	// POP64rmr
+    12689U,	// POPA16
+    12135U,	// POPA32
+    370172184U,	// POPCNT16rm
+    135291160U,	// POPCNT16rr
+    101736728U,	// POPCNT32rm
+    135291160U,	// POPCNT32rr
+    168845592U,	// POPCNT64rm
+    135291160U,	// POPCNT64rr
+    12443U,	// POPDS16
+    12443U,	// POPDS32
+    12458U,	// POPES16
+    12458U,	// POPES32
+    12054U,	// POPF16
+    11872U,	// POPF32
+    12326U,	// POPF64
+    12473U,	// POPFS16
+    12473U,	// POPFS32
+    12473U,	// POPFS64
+    12488U,	// POPGS16
+    12488U,	// POPGS32
+    12488U,	// POPGS64
+    12579U,	// POPSS16
+    12579U,	// POPSS32
+    303061924U,	// PORrm
+    68180900U,	// PORrr
+    104026U,	// PREFETCH
+    101554U,	// PREFETCHNTA
+    101097U,	// PREFETCHT0
+    101131U,	// PREFETCHT1
+    101216U,	// PREFETCHT2
+    107210U,	// PREFETCHW
+    303063566U,	// PSADBWrm
+    68182542U,	// PSADBWrr
+    303058171U,	// PSHUFBrm
+    68177147U,	// PSHUFBrr
+    2484096821U,	// PSHUFDmi
+    2282770229U,	// PSHUFDri
+    2484101846U,	// PSHUFHWmi
+    2282775254U,	// PSHUFHWri
+    2484101872U,	// PSHUFLWmi
+    2282775280U,	// PSHUFLWri
+    303058219U,	// PSIGNBrm
+    68177195U,	// PSIGNBrr
+    303058865U,	// PSIGNDrm
+    68177841U,	// PSIGNDrr
+    303063843U,	// PSIGNWrm
+    68182819U,	// PSIGNWrr
+    68180436U,	// PSLLDQri
+    68177776U,	// PSLLDri
+    303058800U,	// PSLLDrm
+    68177776U,	// PSLLDrr
+    68180590U,	// PSLLQri
+    303061614U,	// PSLLQrm
+    68180590U,	// PSLLQrr
+    68182786U,	// PSLLWri
+    303063810U,	// PSLLWrm
+    68182786U,	// PSLLWrr
+    68177554U,	// PSRADri
+    303058578U,	// PSRADrm
+    68177554U,	// PSRADrr
+    68182523U,	// PSRAWri
+    303063547U,	// PSRAWrm
+    68182523U,	// PSRAWrr
+    68180445U,	// PSRLDQri
+    68177793U,	// PSRLDri
+    303058817U,	// PSRLDrm
+    68177793U,	// PSRLDrr
+    68180598U,	// PSRLQri
+    303061622U,	// PSRLQrm
+    68180598U,	// PSRLQrr
+    68182803U,	// PSRLWri
+    303063827U,	// PSRLWrm
+    68182803U,	// PSRLWrr
+    303058155U,	// PSUBBrm
+    68177131U,	// PSUBBrr
+    303058616U,	// PSUBDrm
+    68177592U,	// PSUBDrr
+    303061323U,	// PSUBQrm
+    68180299U,	// PSUBQrr
+    303058264U,	// PSUBSBrm
+    68177240U,	// PSUBSBrr
+    303063925U,	// PSUBSWrm
+    68182901U,	// PSUBSWrr
+    303058305U,	// PSUBUSBrm
+    68177281U,	// PSUBUSBrr
+    303064007U,	// PSUBUSWrm
+    68182983U,	// PSUBUSWrr
+    303063638U,	// PSUBWrm
+    68182614U,	// PSUBWrr
+    168841463U,	// PSWAPDrm
+    135287031U,	// PSWAPDrr
+    537944438U,	// PTESTrm
+    135291254U,	// PTESTrr
+    303063594U,	// PUNPCKHBWrm
+    68182570U,	// PUNPCKHBWrr
+    303061436U,	// PUNPCKHDQrm
+    68180412U,	// PUNPCKHDQrr
+    303061487U,	// PUNPCKHQDQrm
+    68180463U,	// PUNPCKHQDQrr
+    303060188U,	// PUNPCKHWDrm
+    68179164U,	// PUNPCKHWDrr
+    303063606U,	// PUNPCKLBWrm
+    68182582U,	// PUNPCKLBWrr
+    303061448U,	// PUNPCKLDQrm
+    68180424U,	// PUNPCKLDQrr
+    303061500U,	// PUNPCKLQDQrm
+    68180476U,	// PUNPCKLQDQrr
+    303060200U,	// PUNPCKLWDrm
+    68179176U,	// PUNPCKLWDrr
+    22165U,	// PUSH16i8
+    22165U,	// PUSH16r
+    38549U,	// PUSH16rmm
+    22165U,	// PUSH16rmr
+    22165U,	// PUSH32i8
+    22165U,	// PUSH32r
+    71317U,	// PUSH32rmm
+    22165U,	// PUSH32rmr
+    22165U,	// PUSH64i16
+    22165U,	// PUSH64i32
+    22165U,	// PUSH64i8
+    22165U,	// PUSH64r
+    87701U,	// PUSH64rmm
+    22165U,	// PUSH64rmr
+    12682U,	// PUSHA16
+    12128U,	// PUSHA32
+    12427U,	// PUSHCS16
+    12427U,	// PUSHCS32
+    12435U,	// PUSHDS16
+    12435U,	// PUSHDS32
+    12450U,	// PUSHES16
+    12450U,	// PUSHES32
+    12048U,	// PUSHF16
+    11865U,	// PUSHF32
+    12319U,	// PUSHF64
+    12465U,	// PUSHFS16
+    12465U,	// PUSHFS32
+    12465U,	// PUSHFS64
+    12480U,	// PUSHGS16
+    12480U,	// PUSHGS32
+    12480U,	// PUSHGS64
+    12571U,	// PUSHSS16
+    12571U,	// PUSHSS32
+    22165U,	// PUSHi16
+    22165U,	// PUSHi32
+    303061952U,	// PXORrm
+    68180928U,	// PXORrr
+    38748U,	// RCL16m1
+    17864540U,	// RCL16mCL
+    1087324U,	// RCL16mi
+    22364U,	// RCL16r1
+    17848156U,	// RCL16rCL
+    68179804U,	// RCL16ri
+    71516U,	// RCL32m1
+    17897308U,	// RCL32mCL
+    1120092U,	// RCL32mi
+    22364U,	// RCL32r1
+    17848156U,	// RCL32rCL
+    68179804U,	// RCL32ri
+    87900U,	// RCL64m1
+    17913692U,	// RCL64mCL
+    1136476U,	// RCL64mi
+    22364U,	// RCL64r1
+    17848156U,	// RCL64rCL
+    68179804U,	// RCL64ri
+    104284U,	// RCL8m1
+    17930076U,	// RCL8mCL
+    1152860U,	// RCL8mi
+    22364U,	// RCL8r1
+    17848156U,	// RCL8rCL
+    68179804U,	// RCL8ri
+    537943715U,	// RCPPSm
+    537943715U,	// RCPPSm_Int
+    135290531U,	// RCPPSr
+    135290531U,	// RCPPSr_Int
+    605053046U,	// RCPSSm
+    269508726U,	// RCPSSm_Int
+    135290998U,	// RCPSSr
+    68182134U,	// RCPSSr_Int
+    39816U,	// RCR16m1
+    17865608U,	// RCR16mCL
+    1088392U,	// RCR16mi
+    23432U,	// RCR16r1
+    17849224U,	// RCR16rCL
+    68180872U,	// RCR16ri
+    72584U,	// RCR32m1
+    17898376U,	// RCR32mCL
+    1121160U,	// RCR32mi
+    23432U,	// RCR32r1
+    17849224U,	// RCR32rCL
+    68180872U,	// RCR32ri
+    88968U,	// RCR64m1
+    17914760U,	// RCR64mCL
+    1137544U,	// RCR64mi
+    23432U,	// RCR64r1
+    17849224U,	// RCR64rCL
+    68180872U,	// RCR64ri
+    105352U,	// RCR8m1
+    17931144U,	// RCR8mCL
+    1153928U,	// RCR8mi
+    23432U,	// RCR8r1
+    17849224U,	// RCR8rCL
+    68180872U,	// RCR8ri
+    21967U,	// RDFSBASE
+    21967U,	// RDFSBASE64
+    21987U,	// RDGSBASE
+    21987U,	// RDGSBASE64
+    12392U,	// RDMSR
+    11842U,	// RDPMC
+    20392U,	// RDRAND16r
+    20392U,	// RDRAND32r
+    20392U,	// RDRAND64r
+    20261U,	// RDSEED16r
+    20261U,	// RDSEED32r
+    20261U,	// RDSEED64r
+    11855U,	// RDTSC
+    12257U,	// RDTSCP
+    11369U,	// RELEASE_MOV16mr
+    11369U,	// RELEASE_MOV32mr
+    11369U,	// RELEASE_MOV64mr
+    11369U,	// RELEASE_MOV8mr
+    11983U,	// REPNE_PREFIX
+    11786U,	// REP_MOVSB_32
+    11786U,	// REP_MOVSB_64
+    11903U,	// REP_MOVSD_32
+    11903U,	// REP_MOVSD_64
+    12348U,	// REP_MOVSQ_64
+    12709U,	// REP_MOVSW_32
+    12709U,	// REP_MOVSW_64
+    12264U,	// REP_PREFIX
+    11776U,	// REP_STOSB_32
+    11776U,	// REP_STOSB_64
+    11893U,	// REP_STOSD_32
+    11893U,	// REP_STOSD_64
+    12338U,	// REP_STOSQ_64
+    12699U,	// REP_STOSW_32
+    12699U,	// REP_STOSW_64
+    24842U,	// RETIL
+    24842U,	// RETIQ
+    24842U,	// RETIW
+    12607U,	// RETL
+    12607U,	// RETQ
+    12607U,	// RETW
+    11612U,	// REX64_PREFIX
+    18913155U,	// ROL16m1
+    17864579U,	// ROL16mCL
+    1087363U,	// ROL16mi
+    18896771U,	// ROL16r1
+    17848195U,	// ROL16rCL
+    68179843U,	// ROL16ri
+    18945923U,	// ROL32m1
+    17897347U,	// ROL32mCL
+    1120131U,	// ROL32mi
+    18896771U,	// ROL32r1
+    17848195U,	// ROL32rCL
+    68179843U,	// ROL32ri
+    18962307U,	// ROL64m1
+    17913731U,	// ROL64mCL
+    1136515U,	// ROL64mi
+    18896771U,	// ROL64r1
+    17848195U,	// ROL64rCL
+    68179843U,	// ROL64ri
+    18978691U,	// ROL8m1
+    17930115U,	// ROL8mCL
+    1152899U,	// ROL8mi
+    18896771U,	// ROL8r1
+    17848195U,	// ROL8rCL
+    68179843U,	// ROL8ri
+    18914217U,	// ROR16m1
+    17865641U,	// ROR16mCL
+    1088425U,	// ROR16mi
+    18897833U,	// ROR16r1
+    17849257U,	// ROR16rCL
+    68180905U,	// ROR16ri
+    18946985U,	// ROR32m1
+    17898409U,	// ROR32mCL
+    1121193U,	// ROR32mi
+    18897833U,	// ROR32r1
+    17849257U,	// ROR32rCL
+    68180905U,	// ROR32ri
+    18963369U,	// ROR64m1
+    17914793U,	// ROR64mCL
+    1137577U,	// ROR64mi
+    18897833U,	// ROR64r1
+    17849257U,	// ROR64rCL
+    68180905U,	// ROR64ri
+    18979753U,	// ROR8m1
+    17931177U,	// ROR8mCL
+    1153961U,	// ROR8mi
+    18897833U,	// ROR8r1
+    17849257U,	// ROR8rCL
+    68180905U,	// ROR8ri
+    2249221269U,	// RORX32mi
+    2282775701U,	// RORX32ri
+    2316330133U,	// RORX64mi
+    2282775701U,	// RORX64ri
+    2685424003U,	// ROUNDPDm
+    2282770819U,	// ROUNDPDr
+    2685427216U,	// ROUNDPSm
+    2282774032U,	// ROUNDPSr
+    2383434685U,	// ROUNDSDm
+    2215662525U,	// ROUNDSDr
+    2215662525U,	// ROUNDSDr_Int
+    2416992329U,	// ROUNDSSm
+    2215665737U,	// ROUNDSSr
+    2215665737U,	// ROUNDSSr_Int
+    12199U,	// RSM
+    537943798U,	// RSQRTPSm
+    537943798U,	// RSQRTPSm_Int
+    135290614U,	// RSQRTPSr
+    135290614U,	// RSQRTPSr_Int
+    605053071U,	// RSQRTSSm
+    269508751U,	// RSQRTSSm_Int
+    135291023U,	// RSQRTSSr
+    68182159U,	// RSQRTSSr_Int
+    12043U,	// SAHF
+    11829U,	// SALC
+    18914163U,	// SAR16m1
+    17865587U,	// SAR16mCL
+    1088371U,	// SAR16mi
+    18897779U,	// SAR16r1
+    17849203U,	// SAR16rCL
+    68180851U,	// SAR16ri
+    18946931U,	// SAR32m1
+    17898355U,	// SAR32mCL
+    1121139U,	// SAR32mi
+    18897779U,	// SAR32r1
+    17849203U,	// SAR32rCL
+    68180851U,	// SAR32ri
+    18963315U,	// SAR64m1
+    17914739U,	// SAR64mCL
+    1137523U,	// SAR64mi
+    18897779U,	// SAR64r1
+    17849203U,	// SAR64rCL
+    68180851U,	// SAR64ri
+    18979699U,	// SAR8m1
+    17931123U,	// SAR8mCL
+    1153907U,	// SAR8mi
+    18897779U,	// SAR8r1
+    17849203U,	// SAR8rCL
+    68180851U,	// SAR8ri
+    2249221257U,	// SARX32rm
+    2282775689U,	// SARX32rr
+    2316330121U,	// SARX64rm
+    2282775689U,	// SARX64rr
+    26051U,	// SBB16i16
+    1084645U,	// SBB16mi
+    1084645U,	// SBB16mi8
+    1084645U,	// SBB16mr
+    35704037U,	// SBB16ri
+    35704037U,	// SBB16ri8
+    3198181U,	// SBB16rm
+    35704037U,	// SBB16rr
+    68177125U,	// SBB16rr_REV
+    26185U,	// SBB32i32
+    1117413U,	// SBB32mi
+    1117413U,	// SBB32mi8
+    1117413U,	// SBB32mr
+    35704037U,	// SBB32ri
+    35704037U,	// SBB32ri8
+    4246757U,	// SBB32rm
+    35704037U,	// SBB32rr
+    68177125U,	// SBB32rr_REV
+    26333U,	// SBB64i32
+    1133797U,	// SBB64mi32
+    1133797U,	// SBB64mi8
+    1133797U,	// SBB64mr
+    35704037U,	// SBB64ri32
+    35704037U,	// SBB64ri8
+    5295333U,	// SBB64rm
+    35704037U,	// SBB64rr
+    68177125U,	// SBB64rr_REV
+    25927U,	// SBB8i8
+    1150181U,	// SBB8mi
+    1150181U,	// SBB8mr
+    35704037U,	// SBB8ri
+    6343909U,	// SBB8rm
+    35704037U,	// SBB8rr
+    68177125U,	// SBB8rr_REV
+    304691U,	// SCAS16
+    321147U,	// SCAS32
+    616228U,	// SCAS64
+    337232U,	// SCAS8
+    12507U,	// SEG_ALLOCA_32
+    12507U,	// SEG_ALLOCA_64
+    103757U,	// SETAEm
+    21837U,	// SETAEr
+    101548U,	// SETAm
+    19628U,	// SETAr
+    103777U,	// SETBEm
+    21857U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    101813U,	// SETBm
-    19893U,	// SETBr
-    103935U,	// SETEm
-    22015U,	// SETEr
-    103821U,	// SETGEm
-    21901U,	// SETGEr
-    104021U,	// SETGm
-    22101U,	// SETGr
-    103845U,	// SETLEm
-    21925U,	// SETLEr
-    104370U,	// SETLm
-    22450U,	// SETLr
-    103873U,	// SETNEm
-    21953U,	// SETNEr
-    104493U,	// SETNOm
-    22573U,	// SETNOr
-    104605U,	// SETNPm
-    22685U,	// SETNPr
-    105539U,	// SETNSm
-    23619U,	// SETNSr
-    104508U,	// SETOm
-    22588U,	// SETOr
-    104652U,	// SETPm
-    22732U,	// SETPr
-    106717U,	// SETSm
-    24797U,	// SETSr
-    11963U,	// SFENCE
-    286964U,	// SGDT16m
-    286964U,	// SGDT32m
-    286964U,	// SGDT64m
-    303057661U,	// SHA1MSG1rm
-    68176637U,	// SHA1MSG1rr
-    303057733U,	// SHA1MSG2rm
-    68176709U,	// SHA1MSG2rr
-    303060494U,	// SHA1NEXTErm
-    68179470U,	// SHA1NEXTErr
-    2450541482U,	// SHA1RNDS4rmi
-    2215660458U,	// SHA1RNDS4rri
-    303057671U,	// SHA256MSG1rm
-    68176647U,	// SHA256MSG1rr
-    303057743U,	// SHA256MSG2rm
-    68176719U,	// SHA256MSG2rr
-    303057755U,	// SHA256RNDS2rm
-    68176731U,	// SHA256RNDS2rr
-    18913129U,	// SHL16m1
-    17864553U,	// SHL16mCL
-    1087337U,	// SHL16mi
-    18896745U,	// SHL16r1
-    17848169U,	// SHL16rCL
-    68179817U,	// SHL16ri
-    18945897U,	// SHL32m1
-    17897321U,	// SHL32mCL
-    1120105U,	// SHL32mi
-    18896745U,	// SHL32r1
-    17848169U,	// SHL32rCL
-    68179817U,	// SHL32ri
-    18962281U,	// SHL64m1
-    17913705U,	// SHL64mCL
-    1136489U,	// SHL64mi
-    18896745U,	// SHL64r1
-    17848169U,	// SHL64rCL
-    68179817U,	// SHL64ri
-    18978665U,	// SHL8m1
-    17930089U,	// SHL8mCL
-    1152873U,	// SHL8mi
-    18896745U,	// SHL8r1
-    17848169U,	// SHL8rCL
-    68179817U,	// SHL8ri
-    2148568939U,	// SHLD16mrCL
-    2148568939U,	// SHLD16mri8
-    2215661419U,	// SHLD16rrCL
-    2215661419U,	// SHLD16rri8
-    2148601707U,	// SHLD32mrCL
-    2148601707U,	// SHLD32mri8
-    2215661419U,	// SHLD32rrCL
-    2215661419U,	// SHLD32rri8
-    2148618091U,	// SHLD64mrCL
-    2148618091U,	// SHLD64mri8
-    2215661419U,	// SHLD64rrCL
-    2215661419U,	// SHLD64rri8
-    2249221222U,	// SHLX32rm
-    2282775654U,	// SHLX32rr
-    2316330086U,	// SHLX64rm
-    2282775654U,	// SHLX64rr
-    18914204U,	// SHR16m1
-    17865628U,	// SHR16mCL
-    1088412U,	// SHR16mi
-    18897820U,	// SHR16r1
-    17849244U,	// SHR16rCL
-    68180892U,	// SHR16ri
-    18946972U,	// SHR32m1
-    17898396U,	// SHR32mCL
-    1121180U,	// SHR32mi
-    18897820U,	// SHR32r1
-    17849244U,	// SHR32rCL
-    68180892U,	// SHR32ri
-    18963356U,	// SHR64m1
-    17914780U,	// SHR64mCL
-    1137564U,	// SHR64mi
-    18897820U,	// SHR64r1
-    17849244U,	// SHR64rCL
-    68180892U,	// SHR64ri
-    18979740U,	// SHR8m1
-    17931164U,	// SHR8mCL
-    1153948U,	// SHR8mi
-    18897820U,	// SHR8r1
-    17849244U,	// SHR8rCL
-    68180892U,	// SHR8ri
-    2148569766U,	// SHRD16mrCL
-    2148569766U,	// SHRD16mri8
-    2215662246U,	// SHRD16rrCL
-    2215662246U,	// SHRD16rri8
-    2148602534U,	// SHRD32mrCL
-    2148602534U,	// SHRD32mri8
-    2215662246U,	// SHRD32rrCL
-    2215662246U,	// SHRD32rri8
-    2148618918U,	// SHRD64mrCL
-    2148618918U,	// SHRD64mri8
-    2215662246U,	// SHRD64rrCL
-    2215662246U,	// SHRD64rri8
-    2249221271U,	// SHRX32rm
-    2282775703U,	// SHRX32rr
-    2316330135U,	// SHRX64rm
-    2282775703U,	// SHRX64rr
-    2349879713U,	// SHUFPDrmi
-    2215661985U,	// SHUFPDrri
-    2349882926U,	// SHUFPSrmi
-    2215665198U,	// SHUFPSrri
-    286976U,	// SIDT16m
-    286976U,	// SIDT32m
-    286976U,	// SIDT64m
-    12224U,	// SIN_F
+    101805U,	// SETBm
+    19885U,	// SETBr
+    103927U,	// SETEm
+    22007U,	// SETEr
+    103813U,	// SETGEm
+    21893U,	// SETGEr
+    104013U,	// SETGm
+    22093U,	// SETGr
+    103837U,	// SETLEm
+    21917U,	// SETLEr
+    104362U,	// SETLm
+    22442U,	// SETLr
+    103865U,	// SETNEm
+    21945U,	// SETNEr
+    104485U,	// SETNOm
+    22565U,	// SETNOr
+    104597U,	// SETNPm
+    22677U,	// SETNPr
+    105531U,	// SETNSm
+    23611U,	// SETNSr
+    104500U,	// SETOm
+    22580U,	// SETOr
+    104644U,	// SETPm
+    22724U,	// SETPr
+    106709U,	// SETSm
+    24789U,	// SETSr
+    11955U,	// SFENCE
+    286956U,	// SGDT16m
+    286956U,	// SGDT32m
+    286956U,	// SGDT64m
+    303057653U,	// SHA1MSG1rm
+    68176629U,	// SHA1MSG1rr
+    303057725U,	// SHA1MSG2rm
+    68176701U,	// SHA1MSG2rr
+    303060486U,	// SHA1NEXTErm
+    68179462U,	// SHA1NEXTErr
+    2450541474U,	// SHA1RNDS4rmi
+    2215660450U,	// SHA1RNDS4rri
+    303057663U,	// SHA256MSG1rm
+    68176639U,	// SHA256MSG1rr
+    303057735U,	// SHA256MSG2rm
+    68176711U,	// SHA256MSG2rr
+    303057747U,	// SHA256RNDS2rm
+    68176723U,	// SHA256RNDS2rr
+    18913121U,	// SHL16m1
+    17864545U,	// SHL16mCL
+    1087329U,	// SHL16mi
+    18896737U,	// SHL16r1
+    17848161U,	// SHL16rCL
+    68179809U,	// SHL16ri
+    18945889U,	// SHL32m1
+    17897313U,	// SHL32mCL
+    1120097U,	// SHL32mi
+    18896737U,	// SHL32r1
+    17848161U,	// SHL32rCL
+    68179809U,	// SHL32ri
+    18962273U,	// SHL64m1
+    17913697U,	// SHL64mCL
+    1136481U,	// SHL64mi
+    18896737U,	// SHL64r1
+    17848161U,	// SHL64rCL
+    68179809U,	// SHL64ri
+    18978657U,	// SHL8m1
+    17930081U,	// SHL8mCL
+    1152865U,	// SHL8mi
+    18896737U,	// SHL8r1
+    17848161U,	// SHL8rCL
+    68179809U,	// SHL8ri
+    2148568931U,	// SHLD16mrCL
+    2148568931U,	// SHLD16mri8
+    2215661411U,	// SHLD16rrCL
+    2215661411U,	// SHLD16rri8
+    2148601699U,	// SHLD32mrCL
+    2148601699U,	// SHLD32mri8
+    2215661411U,	// SHLD32rrCL
+    2215661411U,	// SHLD32rri8
+    2148618083U,	// SHLD64mrCL
+    2148618083U,	// SHLD64mri8
+    2215661411U,	// SHLD64rrCL
+    2215661411U,	// SHLD64rri8
+    2249221214U,	// SHLX32rm
+    2282775646U,	// SHLX32rr
+    2316330078U,	// SHLX64rm
+    2282775646U,	// SHLX64rr
+    18914196U,	// SHR16m1
+    17865620U,	// SHR16mCL
+    1088404U,	// SHR16mi
+    18897812U,	// SHR16r1
+    17849236U,	// SHR16rCL
+    68180884U,	// SHR16ri
+    18946964U,	// SHR32m1
+    17898388U,	// SHR32mCL
+    1121172U,	// SHR32mi
+    18897812U,	// SHR32r1
+    17849236U,	// SHR32rCL
+    68180884U,	// SHR32ri
+    18963348U,	// SHR64m1
+    17914772U,	// SHR64mCL
+    1137556U,	// SHR64mi
+    18897812U,	// SHR64r1
+    17849236U,	// SHR64rCL
+    68180884U,	// SHR64ri
+    18979732U,	// SHR8m1
+    17931156U,	// SHR8mCL
+    1153940U,	// SHR8mi
+    18897812U,	// SHR8r1
+    17849236U,	// SHR8rCL
+    68180884U,	// SHR8ri
+    2148569758U,	// SHRD16mrCL
+    2148569758U,	// SHRD16mri8
+    2215662238U,	// SHRD16rrCL
+    2215662238U,	// SHRD16rri8
+    2148602526U,	// SHRD32mrCL
+    2148602526U,	// SHRD32mri8
+    2215662238U,	// SHRD32rrCL
+    2215662238U,	// SHRD32rri8
+    2148618910U,	// SHRD64mrCL
+    2148618910U,	// SHRD64mri8
+    2215662238U,	// SHRD64rrCL
+    2215662238U,	// SHRD64rri8
+    2249221263U,	// SHRX32rm
+    2282775695U,	// SHRX32rr
+    2316330127U,	// SHRX64rm
+    2282775695U,	// SHRX64rr
+    2349879705U,	// SHUFPDrmi
+    2215661977U,	// SHUFPDrri
+    2349882918U,	// SHUFPSrmi
+    2215665190U,	// SHUFPSrri
+    286968U,	// SIDT16m
+    286968U,	// SIDT32m
+    286968U,	// SIDT64m
+    12216U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    12786U,	// SKINIT
-    41228U,	// SLDT16m
-    24844U,	// SLDT16r
-    24844U,	// SLDT32r
-    41228U,	// SLDT64m
-    24844U,	// SLDT64r
-    41886U,	// SMSW16m
-    25502U,	// SMSW16r
-    25502U,	// SMSW32r
-    25502U,	// SMSW64r
-    537940550U,	// SQRTPDm
-    135287366U,	// SQRTPDr
-    537943807U,	// SQRTPSm
-    135290623U,	// SQRTPSr
-    571495443U,	// SQRTSDm
-    571495443U,	// SQRTSDm_Int
-    135287827U,	// SQRTSDr
-    135287827U,	// SQRTSDr_Int
-    605053080U,	// SQRTSSm
-    605053080U,	// SQRTSSm_Int
-    135291032U,	// SQRTSSr
-    135291032U,	// SQRTSSr_Int
-    12659U,	// SQRT_F
+    12778U,	// SKINIT
+    41220U,	// SLDT16m
+    24836U,	// SLDT16r
+    24836U,	// SLDT32r
+    41220U,	// SLDT64m
+    24836U,	// SLDT64r
+    41878U,	// SMSW16m
+    25494U,	// SMSW16r
+    25494U,	// SMSW32r
+    25494U,	// SMSW64r
+    537940542U,	// SQRTPDm
+    135287358U,	// SQRTPDr
+    537943799U,	// SQRTPSm
+    135290615U,	// SQRTPSr
+    571495435U,	// SQRTSDm
+    571495435U,	// SQRTSDm_Int
+    135287819U,	// SQRTSDr
+    135287819U,	// SQRTSDr_Int
+    605053072U,	// SQRTSSm
+    605053072U,	// SQRTSSm_Int
+    135291024U,	// SQRTSSr
+    135291024U,	// SQRTSSr_Int
+    12651U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    12584U,	// SS_PREFIX
-    11815U,	// STAC
-    11869U,	// STC
-    11927U,	// STD
-    12086U,	// STGI
-    12101U,	// STI
-    72676U,	// STMXCSR
-    17108346U,	// STOSB
-    14996474U,	// STOSL
-    16341701U,	// STOSQ
-    13935533U,	// STOSW
-    23549U,	// STR16r
-    23549U,	// STR32r
-    23549U,	// STR64r
-    39933U,	// STRm
-    123269U,	// ST_F32m
-    139653U,	// ST_F64m
-    121049U,	// ST_FP32m
-    137433U,	// ST_FP64m
-    383193U,	// ST_FP80m
-    22745U,	// ST_FPrr
+    12576U,	// SS_PREFIX
+    11807U,	// STAC
+    11861U,	// STC
+    11919U,	// STD
+    12078U,	// STGI
+    12093U,	// STI
+    72668U,	// STMXCSR
+    17108338U,	// STOSB
+    14996466U,	// STOSL
+    16341693U,	// STOSQ
+    13935525U,	// STOSW
+    23541U,	// STR16r
+    23541U,	// STR32r
+    23541U,	// STR64r
+    39925U,	// STRm
+    123261U,	// ST_F32m
+    139645U,	// ST_F64m
+    121041U,	// ST_FP32m
+    137425U,	// ST_FP64m
+    383185U,	// ST_FP80m
+    22737U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2720,51 +2723,51 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    24965U,	// ST_Frr
-    26068U,	// SUB16i16
-    1084911U,	// SUB16mi
-    1084911U,	// SUB16mi8
-    1084911U,	// SUB16mr
-    35704303U,	// SUB16ri
-    35704303U,	// SUB16ri8
-    3198447U,	// SUB16rm
-    35704303U,	// SUB16rr
-    68177391U,	// SUB16rr_REV
-    26203U,	// SUB32i32
-    1117679U,	// SUB32mi
-    1117679U,	// SUB32mi8
-    1117679U,	// SUB32mr
-    35704303U,	// SUB32ri
-    35704303U,	// SUB32ri8
-    4247023U,	// SUB32rm
-    35704303U,	// SUB32rr
-    68177391U,	// SUB32rr_REV
-    26351U,	// SUB64i32
-    1134063U,	// SUB64mi32
-    1134063U,	// SUB64mi8
-    1134063U,	// SUB64mr
-    35704303U,	// SUB64ri32
-    35704303U,	// SUB64ri8
-    5295599U,	// SUB64rm
-    35704303U,	// SUB64rr
-    68177391U,	// SUB64rr_REV
-    25966U,	// SUB8i8
-    1150447U,	// SUB8mi
-    1150447U,	// SUB8mr
-    35704303U,	// SUB8ri
-    6344175U,	// SUB8rm
-    35704303U,	// SUB8rr
-    68177391U,	// SUB8rr_REV
-    202395917U,	// SUBPDrm
-    68178189U,	// SUBPDrr
-    202399130U,	// SUBPSrm
-    68181402U,	// SUBPSrr
-    121729U,	// SUBR_F32m
-    138113U,	// SUBR_F64m
-    39816U,	// SUBR_FI16m
-    72584U,	// SUBR_FI32m
-    22716U,	// SUBR_FPrST0
-    23425U,	// SUBR_FST0r
+    24957U,	// ST_Frr
+    26060U,	// SUB16i16
+    1084903U,	// SUB16mi
+    1084903U,	// SUB16mi8
+    1084903U,	// SUB16mr
+    35704295U,	// SUB16ri
+    35704295U,	// SUB16ri8
+    3198439U,	// SUB16rm
+    35704295U,	// SUB16rr
+    68177383U,	// SUB16rr_REV
+    26195U,	// SUB32i32
+    1117671U,	// SUB32mi
+    1117671U,	// SUB32mi8
+    1117671U,	// SUB32mr
+    35704295U,	// SUB32ri
+    35704295U,	// SUB32ri8
+    4247015U,	// SUB32rm
+    35704295U,	// SUB32rr
+    68177383U,	// SUB32rr_REV
+    26343U,	// SUB64i32
+    1134055U,	// SUB64mi32
+    1134055U,	// SUB64mi8
+    1134055U,	// SUB64mr
+    35704295U,	// SUB64ri32
+    35704295U,	// SUB64ri8
+    5295591U,	// SUB64rm
+    35704295U,	// SUB64rr
+    68177383U,	// SUB64rr_REV
+    25958U,	// SUB8i8
+    1150439U,	// SUB8mi
+    1150439U,	// SUB8mr
+    35704295U,	// SUB8ri
+    6344167U,	// SUB8rm
+    35704295U,	// SUB8rr
+    68177383U,	// SUB8rr_REV
+    202395909U,	// SUBPDrm
+    68178181U,	// SUBPDrr
+    202399122U,	// SUBPSrm
+    68181394U,	// SUBPSrr
+    121721U,	// SUBR_F32m
+    138105U,	// SUBR_F64m
+    39808U,	// SUBR_FI16m
+    72576U,	// SUBR_FI32m
+    22708U,	// SUBR_FPrST0
+    23417U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2776,21 +2779,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    7363457U,	// SUBR_FrST0
-    235950989U,	// SUBSDrm
-    235950989U,	// SUBSDrm_Int
-    68178829U,	// SUBSDrr
-    68178829U,	// SUBSDrr_Int
-    269508633U,	// SUBSSrm
-    269508633U,	// SUBSSrm_Int
-    68182041U,	// SUBSSrr
-    68182041U,	// SUBSSrr_Int
-    118254U,	// SUB_F32m
-    134638U,	// SUB_F64m
-    36340U,	// SUB_FI16m
-    69108U,	// SUB_FI32m
-    22608U,	// SUB_FPrST0
-    19950U,	// SUB_FST0r
+    7363449U,	// SUBR_FrST0
+    235950981U,	// SUBSDrm
+    235950981U,	// SUBSDrm_Int
+    68178821U,	// SUBSDrr
+    68178821U,	// SUBSDrr_Int
+    269508625U,	// SUBSSrm
+    269508625U,	// SUBSSrm_Int
+    68182033U,	// SUBSSrr
+    68182033U,	// SUBSSrr_Int
+    118246U,	// SUB_F32m
+    134630U,	// SUB_F64m
+    36332U,	// SUB_FI16m
+    69100U,	// SUB_FI32m
+    22600U,	// SUB_FPrST0
+    19942U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2805,388 +2808,388 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    7359982U,	// SUB_FrST0
-    12503U,	// SWAPGS
-    12164U,	// SYSCALL
-    12383U,	// SYSENTER
-    12639U,	// SYSEXIT
-    12639U,	// SYSEXIT64
-    12619U,	// SYSRET
-    12619U,	// SYSRET64
-    101731936U,	// T1MSKC32rm
-    135286368U,	// T1MSKC32rr
-    168840800U,	// T1MSKC64rm
-    135286368U,	// T1MSKC64rr
-    20076668U,	// TAILJMPd
-    20076668U,	// TAILJMPd64
-    19994748U,	// TAILJMPm
-    20011132U,	// TAILJMPm64
+    7359974U,	// SUB_FrST0
+    12495U,	// SWAPGS
+    12156U,	// SYSCALL
+    12375U,	// SYSENTER
+    12631U,	// SYSEXIT
+    12631U,	// SYSEXIT64
+    12611U,	// SYSRET
+    12611U,	// SYSRET64
+    101731928U,	// T1MSKC32rm
+    135286360U,	// T1MSKC32rr
+    168840792U,	// T1MSKC64rm
+    135286360U,	// T1MSKC64rr
+    20076660U,	// TAILJMPd
+    20076660U,	// TAILJMPd64
+    19994740U,	// TAILJMPm
+    20011124U,	// TAILJMPm64
     0U,	// TAILJMPr
-    19945596U,	// TAILJMPr64
+    19945588U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    26152U,	// TEST16i16
-    1089919U,	// TEST16mi
-    135291263U,	// TEST16ri
-    370172287U,	// TEST16rm
-    135291263U,	// TEST16rr
-    26320U,	// TEST32i32
-    1122687U,	// TEST32mi
-    135291263U,	// TEST32ri
-    101736831U,	// TEST32rm
-    135291263U,	// TEST32rr
-    26459U,	// TEST64i32
-    1139071U,	// TEST64mi32
-    135291263U,	// TEST64ri32
-    168845695U,	// TEST64rm
-    135291263U,	// TEST64rr
-    26040U,	// TEST8i8
-    1155455U,	// TEST8mi
-    135291263U,	// TEST8ri
+    26144U,	// TEST16i16
+    1089911U,	// TEST16mi
+    135291255U,	// TEST16ri
+    370172279U,	// TEST16rm
+    135291255U,	// TEST16rr
+    26312U,	// TEST32i32
+    1122679U,	// TEST32mi
+    135291255U,	// TEST32ri
+    101736823U,	// TEST32rm
+    135291255U,	// TEST32rr
+    26451U,	// TEST64i32
+    1139063U,	// TEST64mi32
+    135291255U,	// TEST64ri32
+    168845687U,	// TEST64rm
+    135291255U,	// TEST64rr
+    26032U,	// TEST8i8
+    1155447U,	// TEST8mi
+    135291255U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    504390015U,	// TEST8rm
-    135291263U,	// TEST8rr
-    11472U,	// TLSCall_32
-    11576U,	// TLSCall_64
-    11485U,	// TLS_addr32
-    11589U,	// TLS_addr64
-    11498U,	// TLS_base_addr32
-    11602U,	// TLS_base_addr64
-    11516U,	// TRAP
-    12671U,	// TST_F
+    504390007U,	// TEST8rm
+    135291255U,	// TEST8rr
+    11464U,	// TLSCall_32
+    11568U,	// TLSCall_64
+    11477U,	// TLS_addr32
+    11581U,	// TLS_addr64
+    11490U,	// TLS_base_addr32
+    11594U,	// TLS_base_addr64
+    11508U,	// TRAP
+    12663U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    370172207U,	// TZCNT16rm
-    135291183U,	// TZCNT16rr
-    101736751U,	// TZCNT32rm
-    135291183U,	// TZCNT32rr
-    168845615U,	// TZCNT64rm
-    135291183U,	// TZCNT64rr
-    101734237U,	// TZMSK32rm
-    135288669U,	// TZMSK32rr
-    168843101U,	// TZMSK64rm
-    135288669U,	// TZMSK64rr
-    571495375U,	// UCOMISDrm
-    135287759U,	// UCOMISDrr
-    605053019U,	// UCOMISSrm
-    135290971U,	// UCOMISSrr
-    22256U,	// UCOM_FIPr
-    22198U,	// UCOM_FIr
-    12299U,	// UCOM_FPPr
-    22672U,	// UCOM_FPr
+    370172199U,	// TZCNT16rm
+    135291175U,	// TZCNT16rr
+    101736743U,	// TZCNT32rm
+    135291175U,	// TZCNT32rr
+    168845607U,	// TZCNT64rm
+    135291175U,	// TZCNT64rr
+    101734229U,	// TZMSK32rm
+    135288661U,	// TZMSK32rr
+    168843093U,	// TZMSK64rm
+    135288661U,	// TZMSK64rr
+    571495367U,	// UCOMISDrm
+    135287751U,	// UCOMISDrr
+    605053011U,	// UCOMISSrm
+    135290963U,	// UCOMISSrr
+    22248U,	// UCOM_FIPr
+    22190U,	// UCOM_FIr
+    12291U,	// UCOM_FPPr
+    22664U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    22495U,	// UCOM_Fr
-    11749U,	// UD2B
-    202396074U,	// UNPCKHPDrm
-    68178346U,	// UNPCKHPDrr
-    202399287U,	// UNPCKHPSrm
-    68181559U,	// UNPCKHPSrr
-    202396116U,	// UNPCKLPDrm
-    68178388U,	// UNPCKLPDrr
-    202399349U,	// UNPCKLPSrm
-    68181621U,	// UNPCKLPSrr
-    2651875207U,	// VAARG_64
-    2282770800U,	// VADDPDYrm
-    2282770800U,	// VADDPDYrr
+    22487U,	// UCOM_Fr
+    11741U,	// UD2B
+    202396066U,	// UNPCKHPDrm
+    68178338U,	// UNPCKHPDrr
+    202399279U,	// UNPCKHPSrm
+    68181551U,	// UNPCKHPSrr
+    202396108U,	// UNPCKLPDrm
+    68178380U,	// UNPCKLPDrr
+    202399341U,	// UNPCKLPSrm
+    68181613U,	// UNPCKLPSrr
+    2651875199U,	// VAARG_64
+    2282770792U,	// VADDPDYrm
+    2282770792U,	// VADDPDYrr
     2282766968U,	// VADDPDZrm
     2282766968U,	// VADDPDZrmb
     2282766968U,	// VADDPDZrr
-    2282770800U,	// VADDPDrm
-    2282770800U,	// VADDPDrr
-    2282774013U,	// VADDPSYrm
-    2282774013U,	// VADDPSYrr
+    2282770792U,	// VADDPDrm
+    2282770792U,	// VADDPDrr
+    2282774005U,	// VADDPSYrm
+    2282774005U,	// VADDPSYrr
     2282768617U,	// VADDPSZrm
     2282768617U,	// VADDPSZrmb
     2282768617U,	// VADDPSZrr
-    2282774013U,	// VADDPSrm
-    2282774013U,	// VADDPSrr
-    2282771388U,	// VADDSDZrm
-    2282771388U,	// VADDSDZrr
-    2282771388U,	// VADDSDrm
-    2282771388U,	// VADDSDrm_Int
-    2282771388U,	// VADDSDrr
-    2282771388U,	// VADDSDrr_Int
-    2282774600U,	// VADDSSZrm
-    2282774600U,	// VADDSSZrr
-    2282774600U,	// VADDSSrm
-    2282774600U,	// VADDSSrm_Int
-    2282774600U,	// VADDSSrr
-    2282774600U,	// VADDSSrr_Int
-    2282770708U,	// VADDSUBPDYrm
-    2282770708U,	// VADDSUBPDYrr
-    2282770708U,	// VADDSUBPDrm
-    2282770708U,	// VADDSUBPDrr
-    2282773921U,	// VADDSUBPSYrm
-    2282773921U,	// VADDSUBPSYrr
-    2282773921U,	// VADDSUBPSrm
-    2282773921U,	// VADDSUBPSrr
-    2282774883U,	// VAESDECLASTrm
-    2282774883U,	// VAESDECLASTrr
-    2282769993U,	// VAESDECrm
-    2282769993U,	// VAESDECrr
-    2282774896U,	// VAESENCLASTrm
-    2282774896U,	// VAESENCLASTrr
-    2282770033U,	// VAESENCrm
-    2282770033U,	// VAESENCrr
-    336612968U,	// VAESIMCrm
-    135286376U,	// VAESIMCrr
-    2484101520U,	// VAESKEYGENASSIST128rm
-    2282774928U,	// VAESKEYGENASSIST128rr
+    2282774005U,	// VADDPSrm
+    2282774005U,	// VADDPSrr
+    2282771380U,	// VADDSDZrm
+    2282771380U,	// VADDSDZrr
+    2282771380U,	// VADDSDrm
+    2282771380U,	// VADDSDrm_Int
+    2282771380U,	// VADDSDrr
+    2282771380U,	// VADDSDrr_Int
+    2282774592U,	// VADDSSZrm
+    2282774592U,	// VADDSSZrr
+    2282774592U,	// VADDSSrm
+    2282774592U,	// VADDSSrm_Int
+    2282774592U,	// VADDSSrr
+    2282774592U,	// VADDSSrr_Int
+    2282770700U,	// VADDSUBPDYrm
+    2282770700U,	// VADDSUBPDYrr
+    2282770700U,	// VADDSUBPDrm
+    2282770700U,	// VADDSUBPDrr
+    2282773913U,	// VADDSUBPSYrm
+    2282773913U,	// VADDSUBPSYrr
+    2282773913U,	// VADDSUBPSrm
+    2282773913U,	// VADDSUBPSrr
+    2282774875U,	// VAESDECLASTrm
+    2282774875U,	// VAESDECLASTrr
+    2282769985U,	// VAESDECrm
+    2282769985U,	// VAESDECrr
+    2282774888U,	// VAESENCLASTrm
+    2282774888U,	// VAESENCLASTrr
+    2282770025U,	// VAESENCrm
+    2282770025U,	// VAESENCrr
+    336612960U,	// VAESIMCrm
+    135286368U,	// VAESIMCrr
+    2484101512U,	// VAESKEYGENASSIST128rm
+    2282774920U,	// VAESKEYGENASSIST128rr
     2282766646U,	// VALIGNDrmi
     2282766646U,	// VALIGNDrri
     2282768097U,	// VALIGNQrmi
     2282768097U,	// VALIGNQrri
-    2282770944U,	// VANDNPDYrm
-    2282770944U,	// VANDNPDYrr
-    2282770944U,	// VANDNPDrm
-    2282770944U,	// VANDNPDrr
-    2282774169U,	// VANDNPSYrm
-    2282774169U,	// VANDNPSYrr
-    2282774169U,	// VANDNPSrm
-    2282774169U,	// VANDNPSrr
-    2282770808U,	// VANDPDYrm
-    2282770808U,	// VANDPDYrr
-    2282770808U,	// VANDPDrm
-    2282770808U,	// VANDPDrr
-    2282774021U,	// VANDPSYrm
-    2282774021U,	// VANDPSYrr
-    2282774021U,	// VANDPSrm
-    2282774021U,	// VANDPSrr
-    2282776489U,	// VASTART_SAVE_XMM_REGS
+    2282770936U,	// VANDNPDYrm
+    2282770936U,	// VANDNPDYrr
+    2282770936U,	// VANDNPDrm
+    2282770936U,	// VANDNPDrr
+    2282774161U,	// VANDNPSYrm
+    2282774161U,	// VANDNPSYrr
+    2282774161U,	// VANDNPSrm
+    2282774161U,	// VANDNPSrr
+    2282770800U,	// VANDPDYrm
+    2282770800U,	// VANDPDYrr
+    2282770800U,	// VANDPDrm
+    2282770800U,	// VANDPDrr
+    2282774013U,	// VANDPSYrm
+    2282774013U,	// VANDPSYrr
+    2282774013U,	// VANDPSrm
+    2282774013U,	// VANDPSrr
+    2282776481U,	// VASTART_SAVE_XMM_REGS
     155206345U,	// VBLENDMPDZrm
     155206345U,	// VBLENDMPDZrr
     155207994U,	// VBLENDMPSZrm
     155207994U,	// VBLENDMPSZrr
-    2282770816U,	// VBLENDPDYrmi
-    2282770816U,	// VBLENDPDYrri
-    2282770816U,	// VBLENDPDrmi
-    2282770816U,	// VBLENDPDrri
-    2282774029U,	// VBLENDPSYrmi
-    2282774029U,	// VBLENDPSYrri
-    2282774029U,	// VBLENDPSrmi
-    2282774029U,	// VBLENDPSrri
-    2282771040U,	// VBLENDVPDYrm
-    2282771040U,	// VBLENDVPDYrr
-    2282771040U,	// VBLENDVPDrm
-    2282771040U,	// VBLENDVPDrr
-    2282774306U,	// VBLENDVPSYrm
-    2282774306U,	// VBLENDVPSYrr
-    2282774306U,	// VBLENDVPSrm
-    2282774306U,	// VBLENDVPSrr
-    537939024U,	// VBROADCASTF128
-    336612487U,	// VBROADCASTI128
-    571495451U,	// VBROADCASTSDYrm
-    135287835U,	// VBROADCASTSDYrr
+    2282770808U,	// VBLENDPDYrmi
+    2282770808U,	// VBLENDPDYrri
+    2282770808U,	// VBLENDPDrmi
+    2282770808U,	// VBLENDPDrri
+    2282774021U,	// VBLENDPSYrmi
+    2282774021U,	// VBLENDPSYrri
+    2282774021U,	// VBLENDPSrmi
+    2282774021U,	// VBLENDPSrri
+    2282771032U,	// VBLENDVPDYrm
+    2282771032U,	// VBLENDVPDYrr
+    2282771032U,	// VBLENDVPDrm
+    2282771032U,	// VBLENDVPDrr
+    2282774298U,	// VBLENDVPSYrm
+    2282774298U,	// VBLENDVPSYrr
+    2282774298U,	// VBLENDVPSrm
+    2282774298U,	// VBLENDVPSrr
+    537939016U,	// VBROADCASTF128
+    336612479U,	// VBROADCASTI128
+    571495443U,	// VBROADCASTSDYrm
+    135287827U,	// VBROADCASTSDYrr
     571491364U,	// VBROADCASTSDZrm
     135283748U,	// VBROADCASTSDZrr
-    605053097U,	// VBROADCASTSSYrm
-    135291049U,	// VBROADCASTSSYrr
+    605053089U,	// VBROADCASTSSYrm
+    135291041U,	// VBROADCASTSSYrr
     605047338U,	// VBROADCASTSSZrm
     135285290U,	// VBROADCASTSSZrr
-    605053097U,	// VBROADCASTSSrm
-    135291049U,	// VBROADCASTSSrr
-    2290446330U,	// VCMPPDYrmi
-    2282770968U,	// VCMPPDYrmi_alt
-    2290462714U,	// VCMPPDYrri
-    2282770968U,	// VCMPPDYrri_alt
-    894791674U,	// VCMPPDZrmi
+    605053089U,	// VBROADCASTSSrm
+    135291041U,	// VBROADCASTSSrr
+    2290446322U,	// VCMPPDYrmi
+    2282770960U,	// VCMPPDYrmi_alt
+    2290462706U,	// VCMPPDYrri
+    2282770960U,	// VCMPPDYrri_alt
+    894791666U,	// VCMPPDZrmi
     2282767080U,	// VCMPPDZrmi_alt
-    89501690U,	// VCMPPDZrri
+    89501682U,	// VCMPPDZrri
     2282767080U,	// VCMPPDZrri_alt
-    2236985338U,	// VCMPPDZrrib
-    2290446330U,	// VCMPPDrmi
-    2282770968U,	// VCMPPDrmi_alt
-    2290462714U,	// VCMPPDrri
-    2282770968U,	// VCMPPDrri_alt
-    2291494906U,	// VCMPPSYrmi
-    2282774201U,	// VCMPPSYrmi_alt
-    2291511290U,	// VCMPPSYrri
-    2282774201U,	// VCMPPSYrri_alt
-    895840250U,	// VCMPPSZrmi
+    2236985330U,	// VCMPPDZrrib
+    2290446322U,	// VCMPPDrmi
+    2282770960U,	// VCMPPDrmi_alt
+    2290462706U,	// VCMPPDrri
+    2282770960U,	// VCMPPDrri_alt
+    2291494898U,	// VCMPPSYrmi
+    2282774193U,	// VCMPPSYrmi_alt
+    2291511282U,	// VCMPPSYrri
+    2282774193U,	// VCMPPSYrri_alt
+    895840242U,	// VCMPPSZrmi
     2282768729U,	// VCMPPSZrmi_alt
-    90550266U,	// VCMPPSZrri
+    90550258U,	// VCMPPSZrri
     2282768729U,	// VCMPPSZrri_alt
-    2238033914U,	// VCMPPSZrrib
-    2291494906U,	// VCMPPSrmi
-    2282774201U,	// VCMPPSrmi_alt
-    2291511290U,	// VCMPPSrri
-    2282774201U,	// VCMPPSrri_alt
-    2292543482U,	// VCMPSDZrm
-    2282771457U,	// VCMPSDZrmi_alt
-    2292559866U,	// VCMPSDZrr
-    2282771457U,	// VCMPSDZrri_alt
-    2292543482U,	// VCMPSDrm
-    2282771457U,	// VCMPSDrm_alt
-    2292559866U,	// VCMPSDrr
-    2282771457U,	// VCMPSDrr_alt
-    2293592058U,	// VCMPSSZrm
-    2282774661U,	// VCMPSSZrmi_alt
-    2293608442U,	// VCMPSSZrr
-    2282774661U,	// VCMPSSZrri_alt
-    2293592058U,	// VCMPSSrm
-    2282774661U,	// VCMPSSrm_alt
-    2293608442U,	// VCMPSSrr
-    2282774661U,	// VCMPSSrr_alt
-    537940952U,	// VCOMISDZrm
-    135287768U,	// VCOMISDZrr
-    537940952U,	// VCOMISDrm
-    135287768U,	// VCOMISDrr
-    537944164U,	// VCOMISSZrm
-    135290980U,	// VCOMISSZrr
-    537944164U,	// VCOMISSrm
-    135290980U,	// VCOMISSrr
-    336613514U,	// VCVTDQ2PDYrm
-    135286922U,	// VCVTDQ2PDYrr
+    2238033906U,	// VCMPPSZrrib
+    2291494898U,	// VCMPPSrmi
+    2282774193U,	// VCMPPSrmi_alt
+    2291511282U,	// VCMPPSrri
+    2282774193U,	// VCMPPSrri_alt
+    2292543474U,	// VCMPSDZrm
+    2282771449U,	// VCMPSDZrmi_alt
+    2292559858U,	// VCMPSDZrr
+    2282771449U,	// VCMPSDZrri_alt
+    2292543474U,	// VCMPSDrm
+    2282771449U,	// VCMPSDrm_alt
+    2292559858U,	// VCMPSDrr
+    2282771449U,	// VCMPSDrr_alt
+    2293592050U,	// VCMPSSZrm
+    2282774653U,	// VCMPSSZrmi_alt
+    2293608434U,	// VCMPSSZrr
+    2282774653U,	// VCMPSSZrri_alt
+    2293592050U,	// VCMPSSrm
+    2282774653U,	// VCMPSSrm_alt
+    2293608434U,	// VCMPSSrr
+    2282774653U,	// VCMPSSrr_alt
+    537940944U,	// VCOMISDZrm
+    135287760U,	// VCOMISDZrr
+    537940944U,	// VCOMISDrm
+    135287760U,	// VCOMISDrr
+    537944156U,	// VCOMISSZrm
+    135290972U,	// VCOMISSZrr
+    537944156U,	// VCOMISSrm
+    135290972U,	// VCOMISSrr
+    336613506U,	// VCVTDQ2PDYrm
+    135286914U,	// VCVTDQ2PDYrr
     907035048U,	// VCVTDQ2PDZrm
     135283112U,	// VCVTDQ2PDZrr
-    168841354U,	// VCVTDQ2PDrm
-    135286922U,	// VCVTDQ2PDrr
-    907042090U,	// VCVTDQ2PSYrm
-    135290154U,	// VCVTDQ2PSYrr
+    168841346U,	// VCVTDQ2PDrm
+    135286914U,	// VCVTDQ2PDrr
+    907042082U,	// VCVTDQ2PSYrm
+    135290146U,	// VCVTDQ2PSYrr
     940591141U,	// VCVTDQ2PSZrm
     135284773U,	// VCVTDQ2PSZrr
     2282768421U,	// VCVTDQ2PSZrrb
-    336616746U,	// VCVTDQ2PSrm
-    135290154U,	// VCVTDQ2PSrr
-    537945221U,	// VCVTPD2DQXrm
-    974150012U,	// VCVTPD2DQYrm
-    135289212U,	// VCVTPD2DQYrr
+    336616738U,	// VCVTDQ2PSrm
+    135290146U,	// VCVTDQ2PSrr
+    537945213U,	// VCVTPD2DQXrm
+    974150004U,	// VCVTPD2DQYrm
+    135289204U,	// VCVTPD2DQYrr
     1007699374U,	// VCVTPD2DQZrm
     135284142U,	// VCVTPD2DQZrr
     2282767790U,	// VCVTPD2DQZrrb
-    135289212U,	// VCVTPD2DQrr
-    537945251U,	// VCVTPD2PSXrm
-    974150910U,	// VCVTPD2PSYrm
-    135290110U,	// VCVTPD2PSYrr
+    135289204U,	// VCVTPD2DQrr
+    537945243U,	// VCVTPD2PSXrm
+    974150902U,	// VCVTPD2PSYrm
+    135290102U,	// VCVTPD2PSYrr
     1007699981U,	// VCVTPD2PSZrm
     135284749U,	// VCVTPD2PSZrr
     2282768397U,	// VCVTPD2PSZrrb
-    135290110U,	// VCVTPD2PSrr
+    135290102U,	// VCVTPD2PSrr
     1007699534U,	// VCVTPD2UDQZrm
     135284302U,	// VCVTPD2UDQZrr
     2282767950U,	// VCVTPD2UDQZrrb
-    537943305U,	// VCVTPH2PSYrm
-    135290121U,	// VCVTPH2PSYrr
-    974150921U,	// VCVTPH2PSZrm
-    135290121U,	// VCVTPH2PSZrr
-    571497737U,	// VCVTPH2PSrm
-    135290121U,	// VCVTPH2PSrr
-    974150044U,	// VCVTPS2DQYrm
-    135289244U,	// VCVTPS2DQYrr
+    537943297U,	// VCVTPH2PSYrm
+    135290113U,	// VCVTPH2PSYrr
+    974150913U,	// VCVTPH2PSZrm
+    135290113U,	// VCVTPH2PSZrr
+    571497729U,	// VCVTPH2PSrm
+    135290113U,	// VCVTPH2PSrr
+    974150036U,	// VCVTPS2DQYrm
+    135289236U,	// VCVTPS2DQYrr
     1007699399U,	// VCVTPS2DQZrm
     135284167U,	// VCVTPS2DQZrr
     2282767815U,	// VCVTPS2DQZrrb
-    537942428U,	// VCVTPS2DQrm
-    135289244U,	// VCVTPS2DQrr
-    537940117U,	// VCVTPS2PDYrm
-    135286933U,	// VCVTPS2PDYrr
+    537942420U,	// VCVTPS2DQrm
+    135289236U,	// VCVTPS2DQrr
+    537940109U,	// VCVTPS2PDYrm
+    135286925U,	// VCVTPS2PDYrr
     974143937U,	// VCVTPS2PDZrm
     135283137U,	// VCVTPS2PDZrr
-    571494549U,	// VCVTPS2PDrm
-    135286933U,	// VCVTPS2PDrr
-    1041831538U,	// VCVTPS2PHYmr
-    2282772082U,	// VCVTPS2PHYrr
+    571494541U,	// VCVTPS2PDrm
+    135286925U,	// VCVTPS2PDrr
+    1041831530U,	// VCVTPS2PHYmr
+    2282772074U,	// VCVTPS2PHYrr
     1041859768U,	// VCVTPS2PHZmr
     2282767544U,	// VCVTPS2PHZrr
-    2148669042U,	// VCVTPS2PHmr
-    2282772082U,	// VCVTPS2PHrr
+    2148669034U,	// VCVTPS2PHmr
+    2282772074U,	// VCVTPS2PHrr
     1007699561U,	// VCVTPS2UDQZrm
     135284329U,	// VCVTPS2UDQZrr
     2282767977U,	// VCVTPS2UDQZrrb
     571491537U,	// VCVTSD2SI64Zrm
     135283921U,	// VCVTSD2SI64Zrr
-    571496221U,	// VCVTSD2SI64rm
-    135288605U,	// VCVTSD2SI64rr
+    571496213U,	// VCVTSD2SI64rm
+    135288597U,	// VCVTSD2SI64rr
     571491537U,	// VCVTSD2SIZrm
     135283921U,	// VCVTSD2SIZrr
-    571496221U,	// VCVTSD2SIrm
-    135288605U,	// VCVTSD2SIrr
-    2282774462U,	// VCVTSD2SSZrm
-    2282774462U,	// VCVTSD2SSZrr
-    2282774462U,	// VCVTSD2SSrm
-    2282774462U,	// VCVTSD2SSrr
+    571496213U,	// VCVTSD2SIrm
+    135288597U,	// VCVTSD2SIrr
+    2282774454U,	// VCVTSD2SSZrm
+    2282774454U,	// VCVTSD2SSZrr
+    2282774454U,	// VCVTSD2SSrm
+    2282774454U,	// VCVTSD2SSrr
     571491588U,	// VCVTSD2USI64Zrm
     135283972U,	// VCVTSD2USI64Zrr
     571491588U,	// VCVTSD2USIZrm
     135283972U,	// VCVTSD2USIZrr
-    2282771242U,	// VCVTSI2SD64rm
-    2282771242U,	// VCVTSI2SD64rr
+    2282771234U,	// VCVTSI2SD64rm
+    2282771234U,	// VCVTSI2SD64rr
     2282767232U,	// VCVTSI2SDZrm
     2282767232U,	// VCVTSI2SDZrr
-    2282771242U,	// VCVTSI2SDrm
-    2282771242U,	// VCVTSI2SDrr
-    2282774473U,	// VCVTSI2SS64rm
-    2282774473U,	// VCVTSI2SS64rr
+    2282771234U,	// VCVTSI2SDrm
+    2282771234U,	// VCVTSI2SDrr
+    2282774465U,	// VCVTSI2SS64rm
+    2282774465U,	// VCVTSI2SS64rr
     2282768793U,	// VCVTSI2SSZrm
     2282768793U,	// VCVTSI2SSZrr
-    2282774473U,	// VCVTSI2SSrm
-    2282774473U,	// VCVTSI2SSrr
+    2282774465U,	// VCVTSI2SSrm
+    2282774465U,	// VCVTSI2SSrr
     2282767232U,	// VCVTSI642SDZrm
     2282767232U,	// VCVTSI642SDZrr
     2282768793U,	// VCVTSI642SSZrm
     2282768793U,	// VCVTSI642SSZrr
-    2282771265U,	// VCVTSS2SDZrm
-    2282771265U,	// VCVTSS2SDZrr
-    2282771265U,	// VCVTSS2SDrm
-    2282771265U,	// VCVTSS2SDrr
+    2282771257U,	// VCVTSS2SDZrm
+    2282771257U,	// VCVTSS2SDZrr
+    2282771257U,	// VCVTSS2SDrm
+    2282771257U,	// VCVTSS2SDrr
     605045994U,	// VCVTSS2SI64Zrm
     135283946U,	// VCVTSS2SI64Zrr
-    605050676U,	// VCVTSS2SI64rm
-    135288628U,	// VCVTSS2SI64rr
+    605050668U,	// VCVTSS2SI64rm
+    135288620U,	// VCVTSS2SI64rr
     605045994U,	// VCVTSS2SIZrm
     135283946U,	// VCVTSS2SIZrr
-    605050676U,	// VCVTSS2SIrm
-    135288628U,	// VCVTSS2SIrr
+    605050668U,	// VCVTSS2SIrm
+    135288620U,	// VCVTSS2SIrr
     605046047U,	// VCVTSS2USI64Zrm
     135283999U,	// VCVTSS2USI64Zrr
     605046047U,	// VCVTSS2USIZrm
     135283999U,	// VCVTSS2USIZrr
-    537945208U,	// VCVTTPD2DQXrm
-    974150000U,	// VCVTTPD2DQYrm
-    135289200U,	// VCVTTPD2DQYrr
+    537945200U,	// VCVTTPD2DQXrm
+    974149992U,	// VCVTTPD2DQYrm
+    135289192U,	// VCVTTPD2DQYrr
     1007699361U,	// VCVTTPD2DQZrm
     135284129U,	// VCVTTPD2DQZrr
-    135289200U,	// VCVTTPD2DQrr
+    135289192U,	// VCVTTPD2DQrr
     1007699520U,	// VCVTTPD2UDQZrm
     135284288U,	// VCVTTPD2UDQZrr
-    974150032U,	// VCVTTPS2DQYrm
-    135289232U,	// VCVTTPS2DQYrr
+    974150024U,	// VCVTTPS2DQYrm
+    135289224U,	// VCVTTPS2DQYrr
     1007699386U,	// VCVTTPS2DQZrm
     135284154U,	// VCVTTPS2DQZrr
-    537942416U,	// VCVTTPS2DQrm
-    135289232U,	// VCVTTPS2DQrr
+    537942408U,	// VCVTTPS2DQrm
+    135289224U,	// VCVTTPS2DQrr
     1007699547U,	// VCVTTPS2UDQZrm
     135284315U,	// VCVTTPS2UDQZrr
     571491524U,	// VCVTTSD2SI64Zrm
     135283908U,	// VCVTTSD2SI64Zrr
-    571496209U,	// VCVTTSD2SI64rm
-    135288593U,	// VCVTTSD2SI64rr
+    571496201U,	// VCVTTSD2SI64rm
+    135288585U,	// VCVTTSD2SI64rr
     571491524U,	// VCVTTSD2SIZrm
     135283908U,	// VCVTTSD2SIZrr
-    571496209U,	// VCVTTSD2SIrm
-    135288593U,	// VCVTTSD2SIrr
+    571496201U,	// VCVTTSD2SIrm
+    135288585U,	// VCVTTSD2SIrr
     571491574U,	// VCVTTSD2USI64Zrm
     135283958U,	// VCVTTSD2USI64Zrr
     571491574U,	// VCVTTSD2USIZrm
     135283958U,	// VCVTTSD2USIZrr
     605045981U,	// VCVTTSS2SI64Zrm
     135283933U,	// VCVTTSS2SI64Zrr
-    605050664U,	// VCVTTSS2SI64rm
-    135288616U,	// VCVTTSS2SI64rr
+    605050656U,	// VCVTTSS2SI64rm
+    135288608U,	// VCVTTSS2SI64rr
     605045981U,	// VCVTTSS2SIZrm
     135283933U,	// VCVTTSS2SIZrr
-    605050664U,	// VCVTTSS2SIrm
-    135288616U,	// VCVTTSS2SIrr
+    605050656U,	// VCVTTSS2SIrm
+    135288608U,	// VCVTTSS2SIrr
     605046033U,	// VCVTTSS2USI64Zrm
     135283985U,	// VCVTTSS2USI64Zrr
     605046033U,	// VCVTTSS2USIZrm
@@ -3204,58 +3207,58 @@
     2282767244U,	// VCVTUSI642SDZrr
     2282768805U,	// VCVTUSI642SSZrm
     2282768805U,	// VCVTUSI642SSZrr
-    2282771051U,	// VDIVPDYrm
-    2282771051U,	// VDIVPDYrr
+    2282771043U,	// VDIVPDYrm
+    2282771043U,	// VDIVPDYrr
     2282767126U,	// VDIVPDZrm
     2282767126U,	// VDIVPDZrmb
     2282767126U,	// VDIVPDZrr
-    2282771051U,	// VDIVPDrm
-    2282771051U,	// VDIVPDrr
-    2282774317U,	// VDIVPSYrm
-    2282774317U,	// VDIVPSYrr
+    2282771043U,	// VDIVPDrm
+    2282771043U,	// VDIVPDrr
+    2282774309U,	// VDIVPSYrm
+    2282774309U,	// VDIVPSYrr
     2282768775U,	// VDIVPSZrm
     2282768775U,	// VDIVPSZrmb
     2282768775U,	// VDIVPSZrr
-    2282774317U,	// VDIVPSrm
-    2282774317U,	// VDIVPSrr
-    2282771497U,	// VDIVSDZrm
-    2282771497U,	// VDIVSDZrr
-    2282771497U,	// VDIVSDrm
-    2282771497U,	// VDIVSDrm_Int
-    2282771497U,	// VDIVSDrr
-    2282771497U,	// VDIVSDrr_Int
-    2282774711U,	// VDIVSSZrm
-    2282774711U,	// VDIVSSZrr
-    2282774711U,	// VDIVSSrm
-    2282774711U,	// VDIVSSrm_Int
-    2282774711U,	// VDIVSSrr
-    2282774711U,	// VDIVSSrr_Int
-    2282770961U,	// VDPPDrmi
-    2282770961U,	// VDPPDrri
-    2282774194U,	// VDPPSYrmi
-    2282774194U,	// VDPPSYrri
-    2282774194U,	// VDPPSrmi
-    2282774194U,	// VDPPSrri
-    39886U,	// VERRm
-    23502U,	// VERRr
-    41789U,	// VERWm
-    25405U,	// VERWr
-    1041828917U,	// VEXTRACTF128mr
-    2282769461U,	// VEXTRACTF128rr
-    1041828789U,	// VEXTRACTF32x4mr
-    2282769333U,	// VEXTRACTF32x4rr
-    1041861615U,	// VEXTRACTF64x4mr
-    2282769391U,	// VEXTRACTF64x4rr
-    2148797548U,	// VEXTRACTI128mr
-    2282769516U,	// VEXTRACTI128rr
-    2148797394U,	// VEXTRACTI32x4mr
-    2282769362U,	// VEXTRACTI32x4rr
-    1041878028U,	// VEXTRACTI64x4mr
-    2282769420U,	// VEXTRACTI64x4rr
-    2148654812U,	// VEXTRACTPSmr
-    2282774236U,	// VEXTRACTPSrr
-    2148654812U,	// VEXTRACTPSzmr
-    2282774236U,	// VEXTRACTPSzrr
+    2282774309U,	// VDIVPSrm
+    2282774309U,	// VDIVPSrr
+    2282771489U,	// VDIVSDZrm
+    2282771489U,	// VDIVSDZrr
+    2282771489U,	// VDIVSDrm
+    2282771489U,	// VDIVSDrm_Int
+    2282771489U,	// VDIVSDrr
+    2282771489U,	// VDIVSDrr_Int
+    2282774703U,	// VDIVSSZrm
+    2282774703U,	// VDIVSSZrr
+    2282774703U,	// VDIVSSrm
+    2282774703U,	// VDIVSSrm_Int
+    2282774703U,	// VDIVSSrr
+    2282774703U,	// VDIVSSrr_Int
+    2282770953U,	// VDPPDrmi
+    2282770953U,	// VDPPDrri
+    2282774186U,	// VDPPSYrmi
+    2282774186U,	// VDPPSYrri
+    2282774186U,	// VDPPSrmi
+    2282774186U,	// VDPPSrri
+    39878U,	// VERRm
+    23494U,	// VERRr
+    41781U,	// VERWm
+    25397U,	// VERWr
+    1041828909U,	// VEXTRACTF128mr
+    2282769453U,	// VEXTRACTF128rr
+    1041828781U,	// VEXTRACTF32x4mr
+    2282769325U,	// VEXTRACTF32x4rr
+    1041861607U,	// VEXTRACTF64x4mr
+    2282769383U,	// VEXTRACTF64x4rr
+    2148797540U,	// VEXTRACTI128mr
+    2282769508U,	// VEXTRACTI128rr
+    2148797386U,	// VEXTRACTI32x4mr
+    2282769354U,	// VEXTRACTI32x4rr
+    1041878020U,	// VEXTRACTI64x4mr
+    2282769412U,	// VEXTRACTI64x4rr
+    2148654804U,	// VEXTRACTPSmr
+    2282774228U,	// VEXTRACTPSrr
+    2148654804U,	// VEXTRACTPSzmr
+    2282774228U,	// VEXTRACTPSzrr
     2215657855U,	// VFMADD132PDZm
     2215657855U,	// VFMADD132PDZmb
     2215659504U,	// VFMADD132PSZm
@@ -3266,76 +3269,76 @@
     2215659657U,	// VFMADD213PSZm
     2215659657U,	// VFMADD213PSZmb
     2215659657U,	// VFMADD213PSZr
-    2282770779U,	// VFMADDPD4mr
-    2282770779U,	// VFMADDPD4mrY
-    2282770779U,	// VFMADDPD4rm
-    2282770779U,	// VFMADDPD4rmY
-    2282770779U,	// VFMADDPD4rr
-    2282770779U,	// VFMADDPD4rrY
-    2282770779U,	// VFMADDPD4rrY_REV
-    2282770779U,	// VFMADDPD4rr_REV
-    2215661657U,	// VFMADDPDr132m
-    2215661657U,	// VFMADDPDr132mY
-    2215661657U,	// VFMADDPDr132r
-    2215661657U,	// VFMADDPDr132rY
-    2215661787U,	// VFMADDPDr213m
-    2215661787U,	// VFMADDPDr213mY
-    2215661787U,	// VFMADDPDr213r
-    2215661787U,	// VFMADDPDr213rY
-    2215661571U,	// VFMADDPDr231m
-    2215661571U,	// VFMADDPDr231mY
-    2215661571U,	// VFMADDPDr231r
-    2215661571U,	// VFMADDPDr231rY
-    2282773992U,	// VFMADDPS4mr
-    2282773992U,	// VFMADDPS4mrY
-    2282773992U,	// VFMADDPS4rm
-    2282773992U,	// VFMADDPS4rmY
-    2282773992U,	// VFMADDPS4rr
-    2282773992U,	// VFMADDPS4rrY
-    2282773992U,	// VFMADDPS4rrY_REV
-    2282773992U,	// VFMADDPS4rr_REV
-    2215664867U,	// VFMADDPSr132m
-    2215664867U,	// VFMADDPSr132mY
-    2215664867U,	// VFMADDPSr132r
-    2215664867U,	// VFMADDPSr132rY
-    2215665008U,	// VFMADDPSr213m
-    2215665008U,	// VFMADDPSr213mY
-    2215665008U,	// VFMADDPSr213r
-    2215665008U,	// VFMADDPSr213rY
-    2215664781U,	// VFMADDPSr231m
-    2215664781U,	// VFMADDPSr231mY
-    2215664781U,	// VFMADDPSr231r
-    2215664781U,	// VFMADDPSr231rY
-    2282771367U,	// VFMADDSD4mr
-    2282771367U,	// VFMADDSD4mr_Int
-    2282771367U,	// VFMADDSD4rm
-    2282771367U,	// VFMADDSD4rm_Int
-    2282771367U,	// VFMADDSD4rr
-    2282771367U,	// VFMADDSD4rr_Int
-    2282771367U,	// VFMADDSD4rr_REV
+    2282770771U,	// VFMADDPD4mr
+    2282770771U,	// VFMADDPD4mrY
+    2282770771U,	// VFMADDPD4rm
+    2282770771U,	// VFMADDPD4rmY
+    2282770771U,	// VFMADDPD4rr
+    2282770771U,	// VFMADDPD4rrY
+    2282770771U,	// VFMADDPD4rrY_REV
+    2282770771U,	// VFMADDPD4rr_REV
+    2215661649U,	// VFMADDPDr132m
+    2215661649U,	// VFMADDPDr132mY
+    2215661649U,	// VFMADDPDr132r
+    2215661649U,	// VFMADDPDr132rY
+    2215661779U,	// VFMADDPDr213m
+    2215661779U,	// VFMADDPDr213mY
+    2215661779U,	// VFMADDPDr213r
+    2215661779U,	// VFMADDPDr213rY
+    2215661563U,	// VFMADDPDr231m
+    2215661563U,	// VFMADDPDr231mY
+    2215661563U,	// VFMADDPDr231r
+    2215661563U,	// VFMADDPDr231rY
+    2282773984U,	// VFMADDPS4mr
+    2282773984U,	// VFMADDPS4mrY
+    2282773984U,	// VFMADDPS4rm
+    2282773984U,	// VFMADDPS4rmY
+    2282773984U,	// VFMADDPS4rr
+    2282773984U,	// VFMADDPS4rrY
+    2282773984U,	// VFMADDPS4rrY_REV
+    2282773984U,	// VFMADDPS4rr_REV
+    2215664859U,	// VFMADDPSr132m
+    2215664859U,	// VFMADDPSr132mY
+    2215664859U,	// VFMADDPSr132r
+    2215664859U,	// VFMADDPSr132rY
+    2215665000U,	// VFMADDPSr213m
+    2215665000U,	// VFMADDPSr213mY
+    2215665000U,	// VFMADDPSr213r
+    2215665000U,	// VFMADDPSr213rY
+    2215664773U,	// VFMADDPSr231m
+    2215664773U,	// VFMADDPSr231mY
+    2215664773U,	// VFMADDPSr231r
+    2215664773U,	// VFMADDPSr231rY
+    2282771359U,	// VFMADDSD4mr
+    2282771359U,	// VFMADDSD4mr_Int
+    2282771359U,	// VFMADDSD4rm
+    2282771359U,	// VFMADDSD4rm_Int
+    2282771359U,	// VFMADDSD4rr
+    2282771359U,	// VFMADDSD4rr_Int
+    2282771359U,	// VFMADDSD4rr_REV
     2215658422U,	// VFMADDSDZm
     2215658422U,	// VFMADDSDZr
-    2215662351U,	// VFMADDSDr132m
-    2215662351U,	// VFMADDSDr132r
-    2215662439U,	// VFMADDSDr213m
-    2215662439U,	// VFMADDSDr213r
-    2215662297U,	// VFMADDSDr231m
-    2215662297U,	// VFMADDSDr231r
-    2282774579U,	// VFMADDSS4mr
-    2282774579U,	// VFMADDSS4mr_Int
-    2282774579U,	// VFMADDSS4rm
-    2282774579U,	// VFMADDSS4rm_Int
-    2282774579U,	// VFMADDSS4rr
-    2282774579U,	// VFMADDSS4rr_Int
-    2282774579U,	// VFMADDSS4rr_REV
+    2215662343U,	// VFMADDSDr132m
+    2215662343U,	// VFMADDSDr132r
+    2215662431U,	// VFMADDSDr213m
+    2215662431U,	// VFMADDSDr213r
+    2215662289U,	// VFMADDSDr231m
+    2215662289U,	// VFMADDSDr231r
+    2282774571U,	// VFMADDSS4mr
+    2282774571U,	// VFMADDSS4mr_Int
+    2282774571U,	// VFMADDSS4rm
+    2282774571U,	// VFMADDSS4rm_Int
+    2282774571U,	// VFMADDSS4rr
+    2282774571U,	// VFMADDSS4rr_Int
+    2282774571U,	// VFMADDSS4rr_REV
     2215659983U,	// VFMADDSSZm
     2215659983U,	// VFMADDSSZr
-    2215665571U,	// VFMADDSSr132m
-    2215665571U,	// VFMADDSSr132r
-    2215665659U,	// VFMADDSSr213m
-    2215665659U,	// VFMADDSSr213r
-    2215665517U,	// VFMADDSSr231m
-    2215665517U,	// VFMADDSSr231r
+    2215665563U,	// VFMADDSSr132m
+    2215665563U,	// VFMADDSSr132r
+    2215665651U,	// VFMADDSSr213m
+    2215665651U,	// VFMADDSSr213r
+    2215665509U,	// VFMADDSSr231m
+    2215665509U,	// VFMADDSSr231r
     2215657792U,	// VFMADDSUB132PDZm
     2215657792U,	// VFMADDSUB132PDZmb
     2215659441U,	// VFMADDSUB132PSZm
@@ -3346,46 +3349,46 @@
     2215659594U,	// VFMADDSUB213PSZm
     2215659594U,	// VFMADDSUB213PSZmb
     2215659594U,	// VFMADDSUB213PSZr
-    2282770695U,	// VFMADDSUBPD4mr
-    2282770695U,	// VFMADDSUBPD4mrY
-    2282770695U,	// VFMADDSUBPD4rm
-    2282770695U,	// VFMADDSUBPD4rmY
-    2282770695U,	// VFMADDSUBPD4rr
-    2282770695U,	// VFMADDSUBPD4rrY
-    2282770695U,	// VFMADDSUBPD4rrY_REV
-    2282770695U,	// VFMADDSUBPD4rr_REV
-    2215661598U,	// VFMADDSUBPDr132m
-    2215661598U,	// VFMADDSUBPDr132mY
-    2215661598U,	// VFMADDSUBPDr132r
-    2215661598U,	// VFMADDSUBPDr132rY
-    2215661728U,	// VFMADDSUBPDr213m
-    2215661728U,	// VFMADDSUBPDr213mY
-    2215661728U,	// VFMADDSUBPDr213r
-    2215661728U,	// VFMADDSUBPDr213rY
-    2215661512U,	// VFMADDSUBPDr231m
-    2215661512U,	// VFMADDSUBPDr231mY
-    2215661512U,	// VFMADDSUBPDr231r
-    2215661512U,	// VFMADDSUBPDr231rY
-    2282773908U,	// VFMADDSUBPS4mr
-    2282773908U,	// VFMADDSUBPS4mrY
-    2282773908U,	// VFMADDSUBPS4rm
-    2282773908U,	// VFMADDSUBPS4rmY
-    2282773908U,	// VFMADDSUBPS4rr
-    2282773908U,	// VFMADDSUBPS4rrY
-    2282773908U,	// VFMADDSUBPS4rrY_REV
-    2282773908U,	// VFMADDSUBPS4rr_REV
-    2215664808U,	// VFMADDSUBPSr132m
-    2215664808U,	// VFMADDSUBPSr132mY
-    2215664808U,	// VFMADDSUBPSr132r
-    2215664808U,	// VFMADDSUBPSr132rY
-    2215664949U,	// VFMADDSUBPSr213m
-    2215664949U,	// VFMADDSUBPSr213mY
-    2215664949U,	// VFMADDSUBPSr213r
-    2215664949U,	// VFMADDSUBPSr213rY
-    2215664722U,	// VFMADDSUBPSr231m
-    2215664722U,	// VFMADDSUBPSr231mY
-    2215664722U,	// VFMADDSUBPSr231r
-    2215664722U,	// VFMADDSUBPSr231rY
+    2282770687U,	// VFMADDSUBPD4mr
+    2282770687U,	// VFMADDSUBPD4mrY
+    2282770687U,	// VFMADDSUBPD4rm
+    2282770687U,	// VFMADDSUBPD4rmY
+    2282770687U,	// VFMADDSUBPD4rr
+    2282770687U,	// VFMADDSUBPD4rrY
+    2282770687U,	// VFMADDSUBPD4rrY_REV
+    2282770687U,	// VFMADDSUBPD4rr_REV
+    2215661590U,	// VFMADDSUBPDr132m
+    2215661590U,	// VFMADDSUBPDr132mY
+    2215661590U,	// VFMADDSUBPDr132r
+    2215661590U,	// VFMADDSUBPDr132rY
+    2215661720U,	// VFMADDSUBPDr213m
+    2215661720U,	// VFMADDSUBPDr213mY
+    2215661720U,	// VFMADDSUBPDr213r
+    2215661720U,	// VFMADDSUBPDr213rY
+    2215661504U,	// VFMADDSUBPDr231m
+    2215661504U,	// VFMADDSUBPDr231mY
+    2215661504U,	// VFMADDSUBPDr231r
+    2215661504U,	// VFMADDSUBPDr231rY
+    2282773900U,	// VFMADDSUBPS4mr
+    2282773900U,	// VFMADDSUBPS4mrY
+    2282773900U,	// VFMADDSUBPS4rm
+    2282773900U,	// VFMADDSUBPS4rmY
+    2282773900U,	// VFMADDSUBPS4rr
+    2282773900U,	// VFMADDSUBPS4rrY
+    2282773900U,	// VFMADDSUBPS4rrY_REV
+    2282773900U,	// VFMADDSUBPS4rr_REV
+    2215664800U,	// VFMADDSUBPSr132m
+    2215664800U,	// VFMADDSUBPSr132mY
+    2215664800U,	// VFMADDSUBPSr132r
+    2215664800U,	// VFMADDSUBPSr132rY
+    2215664941U,	// VFMADDSUBPSr213m
+    2215664941U,	// VFMADDSUBPSr213mY
+    2215664941U,	// VFMADDSUBPSr213r
+    2215664941U,	// VFMADDSUBPSr213rY
+    2215664714U,	// VFMADDSUBPSr231m
+    2215664714U,	// VFMADDSUBPSr231mY
+    2215664714U,	// VFMADDSUBPSr231r
+    2215664714U,	// VFMADDSUBPSr231rY
     2215657809U,	// VFMSUB132PDZm
     2215657809U,	// VFMSUB132PDZmb
     2215659458U,	// VFMSUB132PSZm
@@ -3406,116 +3409,116 @@
     2215659640U,	// VFMSUBADD213PSZm
     2215659640U,	// VFMSUBADD213PSZmb
     2215659640U,	// VFMSUBADD213PSZr
-    2282770757U,	// VFMSUBADDPD4mr
-    2282770757U,	// VFMSUBADDPD4mrY
-    2282770757U,	// VFMSUBADDPD4rm
-    2282770757U,	// VFMSUBADDPD4rmY
-    2282770757U,	// VFMSUBADDPD4rr
-    2282770757U,	// VFMSUBADDPD4rrY
-    2282770757U,	// VFMSUBADDPD4rrY_REV
-    2282770757U,	// VFMSUBADDPD4rr_REV
-    2215661641U,	// VFMSUBADDPDr132m
-    2215661641U,	// VFMSUBADDPDr132mY
-    2215661641U,	// VFMSUBADDPDr132r
-    2215661641U,	// VFMSUBADDPDr132rY
-    2215661771U,	// VFMSUBADDPDr213m
-    2215661771U,	// VFMSUBADDPDr213mY
-    2215661771U,	// VFMSUBADDPDr213r
-    2215661771U,	// VFMSUBADDPDr213rY
-    2215661555U,	// VFMSUBADDPDr231m
-    2215661555U,	// VFMSUBADDPDr231mY
-    2215661555U,	// VFMSUBADDPDr231r
-    2215661555U,	// VFMSUBADDPDr231rY
-    2282773970U,	// VFMSUBADDPS4mr
-    2282773970U,	// VFMSUBADDPS4mrY
-    2282773970U,	// VFMSUBADDPS4rm
-    2282773970U,	// VFMSUBADDPS4rmY
-    2282773970U,	// VFMSUBADDPS4rr
-    2282773970U,	// VFMSUBADDPS4rrY
-    2282773970U,	// VFMSUBADDPS4rrY_REV
-    2282773970U,	// VFMSUBADDPS4rr_REV
-    2215664851U,	// VFMSUBADDPSr132m
-    2215664851U,	// VFMSUBADDPSr132mY
-    2215664851U,	// VFMSUBADDPSr132r
-    2215664851U,	// VFMSUBADDPSr132rY
-    2215664992U,	// VFMSUBADDPSr213m
-    2215664992U,	// VFMSUBADDPSr213mY
-    2215664992U,	// VFMSUBADDPSr213r
-    2215664992U,	// VFMSUBADDPSr213rY
-    2215664765U,	// VFMSUBADDPSr231m
-    2215664765U,	// VFMSUBADDPSr231mY
-    2215664765U,	// VFMSUBADDPSr231r
-    2215664765U,	// VFMSUBADDPSr231rY
-    2282770728U,	// VFMSUBPD4mr
-    2282770728U,	// VFMSUBPD4mrY
-    2282770728U,	// VFMSUBPD4rm
-    2282770728U,	// VFMSUBPD4rmY
-    2282770728U,	// VFMSUBPD4rr
-    2282770728U,	// VFMSUBPD4rrY
-    2282770728U,	// VFMSUBPD4rrY_REV
-    2282770728U,	// VFMSUBPD4rr_REV
-    2215661614U,	// VFMSUBPDr132m
-    2215661614U,	// VFMSUBPDr132mY
-    2215661614U,	// VFMSUBPDr132r
-    2215661614U,	// VFMSUBPDr132rY
-    2215661744U,	// VFMSUBPDr213m
-    2215661744U,	// VFMSUBPDr213mY
-    2215661744U,	// VFMSUBPDr213r
-    2215661744U,	// VFMSUBPDr213rY
-    2215661528U,	// VFMSUBPDr231m
-    2215661528U,	// VFMSUBPDr231mY
-    2215661528U,	// VFMSUBPDr231r
-    2215661528U,	// VFMSUBPDr231rY
-    2282773941U,	// VFMSUBPS4mr
-    2282773941U,	// VFMSUBPS4mrY
-    2282773941U,	// VFMSUBPS4rm
-    2282773941U,	// VFMSUBPS4rmY
-    2282773941U,	// VFMSUBPS4rr
-    2282773941U,	// VFMSUBPS4rrY
-    2282773941U,	// VFMSUBPS4rrY_REV
-    2282773941U,	// VFMSUBPS4rr_REV
-    2215664824U,	// VFMSUBPSr132m
-    2215664824U,	// VFMSUBPSr132mY
-    2215664824U,	// VFMSUBPSr132r
-    2215664824U,	// VFMSUBPSr132rY
-    2215664965U,	// VFMSUBPSr213m
-    2215664965U,	// VFMSUBPSr213mY
-    2215664965U,	// VFMSUBPSr213r
-    2215664965U,	// VFMSUBPSr213rY
-    2215664738U,	// VFMSUBPSr231m
-    2215664738U,	// VFMSUBPSr231mY
-    2215664738U,	// VFMSUBPSr231r
-    2215664738U,	// VFMSUBPSr231rY
-    2282771338U,	// VFMSUBSD4mr
-    2282771338U,	// VFMSUBSD4mr_Int
-    2282771338U,	// VFMSUBSD4rm
-    2282771338U,	// VFMSUBSD4rm_Int
-    2282771338U,	// VFMSUBSD4rr
-    2282771338U,	// VFMSUBSD4rr_Int
-    2282771338U,	// VFMSUBSD4rr_REV
+    2282770749U,	// VFMSUBADDPD4mr
+    2282770749U,	// VFMSUBADDPD4mrY
+    2282770749U,	// VFMSUBADDPD4rm
+    2282770749U,	// VFMSUBADDPD4rmY
+    2282770749U,	// VFMSUBADDPD4rr
+    2282770749U,	// VFMSUBADDPD4rrY
+    2282770749U,	// VFMSUBADDPD4rrY_REV
+    2282770749U,	// VFMSUBADDPD4rr_REV
+    2215661633U,	// VFMSUBADDPDr132m
+    2215661633U,	// VFMSUBADDPDr132mY
+    2215661633U,	// VFMSUBADDPDr132r
+    2215661633U,	// VFMSUBADDPDr132rY
+    2215661763U,	// VFMSUBADDPDr213m
+    2215661763U,	// VFMSUBADDPDr213mY
+    2215661763U,	// VFMSUBADDPDr213r
+    2215661763U,	// VFMSUBADDPDr213rY
+    2215661547U,	// VFMSUBADDPDr231m
+    2215661547U,	// VFMSUBADDPDr231mY
+    2215661547U,	// VFMSUBADDPDr231r
+    2215661547U,	// VFMSUBADDPDr231rY
+    2282773962U,	// VFMSUBADDPS4mr
+    2282773962U,	// VFMSUBADDPS4mrY
+    2282773962U,	// VFMSUBADDPS4rm
+    2282773962U,	// VFMSUBADDPS4rmY
+    2282773962U,	// VFMSUBADDPS4rr
+    2282773962U,	// VFMSUBADDPS4rrY
+    2282773962U,	// VFMSUBADDPS4rrY_REV
+    2282773962U,	// VFMSUBADDPS4rr_REV
+    2215664843U,	// VFMSUBADDPSr132m
+    2215664843U,	// VFMSUBADDPSr132mY
+    2215664843U,	// VFMSUBADDPSr132r
+    2215664843U,	// VFMSUBADDPSr132rY
+    2215664984U,	// VFMSUBADDPSr213m
+    2215664984U,	// VFMSUBADDPSr213mY
+    2215664984U,	// VFMSUBADDPSr213r
+    2215664984U,	// VFMSUBADDPSr213rY
+    2215664757U,	// VFMSUBADDPSr231m
+    2215664757U,	// VFMSUBADDPSr231mY
+    2215664757U,	// VFMSUBADDPSr231r
+    2215664757U,	// VFMSUBADDPSr231rY
+    2282770720U,	// VFMSUBPD4mr
+    2282770720U,	// VFMSUBPD4mrY
+    2282770720U,	// VFMSUBPD4rm
+    2282770720U,	// VFMSUBPD4rmY
+    2282770720U,	// VFMSUBPD4rr
+    2282770720U,	// VFMSUBPD4rrY
+    2282770720U,	// VFMSUBPD4rrY_REV
+    2282770720U,	// VFMSUBPD4rr_REV
+    2215661606U,	// VFMSUBPDr132m
+    2215661606U,	// VFMSUBPDr132mY
+    2215661606U,	// VFMSUBPDr132r
+    2215661606U,	// VFMSUBPDr132rY
+    2215661736U,	// VFMSUBPDr213m
+    2215661736U,	// VFMSUBPDr213mY
+    2215661736U,	// VFMSUBPDr213r
+    2215661736U,	// VFMSUBPDr213rY
+    2215661520U,	// VFMSUBPDr231m
+    2215661520U,	// VFMSUBPDr231mY
+    2215661520U,	// VFMSUBPDr231r
+    2215661520U,	// VFMSUBPDr231rY
+    2282773933U,	// VFMSUBPS4mr
+    2282773933U,	// VFMSUBPS4mrY
+    2282773933U,	// VFMSUBPS4rm
+    2282773933U,	// VFMSUBPS4rmY
+    2282773933U,	// VFMSUBPS4rr
+    2282773933U,	// VFMSUBPS4rrY
+    2282773933U,	// VFMSUBPS4rrY_REV
+    2282773933U,	// VFMSUBPS4rr_REV
+    2215664816U,	// VFMSUBPSr132m
+    2215664816U,	// VFMSUBPSr132mY
+    2215664816U,	// VFMSUBPSr132r
+    2215664816U,	// VFMSUBPSr132rY
+    2215664957U,	// VFMSUBPSr213m
+    2215664957U,	// VFMSUBPSr213mY
+    2215664957U,	// VFMSUBPSr213r
+    2215664957U,	// VFMSUBPSr213rY
+    2215664730U,	// VFMSUBPSr231m
+    2215664730U,	// VFMSUBPSr231mY
+    2215664730U,	// VFMSUBPSr231r
+    2215664730U,	// VFMSUBPSr231rY
+    2282771330U,	// VFMSUBSD4mr
+    2282771330U,	// VFMSUBSD4mr_Int
+    2282771330U,	// VFMSUBSD4rm
+    2282771330U,	// VFMSUBSD4rm_Int
+    2282771330U,	// VFMSUBSD4rr
+    2282771330U,	// VFMSUBSD4rr_Int
+    2282771330U,	// VFMSUBSD4rr_REV
     2215658393U,	// VFMSUBSDZm
     2215658393U,	// VFMSUBSDZr
-    2215662324U,	// VFMSUBSDr132m
-    2215662324U,	// VFMSUBSDr132r
-    2215662412U,	// VFMSUBSDr213m
-    2215662412U,	// VFMSUBSDr213r
-    2215662270U,	// VFMSUBSDr231m
-    2215662270U,	// VFMSUBSDr231r
-    2282774550U,	// VFMSUBSS4mr
-    2282774550U,	// VFMSUBSS4mr_Int
-    2282774550U,	// VFMSUBSS4rm
-    2282774550U,	// VFMSUBSS4rm_Int
-    2282774550U,	// VFMSUBSS4rr
-    2282774550U,	// VFMSUBSS4rr_Int
-    2282774550U,	// VFMSUBSS4rr_REV
+    2215662316U,	// VFMSUBSDr132m
+    2215662316U,	// VFMSUBSDr132r
+    2215662404U,	// VFMSUBSDr213m
+    2215662404U,	// VFMSUBSDr213r
+    2215662262U,	// VFMSUBSDr231m
+    2215662262U,	// VFMSUBSDr231r
+    2282774542U,	// VFMSUBSS4mr
+    2282774542U,	// VFMSUBSS4mr_Int
+    2282774542U,	// VFMSUBSS4rm
+    2282774542U,	// VFMSUBSS4rm_Int
+    2282774542U,	// VFMSUBSS4rr
+    2282774542U,	// VFMSUBSS4rr_Int
+    2282774542U,	// VFMSUBSS4rr_REV
     2215659954U,	// VFMSUBSSZm
     2215659954U,	// VFMSUBSSZr
-    2215665544U,	// VFMSUBSSr132m
-    2215665544U,	// VFMSUBSSr132r
-    2215665632U,	// VFMSUBSSr213m
-    2215665632U,	// VFMSUBSSr213r
-    2215665490U,	// VFMSUBSSr231m
-    2215665490U,	// VFMSUBSSr231r
+    2215665536U,	// VFMSUBSSr132m
+    2215665536U,	// VFMSUBSSr132r
+    2215665624U,	// VFMSUBSSr213m
+    2215665624U,	// VFMSUBSSr213r
+    2215665482U,	// VFMSUBSSr231m
+    2215665482U,	// VFMSUBSSr231r
     2215657869U,	// VFNMADD132PDZm
     2215657869U,	// VFNMADD132PDZmb
     2215659518U,	// VFNMADD132PSZm
@@ -3526,76 +3529,76 @@
     2215659671U,	// VFNMADD213PSZm
     2215659671U,	// VFNMADD213PSZmb
     2215659671U,	// VFNMADD213PSZr
-    2282770789U,	// VFNMADDPD4mr
-    2282770789U,	// VFNMADDPD4mrY
-    2282770789U,	// VFNMADDPD4rm
-    2282770789U,	// VFNMADDPD4rmY
-    2282770789U,	// VFNMADDPD4rr
-    2282770789U,	// VFNMADDPD4rrY
-    2282770789U,	// VFNMADDPD4rrY_REV
-    2282770789U,	// VFNMADDPD4rr_REV
-    2215661670U,	// VFNMADDPDr132m
-    2215661670U,	// VFNMADDPDr132mY
-    2215661670U,	// VFNMADDPDr132r
-    2215661670U,	// VFNMADDPDr132rY
-    2215661800U,	// VFNMADDPDr213m
-    2215661800U,	// VFNMADDPDr213mY
-    2215661800U,	// VFNMADDPDr213r
-    2215661800U,	// VFNMADDPDr213rY
-    2215661584U,	// VFNMADDPDr231m
-    2215661584U,	// VFNMADDPDr231mY
-    2215661584U,	// VFNMADDPDr231r
-    2215661584U,	// VFNMADDPDr231rY
-    2282774002U,	// VFNMADDPS4mr
-    2282774002U,	// VFNMADDPS4mrY
-    2282774002U,	// VFNMADDPS4rm
-    2282774002U,	// VFNMADDPS4rmY
-    2282774002U,	// VFNMADDPS4rr
-    2282774002U,	// VFNMADDPS4rrY
-    2282774002U,	// VFNMADDPS4rrY_REV
-    2282774002U,	// VFNMADDPS4rr_REV
-    2215664880U,	// VFNMADDPSr132m
-    2215664880U,	// VFNMADDPSr132mY
-    2215664880U,	// VFNMADDPSr132r
-    2215664880U,	// VFNMADDPSr132rY
-    2215665021U,	// VFNMADDPSr213m
-    2215665021U,	// VFNMADDPSr213mY
-    2215665021U,	// VFNMADDPSr213r
-    2215665021U,	// VFNMADDPSr213rY
-    2215664794U,	// VFNMADDPSr231m
-    2215664794U,	// VFNMADDPSr231mY
-    2215664794U,	// VFNMADDPSr231r
-    2215664794U,	// VFNMADDPSr231rY
-    2282771377U,	// VFNMADDSD4mr
-    2282771377U,	// VFNMADDSD4mr_Int
-    2282771377U,	// VFNMADDSD4rm
-    2282771377U,	// VFNMADDSD4rm_Int
-    2282771377U,	// VFNMADDSD4rr
-    2282771377U,	// VFNMADDSD4rr_Int
-    2282771377U,	// VFNMADDSD4rr_REV
+    2282770781U,	// VFNMADDPD4mr
+    2282770781U,	// VFNMADDPD4mrY
+    2282770781U,	// VFNMADDPD4rm
+    2282770781U,	// VFNMADDPD4rmY
+    2282770781U,	// VFNMADDPD4rr
+    2282770781U,	// VFNMADDPD4rrY
+    2282770781U,	// VFNMADDPD4rrY_REV
+    2282770781U,	// VFNMADDPD4rr_REV
+    2215661662U,	// VFNMADDPDr132m
+    2215661662U,	// VFNMADDPDr132mY
+    2215661662U,	// VFNMADDPDr132r
+    2215661662U,	// VFNMADDPDr132rY
+    2215661792U,	// VFNMADDPDr213m
+    2215661792U,	// VFNMADDPDr213mY
+    2215661792U,	// VFNMADDPDr213r
+    2215661792U,	// VFNMADDPDr213rY
+    2215661576U,	// VFNMADDPDr231m
+    2215661576U,	// VFNMADDPDr231mY
+    2215661576U,	// VFNMADDPDr231r
+    2215661576U,	// VFNMADDPDr231rY
+    2282773994U,	// VFNMADDPS4mr
+    2282773994U,	// VFNMADDPS4mrY
+    2282773994U,	// VFNMADDPS4rm
+    2282773994U,	// VFNMADDPS4rmY
+    2282773994U,	// VFNMADDPS4rr
+    2282773994U,	// VFNMADDPS4rrY
+    2282773994U,	// VFNMADDPS4rrY_REV
+    2282773994U,	// VFNMADDPS4rr_REV
+    2215664872U,	// VFNMADDPSr132m
+    2215664872U,	// VFNMADDPSr132mY
+    2215664872U,	// VFNMADDPSr132r
+    2215664872U,	// VFNMADDPSr132rY
+    2215665013U,	// VFNMADDPSr213m
+    2215665013U,	// VFNMADDPSr213mY
+    2215665013U,	// VFNMADDPSr213r
+    2215665013U,	// VFNMADDPSr213rY
+    2215664786U,	// VFNMADDPSr231m
+    2215664786U,	// VFNMADDPSr231mY
+    2215664786U,	// VFNMADDPSr231r
+    2215664786U,	// VFNMADDPSr231rY
+    2282771369U,	// VFNMADDSD4mr
+    2282771369U,	// VFNMADDSD4mr_Int
+    2282771369U,	// VFNMADDSD4rm
+    2282771369U,	// VFNMADDSD4rm_Int
+    2282771369U,	// VFNMADDSD4rr
+    2282771369U,	// VFNMADDSD4rr_Int
+    2282771369U,	// VFNMADDSD4rr_REV
     2215658436U,	// VFNMADDSDZm
     2215658436U,	// VFNMADDSDZr
-    2215662364U,	// VFNMADDSDr132m
-    2215662364U,	// VFNMADDSDr132r
-    2215662452U,	// VFNMADDSDr213m
-    2215662452U,	// VFNMADDSDr213r
-    2215662310U,	// VFNMADDSDr231m
-    2215662310U,	// VFNMADDSDr231r
-    2282774589U,	// VFNMADDSS4mr
-    2282774589U,	// VFNMADDSS4mr_Int
-    2282774589U,	// VFNMADDSS4rm
-    2282774589U,	// VFNMADDSS4rm_Int
-    2282774589U,	// VFNMADDSS4rr
-    2282774589U,	// VFNMADDSS4rr_Int
-    2282774589U,	// VFNMADDSS4rr_REV
+    2215662356U,	// VFNMADDSDr132m
+    2215662356U,	// VFNMADDSDr132r
+    2215662444U,	// VFNMADDSDr213m
+    2215662444U,	// VFNMADDSDr213r
+    2215662302U,	// VFNMADDSDr231m
+    2215662302U,	// VFNMADDSDr231r
+    2282774581U,	// VFNMADDSS4mr
+    2282774581U,	// VFNMADDSS4mr_Int
+    2282774581U,	// VFNMADDSS4rm
+    2282774581U,	// VFNMADDSS4rm_Int
+    2282774581U,	// VFNMADDSS4rr
+    2282774581U,	// VFNMADDSS4rr_Int
+    2282774581U,	// VFNMADDSS4rr_REV
     2215659997U,	// VFNMADDSSZm
     2215659997U,	// VFNMADDSSZr
-    2215665584U,	// VFNMADDSSr132m
-    2215665584U,	// VFNMADDSSr132r
-    2215665672U,	// VFNMADDSSr213m
-    2215665672U,	// VFNMADDSSr213r
-    2215665530U,	// VFNMADDSSr231m
-    2215665530U,	// VFNMADDSSr231r
+    2215665576U,	// VFNMADDSSr132m
+    2215665576U,	// VFNMADDSSr132r
+    2215665664U,	// VFNMADDSSr213m
+    2215665664U,	// VFNMADDSSr213r
+    2215665522U,	// VFNMADDSSr231m
+    2215665522U,	// VFNMADDSSr231r
     2215657823U,	// VFNMSUB132PDZm
     2215657823U,	// VFNMSUB132PDZmb
     2215659472U,	// VFNMSUB132PSZm
@@ -3606,303 +3609,303 @@
     2215659625U,	// VFNMSUB213PSZm
     2215659625U,	// VFNMSUB213PSZmb
     2215659625U,	// VFNMSUB213PSZr
-    2282770738U,	// VFNMSUBPD4mr
-    2282770738U,	// VFNMSUBPD4mrY
-    2282770738U,	// VFNMSUBPD4rm
-    2282770738U,	// VFNMSUBPD4rmY
-    2282770738U,	// VFNMSUBPD4rr
-    2282770738U,	// VFNMSUBPD4rrY
-    2282770738U,	// VFNMSUBPD4rrY_REV
-    2282770738U,	// VFNMSUBPD4rr_REV
-    2215661627U,	// VFNMSUBPDr132m
-    2215661627U,	// VFNMSUBPDr132mY
-    2215661627U,	// VFNMSUBPDr132r
-    2215661627U,	// VFNMSUBPDr132rY
-    2215661757U,	// VFNMSUBPDr213m
-    2215661757U,	// VFNMSUBPDr213mY
-    2215661757U,	// VFNMSUBPDr213r
-    2215661757U,	// VFNMSUBPDr213rY
-    2215661541U,	// VFNMSUBPDr231m
-    2215661541U,	// VFNMSUBPDr231mY
-    2215661541U,	// VFNMSUBPDr231r
-    2215661541U,	// VFNMSUBPDr231rY
-    2282773951U,	// VFNMSUBPS4mr
-    2282773951U,	// VFNMSUBPS4mrY
-    2282773951U,	// VFNMSUBPS4rm
-    2282773951U,	// VFNMSUBPS4rmY
-    2282773951U,	// VFNMSUBPS4rr
-    2282773951U,	// VFNMSUBPS4rrY
-    2282773951U,	// VFNMSUBPS4rrY_REV
-    2282773951U,	// VFNMSUBPS4rr_REV
-    2215664837U,	// VFNMSUBPSr132m
-    2215664837U,	// VFNMSUBPSr132mY
-    2215664837U,	// VFNMSUBPSr132r
-    2215664837U,	// VFNMSUBPSr132rY
-    2215664978U,	// VFNMSUBPSr213m
-    2215664978U,	// VFNMSUBPSr213mY
-    2215664978U,	// VFNMSUBPSr213r
-    2215664978U,	// VFNMSUBPSr213rY
-    2215664751U,	// VFNMSUBPSr231m
-    2215664751U,	// VFNMSUBPSr231mY
-    2215664751U,	// VFNMSUBPSr231r
-    2215664751U,	// VFNMSUBPSr231rY
-    2282771348U,	// VFNMSUBSD4mr
-    2282771348U,	// VFNMSUBSD4mr_Int
-    2282771348U,	// VFNMSUBSD4rm
-    2282771348U,	// VFNMSUBSD4rm_Int
-    2282771348U,	// VFNMSUBSD4rr
-    2282771348U,	// VFNMSUBSD4rr_Int
-    2282771348U,	// VFNMSUBSD4rr_REV
+    2282770730U,	// VFNMSUBPD4mr
+    2282770730U,	// VFNMSUBPD4mrY
+    2282770730U,	// VFNMSUBPD4rm
+    2282770730U,	// VFNMSUBPD4rmY
+    2282770730U,	// VFNMSUBPD4rr
+    2282770730U,	// VFNMSUBPD4rrY
+    2282770730U,	// VFNMSUBPD4rrY_REV
+    2282770730U,	// VFNMSUBPD4rr_REV
+    2215661619U,	// VFNMSUBPDr132m
+    2215661619U,	// VFNMSUBPDr132mY
+    2215661619U,	// VFNMSUBPDr132r
+    2215661619U,	// VFNMSUBPDr132rY
+    2215661749U,	// VFNMSUBPDr213m
+    2215661749U,	// VFNMSUBPDr213mY
+    2215661749U,	// VFNMSUBPDr213r
+    2215661749U,	// VFNMSUBPDr213rY
+    2215661533U,	// VFNMSUBPDr231m
+    2215661533U,	// VFNMSUBPDr231mY
+    2215661533U,	// VFNMSUBPDr231r
+    2215661533U,	// VFNMSUBPDr231rY
+    2282773943U,	// VFNMSUBPS4mr
+    2282773943U,	// VFNMSUBPS4mrY
+    2282773943U,	// VFNMSUBPS4rm
+    2282773943U,	// VFNMSUBPS4rmY
+    2282773943U,	// VFNMSUBPS4rr
+    2282773943U,	// VFNMSUBPS4rrY
+    2282773943U,	// VFNMSUBPS4rrY_REV
+    2282773943U,	// VFNMSUBPS4rr_REV
+    2215664829U,	// VFNMSUBPSr132m
+    2215664829U,	// VFNMSUBPSr132mY
+    2215664829U,	// VFNMSUBPSr132r
+    2215664829U,	// VFNMSUBPSr132rY
+    2215664970U,	// VFNMSUBPSr213m
+    2215664970U,	// VFNMSUBPSr213mY
+    2215664970U,	// VFNMSUBPSr213r
+    2215664970U,	// VFNMSUBPSr213rY
+    2215664743U,	// VFNMSUBPSr231m
+    2215664743U,	// VFNMSUBPSr231mY
+    2215664743U,	// VFNMSUBPSr231r
+    2215664743U,	// VFNMSUBPSr231rY
+    2282771340U,	// VFNMSUBSD4mr
+    2282771340U,	// VFNMSUBSD4mr_Int
+    2282771340U,	// VFNMSUBSD4rm
+    2282771340U,	// VFNMSUBSD4rm_Int
+    2282771340U,	// VFNMSUBSD4rr
+    2282771340U,	// VFNMSUBSD4rr_Int
+    2282771340U,	// VFNMSUBSD4rr_REV
     2215658407U,	// VFNMSUBSDZm
     2215658407U,	// VFNMSUBSDZr
-    2215662337U,	// VFNMSUBSDr132m
-    2215662337U,	// VFNMSUBSDr132r
-    2215662425U,	// VFNMSUBSDr213m
-    2215662425U,	// VFNMSUBSDr213r
-    2215662283U,	// VFNMSUBSDr231m
-    2215662283U,	// VFNMSUBSDr231r
-    2282774560U,	// VFNMSUBSS4mr
-    2282774560U,	// VFNMSUBSS4mr_Int
-    2282774560U,	// VFNMSUBSS4rm
-    2282774560U,	// VFNMSUBSS4rm_Int
-    2282774560U,	// VFNMSUBSS4rr
-    2282774560U,	// VFNMSUBSS4rr_Int
-    2282774560U,	// VFNMSUBSS4rr_REV
+    2215662329U,	// VFNMSUBSDr132m
+    2215662329U,	// VFNMSUBSDr132r
+    2215662417U,	// VFNMSUBSDr213m
+    2215662417U,	// VFNMSUBSDr213r
+    2215662275U,	// VFNMSUBSDr231m
+    2215662275U,	// VFNMSUBSDr231r
+    2282774552U,	// VFNMSUBSS4mr
+    2282774552U,	// VFNMSUBSS4mr_Int
+    2282774552U,	// VFNMSUBSS4rm
+    2282774552U,	// VFNMSUBSS4rm_Int
+    2282774552U,	// VFNMSUBSS4rr
+    2282774552U,	// VFNMSUBSS4rr_Int
+    2282774552U,	// VFNMSUBSS4rr_REV
     2215659968U,	// VFNMSUBSSZm
     2215659968U,	// VFNMSUBSSZr
-    2215665557U,	// VFNMSUBSSr132m
-    2215665557U,	// VFNMSUBSSr132r
-    2215665645U,	// VFNMSUBSSr213m
-    2215665645U,	// VFNMSUBSSr213r
-    2215665503U,	// VFNMSUBSSr231m
-    2215665503U,	// VFNMSUBSSr231r
-    537940615U,	// VFRCZPDrm
-    974148231U,	// VFRCZPDrmY
-    135287431U,	// VFRCZPDrr
-    135287431U,	// VFRCZPDrrY
-    537943881U,	// VFRCZPSrm
-    974151497U,	// VFRCZPSrmY
-    135290697U,	// VFRCZPSrr
-    135290697U,	// VFRCZPSrrY
-    571495498U,	// VFRCZSDrm
-    135287882U,	// VFRCZSDrr
-    605053135U,	// VFRCZSSrm
-    135291087U,	// VFRCZSSrr
-    2282770944U,	// VFsANDNPDrm
-    2282770944U,	// VFsANDNPDrr
-    2282774169U,	// VFsANDNPSrm
-    2282774169U,	// VFsANDNPSrr
-    2282770808U,	// VFsANDPDrm
-    2282770808U,	// VFsANDPDrr
-    2282774021U,	// VFsANDPSrm
-    2282774021U,	// VFsANDPSrr
-    2282770988U,	// VFsORPDrm
-    2282770988U,	// VFsORPDrr
-    2282774221U,	// VFsORPSrm
-    2282774221U,	// VFsORPSrr
-    2282770995U,	// VFsXORPDrm
-    2282770995U,	// VFsXORPDrr
-    2282774228U,	// VFsXORPSrm
-    2282774228U,	// VFsXORPSrr
-    1074811284U,	// VGATHERDPDYrm
+    2215665549U,	// VFNMSUBSSr132m
+    2215665549U,	// VFNMSUBSSr132r
+    2215665637U,	// VFNMSUBSSr213m
+    2215665637U,	// VFNMSUBSSr213r
+    2215665495U,	// VFNMSUBSSr231m
+    2215665495U,	// VFNMSUBSSr231r
+    537940607U,	// VFRCZPDrm
+    974148223U,	// VFRCZPDrmY
+    135287423U,	// VFRCZPDrr
+    135287423U,	// VFRCZPDrrY
+    537943873U,	// VFRCZPSrm
+    974151489U,	// VFRCZPSrmY
+    135290689U,	// VFRCZPSrr
+    135290689U,	// VFRCZPSrrY
+    571495490U,	// VFRCZSDrm
+    135287874U,	// VFRCZSDrr
+    605053127U,	// VFRCZSSrm
+    135291079U,	// VFRCZSSrr
+    2282770936U,	// VFsANDNPDrm
+    2282770936U,	// VFsANDNPDrr
+    2282774161U,	// VFsANDNPSrm
+    2282774161U,	// VFsANDNPSrr
+    2282770800U,	// VFsANDPDrm
+    2282770800U,	// VFsANDPDrr
+    2282774013U,	// VFsANDPSrm
+    2282774013U,	// VFsANDPSrr
+    2282770980U,	// VFsORPDrm
+    2282770980U,	// VFsORPDrr
+    2282774213U,	// VFsORPSrm
+    2282774213U,	// VFsORPSrr
+    2282770987U,	// VFsXORPDrm
+    2282770987U,	// VFsXORPDrr
+    2282774220U,	// VFsXORPSrm
+    2282774220U,	// VFsXORPSrr
+    1074811276U,	// VGATHERDPDYrm
     1128284801U,	// VGATHERDPDZrm
-    1074811284U,	// VGATHERDPDrm
-    1141923361U,	// VGATHERDPSYrm
+    1074811276U,	// VGATHERDPDrm
+    1141923353U,	// VGATHERDPSYrm
     3275770098U,	// VGATHERDPSZrm
-    1141923361U,	// VGATHERDPSrm
-    1074811424U,	// VGATHERQPDYrm
+    1141923353U,	// VGATHERDPSrm
+    1074811416U,	// VGATHERQPDYrm
     1128284913U,	// VGATHERQPDZrm
-    1074811424U,	// VGATHERQPDrm
-    1141923521U,	// VGATHERQPSYrm
+    1074811416U,	// VGATHERQPDrm
+    1141923513U,	// VGATHERQPSYrm
     1128286562U,	// VGATHERQPSZrm
-    1141923521U,	// VGATHERQPSrm
-    2282770770U,	// VHADDPDYrm
-    2282770770U,	// VHADDPDYrr
-    2282770770U,	// VHADDPDrm
-    2282770770U,	// VHADDPDrr
-    2282773983U,	// VHADDPSYrm
-    2282773983U,	// VHADDPSYrr
-    2282773983U,	// VHADDPSrm
-    2282773983U,	// VHADDPSrr
-    2282770719U,	// VHSUBPDYrm
-    2282770719U,	// VHSUBPDYrr
-    2282770719U,	// VHSUBPDrm
-    2282770719U,	// VHSUBPDrr
-    2282773932U,	// VHSUBPSYrm
-    2282773932U,	// VHSUBPSYrr
-    2282773932U,	// VHSUBPSrm
-    2282773932U,	// VHSUBPSrr
-    2282769475U,	// VINSERTF128rm
-    2282769475U,	// VINSERTF128rr
-    2282769348U,	// VINSERTF32x4rm
-    2282769348U,	// VINSERTF32x4rr
-    2282769406U,	// VINSERTF64x4rm
-    2282769406U,	// VINSERTF64x4rr
-    2282769530U,	// VINSERTI128rm
-    2282769530U,	// VINSERTI128rr
-    2282769377U,	// VINSERTI32x4rm
-    2282769377U,	// VINSERTI32x4rr
-    2282769435U,	// VINSERTI64x4rm
-    2282769435U,	// VINSERTI64x4rr
-    2282774258U,	// VINSERTPSrm
-    2282774258U,	// VINSERTPSrr
-    2282774258U,	// VINSERTPSzrm
-    2282774258U,	// VINSERTPSzrr
-    907043254U,	// VLDDQUYrm
-    336617910U,	// VLDDQUrm
-    72665U,	// VLDMXCSR
-    135291326U,	// VMASKMOVDQU
-    135291326U,	// VMASKMOVDQU64
-    1041863283U,	// VMASKMOVPDYmr
-    2282771059U,	// VMASKMOVPDYrm
-    1041830515U,	// VMASKMOVPDmr
-    2282771059U,	// VMASKMOVPDrm
-    1041866549U,	// VMASKMOVPSYmr
-    2282774325U,	// VMASKMOVPSYrm
-    1041833781U,	// VMASKMOVPSmr
-    2282774325U,	// VMASKMOVPSrm
-    2282771071U,	// VMAXCPDYrm
-    2282771071U,	// VMAXCPDYrr
-    2282771071U,	// VMAXCPDrm
-    2282771071U,	// VMAXCPDrr
-    2282774337U,	// VMAXCPSYrm
-    2282774337U,	// VMAXCPSYrr
-    2282774337U,	// VMAXCPSrm
-    2282774337U,	// VMAXCPSrr
-    2282771522U,	// VMAXCSDrm
-    2282771522U,	// VMAXCSDrr
-    2282774727U,	// VMAXCSSrm
-    2282774727U,	// VMAXCSSrr
-    2282771071U,	// VMAXPDYrm
-    2282771071U,	// VMAXPDYrr
+    1141923513U,	// VGATHERQPSrm
+    2282770762U,	// VHADDPDYrm
+    2282770762U,	// VHADDPDYrr
+    2282770762U,	// VHADDPDrm
+    2282770762U,	// VHADDPDrr
+    2282773975U,	// VHADDPSYrm
+    2282773975U,	// VHADDPSYrr
+    2282773975U,	// VHADDPSrm
+    2282773975U,	// VHADDPSrr
+    2282770711U,	// VHSUBPDYrm
+    2282770711U,	// VHSUBPDYrr
+    2282770711U,	// VHSUBPDrm
+    2282770711U,	// VHSUBPDrr
+    2282773924U,	// VHSUBPSYrm
+    2282773924U,	// VHSUBPSYrr
+    2282773924U,	// VHSUBPSrm
+    2282773924U,	// VHSUBPSrr
+    2282769467U,	// VINSERTF128rm
+    2282769467U,	// VINSERTF128rr
+    2282769340U,	// VINSERTF32x4rm
+    2282769340U,	// VINSERTF32x4rr
+    2282769398U,	// VINSERTF64x4rm
+    2282769398U,	// VINSERTF64x4rr
+    2282769522U,	// VINSERTI128rm
+    2282769522U,	// VINSERTI128rr
+    2282769369U,	// VINSERTI32x4rm
+    2282769369U,	// VINSERTI32x4rr
+    2282769427U,	// VINSERTI64x4rm
+    2282769427U,	// VINSERTI64x4rr
+    2282774250U,	// VINSERTPSrm
+    2282774250U,	// VINSERTPSrr
+    2282774250U,	// VINSERTPSzrm
+    2282774250U,	// VINSERTPSzrr
+    907043246U,	// VLDDQUYrm
+    336617902U,	// VLDDQUrm
+    72657U,	// VLDMXCSR
+    135291318U,	// VMASKMOVDQU
+    135291318U,	// VMASKMOVDQU64
+    1041863275U,	// VMASKMOVPDYmr
+    2282771051U,	// VMASKMOVPDYrm
+    1041830507U,	// VMASKMOVPDmr
+    2282771051U,	// VMASKMOVPDrm
+    1041866541U,	// VMASKMOVPSYmr
+    2282774317U,	// VMASKMOVPSYrm
+    1041833773U,	// VMASKMOVPSmr
+    2282774317U,	// VMASKMOVPSrm
+    2282771063U,	// VMAXCPDYrm
+    2282771063U,	// VMAXCPDYrr
+    2282771063U,	// VMAXCPDrm
+    2282771063U,	// VMAXCPDrr
+    2282774329U,	// VMAXCPSYrm
+    2282774329U,	// VMAXCPSYrr
+    2282774329U,	// VMAXCPSrm
+    2282774329U,	// VMAXCPSrr
+    2282771514U,	// VMAXCSDrm
+    2282771514U,	// VMAXCSDrr
+    2282774719U,	// VMAXCSSrm
+    2282774719U,	// VMAXCSSrr
+    2282771063U,	// VMAXPDYrm
+    2282771063U,	// VMAXPDYrr
     2282767135U,	// VMAXPDZrm
     2282767135U,	// VMAXPDZrmb
     2282767135U,	// VMAXPDZrr
-    2282771071U,	// VMAXPDrm
-    2282771071U,	// VMAXPDrr
-    2282774337U,	// VMAXPSYrm
-    2282774337U,	// VMAXPSYrr
+    2282771063U,	// VMAXPDrm
+    2282771063U,	// VMAXPDrr
+    2282774329U,	// VMAXPSYrm
+    2282774329U,	// VMAXPSYrr
     2282768784U,	// VMAXPSZrm
     2282768784U,	// VMAXPSZrmb
     2282768784U,	// VMAXPSZrr
-    2282774337U,	// VMAXPSrm
-    2282774337U,	// VMAXPSrr
-    2282771522U,	// VMAXSDZrm
-    2282771522U,	// VMAXSDZrr
-    2282771522U,	// VMAXSDrm
-    2282771522U,	// VMAXSDrm_Int
-    2282771522U,	// VMAXSDrr
-    2282771522U,	// VMAXSDrr_Int
-    2282774727U,	// VMAXSSZrm
-    2282774727U,	// VMAXSSZrr
-    2282774727U,	// VMAXSSrm
-    2282774727U,	// VMAXSSrm_Int
-    2282774727U,	// VMAXSSrr
-    2282774727U,	// VMAXSSrr_Int
-    12157U,	// VMCALL
-    88941U,	// VMCLEARm
-    11856U,	// VMFUNC
-    2282770953U,	// VMINCPDYrm
-    2282770953U,	// VMINCPDYrr
-    2282770953U,	// VMINCPDrm
-    2282770953U,	// VMINCPDrr
-    2282774178U,	// VMINCPSYrm
-    2282774178U,	// VMINCPSYrr
-    2282774178U,	// VMINCPSrm
-    2282774178U,	// VMINCPSrr
-    2282771442U,	// VMINCSDrm
-    2282771442U,	// VMINCSDrr
-    2282774645U,	// VMINCSSrm
-    2282774645U,	// VMINCSSrr
-    2282770953U,	// VMINPDYrm
-    2282770953U,	// VMINPDYrr
+    2282774329U,	// VMAXPSrm
+    2282774329U,	// VMAXPSrr
+    2282771514U,	// VMAXSDZrm
+    2282771514U,	// VMAXSDZrr
+    2282771514U,	// VMAXSDrm
+    2282771514U,	// VMAXSDrm_Int
+    2282771514U,	// VMAXSDrr
+    2282771514U,	// VMAXSDrr_Int
+    2282774719U,	// VMAXSSZrm
+    2282774719U,	// VMAXSSZrr
+    2282774719U,	// VMAXSSrm
+    2282774719U,	// VMAXSSrm_Int
+    2282774719U,	// VMAXSSrr
+    2282774719U,	// VMAXSSrr_Int
+    12149U,	// VMCALL
+    88933U,	// VMCLEARm
+    11848U,	// VMFUNC
+    2282770945U,	// VMINCPDYrm
+    2282770945U,	// VMINCPDYrr
+    2282770945U,	// VMINCPDrm
+    2282770945U,	// VMINCPDrr
+    2282774170U,	// VMINCPSYrm
+    2282774170U,	// VMINCPSYrr
+    2282774170U,	// VMINCPSrm
+    2282774170U,	// VMINCPSrr
+    2282771434U,	// VMINCSDrm
+    2282771434U,	// VMINCSDrr
+    2282774637U,	// VMINCSSrm
+    2282774637U,	// VMINCSSrr
+    2282770945U,	// VMINPDYrm
+    2282770945U,	// VMINPDYrr
     2282767071U,	// VMINPDZrm
     2282767071U,	// VMINPDZrmb
     2282767071U,	// VMINPDZrr
-    2282770953U,	// VMINPDrm
-    2282770953U,	// VMINPDrr
-    2282774178U,	// VMINPSYrm
-    2282774178U,	// VMINPSYrr
+    2282770945U,	// VMINPDrm
+    2282770945U,	// VMINPDrr
+    2282774170U,	// VMINPSYrm
+    2282774170U,	// VMINPSYrr
     2282768720U,	// VMINPSZrm
     2282768720U,	// VMINPSZrmb
     2282768720U,	// VMINPSZrr
-    2282774178U,	// VMINPSrm
-    2282774178U,	// VMINPSrr
-    2282771442U,	// VMINSDZrm
-    2282771442U,	// VMINSDZrr
-    2282771442U,	// VMINSDrm
-    2282771442U,	// VMINSDrm_Int
-    2282771442U,	// VMINSDrr
-    2282771442U,	// VMINSDrr_Int
-    2282774645U,	// VMINSSZrm
-    2282774645U,	// VMINSSZrr
-    2282774645U,	// VMINSSrm
-    2282774645U,	// VMINSSrm_Int
-    2282774645U,	// VMINSSrr
-    2282774645U,	// VMINSSrr_Int
-    12072U,	// VMLAUNCH
-    12754U,	// VMLOAD32
-    12809U,	// VMLOAD64
-    12149U,	// VMMCALL
-    135289659U,	// VMOV64toPQIZrr
-    135289659U,	// VMOV64toPQIrr
-    135289659U,	// VMOV64toSDZrr
-    168844091U,	// VMOV64toSDrm
-    135289659U,	// VMOV64toSDrr
-    626934U,	// VMOVAPDYmr
-    974147830U,	// VMOVAPDYrm
-    135287030U,	// VMOVAPDYrr
-    135287030U,	// VMOVAPDYrr_REV
-    659702U,	// VMOVAPDZmr
+    2282774170U,	// VMINPSrm
+    2282774170U,	// VMINPSrr
+    2282771434U,	// VMINSDZrm
+    2282771434U,	// VMINSDZrr
+    2282771434U,	// VMINSDrm
+    2282771434U,	// VMINSDrm_Int
+    2282771434U,	// VMINSDrr
+    2282771434U,	// VMINSDrr_Int
+    2282774637U,	// VMINSSZrm
+    2282774637U,	// VMINSSZrr
+    2282774637U,	// VMINSSrm
+    2282774637U,	// VMINSSrm_Int
+    2282774637U,	// VMINSSrr
+    2282774637U,	// VMINSSrr_Int
+    12064U,	// VMLAUNCH
+    12746U,	// VMLOAD32
+    12801U,	// VMLOAD64
+    12141U,	// VMMCALL
+    135289651U,	// VMOV64toPQIZrr
+    135289651U,	// VMOV64toPQIrr
+    135289651U,	// VMOV64toSDZrr
+    168844083U,	// VMOV64toSDrm
+    135289651U,	// VMOV64toSDrr
+    626926U,	// VMOVAPDYmr
+    974147822U,	// VMOVAPDYrm
+    135287022U,	// VMOVAPDYrr
+    135287022U,	// VMOVAPDYrr_REV
+    659694U,	// VMOVAPDZmr
     1007698533U,	// VMOVAPDZrm
     88097381U,	// VMOVAPDZrmk
     135283301U,	// VMOVAPDZrr
     88097381U,	// VMOVAPDZrrk
-    594166U,	// VMOVAPDmr
-    537940214U,	// VMOVAPDrm
-    135287030U,	// VMOVAPDrr
-    135287030U,	// VMOVAPDrr_REV
-    630155U,	// VMOVAPSYmr
-    974151051U,	// VMOVAPSYrm
-    135290251U,	// VMOVAPSYrr
-    135290251U,	// VMOVAPSYrr_REV
-    662923U,	// VMOVAPSZmr
+    594158U,	// VMOVAPDmr
+    537940206U,	// VMOVAPDrm
+    135287022U,	// VMOVAPDrr
+    135287022U,	// VMOVAPDrr_REV
+    630147U,	// VMOVAPSYmr
+    974151043U,	// VMOVAPSYrm
+    135290243U,	// VMOVAPSYrr
+    135290243U,	// VMOVAPSYrr_REV
+    662915U,	// VMOVAPSZmr
     1007700182U,	// VMOVAPSZrm
     88099030U,	// VMOVAPSZrmk
     135284950U,	// VMOVAPSZrr
     88099030U,	// VMOVAPSZrrk
-    597387U,	// VMOVAPSmr
-    537943435U,	// VMOVAPSrm
-    135290251U,	// VMOVAPSrr
-    135290251U,	// VMOVAPSrr_REV
-    974149870U,	// VMOVDDUPYrm
-    135289070U,	// VMOVDDUPYrr
+    597379U,	// VMOVAPSmr
+    537943427U,	// VMOVAPSrm
+    135290243U,	// VMOVAPSrr
+    135290243U,	// VMOVAPSrr_REV
+    974149862U,	// VMOVDDUPYrm
+    135289062U,	// VMOVDDUPYrr
     1007699244U,	// VMOVDDUPZrm
     135284012U,	// VMOVDDUPZrr
-    571496686U,	// VMOVDDUPrm
-    135289070U,	// VMOVDDUPrr
-    101733566U,	// VMOVDI2PDIZrm
-    135287998U,	// VMOVDI2PDIZrr
-    101733566U,	// VMOVDI2PDIrm
-    135287998U,	// VMOVDI2PDIrr
-    101733566U,	// VMOVDI2SSZrm
-    135287998U,	// VMOVDI2SSZrr
-    101733566U,	// VMOVDI2SSrm
-    135287998U,	// VMOVDI2SSrr
-    674611U,	// VMOVDQA32mr
-    940591923U,	// VMOVDQA32rm
-    135285555U,	// VMOVDQA32rr
-    674686U,	// VMOVDQA64mr
-    940591998U,	// VMOVDQA64rm
-    135285630U,	// VMOVDQA64rr
-    642219U,	// VMOVDQAYmr
-    907037867U,	// VMOVDQAYrm
-    135285931U,	// VMOVDQAYrr
-    135285931U,	// VMOVDQAYrr_REV
-    1313963U,	// VMOVDQAmr
-    336612523U,	// VMOVDQArm
-    135285931U,	// VMOVDQArr
-    135285931U,	// VMOVDQArr_REV
+    571496678U,	// VMOVDDUPrm
+    135289062U,	// VMOVDDUPrr
+    101733558U,	// VMOVDI2PDIZrm
+    135287990U,	// VMOVDI2PDIZrr
+    101733558U,	// VMOVDI2PDIrm
+    135287990U,	// VMOVDI2PDIrr
+    101733558U,	// VMOVDI2SSZrm
+    135287990U,	// VMOVDI2SSZrr
+    101733558U,	// VMOVDI2SSrm
+    135287990U,	// VMOVDI2SSrr
+    674603U,	// VMOVDQA32mr
+    940591915U,	// VMOVDQA32rm
+    135285547U,	// VMOVDQA32rr
+    674678U,	// VMOVDQA64mr
+    940591990U,	// VMOVDQA64rm
+    135285622U,	// VMOVDQA64rr
+    642211U,	// VMOVDQAYmr
+    907037859U,	// VMOVDQAYrm
+    135285923U,	// VMOVDQAYrr
+    135285923U,	// VMOVDQAYrr_REV
+    1313955U,	// VMOVDQAmr
+    336612515U,	// VMOVDQArm
+    135285923U,	// VMOVDQArr
+    135285923U,	// VMOVDQArr_REV
     671745U,	// VMOVDQU32mr
     940589057U,	// VMOVDQU32rm
     88096769U,	// VMOVDQU32rmk
@@ -3915,248 +3918,248 @@
     135282701U,	// VMOVDQU64rr
     88096781U,	// VMOVDQU64rrk
     155205645U,	// VMOVDQU64rrkz
-    647627U,	// VMOVDQUYmr
-    907043275U,	// VMOVDQUYrm
-    135291339U,	// VMOVDQUYrr
-    135291339U,	// VMOVDQUYrr_REV
-    1319371U,	// VMOVDQUmr
-    336617931U,	// VMOVDQUrm
-    135291339U,	// VMOVDQUrr
-    135291339U,	// VMOVDQUrr_REV
-    2282774111U,	// VMOVHLPSZrr
-    2282774111U,	// VMOVHLPSrr
-    1184180U,	// VMOVHPDmr
-    2282770868U,	// VMOVHPDrm
-    1187403U,	// VMOVHPSmr
-    2282774091U,	// VMOVHPSrm
-    2282774081U,	// VMOVLHPSZrr
-    2282774081U,	// VMOVLHPSrr
-    1184230U,	// VMOVLPDmr
-    2282770918U,	// VMOVLPDrm
-    1187463U,	// VMOVLPSmr
-    2282774151U,	// VMOVLPSrm
-    135287229U,	// VMOVMSKPDYrr
-    135287229U,	// VMOVMSKPDrr
-    135290452U,	// VMOVMSKPSYrr
-    135290452U,	// VMOVMSKPSrr
-    907037856U,	// VMOVNTDQAYrm
-    336612512U,	// VMOVNTDQArm
-    629288U,	// VMOVNTDQYmr
-    596520U,	// VMOVNTDQmr
-    627259U,	// VMOVNTPDYmr
-    594491U,	// VMOVNTPDmr
-    630504U,	// VMOVNTPSYmr
-    597736U,	// VMOVNTPSmr
-    1119422U,	// VMOVPDI2DIZmr
-    135287998U,	// VMOVPDI2DIZrr
-    1119422U,	// VMOVPDI2DImr
-    135287998U,	// VMOVPDI2DIrr
-    1137467U,	// VMOVPQI2QImr
-    135289659U,	// VMOVPQI2QIrr
-    1137467U,	// VMOVPQIto64Zmr
-    135289659U,	// VMOVPQIto64Zrr
-    135289659U,	// VMOVPQIto64rr
-    168844091U,	// VMOVQI2PQIZrm
-    168844091U,	// VMOVQI2PQIrm
+    647619U,	// VMOVDQUYmr
+    907043267U,	// VMOVDQUYrm
+    135291331U,	// VMOVDQUYrr
+    135291331U,	// VMOVDQUYrr_REV
+    1319363U,	// VMOVDQUmr
+    336617923U,	// VMOVDQUrm
+    135291331U,	// VMOVDQUrr
+    135291331U,	// VMOVDQUrr_REV
+    2282774103U,	// VMOVHLPSZrr
+    2282774103U,	// VMOVHLPSrr
+    1184172U,	// VMOVHPDmr
+    2282770860U,	// VMOVHPDrm
+    1187395U,	// VMOVHPSmr
+    2282774083U,	// VMOVHPSrm
+    2282774073U,	// VMOVLHPSZrr
+    2282774073U,	// VMOVLHPSrr
+    1184222U,	// VMOVLPDmr
+    2282770910U,	// VMOVLPDrm
+    1187455U,	// VMOVLPSmr
+    2282774143U,	// VMOVLPSrm
+    135287221U,	// VMOVMSKPDYrr
+    135287221U,	// VMOVMSKPDrr
+    135290444U,	// VMOVMSKPSYrr
+    135290444U,	// VMOVMSKPSrr
+    907037848U,	// VMOVNTDQAYrm
+    336612504U,	// VMOVNTDQArm
+    629280U,	// VMOVNTDQYmr
+    596512U,	// VMOVNTDQmr
+    627251U,	// VMOVNTPDYmr
+    594483U,	// VMOVNTPDmr
+    630496U,	// VMOVNTPSYmr
+    597728U,	// VMOVNTPSmr
+    1119414U,	// VMOVPDI2DIZmr
+    135287990U,	// VMOVPDI2DIZrr
+    1119414U,	// VMOVPDI2DImr
+    135287990U,	// VMOVPDI2DIrr
+    1137459U,	// VMOVPQI2QImr
+    135289651U,	// VMOVPQI2QIrr
+    1137459U,	// VMOVPQIto64Zmr
+    135289651U,	// VMOVPQIto64Zrr
+    135289651U,	// VMOVPQIto64rr
+    168844083U,	// VMOVQI2PQIZrm
+    168844083U,	// VMOVQI2PQIrm
     1180723U,	// VMOVSDZmr
     571491379U,	// VMOVSDZrm
     2282767411U,	// VMOVSDZrr
-    2282771505U,	// VMOVSDZrr_REV
+    2282771497U,	// VMOVSDZrr_REV
     88097843U,	// VMOVSDZrrk
-    1184817U,	// VMOVSDmr
-    571495473U,	// VMOVSDrm
-    2282771505U,	// VMOVSDrr
-    2282771505U,	// VMOVSDrr_REV
-    1137467U,	// VMOVSDto64Zmr
-    135289659U,	// VMOVSDto64Zrr
-    1137467U,	// VMOVSDto64mr
-    135289659U,	// VMOVSDto64rr
-    974149880U,	// VMOVSHDUPYrm
-    135289080U,	// VMOVSHDUPYrr
+    1184809U,	// VMOVSDmr
+    571495465U,	// VMOVSDrm
+    2282771497U,	// VMOVSDrr
+    2282771497U,	// VMOVSDrr_REV
+    1137459U,	// VMOVSDto64Zmr
+    135289651U,	// VMOVSDto64Zrr
+    1137459U,	// VMOVSDto64mr
+    135289651U,	// VMOVSDto64rr
+    974149872U,	// VMOVSHDUPYrm
+    135289072U,	// VMOVSHDUPYrr
     1007699255U,	// VMOVSHDUPZrm
     135284023U,	// VMOVSHDUPZrr
-    537942264U,	// VMOVSHDUPrm
-    135289080U,	// VMOVSHDUPrr
-    974149891U,	// VMOVSLDUPYrm
-    135289091U,	// VMOVSLDUPYrr
+    537942256U,	// VMOVSHDUPrm
+    135289072U,	// VMOVSHDUPrr
+    974149883U,	// VMOVSLDUPYrm
+    135289083U,	// VMOVSLDUPYrr
     1007699267U,	// VMOVSLDUPZrm
     135284035U,	// VMOVSLDUPZrr
-    537942275U,	// VMOVSLDUPrm
-    135289091U,	// VMOVSLDUPrr
-    1119422U,	// VMOVSS2DIZmr
-    135287998U,	// VMOVSS2DIZrr
-    1119422U,	// VMOVSS2DImr
-    135287998U,	// VMOVSS2DIrr
+    537942267U,	// VMOVSLDUPrm
+    135289083U,	// VMOVSLDUPrr
+    1119414U,	// VMOVSS2DIZmr
+    135287990U,	// VMOVSS2DIZrr
+    1119414U,	// VMOVSS2DImr
+    135287990U,	// VMOVSS2DIrr
     1165881U,	// VMOVSSZmr
     605047353U,	// VMOVSSZrm
     2282768953U,	// VMOVSSZrr
-    2282774719U,	// VMOVSSZrr_REV
+    2282774711U,	// VMOVSSZrr_REV
     88099385U,	// VMOVSSZrrk
-    1171647U,	// VMOVSSmr
-    605053119U,	// VMOVSSrm
-    2282774719U,	// VMOVSSrr
-    2282774719U,	// VMOVSSrr_REV
-    627287U,	// VMOVUPDYmr
-    974148183U,	// VMOVUPDYrm
-    135287383U,	// VMOVUPDYrr
-    135287383U,	// VMOVUPDYrr_REV
-    660055U,	// VMOVUPDZmr
+    1171639U,	// VMOVSSmr
+    605053111U,	// VMOVSSrm
+    2282774711U,	// VMOVSSrr
+    2282774711U,	// VMOVSSrr_REV
+    627279U,	// VMOVUPDYmr
+    974148175U,	// VMOVUPDYrm
+    135287375U,	// VMOVUPDYrr
+    135287375U,	// VMOVUPDYrr_REV
+    660047U,	// VMOVUPDZmr
     1007698700U,	// VMOVUPDZrm
     88097548U,	// VMOVUPDZrmk
     135283468U,	// VMOVUPDZrr
     88097548U,	// VMOVUPDZrrk
-    594519U,	// VMOVUPDmr
-    537940567U,	// VMOVUPDrm
-    135287383U,	// VMOVUPDrr
-    135287383U,	// VMOVUPDrr_REV
-    630553U,	// VMOVUPSYmr
-    974151449U,	// VMOVUPSYrm
-    135290649U,	// VMOVUPSYrr
-    135290649U,	// VMOVUPSYrr_REV
-    663321U,	// VMOVUPSZmr
+    594511U,	// VMOVUPDmr
+    537940559U,	// VMOVUPDrm
+    135287375U,	// VMOVUPDrr
+    135287375U,	// VMOVUPDrr_REV
+    630545U,	// VMOVUPSYmr
+    974151441U,	// VMOVUPSYrm
+    135290641U,	// VMOVUPSYrr
+    135290641U,	// VMOVUPSYrr_REV
+    663313U,	// VMOVUPSZmr
     1007700349U,	// VMOVUPSZrm
     88099197U,	// VMOVUPSZrmk
     135285117U,	// VMOVUPSZrr
     88099197U,	// VMOVUPSZrrk
-    597785U,	// VMOVUPSmr
-    537943833U,	// VMOVUPSrm
-    135290649U,	// VMOVUPSrr
-    135290649U,	// VMOVUPSrr_REV
-    336616251U,	// VMOVZPQILo2PQIZrm
-    135289659U,	// VMOVZPQILo2PQIZrr
-    336616251U,	// VMOVZPQILo2PQIrm
-    135289659U,	// VMOVZPQILo2PQIrr
-    168844091U,	// VMOVZQI2PQIrm
-    135289659U,	// VMOVZQI2PQIrr
-    2282775060U,	// VMPSADBWYrmi
-    2282775060U,	// VMPSADBWYrri
-    2282775060U,	// VMPSADBWrmi
-    2282775060U,	// VMPSADBWrri
-    85904U,	// VMPTRLDm
-    90530U,	// VMPTRSTm
-    1117833U,	// VMREAD32rm
-    135286409U,	// VMREAD32rr
-    1134217U,	// VMREAD64rm
-    135286409U,	// VMREAD64rr
-    11982U,	// VMRESUME
-    12776U,	// VMRUN32
-    12831U,	// VMRUN64
-    12765U,	// VMSAVE32
-    12820U,	// VMSAVE64
-    2282770910U,	// VMULPDYrm
-    2282770910U,	// VMULPDYrr
+    597777U,	// VMOVUPSmr
+    537943825U,	// VMOVUPSrm
+    135290641U,	// VMOVUPSrr
+    135290641U,	// VMOVUPSrr_REV
+    336616243U,	// VMOVZPQILo2PQIZrm
+    135289651U,	// VMOVZPQILo2PQIZrr
+    336616243U,	// VMOVZPQILo2PQIrm
+    135289651U,	// VMOVZPQILo2PQIrr
+    168844083U,	// VMOVZQI2PQIrm
+    135289651U,	// VMOVZQI2PQIrr
+    2282775052U,	// VMPSADBWYrmi
+    2282775052U,	// VMPSADBWYrri
+    2282775052U,	// VMPSADBWrmi
+    2282775052U,	// VMPSADBWrri
+    85896U,	// VMPTRLDm
+    90522U,	// VMPTRSTm
+    1117825U,	// VMREAD32rm
+    135286401U,	// VMREAD32rr
+    1134209U,	// VMREAD64rm
+    135286401U,	// VMREAD64rr
+    11974U,	// VMRESUME
+    12768U,	// VMRUN32
+    12823U,	// VMRUN64
+    12757U,	// VMSAVE32
+    12812U,	// VMSAVE64
+    2282770902U,	// VMULPDYrm
+    2282770902U,	// VMULPDYrr
     2282767040U,	// VMULPDZrm
     2282767040U,	// VMULPDZrmb
     2282767040U,	// VMULPDZrr
-    2282770910U,	// VMULPDrm
-    2282770910U,	// VMULPDrr
-    2282774143U,	// VMULPSYrm
-    2282774143U,	// VMULPSYrr
+    2282770902U,	// VMULPDrm
+    2282770902U,	// VMULPDrr
+    2282774135U,	// VMULPSYrm
+    2282774135U,	// VMULPSYrr
     2282768689U,	// VMULPSZrm
     2282768689U,	// VMULPSZrmb
     2282768689U,	// VMULPSZrr
-    2282774143U,	// VMULPSrm
-    2282774143U,	// VMULPSrr
-    2282771425U,	// VMULSDZrm
-    2282771425U,	// VMULSDZrr
-    2282771425U,	// VMULSDrm
-    2282771425U,	// VMULSDrm_Int
-    2282771425U,	// VMULSDrr
-    2282771425U,	// VMULSDrr_Int
-    2282774637U,	// VMULSSZrm
-    2282774637U,	// VMULSSZrr
-    2282774637U,	// VMULSSrm
-    2282774637U,	// VMULSSrm_Int
-    2282774637U,	// VMULSSrr
-    2282774637U,	// VMULSSrr_Int
-    101733893U,	// VMWRITE32rm
-    135288325U,	// VMWRITE32rr
-    168842757U,	// VMWRITE64rm
-    135288325U,	// VMWRITE64rr
-    12039U,	// VMXOFF
-    88093U,	// VMXON
-    2282770988U,	// VORPDYrm
-    2282770988U,	// VORPDYrr
-    2282770988U,	// VORPDrm
-    2282770988U,	// VORPDrr
-    2282774221U,	// VORPSYrm
-    2282774221U,	// VORPSYrr
-    2282774221U,	// VORPSrm
-    2282774221U,	// VORPSrr
-    336612695U,	// VPABSBrm128
-    907038039U,	// VPABSBrm256
-    135286103U,	// VPABSBrr128
-    135286103U,	// VPABSBrr256
+    2282774135U,	// VMULPSrm
+    2282774135U,	// VMULPSrr
+    2282771417U,	// VMULSDZrm
+    2282771417U,	// VMULSDZrr
+    2282771417U,	// VMULSDrm
+    2282771417U,	// VMULSDrm_Int
+    2282771417U,	// VMULSDrr
+    2282771417U,	// VMULSDrr_Int
+    2282774629U,	// VMULSSZrm
+    2282774629U,	// VMULSSZrr
+    2282774629U,	// VMULSSrm
+    2282774629U,	// VMULSSrm_Int
+    2282774629U,	// VMULSSrr
+    2282774629U,	// VMULSSrr_Int
+    101733885U,	// VMWRITE32rm
+    135288317U,	// VMWRITE32rr
+    168842749U,	// VMWRITE64rm
+    135288317U,	// VMWRITE64rr
+    12031U,	// VMXOFF
+    88085U,	// VMXON
+    2282770980U,	// VORPDYrm
+    2282770980U,	// VORPDYrr
+    2282770980U,	// VORPDrm
+    2282770980U,	// VORPDrr
+    2282774213U,	// VORPSYrm
+    2282774213U,	// VORPSYrr
+    2282774213U,	// VORPSrm
+    2282774213U,	// VORPSrr
+    336612687U,	// VPABSBrm128
+    907038031U,	// VPABSBrm256
+    135286095U,	// VPABSBrr128
+    135286095U,	// VPABSBrr256
     940590083U,	// VPABSDrm
-    336614274U,	// VPABSDrm128
-    907039618U,	// VPABSDrm256
+    336614266U,	// VPABSDrm128
+    907039610U,	// VPABSDrm256
     135283715U,	// VPABSDrr
-    135287682U,	// VPABSDrr128
-    135287682U,	// VPABSDrr256
+    135287674U,	// VPABSDrr128
+    135287674U,	// VPABSDrr256
     940590882U,	// VPABSQrm
     135284514U,	// VPABSQrr
-    336618334U,	// VPABSWrm128
-    907043678U,	// VPABSWrm256
-    135291742U,	// VPABSWrr128
-    135291742U,	// VPABSWrr256
-    2282775205U,	// VPACKSSDWYrm
-    2282775205U,	// VPACKSSDWYrr
-    2282775205U,	// VPACKSSDWrm
-    2282775205U,	// VPACKSSDWrr
-    2282769942U,	// VPACKSSWBYrm
-    2282769942U,	// VPACKSSWBYrr
-    2282769942U,	// VPACKSSWBrm
-    2282769942U,	// VPACKSSWBrr
-    2282775216U,	// VPACKUSDWYrm
-    2282775216U,	// VPACKUSDWYrr
-    2282775216U,	// VPACKUSDWrm
-    2282775216U,	// VPACKUSDWrr
-    2282769953U,	// VPACKUSWBYrm
-    2282769953U,	// VPACKUSWBYrr
-    2282769953U,	// VPACKUSWBrm
-    2282769953U,	// VPACKUSWBrr
-    2282769658U,	// VPADDBYrm
-    2282769658U,	// VPADDBYrr
-    2282769658U,	// VPADDBrm
-    2282769658U,	// VPADDBrr
-    2282770170U,	// VPADDDYrm
-    2282770170U,	// VPADDDYrr
+    336618326U,	// VPABSWrm128
+    907043670U,	// VPABSWrm256
+    135291734U,	// VPABSWrr128
+    135291734U,	// VPABSWrr256
+    2282775197U,	// VPACKSSDWYrm
+    2282775197U,	// VPACKSSDWYrr
+    2282775197U,	// VPACKSSDWrm
+    2282775197U,	// VPACKSSDWrr
+    2282769934U,	// VPACKSSWBYrm
+    2282769934U,	// VPACKSSWBYrr
+    2282769934U,	// VPACKSSWBrm
+    2282769934U,	// VPACKSSWBrr
+    2282775208U,	// VPACKUSDWYrm
+    2282775208U,	// VPACKUSDWYrr
+    2282775208U,	// VPACKUSDWrm
+    2282775208U,	// VPACKUSDWrr
+    2282769945U,	// VPACKUSWBYrm
+    2282769945U,	// VPACKUSWBYrr
+    2282769945U,	// VPACKUSWBrm
+    2282769945U,	// VPACKUSWBrr
+    2282769650U,	// VPADDBYrm
+    2282769650U,	// VPADDBYrr
+    2282769650U,	// VPADDBrm
+    2282769650U,	// VPADDBrr
+    2282770162U,	// VPADDDYrm
+    2282770162U,	// VPADDDYrr
     2282766509U,	// VPADDDZrm
     2282766509U,	// VPADDDZrmb
     2282766509U,	// VPADDDZrr
-    2282770170U,	// VPADDDrm
-    2282770170U,	// VPADDDrr
-    2282772913U,	// VPADDQYrm
-    2282772913U,	// VPADDQYrr
+    2282770162U,	// VPADDDrm
+    2282770162U,	// VPADDDrr
+    2282772905U,	// VPADDQYrm
+    2282772905U,	// VPADDQYrr
     2282767827U,	// VPADDQZrm
     2282767827U,	// VPADDQZrmb
     2282767827U,	// VPADDQZrr
-    2282772913U,	// VPADDQrm
-    2282772913U,	// VPADDQrr
-    2282769768U,	// VPADDSBYrm
-    2282769768U,	// VPADDSBYrr
-    2282769768U,	// VPADDSBrm
-    2282769768U,	// VPADDSBrr
-    2282775439U,	// VPADDSWYrm
-    2282775439U,	// VPADDSWYrr
-    2282775439U,	// VPADDSWrm
-    2282775439U,	// VPADDSWrr
-    2282769810U,	// VPADDUSBYrm
-    2282769810U,	// VPADDUSBYrr
-    2282769810U,	// VPADDUSBrm
-    2282769810U,	// VPADDUSBrr
-    2282775512U,	// VPADDUSWYrm
-    2282775512U,	// VPADDUSWYrr
-    2282775512U,	// VPADDUSWrm
-    2282775512U,	// VPADDUSWrr
-    2282775187U,	// VPADDWYrm
-    2282775187U,	// VPADDWYrr
-    2282775187U,	// VPADDWrm
-    2282775187U,	// VPADDWrr
-    2282773409U,	// VPALIGNR128rm
-    2282773409U,	// VPALIGNR128rr
-    2282773409U,	// VPALIGNR256rm
-    2282773409U,	// VPALIGNR256rr
+    2282772905U,	// VPADDQrm
+    2282772905U,	// VPADDQrr
+    2282769760U,	// VPADDSBYrm
+    2282769760U,	// VPADDSBYrr
+    2282769760U,	// VPADDSBrm
+    2282769760U,	// VPADDSBrr
+    2282775431U,	// VPADDSWYrm
+    2282775431U,	// VPADDSWYrr
+    2282775431U,	// VPADDSWrm
+    2282775431U,	// VPADDSWrr
+    2282769802U,	// VPADDUSBYrm
+    2282769802U,	// VPADDUSBYrr
+    2282769802U,	// VPADDUSBrm
+    2282769802U,	// VPADDUSBrr
+    2282775504U,	// VPADDUSWYrm
+    2282775504U,	// VPADDUSWYrr
+    2282775504U,	// VPADDUSWrm
+    2282775504U,	// VPADDUSWrr
+    2282775179U,	// VPADDWYrm
+    2282775179U,	// VPADDWYrr
+    2282775179U,	// VPADDWrm
+    2282775179U,	// VPADDWrr
+    2282773401U,	// VPALIGNR128rm
+    2282773401U,	// VPALIGNR128rr
+    2282773401U,	// VPALIGNR256rm
+    2282773401U,	// VPALIGNR256rr
     2282766518U,	// VPANDDZrm
     2282766518U,	// VPANDDZrmb
     2282766518U,	// VPANDDZrr
@@ -4166,167 +4169,167 @@
     2282768087U,	// VPANDNQZrm
     2282768087U,	// VPANDNQZrmb
     2282768087U,	// VPANDNQZrr
-    2282772486U,	// VPANDNYrm
-    2282772486U,	// VPANDNYrr
-    2282772486U,	// VPANDNrm
-    2282772486U,	// VPANDNrr
+    2282772478U,	// VPANDNYrm
+    2282772478U,	// VPANDNYrr
+    2282772478U,	// VPANDNrm
+    2282772478U,	// VPANDNrr
     2282767872U,	// VPANDQZrm
     2282767872U,	// VPANDQZrmb
     2282767872U,	// VPANDQZrr
-    2282770345U,	// VPANDYrm
-    2282770345U,	// VPANDYrr
-    2282770345U,	// VPANDrm
-    2282770345U,	// VPANDrr
-    2282769675U,	// VPAVGBYrm
-    2282769675U,	// VPAVGBYrr
-    2282769675U,	// VPAVGBrm
-    2282769675U,	// VPAVGBrr
-    2282775242U,	// VPAVGWYrm
-    2282775242U,	// VPAVGWYrr
-    2282775242U,	// VPAVGWrm
-    2282775242U,	// VPAVGWrr
-    2282770178U,	// VPBLENDDYrmi
-    2282770178U,	// VPBLENDDYrri
-    2282770178U,	// VPBLENDDrmi
-    2282770178U,	// VPBLENDDrri
+    2282770337U,	// VPANDYrm
+    2282770337U,	// VPANDYrr
+    2282770337U,	// VPANDrm
+    2282770337U,	// VPANDrr
+    2282769667U,	// VPAVGBYrm
+    2282769667U,	// VPAVGBYrr
+    2282769667U,	// VPAVGBrm
+    2282769667U,	// VPAVGBrr
+    2282775234U,	// VPAVGWYrm
+    2282775234U,	// VPAVGWYrr
+    2282775234U,	// VPAVGWrm
+    2282775234U,	// VPAVGWrr
+    2282770170U,	// VPBLENDDYrmi
+    2282770170U,	// VPBLENDDYrri
+    2282770170U,	// VPBLENDDrmi
+    2282770170U,	// VPBLENDDrri
     155205888U,	// VPBLENDMDZrm
     155205888U,	// VPBLENDMDZrr
     155207339U,	// VPBLENDMQZrm
     155207339U,	// VPBLENDMQZrr
-    2282769924U,	// VPBLENDVBYrm
-    2282769924U,	// VPBLENDVBYrr
-    2282769924U,	// VPBLENDVBrm
-    2282769924U,	// VPBLENDVBrr
-    2282775195U,	// VPBLENDWYrmi
-    2282775195U,	// VPBLENDWYrri
-    2282775195U,	// VPBLENDWrmi
-    2282775195U,	// VPBLENDWrri
-    504384973U,	// VPBROADCASTBYrm
-    135286221U,	// VPBROADCASTBYrr
-    504384973U,	// VPBROADCASTBrm
-    135286221U,	// VPBROADCASTBrr
-    101733477U,	// VPBROADCASTDYrm
-    135287909U,	// VPBROADCASTDYrr
+    2282769916U,	// VPBLENDVBYrm
+    2282769916U,	// VPBLENDVBYrr
+    2282769916U,	// VPBLENDVBrm
+    2282769916U,	// VPBLENDVBrr
+    2282775187U,	// VPBLENDWYrmi
+    2282775187U,	// VPBLENDWYrri
+    2282775187U,	// VPBLENDWrmi
+    2282775187U,	// VPBLENDWrri
+    504384965U,	// VPBROADCASTBYrm
+    135286213U,	// VPBROADCASTBYrr
+    504384965U,	// VPBROADCASTBrm
+    135286213U,	// VPBROADCASTBrr
+    101733469U,	// VPBROADCASTDYrm
+    135287901U,	// VPBROADCASTDYrr
     155206751U,	// VPBROADCASTDZkrm
     155206751U,	// VPBROADCASTDZkrr
     101729375U,	// VPBROADCASTDZrm
     135283807U,	// VPBROADCASTDZrr
     155206751U,	// VPBROADCASTDrZkrr
     135283807U,	// VPBROADCASTDrZrr
-    101733477U,	// VPBROADCASTDrm
-    135287909U,	// VPBROADCASTDrr
+    101733469U,	// VPBROADCASTDrm
+    135287901U,	// VPBROADCASTDrr
     135284047U,	// VPBROADCASTMB2Qrr
     135282801U,	// VPBROADCASTMW2Drr
-    168844029U,	// VPBROADCASTQYrm
-    135289597U,	// VPBROADCASTQYrr
+    168844021U,	// VPBROADCASTQYrm
+    135289589U,	// VPBROADCASTQYrr
     155207512U,	// VPBROADCASTQZkrm
     155207512U,	// VPBROADCASTQZkrr
     168839000U,	// VPBROADCASTQZrm
     135284568U,	// VPBROADCASTQZrr
     155207512U,	// VPBROADCASTQrZkrr
     135284568U,	// VPBROADCASTQrZrr
-    168844029U,	// VPBROADCASTQrm
-    135289597U,	// VPBROADCASTQrr
-    370172932U,	// VPBROADCASTWYrm
-    135291908U,	// VPBROADCASTWYrr
-    370172932U,	// VPBROADCASTWrm
-    135291908U,	// VPBROADCASTWrr
-    2282773008U,	// VPCLMULQDQrm
-    2282773008U,	// VPCLMULQDQrr
-    2282775026U,	// VPCMOVmr
-    2282775026U,	// VPCMOVmrY
-    2282775026U,	// VPCMOVrm
-    2282775026U,	// VPCMOVrmY
-    2282775026U,	// VPCMOVrr
-    2282775026U,	// VPCMOVrrY
-    1198878708U,	// VPCMPDZrmi
-    2282770927U,	// VPCMPDZrmi_alt
-    91598836U,	// VPCMPDZrri
-    2282770927U,	// VPCMPDZrri_alt
-    2282769723U,	// VPCMPEQBYrm
-    2282769723U,	// VPCMPEQBYrr
-    2282769723U,	// VPCMPEQBrm
-    2282769723U,	// VPCMPEQBrr
-    2282771088U,	// VPCMPEQDYrm
-    2282771088U,	// VPCMPEQDYrr
+    168844021U,	// VPBROADCASTQrm
+    135289589U,	// VPBROADCASTQrr
+    370172924U,	// VPBROADCASTWYrm
+    135291900U,	// VPBROADCASTWYrr
+    370172924U,	// VPBROADCASTWrm
+    135291900U,	// VPBROADCASTWrr
+    2282773000U,	// VPCLMULQDQrm
+    2282773000U,	// VPCLMULQDQrr
+    2282775018U,	// VPCMOVmr
+    2282775018U,	// VPCMOVmrY
+    2282775018U,	// VPCMOVrm
+    2282775018U,	// VPCMOVrmY
+    2282775018U,	// VPCMOVrr
+    2282775018U,	// VPCMOVrrY
+    1198878700U,	// VPCMPDZrmi
+    2282770919U,	// VPCMPDZrmi_alt
+    91598828U,	// VPCMPDZrri
+    2282770919U,	// VPCMPDZrri_alt
+    2282769715U,	// VPCMPEQBYrm
+    2282769715U,	// VPCMPEQBYrr
+    2282769715U,	// VPCMPEQBrm
+    2282769715U,	// VPCMPEQBrr
+    2282771080U,	// VPCMPEQDYrm
+    2282771080U,	// VPCMPEQDYrr
     2282767144U,	// VPCMPEQDZrm
     2282767144U,	// VPCMPEQDZrr
-    2282771088U,	// VPCMPEQDrm
-    2282771088U,	// VPCMPEQDrr
-    2282773149U,	// VPCMPEQQYrm
-    2282773149U,	// VPCMPEQQYrr
+    2282771080U,	// VPCMPEQDrm
+    2282771080U,	// VPCMPEQDrr
+    2282773141U,	// VPCMPEQQYrm
+    2282773141U,	// VPCMPEQQYrr
     2282768107U,	// VPCMPEQQZrm
     2282768107U,	// VPCMPEQQZrr
-    2282773149U,	// VPCMPEQQrm
-    2282773149U,	// VPCMPEQQrr
-    2282775347U,	// VPCMPEQWYrm
-    2282775347U,	// VPCMPEQWYrr
-    2282775347U,	// VPCMPEQWrm
-    2282775347U,	// VPCMPEQWrr
+    2282773141U,	// VPCMPEQQrm
+    2282773141U,	// VPCMPEQQrr
+    2282775339U,	// VPCMPEQWYrm
+    2282775339U,	// VPCMPEQWYrr
+    2282775339U,	// VPCMPEQWrm
+    2282775339U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    2484098809U,	// VPCMPESTRIrm
-    2282772217U,	// VPCMPESTRIrr
+    2484098801U,	// VPCMPESTRIrm
+    2282772209U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    2484099054U,	// VPCMPESTRM128rm
-    2282772462U,	// VPCMPESTRM128rr
-    2282769851U,	// VPCMPGTBYrm
-    2282769851U,	// VPCMPGTBYrr
-    2282769851U,	// VPCMPGTBrm
-    2282769851U,	// VPCMPGTBrr
-    2282771539U,	// VPCMPGTDYrm
-    2282771539U,	// VPCMPGTDYrr
+    2484099046U,	// VPCMPESTRM128rm
+    2282772454U,	// VPCMPESTRM128rr
+    2282769843U,	// VPCMPGTBYrm
+    2282769843U,	// VPCMPGTBYrr
+    2282769843U,	// VPCMPGTBrm
+    2282769843U,	// VPCMPGTBrr
+    2282771531U,	// VPCMPGTDYrm
+    2282771531U,	// VPCMPGTDYrr
     2282767444U,	// VPCMPGTDZrm
     2282767444U,	// VPCMPGTDZrr
-    2282771539U,	// VPCMPGTDrm
-    2282771539U,	// VPCMPGTDrr
-    2282773210U,	// VPCMPGTQYrm
-    2282773210U,	// VPCMPGTQYrr
+    2282771531U,	// VPCMPGTDrm
+    2282771531U,	// VPCMPGTDrr
+    2282773202U,	// VPCMPGTQYrm
+    2282773202U,	// VPCMPGTQYrr
     2282768205U,	// VPCMPGTQZrm
     2282768205U,	// VPCMPGTQZrr
-    2282773210U,	// VPCMPGTQrm
-    2282773210U,	// VPCMPGTQrr
-    2282775538U,	// VPCMPGTWYrm
-    2282775538U,	// VPCMPGTWYrr
-    2282775538U,	// VPCMPGTWrm
-    2282775538U,	// VPCMPGTWrr
+    2282773202U,	// VPCMPGTQrm
+    2282773202U,	// VPCMPGTQrr
+    2282775530U,	// VPCMPGTWYrm
+    2282775530U,	// VPCMPGTWYrr
+    2282775530U,	// VPCMPGTWrm
+    2282775530U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    2484098821U,	// VPCMPISTRIrm
-    2282772229U,	// VPCMPISTRIrr
+    2484098813U,	// VPCMPISTRIrm
+    2282772221U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    2484099066U,	// VPCMPISTRM128rm
-    2282772474U,	// VPCMPISTRM128rr
-    1199927284U,	// VPCMPQZrmi
-    2282773141U,	// VPCMPQZrmi_alt
-    92647412U,	// VPCMPQZrri
-    2282773141U,	// VPCMPQZrri_alt
-    1200975860U,	// VPCMPUDZrmi
-    2282771589U,	// VPCMPUDZrmi_alt
-    93695988U,	// VPCMPUDZrri
-    2282771589U,	// VPCMPUDZrri_alt
-    1202024436U,	// VPCMPUQZrmi
-    2282773268U,	// VPCMPUQZrmi_alt
-    94744564U,	// VPCMPUQZrri
-    2282773268U,	// VPCMPUQZrri_alt
-    2282769706U,	// VPCOMBmi
-    2282769706U,	// VPCOMBri
-    2282770329U,	// VPCOMDmi
-    2282770329U,	// VPCOMDri
-    2282773125U,	// VPCOMQmi
-    2282773125U,	// VPCOMQri
-    2282769883U,	// VPCOMUBmi
-    2282769883U,	// VPCOMUBri
-    2282771571U,	// VPCOMUDmi
-    2282771571U,	// VPCOMUDri
-    2282773259U,	// VPCOMUQmi
-    2282773259U,	// VPCOMUQri
-    2282775580U,	// VPCOMUWmi
-    2282775580U,	// VPCOMUWri
-    2282775330U,	// VPCOMWmi
-    2282775330U,	// VPCOMWri
+    2484099058U,	// VPCMPISTRM128rm
+    2282772466U,	// VPCMPISTRM128rr
+    1199927276U,	// VPCMPQZrmi
+    2282773133U,	// VPCMPQZrmi_alt
+    92647404U,	// VPCMPQZrri
+    2282773133U,	// VPCMPQZrri_alt
+    1200975852U,	// VPCMPUDZrmi
+    2282771581U,	// VPCMPUDZrmi_alt
+    93695980U,	// VPCMPUDZrri
+    2282771581U,	// VPCMPUDZrri_alt
+    1202024428U,	// VPCMPUQZrmi
+    2282773260U,	// VPCMPUQZrmi_alt
+    94744556U,	// VPCMPUQZrri
+    2282773260U,	// VPCMPUQZrri_alt
+    2282769698U,	// VPCOMBmi
+    2282769698U,	// VPCOMBri
+    2282770321U,	// VPCOMDmi
+    2282770321U,	// VPCOMDri
+    2282773117U,	// VPCOMQmi
+    2282773117U,	// VPCOMQri
+    2282769875U,	// VPCOMUBmi
+    2282769875U,	// VPCOMUBri
+    2282771563U,	// VPCOMUDmi
+    2282771563U,	// VPCOMUDri
+    2282773251U,	// VPCOMUQmi
+    2282773251U,	// VPCOMUQri
+    2282775572U,	// VPCOMUWmi
+    2282775572U,	// VPCOMUWri
+    2282775322U,	// VPCOMWmi
+    2282775322U,	// VPCOMWri
     940590150U,	// VPCONFLICTDrm
     2249212998U,	// VPCONFLICTDrmb
     88097862U,	// VPCONFLICTDrmbk
@@ -4345,12 +4348,12 @@
     135284543U,	// VPCONFLICTQrr
     88098623U,	// VPCONFLICTQrrk
     155207487U,	// VPCONFLICTQrrkz
-    2282769449U,	// VPERM2F128rm
-    2282769449U,	// VPERM2F128rr
-    2282769504U,	// VPERM2I128rm
-    2282769504U,	// VPERM2I128rr
-    2282770337U,	// VPERMDYrm
-    2282770337U,	// VPERMDYrr
+    2282769441U,	// VPERM2F128rm
+    2282769441U,	// VPERM2F128rr
+    2282769496U,	// VPERM2I128rm
+    2282769496U,	// VPERM2I128rr
+    2282770329U,	// VPERMDYrm
+    2282770329U,	// VPERMDYrr
     2282766616U,	// VPERMDZrm
     2282766616U,	// VPERMDZrr
     2215657563U,	// VPERMI2Drm
@@ -4361,50 +4364,50 @@
     2215659545U,	// VPERMI2PSrr
     2215658849U,	// VPERMI2Qrm
     2215658849U,	// VPERMI2Qrr
-    2282770558U,	// VPERMIL2PDmr
-    2282770558U,	// VPERMIL2PDmrY
-    2282770558U,	// VPERMIL2PDrm
-    2282770558U,	// VPERMIL2PDrmY
-    2282770558U,	// VPERMIL2PDrr
-    2282770558U,	// VPERMIL2PDrrY
-    2282773790U,	// VPERMIL2PSmr
-    2282773790U,	// VPERMIL2PSmrY
-    2282773790U,	// VPERMIL2PSrm
-    2282773790U,	// VPERMIL2PSrmY
-    2282773790U,	// VPERMIL2PSrr
-    2282773790U,	// VPERMIL2PSrrY
-    3121631688U,	// VPERMILPDYmi
-    2282770888U,	// VPERMILPDYri
-    2282770888U,	// VPERMILPDYrm
-    2282770888U,	// VPERMILPDYrr
+    2282770550U,	// VPERMIL2PDmr
+    2282770550U,	// VPERMIL2PDmrY
+    2282770550U,	// VPERMIL2PDrm
+    2282770550U,	// VPERMIL2PDrmY
+    2282770550U,	// VPERMIL2PDrr
+    2282770550U,	// VPERMIL2PDrrY
+    2282773782U,	// VPERMIL2PSmr
+    2282773782U,	// VPERMIL2PSmrY
+    2282773782U,	// VPERMIL2PSrm
+    2282773782U,	// VPERMIL2PSrmY
+    2282773782U,	// VPERMIL2PSrr
+    2282773782U,	// VPERMIL2PSrrY
+    3121631680U,	// VPERMILPDYmi
+    2282770880U,	// VPERMILPDYri
+    2282770880U,	// VPERMILPDYrm
+    2282770880U,	// VPERMILPDYrr
     3088073396U,	// VPERMILPDZmi
     2282767028U,	// VPERMILPDZri
-    2685424072U,	// VPERMILPDmi
-    2282770888U,	// VPERMILPDri
-    2282770888U,	// VPERMILPDrm
-    2282770888U,	// VPERMILPDrr
-    3121634921U,	// VPERMILPSYmi
-    2282774121U,	// VPERMILPSYri
-    2282774121U,	// VPERMILPSYrm
-    2282774121U,	// VPERMILPSYrr
+    2685424064U,	// VPERMILPDmi
+    2282770880U,	// VPERMILPDri
+    2282770880U,	// VPERMILPDrm
+    2282770880U,	// VPERMILPDrr
+    3121634913U,	// VPERMILPSYmi
+    2282774113U,	// VPERMILPSYri
+    2282774113U,	// VPERMILPSYrm
+    2282774113U,	// VPERMILPSYrr
     3088075045U,	// VPERMILPSZmi
     2282768677U,	// VPERMILPSZri
-    2685427305U,	// VPERMILPSmi
-    2282774121U,	// VPERMILPSri
-    2282774121U,	// VPERMILPSrm
-    2282774121U,	// VPERMILPSrr
-    3054522871U,	// VPERMPDYmi
-    2282770935U,	// VPERMPDYri
+    2685427297U,	// VPERMILPSmi
+    2282774113U,	// VPERMILPSri
+    2282774113U,	// VPERMILPSrm
+    2282774113U,	// VPERMILPSrr
+    3054522863U,	// VPERMPDYmi
+    2282770927U,	// VPERMPDYri
     3155182293U,	// VPERMPDZmi
     2282767061U,	// VPERMPDZri
     2282767061U,	// VPERMPDZrm
     2282767061U,	// VPERMPDZrr
-    2282774160U,	// VPERMPSYrm
-    2282774160U,	// VPERMPSYrr
+    2282774152U,	// VPERMPSYrm
+    2282774152U,	// VPERMPSYrr
     2282768710U,	// VPERMPSZrm
     2282768710U,	// VPERMPSZrr
-    3054525069U,	// VPERMQYmi
-    2282773133U,	// VPERMQYri
+    3054525061U,	// VPERMQYmi
+    2282773125U,	// VPERMQYri
     3088074435U,	// VPERMQZmi
     2282768067U,	// VPERMQZri
     2282768067U,	// VPERMQZrm
@@ -4417,453 +4420,453 @@
     2215659582U,	// VPERMT2PSrr
     2215658860U,	// VPERMT2Qrm
     2215658860U,	// VPERMT2Qrr
-    2148633934U,	// VPEXTRBmr
-    2282769742U,	// VPEXTRBrr
-    2148602549U,	// VPEXTRDmr
-    2282771125U,	// VPEXTRDrr
-    2148620988U,	// VPEXTRQmr
-    2282773180U,	// VPEXTRQrr
-    2148574037U,	// VPEXTRWmr
-    2282775381U,	// VPEXTRWri
-    2282775381U,	// VPEXTRWrr_REV
-    1141919500U,	// VPGATHERDDYrm
+    2148633926U,	// VPEXTRBmr
+    2282769734U,	// VPEXTRBrr
+    2148602541U,	// VPEXTRDmr
+    2282771117U,	// VPEXTRDrr
+    2148620980U,	// VPEXTRQmr
+    2282773172U,	// VPEXTRQrr
+    2148574029U,	// VPEXTRWmr
+    2282775373U,	// VPEXTRWri
+    2282775373U,	// VPEXTRWrr_REV
+    1141919492U,	// VPGATHERDDYrm
     3275767999U,	// VPGATHERDDZrm
-    1141919500U,	// VPGATHERDDrm
-    1074813468U,	// VPGATHERDQYrm
+    1141919492U,	// VPGATHERDDrm
+    1074813460U,	// VPGATHERDQYrm
     1128285733U,	// VPGATHERDQZrm
-    1074813468U,	// VPGATHERDQrm
-    1141920410U,	// VPGATHERQDYrm
+    1074813460U,	// VPGATHERDQrm
+    1141920402U,	// VPGATHERQDYrm
     1128284979U,	// VPGATHERQDZrm
-    1141920410U,	// VPGATHERQDrm
-    1074813607U,	// VPGATHERQQYrm
+    1141920402U,	// VPGATHERQDrm
+    1074813599U,	// VPGATHERQQYrm
     1128285942U,	// VPGATHERQQZrm
-    1074813607U,	// VPGATHERQQrm
-    336613025U,	// VPHADDBDrm
-    135286433U,	// VPHADDBDrr
-    336615741U,	// VPHADDBQrm
-    135289149U,	// VPHADDBQrr
-    336618023U,	// VPHADDBWrm
-    135291431U,	// VPHADDBWrr
-    336615865U,	// VPHADDDQrm
-    135289273U,	// VPHADDDQrr
-    2282770161U,	// VPHADDDYrm
-    2282770161U,	// VPHADDDYrr
-    2282770161U,	// VPHADDDrm
-    2282770161U,	// VPHADDDrr
-    2282775429U,	// VPHADDSWrm128
-    2282775429U,	// VPHADDSWrm256
-    2282775429U,	// VPHADDSWrr128
-    2282775429U,	// VPHADDSWrr256
-    336613035U,	// VPHADDUBDrm
-    135286443U,	// VPHADDUBDrr
-    336615751U,	// VPHADDUBQrm
-    135289159U,	// VPHADDUBQrr
-    336618057U,	// VPHADDUBWrm
-    135291465U,	// VPHADDUBWrr
-    336615986U,	// VPHADDUDQrm
-    135289394U,	// VPHADDUDQrr
-    336614695U,	// VPHADDUWDrm
-    135288103U,	// VPHADDUWDrr
-    336616268U,	// VPHADDUWQrm
-    135289676U,	// VPHADDUWQrr
-    336614607U,	// VPHADDWDrm
-    135288015U,	// VPHADDWDrr
-    336616258U,	// VPHADDWQrm
-    135289666U,	// VPHADDWQrr
-    2282775178U,	// VPHADDWYrm
-    2282775178U,	// VPHADDWYrr
-    2282775178U,	// VPHADDWrm
-    2282775178U,	// VPHADDWrr
-    336618542U,	// VPHMINPOSUWrm128
-    135291950U,	// VPHMINPOSUWrr128
-    336617994U,	// VPHSUBBWrm
-    135291402U,	// VPHSUBBWrr
-    336615847U,	// VPHSUBDQrm
-    135289255U,	// VPHSUBDQrr
-    2282770102U,	// VPHSUBDYrm
-    2282770102U,	// VPHSUBDYrr
-    2282770102U,	// VPHSUBDrm
-    2282770102U,	// VPHSUBDrr
-    2282775410U,	// VPHSUBSWrm128
-    2282775410U,	// VPHSUBSWrm256
-    2282775410U,	// VPHSUBSWrr128
-    2282775410U,	// VPHSUBSWrr256
-    336614597U,	// VPHSUBWDrm
-    135288005U,	// VPHSUBWDrr
-    2282775124U,	// VPHSUBWYrm
-    2282775124U,	// VPHSUBWYrr
-    2282775124U,	// VPHSUBWrm
-    2282775124U,	// VPHSUBWrr
-    2282769733U,	// VPINSRBrm
-    2282769733U,	// VPINSRBrr
-    2282771116U,	// VPINSRDrm
-    2282771116U,	// VPINSRDrr
-    2282773171U,	// VPINSRQrm
-    2282773171U,	// VPINSRQrr
-    2282775372U,	// VPINSRWrmi
-    2282775372U,	// VPINSRWrri
-    2282770200U,	// VPMACSDDrm
-    2282770200U,	// VPMACSDDrr
-    2282772093U,	// VPMACSDQHrm
-    2282772093U,	// VPMACSDQHrr
-    2282772374U,	// VPMACSDQLrm
-    2282772374U,	// VPMACSDQLrr
-    2282770210U,	// VPMACSSDDrm
-    2282770210U,	// VPMACSSDDrr
-    2282772104U,	// VPMACSSDQHrm
-    2282772104U,	// VPMACSSDQHrr
-    2282772385U,	// VPMACSSDQLrm
-    2282772385U,	// VPMACSSDQLrr
-    2282771728U,	// VPMACSSWDrm
-    2282771728U,	// VPMACSSWDrr
-    2282775630U,	// VPMACSSWWrm
-    2282775630U,	// VPMACSSWWrr
-    2282771707U,	// VPMACSWDrm
-    2282771707U,	// VPMACSWDrr
-    2282775620U,	// VPMACSWWrm
-    2282775620U,	// VPMACSWWrr
-    2282771739U,	// VPMADCSSWDrm
-    2282771739U,	// VPMADCSSWDrr
-    2282771717U,	// VPMADCSWDrm
-    2282771717U,	// VPMADCSWDrr
-    2282775398U,	// VPMADDUBSWrm128
-    2282775398U,	// VPMADDUBSWrm256
-    2282775398U,	// VPMADDUBSWrr128
-    2282775398U,	// VPMADDUBSWrr256
-    2282771673U,	// VPMADDWDYrm
-    2282771673U,	// VPMADDWDYrr
-    2282771673U,	// VPMADDWDrm
-    2282771673U,	// VPMADDWDrr
-    1041880242U,	// VPMASKMOVDYmr
-    2282771634U,	// VPMASKMOVDYrm
-    2148799666U,	// VPMASKMOVDmr
-    2282771634U,	// VPMASKMOVDrm
-    1041881903U,	// VPMASKMOVQYmr
-    2282773295U,	// VPMASKMOVQYrm
-    2148801327U,	// VPMASKMOVQmr
-    2282773295U,	// VPMASKMOVQrm
-    2282769836U,	// VPMAXSBYrm
-    2282769836U,	// VPMAXSBYrr
-    2282769836U,	// VPMAXSBrm
-    2282769836U,	// VPMAXSBrr
-    2282771513U,	// VPMAXSDYrm
-    2282771513U,	// VPMAXSDYrr
+    1074813599U,	// VPGATHERQQrm
+    336613017U,	// VPHADDBDrm
+    135286425U,	// VPHADDBDrr
+    336615733U,	// VPHADDBQrm
+    135289141U,	// VPHADDBQrr
+    336618015U,	// VPHADDBWrm
+    135291423U,	// VPHADDBWrr
+    336615857U,	// VPHADDDQrm
+    135289265U,	// VPHADDDQrr
+    2282770153U,	// VPHADDDYrm
+    2282770153U,	// VPHADDDYrr
+    2282770153U,	// VPHADDDrm
+    2282770153U,	// VPHADDDrr
+    2282775421U,	// VPHADDSWrm128
+    2282775421U,	// VPHADDSWrm256
+    2282775421U,	// VPHADDSWrr128
+    2282775421U,	// VPHADDSWrr256
+    336613027U,	// VPHADDUBDrm
+    135286435U,	// VPHADDUBDrr
+    336615743U,	// VPHADDUBQrm
+    135289151U,	// VPHADDUBQrr
+    336618049U,	// VPHADDUBWrm
+    135291457U,	// VPHADDUBWrr
+    336615978U,	// VPHADDUDQrm
+    135289386U,	// VPHADDUDQrr
+    336614687U,	// VPHADDUWDrm
+    135288095U,	// VPHADDUWDrr
+    336616260U,	// VPHADDUWQrm
+    135289668U,	// VPHADDUWQrr
+    336614599U,	// VPHADDWDrm
+    135288007U,	// VPHADDWDrr
+    336616250U,	// VPHADDWQrm
+    135289658U,	// VPHADDWQrr
+    2282775170U,	// VPHADDWYrm
+    2282775170U,	// VPHADDWYrr
+    2282775170U,	// VPHADDWrm
+    2282775170U,	// VPHADDWrr
+    336618534U,	// VPHMINPOSUWrm128
+    135291942U,	// VPHMINPOSUWrr128
+    336617986U,	// VPHSUBBWrm
+    135291394U,	// VPHSUBBWrr
+    336615839U,	// VPHSUBDQrm
+    135289247U,	// VPHSUBDQrr
+    2282770094U,	// VPHSUBDYrm
+    2282770094U,	// VPHSUBDYrr
+    2282770094U,	// VPHSUBDrm
+    2282770094U,	// VPHSUBDrr
+    2282775402U,	// VPHSUBSWrm128
+    2282775402U,	// VPHSUBSWrm256
+    2282775402U,	// VPHSUBSWrr128
+    2282775402U,	// VPHSUBSWrr256
+    336614589U,	// VPHSUBWDrm
+    135287997U,	// VPHSUBWDrr
+    2282775116U,	// VPHSUBWYrm
+    2282775116U,	// VPHSUBWYrr
+    2282775116U,	// VPHSUBWrm
+    2282775116U,	// VPHSUBWrr
+    2282769725U,	// VPINSRBrm
+    2282769725U,	// VPINSRBrr
+    2282771108U,	// VPINSRDrm
+    2282771108U,	// VPINSRDrr
+    2282773163U,	// VPINSRQrm
+    2282773163U,	// VPINSRQrr
+    2282775364U,	// VPINSRWrmi
+    2282775364U,	// VPINSRWrri
+    2282770192U,	// VPMACSDDrm
+    2282770192U,	// VPMACSDDrr
+    2282772085U,	// VPMACSDQHrm
+    2282772085U,	// VPMACSDQHrr
+    2282772366U,	// VPMACSDQLrm
+    2282772366U,	// VPMACSDQLrr
+    2282770202U,	// VPMACSSDDrm
+    2282770202U,	// VPMACSSDDrr
+    2282772096U,	// VPMACSSDQHrm
+    2282772096U,	// VPMACSSDQHrr
+    2282772377U,	// VPMACSSDQLrm
+    2282772377U,	// VPMACSSDQLrr
+    2282771720U,	// VPMACSSWDrm
+    2282771720U,	// VPMACSSWDrr
+    2282775622U,	// VPMACSSWWrm
+    2282775622U,	// VPMACSSWWrr
+    2282771699U,	// VPMACSWDrm
+    2282771699U,	// VPMACSWDrr
+    2282775612U,	// VPMACSWWrm
+    2282775612U,	// VPMACSWWrr
+    2282771731U,	// VPMADCSSWDrm
+    2282771731U,	// VPMADCSSWDrr
+    2282771709U,	// VPMADCSWDrm
+    2282771709U,	// VPMADCSWDrr
+    2282775390U,	// VPMADDUBSWrm128
+    2282775390U,	// VPMADDUBSWrm256
+    2282775390U,	// VPMADDUBSWrr128
+    2282775390U,	// VPMADDUBSWrr256
+    2282771665U,	// VPMADDWDYrm
+    2282771665U,	// VPMADDWDYrr
+    2282771665U,	// VPMADDWDrm
+    2282771665U,	// VPMADDWDrr
+    1041880234U,	// VPMASKMOVDYmr
+    2282771626U,	// VPMASKMOVDYrm
+    2148799658U,	// VPMASKMOVDmr
+    2282771626U,	// VPMASKMOVDrm
+    1041881895U,	// VPMASKMOVQYmr
+    2282773287U,	// VPMASKMOVQYrm
+    2148801319U,	// VPMASKMOVQmr
+    2282773287U,	// VPMASKMOVQrm
+    2282769828U,	// VPMAXSBYrm
+    2282769828U,	// VPMAXSBYrr
+    2282769828U,	// VPMAXSBrm
+    2282769828U,	// VPMAXSBrr
+    2282771505U,	// VPMAXSDYrm
+    2282771505U,	// VPMAXSDYrr
     2282767420U,	// VPMAXSDZrm
     2282767420U,	// VPMAXSDZrmb
     2282767420U,	// VPMAXSDZrr
-    2282771513U,	// VPMAXSDrm
-    2282771513U,	// VPMAXSDrr
+    2282771505U,	// VPMAXSDrm
+    2282771505U,	// VPMAXSDrr
     2282768181U,	// VPMAXSQZrm
     2282768181U,	// VPMAXSQZrmb
     2282768181U,	// VPMAXSQZrr
-    2282775529U,	// VPMAXSWYrm
-    2282775529U,	// VPMAXSWYrr
-    2282775529U,	// VPMAXSWrm
-    2282775529U,	// VPMAXSWrr
-    2282769915U,	// VPMAXUBYrm
-    2282769915U,	// VPMAXUBYrr
-    2282769915U,	// VPMAXUBrm
-    2282769915U,	// VPMAXUBrr
-    2282771598U,	// VPMAXUDYrm
-    2282771598U,	// VPMAXUDYrr
+    2282775521U,	// VPMAXSWYrm
+    2282775521U,	// VPMAXSWYrr
+    2282775521U,	// VPMAXSWrm
+    2282775521U,	// VPMAXSWrr
+    2282769907U,	// VPMAXUBYrm
+    2282769907U,	// VPMAXUBYrr
+    2282769907U,	// VPMAXUBrm
+    2282769907U,	// VPMAXUBrr
+    2282771590U,	// VPMAXUDYrm
+    2282771590U,	// VPMAXUDYrr
     2282767480U,	// VPMAXUDZrm
     2282767480U,	// VPMAXUDZrmb
     2282767480U,	// VPMAXUDZrr
-    2282771598U,	// VPMAXUDrm
-    2282771598U,	// VPMAXUDrr
+    2282771590U,	// VPMAXUDrm
+    2282771590U,	// VPMAXUDrr
     2282768241U,	// VPMAXUQZrm
     2282768241U,	// VPMAXUQZrmb
     2282768241U,	// VPMAXUQZrr
-    2282775611U,	// VPMAXUWYrm
-    2282775611U,	// VPMAXUWYrr
-    2282775611U,	// VPMAXUWrm
-    2282775611U,	// VPMAXUWrr
-    2282769777U,	// VPMINSBYrm
-    2282769777U,	// VPMINSBYrr
-    2282769777U,	// VPMINSBrm
-    2282769777U,	// VPMINSBrr
-    2282771433U,	// VPMINSDYrm
-    2282771433U,	// VPMINSDYrr
+    2282775603U,	// VPMAXUWYrm
+    2282775603U,	// VPMAXUWYrr
+    2282775603U,	// VPMAXUWrm
+    2282775603U,	// VPMAXUWrr
+    2282769769U,	// VPMINSBYrm
+    2282769769U,	// VPMINSBYrr
+    2282769769U,	// VPMINSBrm
+    2282769769U,	// VPMINSBrr
+    2282771425U,	// VPMINSDYrm
+    2282771425U,	// VPMINSDYrr
     2282767386U,	// VPMINSDZrm
     2282767386U,	// VPMINSDZrmb
     2282767386U,	// VPMINSDZrr
-    2282771433U,	// VPMINSDrm
-    2282771433U,	// VPMINSDrr
+    2282771425U,	// VPMINSDrm
+    2282771425U,	// VPMINSDrr
     2282768171U,	// VPMINSQZrm
     2282768171U,	// VPMINSQZrmb
     2282768171U,	// VPMINSQZrr
-    2282775460U,	// VPMINSWYrm
-    2282775460U,	// VPMINSWYrr
-    2282775460U,	// VPMINSWrm
-    2282775460U,	// VPMINSWrr
-    2282769892U,	// VPMINUBYrm
-    2282769892U,	// VPMINUBYrr
-    2282769892U,	// VPMINUBrm
-    2282769892U,	// VPMINUBrr
-    2282771580U,	// VPMINUDYrm
-    2282771580U,	// VPMINUDYrr
+    2282775452U,	// VPMINSWYrm
+    2282775452U,	// VPMINSWYrr
+    2282775452U,	// VPMINSWrm
+    2282775452U,	// VPMINSWrr
+    2282769884U,	// VPMINUBYrm
+    2282769884U,	// VPMINUBYrr
+    2282769884U,	// VPMINUBrm
+    2282769884U,	// VPMINUBrr
+    2282771572U,	// VPMINUDYrm
+    2282771572U,	// VPMINUDYrr
     2282767470U,	// VPMINUDZrm
     2282767470U,	// VPMINUDZrmb
     2282767470U,	// VPMINUDZrr
-    2282771580U,	// VPMINUDrm
-    2282771580U,	// VPMINUDrr
+    2282771572U,	// VPMINUDrm
+    2282771572U,	// VPMINUDrr
     2282768231U,	// VPMINUQZrm
     2282768231U,	// VPMINUQZrmb
     2282768231U,	// VPMINUQZrr
-    2282775589U,	// VPMINUWYrm
-    2282775589U,	// VPMINUWYrr
-    2282775589U,	// VPMINUWrm
-    2282775589U,	// VPMINUWrr
+    2282775581U,	// VPMINUWYrm
+    2282775581U,	// VPMINUWYrr
+    2282775581U,	// VPMINUWrm
+    2282775581U,	// VPMINUWrr
     155205680U,	// VPMOVDBkrr
     1310768U,	// VPMOVDBmr
     135282736U,	// VPMOVDBrr
-    155208308U,	// VPMOVDWkrr
-    641652U,	// VPMOVDWmr
-    135285364U,	// VPMOVDWrr
-    135286039U,	// VPMOVMSKBYrr
-    135286039U,	// VPMOVMSKBrr
+    155208300U,	// VPMOVDWkrr
+    641644U,	// VPMOVDWmr
+    135285356U,	// VPMOVDWrr
+    135286031U,	// VPMOVMSKBYrr
+    135286031U,	// VPMOVMSKBrr
     155205713U,	// VPMOVQBkrr
     1310801U,	// VPMOVQBmr
     135282769U,	// VPMOVQBrr
     155206501U,	// VPMOVQDkrr
     639845U,	// VPMOVQDmr
     135283557U,	// VPMOVQDrr
-    155208361U,	// VPMOVQWkrr
-    1313449U,	// VPMOVQWmr
-    135285417U,	// VPMOVQWrr
+    155208353U,	// VPMOVQWkrr
+    1313441U,	// VPMOVQWmr
+    135285409U,	// VPMOVQWrr
     155205669U,	// VPMOVSDBkrr
     1310757U,	// VPMOVSDBmr
     135282725U,	// VPMOVSDBrr
-    155208297U,	// VPMOVSDWkrr
-    641641U,	// VPMOVSDWmr
-    135285353U,	// VPMOVSDWrr
+    155208289U,	// VPMOVSDWkrr
+    641633U,	// VPMOVSDWmr
+    135285345U,	// VPMOVSDWrr
     155205702U,	// VPMOVSQBkrr
     1310790U,	// VPMOVSQBmr
     135282758U,	// VPMOVSQBrr
     155206490U,	// VPMOVSQDkrr
     639834U,	// VPMOVSQDmr
     135283546U,	// VPMOVSQDrr
-    155208350U,	// VPMOVSQWkrr
-    1313438U,	// VPMOVSQWmr
-    135285406U,	// VPMOVSQWrr
-    101732039U,	// VPMOVSXBDYrm
-    135286471U,	// VPMOVSXBDYrr
+    155208342U,	// VPMOVSQWkrr
+    1313430U,	// VPMOVSQWmr
+    135285398U,	// VPMOVSQWrr
+    101732031U,	// VPMOVSXBDYrm
+    135286463U,	// VPMOVSXBDYrr
     336609429U,	// VPMOVSXBDZrm
     135282837U,	// VPMOVSXBDZrr
-    101732039U,	// VPMOVSXBDrm
-    135286471U,	// VPMOVSXBDrr
-    370170202U,	// VPMOVSXBQYrm
-    135289178U,	// VPMOVSXBQYrr
+    101732031U,	// VPMOVSXBDrm
+    135286463U,	// VPMOVSXBDrr
+    370170194U,	// VPMOVSXBQYrm
+    135289170U,	// VPMOVSXBQYrr
     336610697U,	// VPMOVSXBQZrm
     135284105U,	// VPMOVSXBQZrr
-    370170202U,	// VPMOVSXBQrm
-    135289178U,	// VPMOVSXBQrr
-    336618085U,	// VPMOVSXBWYrm
-    135291493U,	// VPMOVSXBWYrr
-    168845925U,	// VPMOVSXBWrm
-    135291493U,	// VPMOVSXBWrr
-    336616007U,	// VPMOVSXDQYrm
-    135289415U,	// VPMOVSXDQYrr
+    370170194U,	// VPMOVSXBQrm
+    135289170U,	// VPMOVSXBQrr
+    336618077U,	// VPMOVSXBWYrm
+    135291485U,	// VPMOVSXBWYrr
+    168845917U,	// VPMOVSXBWrm
+    135291485U,	// VPMOVSXBWrr
+    336615999U,	// VPMOVSXDQYrm
+    135289407U,	// VPMOVSXDQYrr
     907036289U,	// VPMOVSXDQZrm
     135284353U,	// VPMOVSXDQZrr
-    168843847U,	// VPMOVSXDQrm
-    135289415U,	// VPMOVSXDQrr
-    336614706U,	// VPMOVSXWDYrm
-    135288114U,	// VPMOVSXWDYrr
+    168843839U,	// VPMOVSXDQrm
+    135289407U,	// VPMOVSXDQrr
+    336614698U,	// VPMOVSXWDYrm
+    135288106U,	// VPMOVSXWDYrr
     907035808U,	// VPMOVSXWDZrm
     135283872U,	// VPMOVSXWDZrr
-    168842546U,	// VPMOVSXWDrm
-    135288114U,	// VPMOVSXWDrr
-    101735255U,	// VPMOVSXWQYrm
-    135289687U,	// VPMOVSXWQYrr
+    168842538U,	// VPMOVSXWDrm
+    135288106U,	// VPMOVSXWDrr
+    101735247U,	// VPMOVSXWQYrm
+    135289679U,	// VPMOVSXWQYrr
     336611225U,	// VPMOVSXWQZrm
     135284633U,	// VPMOVSXWQZrr
-    101735255U,	// VPMOVSXWQrm
-    135289687U,	// VPMOVSXWQrr
+    101735247U,	// VPMOVSXWQrm
+    135289679U,	// VPMOVSXWQrr
     155205657U,	// VPMOVUSDBkrr
     1310745U,	// VPMOVUSDBmr
     135282713U,	// VPMOVUSDBrr
-    155208285U,	// VPMOVUSDWkrr
-    641629U,	// VPMOVUSDWmr
-    135285341U,	// VPMOVUSDWrr
+    155208277U,	// VPMOVUSDWkrr
+    641621U,	// VPMOVUSDWmr
+    135285333U,	// VPMOVUSDWrr
     155205690U,	// VPMOVUSQBkrr
     1310778U,	// VPMOVUSQBmr
     135282746U,	// VPMOVUSQBrr
     155206478U,	// VPMOVUSQDkrr
     639822U,	// VPMOVUSQDmr
     135283534U,	// VPMOVUSQDrr
-    155208338U,	// VPMOVUSQWkrr
-    1313426U,	// VPMOVUSQWmr
-    135285394U,	// VPMOVUSQWrr
-    101732050U,	// VPMOVZXBDYrm
-    135286482U,	// VPMOVZXBDYrr
+    155208330U,	// VPMOVUSQWkrr
+    1313418U,	// VPMOVUSQWmr
+    135285386U,	// VPMOVUSQWrr
+    101732042U,	// VPMOVZXBDYrm
+    135286474U,	// VPMOVZXBDYrr
     336609441U,	// VPMOVZXBDZrm
     135282849U,	// VPMOVZXBDZrr
-    101732050U,	// VPMOVZXBDrm
-    135286482U,	// VPMOVZXBDrr
-    370170213U,	// VPMOVZXBQYrm
-    135289189U,	// VPMOVZXBQYrr
+    101732042U,	// VPMOVZXBDrm
+    135286474U,	// VPMOVZXBDrr
+    370170205U,	// VPMOVZXBQYrm
+    135289181U,	// VPMOVZXBQYrr
     336610709U,	// VPMOVZXBQZrm
     135284117U,	// VPMOVZXBQZrr
-    370170213U,	// VPMOVZXBQrm
-    135289189U,	// VPMOVZXBQrr
-    336618096U,	// VPMOVZXBWYrm
-    135291504U,	// VPMOVZXBWYrr
-    168845936U,	// VPMOVZXBWrm
-    135291504U,	// VPMOVZXBWrr
-    336616018U,	// VPMOVZXDQYrm
-    135289426U,	// VPMOVZXDQYrr
+    370170205U,	// VPMOVZXBQrm
+    135289181U,	// VPMOVZXBQrr
+    336618088U,	// VPMOVZXBWYrm
+    135291496U,	// VPMOVZXBWYrr
+    168845928U,	// VPMOVZXBWrm
+    135291496U,	// VPMOVZXBWrr
+    336616010U,	// VPMOVZXDQYrm
+    135289418U,	// VPMOVZXDQYrr
     907036301U,	// VPMOVZXDQZrm
     135284365U,	// VPMOVZXDQZrr
-    168843858U,	// VPMOVZXDQrm
-    135289426U,	// VPMOVZXDQrr
-    336614717U,	// VPMOVZXWDYrm
-    135288125U,	// VPMOVZXWDYrr
+    168843850U,	// VPMOVZXDQrm
+    135289418U,	// VPMOVZXDQrr
+    336614709U,	// VPMOVZXWDYrm
+    135288117U,	// VPMOVZXWDYrr
     907035820U,	// VPMOVZXWDZrm
     135283884U,	// VPMOVZXWDZrr
-    168842557U,	// VPMOVZXWDrm
-    135288125U,	// VPMOVZXWDrr
-    101735266U,	// VPMOVZXWQYrm
-    135289698U,	// VPMOVZXWQYrr
+    168842549U,	// VPMOVZXWDrm
+    135288117U,	// VPMOVZXWDrr
+    101735258U,	// VPMOVZXWQYrm
+    135289690U,	// VPMOVZXWQYrr
     336611237U,	// VPMOVZXWQZrm
     135284645U,	// VPMOVZXWQZrr
-    101735266U,	// VPMOVZXWQrm
-    135289698U,	// VPMOVZXWQrr
-    2282772973U,	// VPMULDQYrm
-    2282772973U,	// VPMULDQYrr
+    101735258U,	// VPMOVZXWQrm
+    135289690U,	// VPMOVZXWQrr
+    2282772965U,	// VPMULDQYrm
+    2282772965U,	// VPMULDQYrr
     2282767862U,	// VPMULDQZrm
     2282767862U,	// VPMULDQZrr
-    2282772973U,	// VPMULDQrm
-    2282772973U,	// VPMULDQrr
-    2282775483U,	// VPMULHRSWrm128
-    2282775483U,	// VPMULHRSWrm256
-    2282775483U,	// VPMULHRSWrr128
-    2282775483U,	// VPMULHRSWrr256
-    2282775570U,	// VPMULHUWYrm
-    2282775570U,	// VPMULHUWYrr
-    2282775570U,	// VPMULHUWrm
-    2282775570U,	// VPMULHUWrr
-    2282775271U,	// VPMULHWYrm
-    2282775271U,	// VPMULHWYrr
-    2282775271U,	// VPMULHWrm
-    2282775271U,	// VPMULHWrr
-    2282770303U,	// VPMULLDYrm
-    2282770303U,	// VPMULLDYrr
+    2282772965U,	// VPMULDQrm
+    2282772965U,	// VPMULDQrr
+    2282775475U,	// VPMULHRSWrm128
+    2282775475U,	// VPMULHRSWrm256
+    2282775475U,	// VPMULHRSWrr128
+    2282775475U,	// VPMULHRSWrr256
+    2282775562U,	// VPMULHUWYrm
+    2282775562U,	// VPMULHUWYrr
+    2282775562U,	// VPMULHUWrm
+    2282775562U,	// VPMULHUWrr
+    2282775263U,	// VPMULHWYrm
+    2282775263U,	// VPMULHWYrr
+    2282775263U,	// VPMULHWrm
+    2282775263U,	// VPMULHWrr
+    2282770295U,	// VPMULLDYrm
+    2282770295U,	// VPMULLDYrr
     2282766573U,	// VPMULLDZrm
     2282766573U,	// VPMULLDZrmb
     2282766573U,	// VPMULLDZrr
-    2282770303U,	// VPMULLDrm
-    2282770303U,	// VPMULLDrr
-    2282775313U,	// VPMULLWYrm
-    2282775313U,	// VPMULLWYrr
-    2282775313U,	// VPMULLWrm
-    2282775313U,	// VPMULLWrr
-    2282773053U,	// VPMULUDQYrm
-    2282773053U,	// VPMULUDQYrr
+    2282770295U,	// VPMULLDrm
+    2282770295U,	// VPMULLDrr
+    2282775305U,	// VPMULLWYrm
+    2282775305U,	// VPMULLWYrr
+    2282775305U,	// VPMULLWrm
+    2282775305U,	// VPMULLWrr
+    2282773045U,	// VPMULUDQYrm
+    2282773045U,	// VPMULUDQYrr
     2282767990U,	// VPMULUDQZrm
     2282767990U,	// VPMULUDQZrr
-    2282773053U,	// VPMULUDQrm
-    2282773053U,	// VPMULUDQrr
+    2282773045U,	// VPMULUDQrm
+    2282773045U,	// VPMULUDQrr
     2282767215U,	// VPORDZrm
     2282767215U,	// VPORDZrmb
     2282767215U,	// VPORDZrr
     2282768145U,	// VPORQZrm
     2282768145U,	// VPORQZrmb
     2282768145U,	// VPORQZrr
-    2282773419U,	// VPORYrm
-    2282773419U,	// VPORYrr
-    2282773419U,	// VPORrm
-    2282773419U,	// VPORrr
-    2282772454U,	// VPPERMmr
-    2282772454U,	// VPPERMrm
-    2282772454U,	// VPPERMrr
-    2484096453U,	// VPROTBmi
-    2484096453U,	// VPROTBmr
-    2282769861U,	// VPROTBri
-    2282769861U,	// VPROTBrm
-    2282769861U,	// VPROTBrr
-    2484098141U,	// VPROTDmi
-    2484098141U,	// VPROTDmr
-    2282771549U,	// VPROTDri
-    2282771549U,	// VPROTDrm
-    2282771549U,	// VPROTDrr
-    2484099820U,	// VPROTQmi
-    2484099820U,	// VPROTQmr
-    2282773228U,	// VPROTQri
-    2282773228U,	// VPROTQrm
-    2282773228U,	// VPROTQrr
-    2484102140U,	// VPROTWmi
-    2484102140U,	// VPROTWmr
-    2282775548U,	// VPROTWri
-    2282775548U,	// VPROTWrm
-    2282775548U,	// VPROTWrr
-    2282775070U,	// VPSADBWYrm
-    2282775070U,	// VPSADBWYrr
-    2282775070U,	// VPSADBWrm
-    2282775070U,	// VPSADBWrr
+    2282773411U,	// VPORYrm
+    2282773411U,	// VPORYrr
+    2282773411U,	// VPORrm
+    2282773411U,	// VPORrr
+    2282772446U,	// VPPERMmr
+    2282772446U,	// VPPERMrm
+    2282772446U,	// VPPERMrr
+    2484096445U,	// VPROTBmi
+    2484096445U,	// VPROTBmr
+    2282769853U,	// VPROTBri
+    2282769853U,	// VPROTBrm
+    2282769853U,	// VPROTBrr
+    2484098133U,	// VPROTDmi
+    2484098133U,	// VPROTDmr
+    2282771541U,	// VPROTDri
+    2282771541U,	// VPROTDrm
+    2282771541U,	// VPROTDrr
+    2484099812U,	// VPROTQmi
+    2484099812U,	// VPROTQmr
+    2282773220U,	// VPROTQri
+    2282773220U,	// VPROTQrm
+    2282773220U,	// VPROTQrr
+    2484102132U,	// VPROTWmi
+    2484102132U,	// VPROTWmr
+    2282775540U,	// VPROTWri
+    2282775540U,	// VPROTWrm
+    2282775540U,	// VPROTWrr
+    2282775062U,	// VPSADBWYrm
+    2282775062U,	// VPSADBWYrr
+    2282775062U,	// VPSADBWrm
+    2282775062U,	// VPSADBWrr
     688332U,	// VPSCATTERDDZmr
     706098U,	// VPSCATTERDQZmr
     705344U,	// VPSCATTERQDZmr
     706307U,	// VPSCATTERQQZmr
-    2484096229U,	// VPSHABmr
-    2282769637U,	// VPSHABrm
-    2282769637U,	// VPSHABrr
-    2484096657U,	// VPSHADmr
-    2282770065U,	// VPSHADrm
-    2282770065U,	// VPSHADrr
-    2484099381U,	// VPSHAQmr
-    2282772789U,	// VPSHAQrm
-    2282772789U,	// VPSHAQrr
-    2484101626U,	// VPSHAWmr
-    2282775034U,	// VPSHAWrm
-    2282775034U,	// VPSHAWrr
-    2484096290U,	// VPSHLBmr
-    2282769698U,	// VPSHLBrm
-    2282769698U,	// VPSHLBrr
-    2484096873U,	// VPSHLDmr
-    2282770281U,	// VPSHLDrm
-    2282770281U,	// VPSHLDrr
-    2484099693U,	// VPSHLQmr
-    2282773101U,	// VPSHLQrm
-    2282773101U,	// VPSHLQrr
-    2484101889U,	// VPSHLWmr
-    2282775297U,	// VPSHLWrm
-    2282775297U,	// VPSHLWrr
-    2282769666U,	// VPSHUFBYrm
-    2282769666U,	// VPSHUFBYrr
-    2282769666U,	// VPSHUFBrm
-    2282769666U,	// VPSHUFBrr
-    3054522172U,	// VPSHUFDYmi
-    2282770236U,	// VPSHUFDYri
+    2484096221U,	// VPSHABmr
+    2282769629U,	// VPSHABrm
+    2282769629U,	// VPSHABrr
+    2484096649U,	// VPSHADmr
+    2282770057U,	// VPSHADrm
+    2282770057U,	// VPSHADrr
+    2484099373U,	// VPSHAQmr
+    2282772781U,	// VPSHAQrm
+    2282772781U,	// VPSHAQrr
+    2484101618U,	// VPSHAWmr
+    2282775026U,	// VPSHAWrm
+    2282775026U,	// VPSHAWrr
+    2484096282U,	// VPSHLBmr
+    2282769690U,	// VPSHLBrm
+    2282769690U,	// VPSHLBrr
+    2484096865U,	// VPSHLDmr
+    2282770273U,	// VPSHLDrm
+    2282770273U,	// VPSHLDrr
+    2484099685U,	// VPSHLQmr
+    2282773093U,	// VPSHLQrm
+    2282773093U,	// VPSHLQrr
+    2484101881U,	// VPSHLWmr
+    2282775289U,	// VPSHLWrm
+    2282775289U,	// VPSHLWrr
+    2282769658U,	// VPSHUFBYrm
+    2282769658U,	// VPSHUFBYrr
+    2282769658U,	// VPSHUFBrm
+    2282769658U,	// VPSHUFBrr
+    3054522164U,	// VPSHUFDYmi
+    2282770228U,	// VPSHUFDYri
     3088072922U,	// VPSHUFDZmi
     2282766554U,	// VPSHUFDZri
-    2484096828U,	// VPSHUFDmi
-    2282770236U,	// VPSHUFDri
-    3054527197U,	// VPSHUFHWYmi
-    2282775261U,	// VPSHUFHWYri
-    2484101853U,	// VPSHUFHWmi
-    2282775261U,	// VPSHUFHWri
-    3054527223U,	// VPSHUFLWYmi
-    2282775287U,	// VPSHUFLWYri
-    2484101879U,	// VPSHUFLWmi
-    2282775287U,	// VPSHUFLWri
-    2282769714U,	// VPSIGNBYrm
-    2282769714U,	// VPSIGNBYrr
-    2282769714U,	// VPSIGNBrm
-    2282769714U,	// VPSIGNBrr
-    2282770360U,	// VPSIGNDYrm
-    2282770360U,	// VPSIGNDYrr
-    2282770360U,	// VPSIGNDrm
-    2282770360U,	// VPSIGNDrr
-    2282775338U,	// VPSIGNWYrm
-    2282775338U,	// VPSIGNWYrr
-    2282775338U,	// VPSIGNWrm
-    2282775338U,	// VPSIGNWrr
-    2282772955U,	// VPSLLDQYri
-    2282772955U,	// VPSLLDQri
-    2282770295U,	// VPSLLDYri
-    2282770295U,	// VPSLLDYrm
-    2282770295U,	// VPSLLDYrr
+    2484096820U,	// VPSHUFDmi
+    2282770228U,	// VPSHUFDri
+    3054527189U,	// VPSHUFHWYmi
+    2282775253U,	// VPSHUFHWYri
+    2484101845U,	// VPSHUFHWmi
+    2282775253U,	// VPSHUFHWri
+    3054527215U,	// VPSHUFLWYmi
+    2282775279U,	// VPSHUFLWYri
+    2484101871U,	// VPSHUFLWmi
+    2282775279U,	// VPSHUFLWri
+    2282769706U,	// VPSIGNBYrm
+    2282769706U,	// VPSIGNBYrr
+    2282769706U,	// VPSIGNBrm
+    2282769706U,	// VPSIGNBrr
+    2282770352U,	// VPSIGNDYrm
+    2282770352U,	// VPSIGNDYrr
+    2282770352U,	// VPSIGNDrm
+    2282770352U,	// VPSIGNDrr
+    2282775330U,	// VPSIGNWYrm
+    2282775330U,	// VPSIGNWYrr
+    2282775330U,	// VPSIGNWrm
+    2282775330U,	// VPSIGNWrr
+    2282772947U,	// VPSLLDQYri
+    2282772947U,	// VPSLLDQri
+    2282770287U,	// VPSLLDYri
+    2282770287U,	// VPSLLDYrm
+    2282770287U,	// VPSLLDYrr
     3088072932U,	// VPSLLDZmi
     155205860U,	// VPSLLDZmik
     2282766564U,	// VPSLLDZri
@@ -4872,12 +4875,12 @@
     155205860U,	// VPSLLDZrmk
     2282766564U,	// VPSLLDZrr
     155205860U,	// VPSLLDZrrk
-    2282770295U,	// VPSLLDri
-    2282770295U,	// VPSLLDrm
-    2282770295U,	// VPSLLDrr
-    2282773109U,	// VPSLLQYri
-    2282773109U,	// VPSLLQYrm
-    2282773109U,	// VPSLLQYrr
+    2282770287U,	// VPSLLDri
+    2282770287U,	// VPSLLDrm
+    2282770287U,	// VPSLLDrr
+    2282773101U,	// VPSLLQYri
+    2282773101U,	// VPSLLQYrm
+    2282773101U,	// VPSLLQYrr
     3088074393U,	// VPSLLQZmi
     155207321U,	// VPSLLQZmik
     2282768025U,	// VPSLLQZri
@@ -4886,30 +4889,30 @@
     155207321U,	// VPSLLQZrmk
     2282768025U,	// VPSLLQZrr
     155207321U,	// VPSLLQZrrk
-    2282773109U,	// VPSLLQri
-    2282773109U,	// VPSLLQrm
-    2282773109U,	// VPSLLQrr
-    2282771616U,	// VPSLLVDYrm
-    2282771616U,	// VPSLLVDYrr
+    2282773101U,	// VPSLLQri
+    2282773101U,	// VPSLLQrm
+    2282773101U,	// VPSLLQrr
+    2282771608U,	// VPSLLVDYrm
+    2282771608U,	// VPSLLVDYrr
     2282767500U,	// VPSLLVDZrm
     2282767500U,	// VPSLLVDZrr
-    2282771616U,	// VPSLLVDrm
-    2282771616U,	// VPSLLVDrr
-    2282773277U,	// VPSLLVQYrm
-    2282773277U,	// VPSLLVQYrr
+    2282771608U,	// VPSLLVDrm
+    2282771608U,	// VPSLLVDrr
+    2282773269U,	// VPSLLVQYrm
+    2282773269U,	// VPSLLVQYrr
     2282768261U,	// VPSLLVQZrm
     2282768261U,	// VPSLLVQZrr
-    2282773277U,	// VPSLLVQrm
-    2282773277U,	// VPSLLVQrr
-    2282775305U,	// VPSLLWYri
-    2282775305U,	// VPSLLWYrm
-    2282775305U,	// VPSLLWYrr
-    2282775305U,	// VPSLLWri
-    2282775305U,	// VPSLLWrm
-    2282775305U,	// VPSLLWrr
-    2282770073U,	// VPSRADYri
-    2282770073U,	// VPSRADYrm
-    2282770073U,	// VPSRADYrr
+    2282773269U,	// VPSLLVQrm
+    2282773269U,	// VPSLLVQrr
+    2282775297U,	// VPSLLWYri
+    2282775297U,	// VPSLLWYrm
+    2282775297U,	// VPSLLWYrr
+    2282775297U,	// VPSLLWri
+    2282775297U,	// VPSLLWrm
+    2282775297U,	// VPSLLWrr
+    2282770065U,	// VPSRADYri
+    2282770065U,	// VPSRADYrm
+    2282770065U,	// VPSRADYrr
     3088072835U,	// VPSRADZmi
     155205763U,	// VPSRADZmik
     2282766467U,	// VPSRADZri
@@ -4918,9 +4921,9 @@
     155205763U,	// VPSRADZrmk
     2282766467U,	// VPSRADZrr
     155205763U,	// VPSRADZrrk
-    2282770073U,	// VPSRADri
-    2282770073U,	// VPSRADrm
-    2282770073U,	// VPSRADrr
+    2282770065U,	// VPSRADri
+    2282770065U,	// VPSRADrm
+    2282770065U,	// VPSRADrr
     3088074103U,	// VPSRAQZmi
     155207031U,	// VPSRAQZmik
     2282767735U,	// VPSRAQZri
@@ -4929,25 +4932,25 @@
     155207031U,	// VPSRAQZrmk
     2282767735U,	// VPSRAQZrr
     155207031U,	// VPSRAQZrrk
-    2282771607U,	// VPSRAVDYrm
-    2282771607U,	// VPSRAVDYrr
+    2282771599U,	// VPSRAVDYrm
+    2282771599U,	// VPSRAVDYrr
     2282767490U,	// VPSRAVDZrm
     2282767490U,	// VPSRAVDZrr
-    2282771607U,	// VPSRAVDrm
-    2282771607U,	// VPSRAVDrr
+    2282771599U,	// VPSRAVDrm
+    2282771599U,	// VPSRAVDrr
     2282768251U,	// VPSRAVQZrm
     2282768251U,	// VPSRAVQZrr
-    2282775042U,	// VPSRAWYri
-    2282775042U,	// VPSRAWYrm
-    2282775042U,	// VPSRAWYrr
-    2282775042U,	// VPSRAWri
-    2282775042U,	// VPSRAWrm
-    2282775042U,	// VPSRAWrr
-    2282772964U,	// VPSRLDQYri
-    2282772964U,	// VPSRLDQri
-    2282770312U,	// VPSRLDYri
-    2282770312U,	// VPSRLDYrm
-    2282770312U,	// VPSRLDYrr
+    2282775034U,	// VPSRAWYri
+    2282775034U,	// VPSRAWYrm
+    2282775034U,	// VPSRAWYrr
+    2282775034U,	// VPSRAWri
+    2282775034U,	// VPSRAWrm
+    2282775034U,	// VPSRAWrr
+    2282772956U,	// VPSRLDQYri
+    2282772956U,	// VPSRLDQri
+    2282770304U,	// VPSRLDYri
+    2282770304U,	// VPSRLDYrm
+    2282770304U,	// VPSRLDYrr
     3088072951U,	// VPSRLDZmi
     155205879U,	// VPSRLDZmik
     2282766583U,	// VPSRLDZri
@@ -4956,12 +4959,12 @@
     155205879U,	// VPSRLDZrmk
     2282766583U,	// VPSRLDZrr
     155205879U,	// VPSRLDZrrk
-    2282770312U,	// VPSRLDri
-    2282770312U,	// VPSRLDrm
-    2282770312U,	// VPSRLDrr
-    2282773117U,	// VPSRLQYri
-    2282773117U,	// VPSRLQYrm
-    2282773117U,	// VPSRLQYrr
+    2282770304U,	// VPSRLDri
+    2282770304U,	// VPSRLDrm
+    2282770304U,	// VPSRLDrr
+    2282773109U,	// VPSRLQYri
+    2282773109U,	// VPSRLQYrm
+    2282773109U,	// VPSRLQYrr
     3088074402U,	// VPSRLQZmi
     155207330U,	// VPSRLQZmik
     2282768034U,	// VPSRLQZri
@@ -4970,65 +4973,65 @@
     155207330U,	// VPSRLQZrmk
     2282768034U,	// VPSRLQZrr
     155207330U,	// VPSRLQZrrk
-    2282773117U,	// VPSRLQri
-    2282773117U,	// VPSRLQrm
-    2282773117U,	// VPSRLQrr
-    2282771625U,	// VPSRLVDYrm
-    2282771625U,	// VPSRLVDYrr
+    2282773109U,	// VPSRLQri
+    2282773109U,	// VPSRLQrm
+    2282773109U,	// VPSRLQrr
+    2282771617U,	// VPSRLVDYrm
+    2282771617U,	// VPSRLVDYrr
     2282767510U,	// VPSRLVDZrm
     2282767510U,	// VPSRLVDZrr
-    2282771625U,	// VPSRLVDrm
-    2282771625U,	// VPSRLVDrr
-    2282773286U,	// VPSRLVQYrm
-    2282773286U,	// VPSRLVQYrr
+    2282771617U,	// VPSRLVDrm
+    2282771617U,	// VPSRLVDrr
+    2282773278U,	// VPSRLVQYrm
+    2282773278U,	// VPSRLVQYrr
     2282768271U,	// VPSRLVQZrm
     2282768271U,	// VPSRLVQZrr
-    2282773286U,	// VPSRLVQrm
-    2282773286U,	// VPSRLVQrr
-    2282775322U,	// VPSRLWYri
-    2282775322U,	// VPSRLWYrm
-    2282775322U,	// VPSRLWYrr
-    2282775322U,	// VPSRLWri
-    2282775322U,	// VPSRLWrm
-    2282775322U,	// VPSRLWrr
-    2282769650U,	// VPSUBBYrm
-    2282769650U,	// VPSUBBYrr
-    2282769650U,	// VPSUBBrm
-    2282769650U,	// VPSUBBrr
-    2282770111U,	// VPSUBDYrm
-    2282770111U,	// VPSUBDYrr
+    2282773278U,	// VPSRLVQrm
+    2282773278U,	// VPSRLVQrr
+    2282775314U,	// VPSRLWYri
+    2282775314U,	// VPSRLWYrm
+    2282775314U,	// VPSRLWYrr
+    2282775314U,	// VPSRLWri
+    2282775314U,	// VPSRLWrm
+    2282775314U,	// VPSRLWrr
+    2282769642U,	// VPSUBBYrm
+    2282769642U,	// VPSUBBYrr
+    2282769642U,	// VPSUBBrm
+    2282769642U,	// VPSUBBrr
+    2282770103U,	// VPSUBDYrm
+    2282770103U,	// VPSUBDYrr
     2282766476U,	// VPSUBDZrm
     2282766476U,	// VPSUBDZrmb
     2282766476U,	// VPSUBDZrr
-    2282770111U,	// VPSUBDrm
-    2282770111U,	// VPSUBDrr
-    2282772818U,	// VPSUBQYrm
-    2282772818U,	// VPSUBQYrr
+    2282770103U,	// VPSUBDrm
+    2282770103U,	// VPSUBDrr
+    2282772810U,	// VPSUBQYrm
+    2282772810U,	// VPSUBQYrr
     2282767744U,	// VPSUBQZrm
     2282767744U,	// VPSUBQZrmb
     2282767744U,	// VPSUBQZrr
-    2282772818U,	// VPSUBQrm
-    2282772818U,	// VPSUBQrr
-    2282769759U,	// VPSUBSBYrm
-    2282769759U,	// VPSUBSBYrr
-    2282769759U,	// VPSUBSBrm
-    2282769759U,	// VPSUBSBrr
-    2282775420U,	// VPSUBSWYrm
-    2282775420U,	// VPSUBSWYrr
-    2282775420U,	// VPSUBSWrm
-    2282775420U,	// VPSUBSWrr
-    2282769800U,	// VPSUBUSBYrm
-    2282769800U,	// VPSUBUSBYrr
-    2282769800U,	// VPSUBUSBrm
-    2282769800U,	// VPSUBUSBrr
-    2282775502U,	// VPSUBUSWYrm
-    2282775502U,	// VPSUBUSWYrr
-    2282775502U,	// VPSUBUSWrm
-    2282775502U,	// VPSUBUSWrr
-    2282775133U,	// VPSUBWYrm
-    2282775133U,	// VPSUBWYrr
-    2282775133U,	// VPSUBWrm
-    2282775133U,	// VPSUBWrr
+    2282772810U,	// VPSUBQrm
+    2282772810U,	// VPSUBQrr
+    2282769751U,	// VPSUBSBYrm
+    2282769751U,	// VPSUBSBYrr
+    2282769751U,	// VPSUBSBrm
+    2282769751U,	// VPSUBSBrr
+    2282775412U,	// VPSUBSWYrm
+    2282775412U,	// VPSUBSWYrr
+    2282775412U,	// VPSUBSWrm
+    2282775412U,	// VPSUBSWrr
+    2282769792U,	// VPSUBUSBYrm
+    2282769792U,	// VPSUBUSBYrr
+    2282769792U,	// VPSUBUSBrm
+    2282769792U,	// VPSUBUSBrr
+    2282775494U,	// VPSUBUSWYrm
+    2282775494U,	// VPSUBUSWYrr
+    2282775494U,	// VPSUBUSWrm
+    2282775494U,	// VPSUBUSWrr
+    2282775125U,	// VPSUBWYrm
+    2282775125U,	// VPSUBWYrr
+    2282775125U,	// VPSUBWrm
+    2282775125U,	// VPSUBWrr
     2282766625U,	// VPTESTMDZrm
     2282766625U,	// VPTESTMDZrr
     2282768076U,	// VPTESTMQZrm
@@ -5037,60 +5040,60 @@
     2282766604U,	// VPTESTNMDZrr
     2282768055U,	// VPTESTNMQZrm
     2282768055U,	// VPTESTNMQZrr
-    907043197U,	// VPTESTYrm
-    135291261U,	// VPTESTYrr
-    537944445U,	// VPTESTrm
-    135291261U,	// VPTESTrr
-    2282775089U,	// VPUNPCKHBWYrm
-    2282775089U,	// VPUNPCKHBWYrr
-    2282775089U,	// VPUNPCKHBWrm
-    2282775089U,	// VPUNPCKHBWrr
-    2282772931U,	// VPUNPCKHDQYrm
-    2282772931U,	// VPUNPCKHDQYrr
+    907043189U,	// VPTESTYrm
+    135291253U,	// VPTESTYrr
+    537944437U,	// VPTESTrm
+    135291253U,	// VPTESTrr
+    2282775081U,	// VPUNPCKHBWYrm
+    2282775081U,	// VPUNPCKHBWYrr
+    2282775081U,	// VPUNPCKHBWrm
+    2282775081U,	// VPUNPCKHBWrr
+    2282772923U,	// VPUNPCKHDQYrm
+    2282772923U,	// VPUNPCKHDQYrr
     2282767836U,	// VPUNPCKHDQZrm
     2282767836U,	// VPUNPCKHDQZrr
-    2282772931U,	// VPUNPCKHDQrm
-    2282772931U,	// VPUNPCKHDQrr
-    2282772982U,	// VPUNPCKHQDQYrm
-    2282772982U,	// VPUNPCKHQDQYrr
+    2282772923U,	// VPUNPCKHDQrm
+    2282772923U,	// VPUNPCKHDQrr
+    2282772974U,	// VPUNPCKHQDQYrm
+    2282772974U,	// VPUNPCKHQDQYrr
     2282767881U,	// VPUNPCKHQDQZrm
     2282767881U,	// VPUNPCKHQDQZrr
-    2282772982U,	// VPUNPCKHQDQrm
-    2282772982U,	// VPUNPCKHQDQrr
-    2282771683U,	// VPUNPCKHWDYrm
-    2282771683U,	// VPUNPCKHWDYrr
-    2282771683U,	// VPUNPCKHWDrm
-    2282771683U,	// VPUNPCKHWDrr
-    2282775101U,	// VPUNPCKLBWYrm
-    2282775101U,	// VPUNPCKLBWYrr
-    2282775101U,	// VPUNPCKLBWrm
-    2282775101U,	// VPUNPCKLBWrr
-    2282772943U,	// VPUNPCKLDQYrm
-    2282772943U,	// VPUNPCKLDQYrr
+    2282772974U,	// VPUNPCKHQDQrm
+    2282772974U,	// VPUNPCKHQDQrr
+    2282771675U,	// VPUNPCKHWDYrm
+    2282771675U,	// VPUNPCKHWDYrr
+    2282771675U,	// VPUNPCKHWDrm
+    2282771675U,	// VPUNPCKHWDrr
+    2282775093U,	// VPUNPCKLBWYrm
+    2282775093U,	// VPUNPCKLBWYrr
+    2282775093U,	// VPUNPCKLBWrm
+    2282775093U,	// VPUNPCKLBWrr
+    2282772935U,	// VPUNPCKLDQYrm
+    2282772935U,	// VPUNPCKLDQYrr
     2282767849U,	// VPUNPCKLDQZrm
     2282767849U,	// VPUNPCKLDQZrr
-    2282772943U,	// VPUNPCKLDQrm
-    2282772943U,	// VPUNPCKLDQrr
-    2282772995U,	// VPUNPCKLQDQYrm
-    2282772995U,	// VPUNPCKLQDQYrr
+    2282772935U,	// VPUNPCKLDQrm
+    2282772935U,	// VPUNPCKLDQrr
+    2282772987U,	// VPUNPCKLQDQYrm
+    2282772987U,	// VPUNPCKLQDQYrr
     2282767895U,	// VPUNPCKLQDQZrm
     2282767895U,	// VPUNPCKLQDQZrr
-    2282772995U,	// VPUNPCKLQDQrm
-    2282772995U,	// VPUNPCKLQDQrr
-    2282771695U,	// VPUNPCKLWDYrm
-    2282771695U,	// VPUNPCKLWDYrr
-    2282771695U,	// VPUNPCKLWDrm
-    2282771695U,	// VPUNPCKLWDrr
+    2282772987U,	// VPUNPCKLQDQrm
+    2282772987U,	// VPUNPCKLQDQrr
+    2282771687U,	// VPUNPCKLWDYrm
+    2282771687U,	// VPUNPCKLWDYrr
+    2282771687U,	// VPUNPCKLWDrm
+    2282771687U,	// VPUNPCKLWDrr
     2282767223U,	// VPXORDZrm
     2282767223U,	// VPXORDZrmb
     2282767223U,	// VPXORDZrr
     2282768153U,	// VPXORQZrm
     2282768153U,	// VPXORQZrmb
     2282768153U,	// VPXORQZrr
-    2282773447U,	// VPXORYrm
-    2282773447U,	// VPXORYrr
-    2282773447U,	// VPXORrm
-    2282773447U,	// VPXORrr
+    2282773439U,	// VPXORYrm
+    2282773439U,	// VPXORYrr
+    2282773439U,	// VPXORrm
+    2282773439U,	// VPXORrr
     1007698485U,	// VRCP14PDZm
     135283253U,	// VRCP14PDZr
     1007700134U,	// VRCP14PSZm
@@ -5111,17 +5114,17 @@
     2282768900U,	// VRCP28SSrm
     2282768900U,	// VRCP28SSrr
     2282768900U,	// VRCP28SSrrb
-    974151338U,	// VRCPPSYm
-    974151338U,	// VRCPPSYm_Int
-    135290538U,	// VRCPPSYr
-    135290538U,	// VRCPPSYr_Int
-    537943722U,	// VRCPPSm
-    537943722U,	// VRCPPSm_Int
-    135290538U,	// VRCPPSr
-    135290538U,	// VRCPPSr_Int
-    2282774653U,	// VRCPSSm
-    2282774653U,	// VRCPSSm_Int
-    2282774653U,	// VRCPSSr
+    974151330U,	// VRCPPSYm
+    974151330U,	// VRCPPSYm_Int
+    135290530U,	// VRCPPSYr
+    135290530U,	// VRCPPSYr_Int
+    537943714U,	// VRCPPSm
+    537943714U,	// VRCPPSm_Int
+    135290530U,	// VRCPPSr
+    135290530U,	// VRCPPSr_Int
+    2282774645U,	// VRCPSSm
+    2282774645U,	// VRCPSSm_Int
+    2282774645U,	// VRCPSSr
     3155182236U,	// VRNDSCALEPDZm
     2282767004U,	// VRNDSCALEPDZr
     3155183885U,	// VRNDSCALEPSZm
@@ -5130,20 +5133,20 @@
     2282767372U,	// VRNDSCALESDr
     2282768924U,	// VRNDSCALESSm
     2282768924U,	// VRNDSCALESSr
-    2685424010U,	// VROUNDPDm
-    2282770826U,	// VROUNDPDr
-    2685427223U,	// VROUNDPSm
-    2282774039U,	// VROUNDPSr
-    2282771396U,	// VROUNDSDm
-    2282771396U,	// VROUNDSDr
-    2282771396U,	// VROUNDSDr_Int
-    2282774608U,	// VROUNDSSm
-    2282774608U,	// VROUNDSSr
-    2282774608U,	// VROUNDSSr_Int
-    3121631626U,	// VROUNDYPDm
-    2282770826U,	// VROUNDYPDr
-    3121634839U,	// VROUNDYPSm
-    2282774039U,	// VROUNDYPSr
+    2685424002U,	// VROUNDPDm
+    2282770818U,	// VROUNDPDr
+    2685427215U,	// VROUNDPSm
+    2282774031U,	// VROUNDPSr
+    2282771388U,	// VROUNDSDm
+    2282771388U,	// VROUNDSDr
+    2282771388U,	// VROUNDSDr_Int
+    2282774600U,	// VROUNDSSm
+    2282774600U,	// VROUNDSSr
+    2282774600U,	// VROUNDSSr_Int
+    3121631618U,	// VROUNDYPDm
+    2282770818U,	// VROUNDYPDr
+    3121634831U,	// VROUNDYPSm
+    2282774031U,	// VROUNDYPSr
     1007698496U,	// VRSQRT14PDZm
     135283264U,	// VRSQRT14PDZr
     1007700145U,	// VRSQRT14PSZm
@@ -5164,236 +5167,236 @@
     2282768911U,	// VRSQRT28SSrm
     2282768911U,	// VRSQRT28SSrr
     2282768911U,	// VRSQRT28SSrrb
-    974151421U,	// VRSQRTPSYm
-    974151421U,	// VRSQRTPSYm_Int
-    135290621U,	// VRSQRTPSYr
-    135290621U,	// VRSQRTPSYr_Int
-    537943805U,	// VRSQRTPSm
-    537943805U,	// VRSQRTPSm_Int
-    135290621U,	// VRSQRTPSr
-    135290621U,	// VRSQRTPSr_Int
-    2282774678U,	// VRSQRTSSm
-    2282774678U,	// VRSQRTSSm_Int
-    2282774678U,	// VRSQRTSSr
+    974151413U,	// VRSQRTPSYm
+    974151413U,	// VRSQRTPSYm_Int
+    135290613U,	// VRSQRTPSYr
+    135290613U,	// VRSQRTPSYr_Int
+    537943797U,	// VRSQRTPSm
+    537943797U,	// VRSQRTPSm_Int
+    135290613U,	// VRSQRTPSr
+    135290613U,	// VRSQRTPSr_Int
+    2282774670U,	// VRSQRTSSm
+    2282774670U,	// VRSQRTSSm_Int
+    2282774670U,	// VRSQRTSSr
     705166U,	// VSCATTERDPDZmr
     690431U,	// VSCATTERDPSZmr
     705278U,	// VSCATTERQPDZmr
     706927U,	// VSCATTERQPSZmr
-    2282770848U,	// VSHUFPDYrmi
-    2282770848U,	// VSHUFPDYrri
+    2282770840U,	// VSHUFPDYrmi
+    2282770840U,	// VSHUFPDYrri
     2282767018U,	// VSHUFPDZrmi
     2282767018U,	// VSHUFPDZrri
-    2282770848U,	// VSHUFPDrmi
-    2282770848U,	// VSHUFPDrri
-    2282774061U,	// VSHUFPSYrmi
-    2282774061U,	// VSHUFPSYrri
+    2282770840U,	// VSHUFPDrmi
+    2282770840U,	// VSHUFPDrri
+    2282774053U,	// VSHUFPSYrmi
+    2282774053U,	// VSHUFPSYrri
     2282768667U,	// VSHUFPSZrmi
     2282768667U,	// VSHUFPSZrri
-    2282774061U,	// VSHUFPSrmi
-    2282774061U,	// VSHUFPSrri
-    974148165U,	// VSQRTPDYm
-    135287365U,	// VSQRTPDYr
-    1007702597U,	// VSQRTPDZm_Int
-    135287365U,	// VSQRTPDZr_Int
-    1007700546U,	// VSQRTPDZrm
-    135285314U,	// VSQRTPDZrr
-    537940549U,	// VSQRTPDm
-    135287365U,	// VSQRTPDr
-    974151431U,	// VSQRTPSYm
-    135290631U,	// VSQRTPSYr
-    1007705863U,	// VSQRTPSZm_Int
-    135290631U,	// VSQRTPSZr_Int
-    1007700546U,	// VSQRTPSZrm
-    135285314U,	// VSQRTPSZrr
-    537943815U,	// VSQRTPSm
-    135290631U,	// VSQRTPSr
-    2282771474U,	// VSQRTSDZm
-    2282771474U,	// VSQRTSDZm_Int
-    2282771474U,	// VSQRTSDZr
-    2282771474U,	// VSQRTSDZr_Int
-    2282771474U,	// VSQRTSDm
-    2282771474U,	// VSQRTSDm_Int
-    2282771474U,	// VSQRTSDr
-    2282774688U,	// VSQRTSSZm
-    2282774688U,	// VSQRTSSZm_Int
-    2282774688U,	// VSQRTSSZr
-    2282774688U,	// VSQRTSSZr_Int
-    2282774688U,	// VSQRTSSm
-    2282774688U,	// VSQRTSSm_Int
-    2282774688U,	// VSQRTSSr
-    72675U,	// VSTMXCSR
-    2282770749U,	// VSUBPDYrm
-    2282770749U,	// VSUBPDYrr
+    2282774053U,	// VSHUFPSrmi
+    2282774053U,	// VSHUFPSrri
+    974148157U,	// VSQRTPDYm
+    135287357U,	// VSQRTPDYr
+    1007702589U,	// VSQRTPDZm_Int
+    135287357U,	// VSQRTPDZr_Int
+    1007702589U,	// VSQRTPDZrm
+    135287357U,	// VSQRTPDZrr
+    537940541U,	// VSQRTPDm
+    135287357U,	// VSQRTPDr
+    974151423U,	// VSQRTPSYm
+    135290623U,	// VSQRTPSYr
+    1007705855U,	// VSQRTPSZm_Int
+    135290623U,	// VSQRTPSZr_Int
+    1007705855U,	// VSQRTPSZrm
+    135290623U,	// VSQRTPSZrr
+    537943807U,	// VSQRTPSm
+    135290623U,	// VSQRTPSr
+    2282771466U,	// VSQRTSDZm
+    2282771466U,	// VSQRTSDZm_Int
+    2282771466U,	// VSQRTSDZr
+    2282771466U,	// VSQRTSDZr_Int
+    2282771466U,	// VSQRTSDm
+    2282771466U,	// VSQRTSDm_Int
+    2282771466U,	// VSQRTSDr
+    2282774680U,	// VSQRTSSZm
+    2282774680U,	// VSQRTSSZm_Int
+    2282774680U,	// VSQRTSSZr
+    2282774680U,	// VSQRTSSZr_Int
+    2282774680U,	// VSQRTSSm
+    2282774680U,	// VSQRTSSm_Int
+    2282774680U,	// VSQRTSSr
+    72667U,	// VSTMXCSR
+    2282770741U,	// VSUBPDYrm
+    2282770741U,	// VSUBPDYrr
     2282766959U,	// VSUBPDZrm
     2282766959U,	// VSUBPDZrmb
     2282766959U,	// VSUBPDZrr
-    2282770749U,	// VSUBPDrm
-    2282770749U,	// VSUBPDrr
-    2282773962U,	// VSUBPSYrm
-    2282773962U,	// VSUBPSYrr
+    2282770741U,	// VSUBPDrm
+    2282770741U,	// VSUBPDrr
+    2282773954U,	// VSUBPSYrm
+    2282773954U,	// VSUBPSYrr
     2282768608U,	// VSUBPSZrm
     2282768608U,	// VSUBPSZrmb
     2282768608U,	// VSUBPSZrr
-    2282773962U,	// VSUBPSrm
-    2282773962U,	// VSUBPSrr
-    2282771359U,	// VSUBSDZrm
-    2282771359U,	// VSUBSDZrr
-    2282771359U,	// VSUBSDrm
-    2282771359U,	// VSUBSDrm_Int
-    2282771359U,	// VSUBSDrr
-    2282771359U,	// VSUBSDrr_Int
-    2282774571U,	// VSUBSSZrm
-    2282774571U,	// VSUBSSZrr
-    2282774571U,	// VSUBSSrm
-    2282774571U,	// VSUBSSrm_Int
-    2282774571U,	// VSUBSSrr
-    2282774571U,	// VSUBSSrr_Int
-    974148174U,	// VTESTPDYrm
-    135287374U,	// VTESTPDYrr
-    537940558U,	// VTESTPDrm
-    135287374U,	// VTESTPDrr
-    974151440U,	// VTESTPSYrm
-    135290640U,	// VTESTPSYrr
-    537943824U,	// VTESTPSrm
-    135290640U,	// VTESTPSrr
-    571495374U,	// VUCOMISDZrm
-    135287758U,	// VUCOMISDZrr
-    571495374U,	// VUCOMISDrm
-    135287758U,	// VUCOMISDrr
-    605053018U,	// VUCOMISSZrm
-    135290970U,	// VUCOMISSZrr
-    605053018U,	// VUCOMISSrm
-    135290970U,	// VUCOMISSrr
-    2282770857U,	// VUNPCKHPDYrm
-    2282770857U,	// VUNPCKHPDYrr
-    2282770857U,	// VUNPCKHPDZrm
-    2282770857U,	// VUNPCKHPDZrr
-    2282770857U,	// VUNPCKHPDrm
-    2282770857U,	// VUNPCKHPDrr
-    2282774070U,	// VUNPCKHPSYrm
-    2282774070U,	// VUNPCKHPSYrr
-    2282774070U,	// VUNPCKHPSZrm
-    2282774070U,	// VUNPCKHPSZrr
-    2282774070U,	// VUNPCKHPSrm
-    2282774070U,	// VUNPCKHPSrr
-    2282770899U,	// VUNPCKLPDYrm
-    2282770899U,	// VUNPCKLPDYrr
-    2282770899U,	// VUNPCKLPDZrm
-    2282770899U,	// VUNPCKLPDZrr
-    2282770899U,	// VUNPCKLPDrm
-    2282770899U,	// VUNPCKLPDrr
-    2282774132U,	// VUNPCKLPSYrm
-    2282774132U,	// VUNPCKLPSYrr
-    2282774132U,	// VUNPCKLPSZrm
-    2282774132U,	// VUNPCKLPSZrr
-    2282774132U,	// VUNPCKLPSrm
-    2282774132U,	// VUNPCKLPSrr
-    2282770995U,	// VXORPDYrm
-    2282770995U,	// VXORPDYrr
-    2282770995U,	// VXORPDrm
-    2282770995U,	// VXORPDrr
-    2282774228U,	// VXORPSYrm
-    2282774228U,	// VXORPSYrr
-    2282774228U,	// VXORPSrm
-    2282774228U,	// VXORPSrr
-    12172U,	// VZEROALL
-    12372U,	// VZEROUPPER
+    2282773954U,	// VSUBPSrm
+    2282773954U,	// VSUBPSrr
+    2282771351U,	// VSUBSDZrm
+    2282771351U,	// VSUBSDZrr
+    2282771351U,	// VSUBSDrm
+    2282771351U,	// VSUBSDrm_Int
+    2282771351U,	// VSUBSDrr
+    2282771351U,	// VSUBSDrr_Int
+    2282774563U,	// VSUBSSZrm
+    2282774563U,	// VSUBSSZrr
+    2282774563U,	// VSUBSSrm
+    2282774563U,	// VSUBSSrm_Int
+    2282774563U,	// VSUBSSrr
+    2282774563U,	// VSUBSSrr_Int
+    974148166U,	// VTESTPDYrm
+    135287366U,	// VTESTPDYrr
+    537940550U,	// VTESTPDrm
+    135287366U,	// VTESTPDrr
+    974151432U,	// VTESTPSYrm
+    135290632U,	// VTESTPSYrr
+    537943816U,	// VTESTPSrm
+    135290632U,	// VTESTPSrr
+    571495366U,	// VUCOMISDZrm
+    135287750U,	// VUCOMISDZrr
+    571495366U,	// VUCOMISDrm
+    135287750U,	// VUCOMISDrr
+    605053010U,	// VUCOMISSZrm
+    135290962U,	// VUCOMISSZrr
+    605053010U,	// VUCOMISSrm
+    135290962U,	// VUCOMISSrr
+    2282770849U,	// VUNPCKHPDYrm
+    2282770849U,	// VUNPCKHPDYrr
+    2282770849U,	// VUNPCKHPDZrm
+    2282770849U,	// VUNPCKHPDZrr
+    2282770849U,	// VUNPCKHPDrm
+    2282770849U,	// VUNPCKHPDrr
+    2282774062U,	// VUNPCKHPSYrm
+    2282774062U,	// VUNPCKHPSYrr
+    2282774062U,	// VUNPCKHPSZrm
+    2282774062U,	// VUNPCKHPSZrr
+    2282774062U,	// VUNPCKHPSrm
+    2282774062U,	// VUNPCKHPSrr
+    2282770891U,	// VUNPCKLPDYrm
+    2282770891U,	// VUNPCKLPDYrr
+    2282770891U,	// VUNPCKLPDZrm
+    2282770891U,	// VUNPCKLPDZrr
+    2282770891U,	// VUNPCKLPDrm
+    2282770891U,	// VUNPCKLPDrr
+    2282774124U,	// VUNPCKLPSYrm
+    2282774124U,	// VUNPCKLPSYrr
+    2282774124U,	// VUNPCKLPSZrm
+    2282774124U,	// VUNPCKLPSZrr
+    2282774124U,	// VUNPCKLPSrm
+    2282774124U,	// VUNPCKLPSrr
+    2282770987U,	// VXORPDYrm
+    2282770987U,	// VXORPDYrr
+    2282770987U,	// VXORPDrm
+    2282770987U,	// VXORPDrr
+    2282774220U,	// VXORPSYrm
+    2282774220U,	// VXORPSYrr
+    2282774220U,	// VXORPSrm
+    2282774220U,	// VXORPSrr
+    12164U,	// VZEROALL
+    12364U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    153459U,	// W64ALLOCA
-    12627U,	// WAIT
-    11931U,	// WBINVD
-    12229U,	// WIN_ALLOCA
-    12105U,	// WIN_FTOL_32
-    12105U,	// WIN_FTOL_64
-    21985U,	// WRFSBASE
-    21985U,	// WRFSBASE64
-    22005U,	// WRGSBASE
-    22005U,	// WRGSBASE64
-    12406U,	// WRMSR
-    24914U,	// XABORT
-    12002U,	// XACQUIRE_PREFIX
-    1085163U,	// XADD16rm
-    135286507U,	// XADD16rr
-    1117931U,	// XADD32rm
-    135286507U,	// XADD32rr
-    1134315U,	// XADD64rm
-    135286507U,	// XADD64rr
-    1150699U,	// XADD8rm
-    135286507U,	// XADD8rr
-    11671U,	// XBEGIN
-    153614U,	// XBEGIN_4
-    26104U,	// XCHG16ar
-    464451U,	// XCHG16rm
-    726595U,	// XCHG16rr
-    26267U,	// XCHG32ar
-    26267U,	// XCHG32ar64
-    480835U,	// XCHG32rm
-    726595U,	// XCHG32rr
-    26391U,	// XCHG64ar
-    497219U,	// XCHG64rm
-    726595U,	// XCHG64rr
-    513603U,	// XCHG8rm
-    726595U,	// XCHG8rr
-    22124U,	// XCH_F
-    11820U,	// XCRYPTCBC
-    11764U,	// XCRYPTCFB
-    12412U,	// XCRYPTCTR
-    11754U,	// XCRYPTECB
-    11774U,	// XCRYPTOFB
-    11896U,	// XEND
-    12676U,	// XGETBV
-    11804U,	// XLAT
-    26131U,	// XOR16i16
-    1088457U,	// XOR16mi
-    1088457U,	// XOR16mi8
-    1088457U,	// XOR16mr
-    35707849U,	// XOR16ri
-    35707849U,	// XOR16ri8
-    3201993U,	// XOR16rm
-    35707849U,	// XOR16rr
-    68180937U,	// XOR16rr_REV
-    26297U,	// XOR32i32
-    1121225U,	// XOR32mi
-    1121225U,	// XOR32mi8
-    1121225U,	// XOR32mr
-    35707849U,	// XOR32ri
-    35707849U,	// XOR32ri8
-    4250569U,	// XOR32rm
-    35707849U,	// XOR32rr
-    68180937U,	// XOR32rr_REV
-    26436U,	// XOR64i32
-    1137609U,	// XOR64mi32
-    1137609U,	// XOR64mi8
-    1137609U,	// XOR64mr
-    35707849U,	// XOR64ri32
-    35707849U,	// XOR64ri8
-    5299145U,	// XOR64rm
-    35707849U,	// XOR64rr
-    68180937U,	// XOR64rr_REV
-    26019U,	// XOR8i8
-    1153993U,	// XOR8mi
-    1153993U,	// XOR8mr
-    35707849U,	// XOR8ri
-    6347721U,	// XOR8rm
-    35707849U,	// XOR8rr
-    68180937U,	// XOR8rr_REV
-    202396212U,	// XORPDrm
-    68178484U,	// XORPDrr
-    202399445U,	// XORPSrm
-    68181717U,	// XORPSrr
-    12018U,	// XRELEASE_PREFIX
-    285631U,	// XRSTOR
-    281492U,	// XRSTOR64
-    284194U,	// XSAVE
-    281482U,	// XSAVE64
-    287048U,	// XSAVEOPT
-    281502U,	// XSAVEOPT64
-    12683U,	// XSETBV
-    11398U,	// XSHA1
-    11633U,	// XSHA256
-    12011U,	// XSTORE
-    12665U,	// XTEST
+    153451U,	// W64ALLOCA
+    12619U,	// WAIT
+    11923U,	// WBINVD
+    12221U,	// WIN_ALLOCA
+    12097U,	// WIN_FTOL_32
+    12097U,	// WIN_FTOL_64
+    21977U,	// WRFSBASE
+    21977U,	// WRFSBASE64
+    21997U,	// WRGSBASE
+    21997U,	// WRGSBASE64
+    12398U,	// WRMSR
+    24906U,	// XABORT
+    11994U,	// XACQUIRE_PREFIX
+    1085155U,	// XADD16rm
+    135286499U,	// XADD16rr
+    1117923U,	// XADD32rm
+    135286499U,	// XADD32rr
+    1134307U,	// XADD64rm
+    135286499U,	// XADD64rr
+    1150691U,	// XADD8rm
+    135286499U,	// XADD8rr
+    11663U,	// XBEGIN
+    153606U,	// XBEGIN_4
+    26096U,	// XCHG16ar
+    464443U,	// XCHG16rm
+    726587U,	// XCHG16rr
+    26259U,	// XCHG32ar
+    26259U,	// XCHG32ar64
+    480827U,	// XCHG32rm
+    726587U,	// XCHG32rr
+    26383U,	// XCHG64ar
+    497211U,	// XCHG64rm
+    726587U,	// XCHG64rr
+    513595U,	// XCHG8rm
+    726587U,	// XCHG8rr
+    22116U,	// XCH_F
+    11812U,	// XCRYPTCBC
+    11756U,	// XCRYPTCFB
+    12404U,	// XCRYPTCTR
+    11746U,	// XCRYPTECB
+    11766U,	// XCRYPTOFB
+    11888U,	// XEND
+    12668U,	// XGETBV
+    11796U,	// XLAT
+    26123U,	// XOR16i16
+    1088449U,	// XOR16mi
+    1088449U,	// XOR16mi8
+    1088449U,	// XOR16mr
+    35707841U,	// XOR16ri
+    35707841U,	// XOR16ri8
+    3201985U,	// XOR16rm
+    35707841U,	// XOR16rr
+    68180929U,	// XOR16rr_REV
+    26289U,	// XOR32i32
+    1121217U,	// XOR32mi
+    1121217U,	// XOR32mi8
+    1121217U,	// XOR32mr
+    35707841U,	// XOR32ri
+    35707841U,	// XOR32ri8
+    4250561U,	// XOR32rm
+    35707841U,	// XOR32rr
+    68180929U,	// XOR32rr_REV
+    26428U,	// XOR64i32
+    1137601U,	// XOR64mi32
+    1137601U,	// XOR64mi8
+    1137601U,	// XOR64mr
+    35707841U,	// XOR64ri32
+    35707841U,	// XOR64ri8
+    5299137U,	// XOR64rm
+    35707841U,	// XOR64rr
+    68180929U,	// XOR64rr_REV
+    26011U,	// XOR8i8
+    1153985U,	// XOR8mi
+    1153985U,	// XOR8mr
+    35707841U,	// XOR8ri
+    6347713U,	// XOR8rm
+    35707841U,	// XOR8rr
+    68180929U,	// XOR8rr_REV
+    202396204U,	// XORPDrm
+    68178476U,	// XORPDrr
+    202399437U,	// XORPSrm
+    68181709U,	// XORPSrr
+    12010U,	// XRELEASE_PREFIX
+    285623U,	// XRSTOR
+    281484U,	// XRSTOR64
+    284186U,	// XSAVE
+    281474U,	// XSAVE64
+    287040U,	// XSAVEOPT
+    281494U,	// XSAVEOPT64
+    12675U,	// XSETBV
+    11390U,	// XSHA1
+    11625U,	// XSHA256
+    12003U,	// XSTORE
+    12657U,	// XTEST
     0U
   };
 
@@ -6986,6 +6989,7 @@
     0U,	// MOV16o16a
     0U,	// MOV16o16a_16
     0U,	// MOV16ri
+    0U,	// MOV16ri_alt
     0U,	// MOV16rm
     0U,	// MOV16rr
     0U,	// MOV16rr_REV
@@ -7006,6 +7010,7 @@
     0U,	// MOV32rd
     0U,	// MOV32ri
     0U,	// MOV32ri64
+    0U,	// MOV32ri_alt
     0U,	// MOV32rm
     0U,	// MOV32rr
     0U,	// MOV32rr_REV
@@ -7046,6 +7051,7 @@
     0U,	// MOV8o8a
     0U,	// MOV8o8a_16
     0U,	// MOV8ri
+    0U,	// MOV8ri_alt
     0U,	// MOV8rm
     1U,	// MOV8rm_NOREX
     0U,	// MOV8rr
@@ -11002,1055 +11008,1054 @@
   /* 2587 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
   /* 2601 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
   /* 2616 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
-  /* 2625 */ 'v', 's', 'q', 'r', 't', 32, 9, 0,
-  /* 2633 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
-  /* 2644 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
-  /* 2652 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
-  /* 2664 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
-  /* 2675 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
-  /* 2685 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
-  /* 2696 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
-  /* 2705 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
-  /* 2717 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
-  /* 2728 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
-  /* 2738 */ 'k', 'o', 'r', 'w', 32, 9, 0,
-  /* 2745 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
-  /* 2754 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
-  /* 2762 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
-  /* 2773 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
-  /* 2781 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
-  /* 2792 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
-  /* 2800 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 2812 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 2822 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 2834 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 2846 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 2856 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 2866 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 2877 */ 'c', 'r', 'c', '3', '2', 9, 0,
-  /* 2884 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 2894 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 2906 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 2919 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 2931 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 2941 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 2952 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
-  /* 2962 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
-  /* 2973 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
-  /* 2985 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 2996 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3011 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3025 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3040 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3054 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3069 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3083 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3098 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3112 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 3124 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3138 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3151 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3167 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 3179 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3193 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3206 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3222 */ 'l', 'e', 'a', 9, 0,
-  /* 3227 */ 'j', 'a', 9, 0,
-  /* 3231 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 3242 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 3251 */ 's', 'e', 't', 'a', 9, 0,
-  /* 3257 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 3270 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
-  /* 3277 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 3289 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 3300 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 3308 */ 's', 'b', 'b', 9, 0,
-  /* 3313 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 3321 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3329 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 3338 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 3346 */ 'j', 'b', 9, 0,
-  /* 3350 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 3361 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 3369 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 3377 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 3386 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 3396 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 3405 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 3414 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 3422 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 3431 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 3440 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 3449 */ 's', 't', 'o', 's', 'b', 9, 0,
-  /* 3456 */ 'c', 'm', 'p', 's', 'b', 9, 0,
-  /* 3463 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 3473 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 3483 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 3492 */ 'm', 'o', 'v', 's', 'b', 9, 0,
-  /* 3499 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 3508 */ 's', 'e', 't', 'b', 9, 0,
-  /* 3514 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 3524 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 3532 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 3546 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 3555 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 3564 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 3571 */ 'f', 'i', 's', 'u', 'b', 9, 0,
-  /* 3578 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 3587 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 3598 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 3605 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 3616 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 3627 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 3634 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3642 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3651 */ 'a', 'd', 'c', 9, 0,
-  /* 3656 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 3665 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 3672 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 3679 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 3687 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 3696 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 3705 */ 'i', 'n', 'c', 9, 0,
-  /* 3710 */ 'b', 't', 'c', 9, 0,
-  /* 3715 */ 'a', 'a', 'd', 9, 0,
-  /* 3720 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
-  /* 3728 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 3736 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 3744 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 3754 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 3765 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 3774 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 3782 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 3793 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 3804 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 3811 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
-  /* 3818 */ 'x', 'a', 'd', 'd', 9, 0,
-  /* 3824 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3833 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3841 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 3851 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 3863 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 3873 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 3884 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
-  /* 3892 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 3899 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 3908 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 3915 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 3924 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 3933 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 3939 */ 'f', 'l', 'd', 9, 0,
-  /* 3944 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 3952 */ 'f', 'i', 'l', 'd', 9, 0,
-  /* 3958 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 3966 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 3975 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 3983 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 3992 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 4000 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 4008 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 4015 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
-  /* 4023 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 4032 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 4039 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4055 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4068 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4082 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4098 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4111 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4125 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4141 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4154 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4168 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4184 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4197 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4211 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 4221 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 4233 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 4244 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 4255 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4271 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4284 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4298 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4314 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4327 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4341 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 4350 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 4358 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4371 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4382 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4391 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4401 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4412 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4420 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4433 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4442 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4452 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4463 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4471 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4479 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4489 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4499 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 4511 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 4520 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 4531 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 4540 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 4551 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 4562 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 4573 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 4581 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 4590 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 4598 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 4607 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 4616 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 4624 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 4631 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 4639 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 4651 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4658 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4666 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 4676 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 4685 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 4694 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 4703 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 4714 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 4722 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 4734 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 4742 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 4751 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 4761 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 4773 */ 's', 'h', 'r', 'd', 9, 0,
-  /* 4779 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 4788 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 4797 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4810 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4824 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4837 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4851 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4864 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4878 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4891 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4905 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 4916 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 4928 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 4939 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4952 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4966 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4979 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4993 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 5001 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5011 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5022 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5030 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5040 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5051 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5059 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 5069 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5079 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5088 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 5096 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5105 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5113 */ 's', 't', 'o', 's', 'd', 9, 0,
-  /* 5120 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 5128 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 5137 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 5146 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 5160 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 5168 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 5176 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5185 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5193 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 5202 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 5212 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 5220 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 5234 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 5243 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 5252 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 5261 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 5270 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 5279 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 5288 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 5297 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5309 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5316 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 5326 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5336 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5346 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 5358 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 5370 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 5380 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 5391 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5402 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5414 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 5425 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 5436 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 5447 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
-  /* 5455 */ 'j', 'a', 'e', 9, 0,
-  /* 5460 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 5467 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
-  /* 5475 */ 'j', 'b', 'e', 9, 0,
-  /* 5480 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 5487 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 5495 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 5502 */ 'j', 'g', 'e', 9, 0,
-  /* 5507 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 5516 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 5523 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
-  /* 5531 */ 'j', 'e', 9, 0,
-  /* 5535 */ 'j', 'l', 'e', 9, 0,
-  /* 5540 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 5547 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
-  /* 5555 */ 'j', 'n', 'e', 9, 0,
-  /* 5560 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 5568 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 5575 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 5583 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 5590 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5600 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5610 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5620 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5630 */ 's', 'e', 't', 'e', 9, 0,
-  /* 5636 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
-  /* 5645 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 5656 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 5664 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 5672 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 5679 */ 'b', 's', 'f', 9, 0,
-  /* 5684 */ 'r', 'e', 't', 'f', 9, 0,
-  /* 5690 */ 'n', 'e', 'g', 9, 0,
-  /* 5695 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
-  /* 5704 */ 'j', 'g', 9, 0,
-  /* 5708 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 5716 */ 's', 'e', 't', 'g', 9, 0,
-  /* 5722 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
-  /* 5729 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 5739 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 5745 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 5756 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 5767 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 5779 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 5788 */ 'p', 'u', 's', 'h', 9, 0,
-  /* 5794 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 5800 */ 'b', 'z', 'h', 'i', 9, 0,
-  /* 5806 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5813 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5821 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5832 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5842 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5853 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5863 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5871 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5880 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 5892 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 5904 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5916 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5927 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5939 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5950 */ 'b', 'l', 's', 'i', 9, 0,
-  /* 5956 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
-  /* 5964 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 5972 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
-  /* 5980 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 5987 */ 'r', 'c', 'l', 9, 0,
-  /* 5992 */ 's', 'h', 'l', 9, 0,
-  /* 5997 */ 'j', 'l', 9, 0,
-  /* 6001 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
-  /* 6008 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6017 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6026 */ 'r', 'o', 'l', 9, 0,
-  /* 6031 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6037 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 6048 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 6060 */ 'l', 's', 'l', 9, 0,
-  /* 6065 */ 's', 'e', 't', 'l', 9, 0,
-  /* 6071 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 6078 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
-  /* 6085 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
-  /* 6092 */ 'a', 'a', 'm', 9, 0,
-  /* 6097 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 6103 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
-  /* 6110 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 6117 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 6125 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 6137 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 6149 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 6157 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 6165 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 6172 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 6179 */ 'j', 'o', 9, 0,
-  /* 6183 */ 'j', 'n', 'o', 9, 0,
-  /* 6188 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 6195 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
-  /* 6203 */ 's', 'e', 't', 'o', 9, 0,
-  /* 6209 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
-  /* 6216 */ 'b', 's', 'w', 'a', 'p', 9, 0,
-  /* 6223 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 6230 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 6237 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 6244 */ 'p', 'd', 'e', 'p', 9, 0,
-  /* 6250 */ 'j', 'p', 9, 0,
-  /* 6254 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 6261 */ 'c', 'm', 'p', 9, 0,
-  /* 6266 */ 'l', 'j', 'm', 'p', 9, 0,
-  /* 6272 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6279 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6287 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6295 */ 'j', 'n', 'p', 9, 0,
-  /* 6300 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 6307 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
-  /* 6315 */ 'n', 'o', 'p', 9, 0,
-  /* 6320 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 6326 */ 'p', 'o', 'p', 9, 0,
-  /* 6331 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 6339 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 6347 */ 's', 'e', 't', 'p', 9, 0,
-  /* 6353 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 6360 */ 'f', 's', 't', 'p', 9, 0,
-  /* 6366 */ 'f', 'i', 's', 't', 'p', 9, 0,
-  /* 6373 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
-  /* 6381 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 6391 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 6402 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 6413 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 6429 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 6436 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
-  /* 6443 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 6452 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 6460 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 6470 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 6481 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 6489 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 6500 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 6511 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6523 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6534 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 6543 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6555 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6566 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 6576 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 6584 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 6594 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 6606 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 6618 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 6627 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 6636 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 6645 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 6658 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6671 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6683 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 6695 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 6705 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 6716 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 6726 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 6737 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 6748 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 6757 */ 'r', 'e', 't', 'f', 'q', 9, 0,
-  /* 6764 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 6772 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 6780 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 6788 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 6796 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 6804 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 6812 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 6822 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 6834 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 6843 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 6852 */ 's', 't', 'o', 's', 'q', 9, 0,
-  /* 6859 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 6866 */ 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 6873 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 6883 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 6891 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 6899 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 6908 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 6922 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 6931 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 6940 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 6949 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 6958 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6970 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6977 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 6987 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 6998 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 7009 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 7020 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 7029 */ 'l', 'a', 'r', 9, 0,
-  /* 7034 */ 's', 'a', 'r', 9, 0,
-  /* 7039 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 7047 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
-  /* 7055 */ 'r', 'c', 'r', 9, 0,
-  /* 7060 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 7067 */ 's', 'h', 'r', 9, 0,
-  /* 7072 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 7082 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 7088 */ 'r', 'o', 'r', 9, 0,
-  /* 7093 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7101 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7110 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 7117 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 7123 */ 'b', 's', 'r', 9, 0,
-  /* 7128 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7138 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7148 */ 'b', 'l', 's', 'r', 9, 0,
-  /* 7154 */ 'b', 't', 'r', 9, 0,
-  /* 7159 */ 'l', 't', 'r', 9, 0,
-  /* 7164 */ 's', 't', 'r', 9, 0,
-  /* 7169 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 7176 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7183 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7191 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
-  /* 7199 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 7205 */ 'l', 'd', 's', 9, 0,
-  /* 7210 */ 'l', 'e', 's', 9, 0,
-  /* 7215 */ 'l', 'f', 's', 9, 0,
-  /* 7220 */ 'l', 'g', 's', 9, 0,
-  /* 7225 */ 'j', 's', 9, 0,
-  /* 7229 */ 'j', 'n', 's', 9, 0,
-  /* 7234 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 7241 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
-  /* 7249 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7265 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7278 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7292 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7308 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7321 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7335 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7351 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7364 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7378 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7394 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7407 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7421 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 7432 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 7443 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 7453 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 7465 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 7476 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7492 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7505 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7519 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7535 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7548 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7562 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 7571 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7584 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7595 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7604 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7614 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7625 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7633 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7646 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7655 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7665 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7676 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7684 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 7692 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 7702 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 7712 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 7724 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 7733 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 7744 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 7754 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 7763 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 7774 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 7784 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 7795 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 7806 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 7814 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 7823 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 7832 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 7841 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 7849 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 7857 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 7864 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 7872 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 7884 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 7891 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 7899 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 7911 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 7921 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 7932 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7942 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7951 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 7960 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 7969 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 7980 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 7988 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 8000 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 8008 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 8017 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8030 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8044 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8057 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8071 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8084 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8098 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8111 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8125 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 8136 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8147 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8159 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8172 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8186 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8199 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8213 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8223 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8234 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8242 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8252 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8263 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8271 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 8281 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8291 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8300 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 8308 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 8316 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 8324 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 8332 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 8341 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8351 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8360 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 8374 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 8382 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 8390 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 8398 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 8407 */ 'b', 't', 's', 9, 0,
-  /* 8412 */ 's', 'e', 't', 's', 9, 0,
-  /* 8418 */ 'c', 'm', 'o', 'v', 's', 9, 0,
-  /* 8425 */ 'b', 't', 9, 0,
-  /* 8429 */ 'l', 'g', 'd', 't', 9, 0,
-  /* 8435 */ 's', 'g', 'd', 't', 9, 0,
-  /* 8441 */ 'l', 'i', 'd', 't', 9, 0,
-  /* 8447 */ 's', 'i', 'd', 't', 9, 0,
-  /* 8453 */ 'l', 'l', 'd', 't', 9, 0,
-  /* 8459 */ 's', 'l', 'd', 't', 9, 0,
-  /* 8465 */ 'r', 'e', 't', 9, 0,
-  /* 8470 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 8479 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
-  /* 8487 */ 'l', 'z', 'c', 'n', 't', 9, 0,
-  /* 8494 */ 't', 'z', 'c', 'n', 't', 9, 0,
-  /* 8501 */ 'i', 'n', 't', 9, 0,
-  /* 8506 */ 'n', 'o', 't', 9, 0,
-  /* 8511 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 8519 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 8529 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 8537 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 8546 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8559 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8572 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 8580 */ 'f', 's', 't', 9, 0,
-  /* 8585 */ 'f', 'i', 's', 't', 9, 0,
-  /* 8591 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 8609 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 8618 */ 'o', 'u', 't', 9, 0,
-  /* 8623 */ 'p', 'e', 'x', 't', 9, 0,
-  /* 8629 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 8637 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8650 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8659 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 8665 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
-  /* 8672 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 8680 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 8689 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 8697 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 8705 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 8713 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 8723 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8733 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8742 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 8752 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 8764 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 8776 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 8787 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 8796 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 8804 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 8815 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 8826 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 8833 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 8841 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8850 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8858 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 8868 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 8879 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 8890 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 8897 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 8905 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 8913 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 8924 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 8934 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 8943 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 8950 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 8960 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 8968 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 8976 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 8985 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 8993 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 9001 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 9010 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 9020 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 9026 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 9035 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 9044 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 9053 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 9061 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 9073 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9083 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9092 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9102 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9111 */ 'l', 'm', 's', 'w', 9, 0,
-  /* 9117 */ 's', 'm', 's', 'w', 9, 0,
-  /* 9123 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 9132 */ 's', 't', 'o', 's', 'w', 9, 0,
-  /* 9139 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 9146 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 9157 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 9165 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 9175 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 9185 */ 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 9192 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 9201 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 9211 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 9219 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 9233 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 9243 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 9252 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 9261 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 9274 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 9283 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 9293 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 9304 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 9311 */ 'a', 'd', 'c', 'x', 9, 0,
-  /* 9317 */ 's', 'h', 'l', 'x', 9, 0,
-  /* 9323 */ 'm', 'u', 'l', 'x', 9, 0,
-  /* 9329 */ 'a', 'd', 'o', 'x', 9, 0,
-  /* 9335 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9348 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9360 */ 's', 'a', 'r', 'x', 9, 0,
-  /* 9366 */ 's', 'h', 'r', 'x', 9, 0,
-  /* 9372 */ 'r', 'o', 'r', 'x', 9, 0,
-  /* 9378 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 9390 */ 'm', 'o', 'v', 's', 'x', 9, 0,
-  /* 9397 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
-  /* 9404 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 9411 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 9417 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 9424 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9440 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9455 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9472 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9488 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9504 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9519 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9535 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9550 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9559 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9570 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9581 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9590 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
-  /* 9599 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9608 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9617 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
-  /* 9625 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
-  /* 9634 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
-  /* 9643 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
-  /* 9655 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
-  /* 9665 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
-  /* 9674 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9683 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9692 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
-  /* 9701 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9710 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9719 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
-  /* 9729 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
-  /* 9737 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
-  /* 9746 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
-  /* 9755 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
-  /* 9767 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
-  /* 9777 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
-  /* 9786 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9797 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9808 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9818 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9828 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9838 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9848 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9858 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9870 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9882 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9893 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9902 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9912 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9922 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9935 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9946 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9956 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9966 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9976 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9986 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9996 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10006 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10017 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10027 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10039 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10051 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10061 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10074 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10085 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
-  /* 10096 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
-  /* 10107 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
-  /* 10118 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 10129 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 10152 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 10176 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10196 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10216 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10236 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10257 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10278 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10299 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10321 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10342 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10364 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10386 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10406 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10427 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10448 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10470 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10489 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10509 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10529 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10550 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10570 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10589 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10609 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10629 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10648 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10666 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10685 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10704 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10724 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10743 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10763 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10783 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10803 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10823 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10843 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10863 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10883 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10902 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10922 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10942 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10961 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10979 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10998 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11017 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11037 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11056 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11076 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11095 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11115 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11133 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11152 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11171 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11191 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11209 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11228 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11246 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11265 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11283 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11300 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11318 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11336 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11355 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11376 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11397 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 11403 */ 'f', 'l', 'd', '1', 0,
-  /* 11408 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 11415 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 11421 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 11429 */ 'i', 'n', 't', '1', 0,
-  /* 11434 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 11453 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 11471 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 11484 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11497 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11515 */ 'u', 'd', '2', 0,
-  /* 11519 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 11526 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 11533 */ 'i', 'n', 't', '3', 0,
-  /* 11538 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 11557 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 11575 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 11588 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11601 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11619 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 11625 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 11632 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 11640 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 11653 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 11660 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 11670 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 11679 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 11697 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 11713 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 11725 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 11740 */ 'a', 'a', 'a', 0,
-  /* 11744 */ 'd', 'a', 'a', 0,
-  /* 11748 */ 'u', 'd', '2', 'b', 0,
-  /* 11753 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 11763 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 11773 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 11783 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 11793 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 11803 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 11809 */ 'c', 'l', 'a', 'c', 0,
-  /* 11814 */ 's', 't', 'a', 'c', 0,
-  /* 11819 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 11829 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 11836 */ 's', 'a', 'l', 'c', 0,
-  /* 11841 */ 'c', 'l', 'c', 0,
-  /* 11845 */ 'c', 'm', 'c', 0,
-  /* 11849 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 11855 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 11862 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 11868 */ 's', 't', 'c', 0,
-  /* 11872 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 11879 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 11885 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 11891 */ 'c', 'l', 'd', 0,
-  /* 11895 */ 'x', 'e', 'n', 'd', 0,
-  /* 11900 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 11910 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 11920 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 11926 */ 's', 't', 'd', 0,
-  /* 11930 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 11937 */ 'c', 'w', 'd', 0,
-  /* 11941 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 11948 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11955 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11962 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11969 */ 'c', 'w', 'd', 'e', 0,
-  /* 11974 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 11981 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 11990 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 11996 */ 'c', 'd', 'q', 'e', 0,
-  /* 12001 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 12010 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 12017 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 12026 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 12032 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 12038 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 12045 */ 'l', 'a', 'h', 'f', 0,
-  /* 12050 */ 's', 'a', 'h', 'f', 0,
-  /* 12055 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 12061 */ 'p', 'o', 'p', 'f', 0,
-  /* 12066 */ 'r', 'e', 't', 'f', 0,
-  /* 12071 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 12080 */ 'c', 'l', 'g', 'i', 0,
-  /* 12085 */ 's', 't', 'g', 'i', 0,
-  /* 12090 */ 'c', 'l', 'i', 0,
-  /* 12094 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 12100 */ 's', 't', 'i', 0,
-  /* 12104 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 12119 */ 'l', 'o', 'c', 'k', 0,
-  /* 12124 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 12135 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 12142 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 12148 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12156 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12163 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 12171 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 12180 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 12188 */ 'f', 'x', 'a', 'm', 0,
-  /* 12193 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 12199 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 12206 */ 'r', 's', 'm', 0,
-  /* 12210 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 12217 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 12223 */ 'f', 's', 'i', 'n', 0,
-  /* 12228 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 12255 */ 'c', 'q', 'o', 0,
-  /* 12259 */ 'i', 'n', 't', 'o', 0,
-  /* 12264 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 12271 */ 'r', 'e', 'p', 0,
-  /* 12275 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 12281 */ 'v', 'c', 'm', 'p', 0,
-  /* 12286 */ 'f', 'n', 'o', 'p', 0,
-  /* 12291 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12298 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12306 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 12314 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 12322 */ 'c', 'd', 'q', 0,
-  /* 12326 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 12333 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 12339 */ 'r', 'e', 't', 'f', 'q', 0,
-  /* 12345 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 12355 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 12365 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 12371 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 12382 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 12391 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 12399 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 12405 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 12411 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 12421 */ 'a', 'a', 's', 0,
-  /* 12425 */ 'd', 'a', 's', 0,
-  /* 12429 */ 'f', 'a', 'b', 's', 0,
-  /* 12434 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 12442 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 12450 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 12457 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 12465 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 12472 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 12480 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 12487 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 12495 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 12502 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 12509 */ 'f', 'c', 'h', 's', 0,
-  /* 12514 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 12559 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 12565 */ 'f', 'c', 'o', 's', 0,
-  /* 12570 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 12578 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 12586 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 12593 */ 'c', 'l', 't', 's', 0,
-  /* 12598 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 12605 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 12613 */ 'i', 'r', 'e', 't', 0,
-  /* 12618 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 12625 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 12631 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 12638 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 12646 */ 'h', 'l', 't', 0,
-  /* 12650 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 12658 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 12664 */ 'x', 't', 'e', 's', 't', 0,
-  /* 12670 */ 'f', 't', 's', 't', 0,
-  /* 12675 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 12682 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 12689 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 12696 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 12702 */ 'c', 'b', 'w', 0,
-  /* 12706 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 12716 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 12726 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 12732 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
-  /* 12742 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 12753 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 12764 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 12775 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 12785 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 12796 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 12808 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 12819 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 12830 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 12840 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12857 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12874 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 12884 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12894 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12905 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 12912 */ 'f', 'l', 'd', 'z', 0,
+  /* 2625 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 2636 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 2644 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 2656 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 2667 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 2677 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 2688 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 2697 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 2709 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 2720 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 2730 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 2737 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 2746 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 2754 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 2765 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 2773 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 2784 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 2792 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 2804 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 2814 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 2826 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 2838 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 2848 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 2858 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 2869 */ 'c', 'r', 'c', '3', '2', 9, 0,
+  /* 2876 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 2886 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 2898 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 2911 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 2923 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 2933 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 2944 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
+  /* 2954 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
+  /* 2965 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
+  /* 2977 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 2988 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3003 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3017 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3032 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3046 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3061 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3075 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3090 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3104 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3116 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3130 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3143 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3159 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3171 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3185 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3198 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3214 */ 'l', 'e', 'a', 9, 0,
+  /* 3219 */ 'j', 'a', 9, 0,
+  /* 3223 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3234 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3243 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3249 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3262 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
+  /* 3269 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3281 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3292 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3300 */ 's', 'b', 'b', 9, 0,
+  /* 3305 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3313 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3321 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3330 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3338 */ 'j', 'b', 9, 0,
+  /* 3342 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3353 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3361 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3369 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3378 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3388 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 3397 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 3406 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 3414 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 3423 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 3432 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 3441 */ 's', 't', 'o', 's', 'b', 9, 0,
+  /* 3448 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 3455 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 3465 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 3475 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 3484 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 3491 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 3500 */ 's', 'e', 't', 'b', 9, 0,
+  /* 3506 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 3516 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 3524 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 3538 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 3547 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 3556 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 3563 */ 'f', 'i', 's', 'u', 'b', 9, 0,
+  /* 3570 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 3579 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 3590 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 3597 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 3608 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 3619 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 3626 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3634 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3643 */ 'a', 'd', 'c', 9, 0,
+  /* 3648 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 3657 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 3664 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 3671 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 3679 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 3688 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 3697 */ 'i', 'n', 'c', 9, 0,
+  /* 3702 */ 'b', 't', 'c', 9, 0,
+  /* 3707 */ 'a', 'a', 'd', 9, 0,
+  /* 3712 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
+  /* 3720 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 3728 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 3736 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 3746 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 3757 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 3766 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 3774 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 3785 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 3796 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 3803 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
+  /* 3810 */ 'x', 'a', 'd', 'd', 9, 0,
+  /* 3816 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3825 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3833 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 3843 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 3855 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 3865 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 3876 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
+  /* 3884 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 3891 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 3900 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 3907 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 3916 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 3925 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 3931 */ 'f', 'l', 'd', 9, 0,
+  /* 3936 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 3944 */ 'f', 'i', 'l', 'd', 9, 0,
+  /* 3950 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 3958 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 3967 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 3975 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 3984 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 3992 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4000 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4007 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
+  /* 4015 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4024 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4031 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4047 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4060 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4074 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4090 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4103 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4117 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4133 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4146 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4160 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4176 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4189 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4203 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4213 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4225 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4236 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4247 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4263 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4276 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4290 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4306 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4319 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4333 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4342 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4350 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4363 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4374 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4383 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4393 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4404 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4412 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4425 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4434 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4444 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4455 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4463 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4471 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4481 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4491 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 4503 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 4512 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 4523 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 4532 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 4543 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 4554 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 4565 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 4573 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 4582 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 4590 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 4599 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 4608 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 4616 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 4623 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 4631 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 4643 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4650 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4658 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 4668 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 4677 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 4686 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 4695 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 4706 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 4714 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 4726 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 4734 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 4743 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 4753 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 4765 */ 's', 'h', 'r', 'd', 9, 0,
+  /* 4771 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 4780 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 4789 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4802 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4816 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4829 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4843 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4856 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4870 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4883 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 4897 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 4908 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 4920 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 4931 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4944 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4958 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4971 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 4985 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 4993 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5003 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5014 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5022 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5032 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5043 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5051 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5061 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5071 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5080 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5088 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5097 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5105 */ 's', 't', 'o', 's', 'd', 9, 0,
+  /* 5112 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5120 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5129 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5138 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5152 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5160 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5168 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5177 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5185 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5194 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5204 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5212 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5226 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5235 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5244 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5253 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5262 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5271 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5280 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5289 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5301 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5308 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5318 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5328 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5338 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5350 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5362 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5372 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5383 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5394 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5406 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5417 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5428 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5439 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
+  /* 5447 */ 'j', 'a', 'e', 9, 0,
+  /* 5452 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 5459 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
+  /* 5467 */ 'j', 'b', 'e', 9, 0,
+  /* 5472 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 5479 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 5487 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 5494 */ 'j', 'g', 'e', 9, 0,
+  /* 5499 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 5508 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 5515 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
+  /* 5523 */ 'j', 'e', 9, 0,
+  /* 5527 */ 'j', 'l', 'e', 9, 0,
+  /* 5532 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 5539 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
+  /* 5547 */ 'j', 'n', 'e', 9, 0,
+  /* 5552 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 5560 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 5567 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 5575 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 5582 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5592 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5602 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5612 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5622 */ 's', 'e', 't', 'e', 9, 0,
+  /* 5628 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
+  /* 5637 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 5648 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 5656 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 5664 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 5671 */ 'b', 's', 'f', 9, 0,
+  /* 5676 */ 'r', 'e', 't', 'f', 9, 0,
+  /* 5682 */ 'n', 'e', 'g', 9, 0,
+  /* 5687 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
+  /* 5696 */ 'j', 'g', 9, 0,
+  /* 5700 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 5708 */ 's', 'e', 't', 'g', 9, 0,
+  /* 5714 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
+  /* 5721 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 5731 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 5737 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 5748 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 5759 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 5771 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 5780 */ 'p', 'u', 's', 'h', 9, 0,
+  /* 5786 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 5792 */ 'b', 'z', 'h', 'i', 9, 0,
+  /* 5798 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5805 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5813 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5824 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5834 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 5845 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 5855 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 5863 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 5872 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 5884 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 5896 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 5908 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 5919 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 5931 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 5942 */ 'b', 'l', 's', 'i', 9, 0,
+  /* 5948 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
+  /* 5956 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 5964 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
+  /* 5972 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 5979 */ 'r', 'c', 'l', 9, 0,
+  /* 5984 */ 's', 'h', 'l', 9, 0,
+  /* 5989 */ 'j', 'l', 9, 0,
+  /* 5993 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 6000 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6009 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6018 */ 'r', 'o', 'l', 9, 0,
+  /* 6023 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6029 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6040 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 6052 */ 'l', 's', 'l', 9, 0,
+  /* 6057 */ 's', 'e', 't', 'l', 9, 0,
+  /* 6063 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 6070 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 6077 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 6084 */ 'a', 'a', 'm', 9, 0,
+  /* 6089 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 6095 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 6102 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 6109 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 6117 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 6129 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 6141 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 6149 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 6157 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 6164 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 6171 */ 'j', 'o', 9, 0,
+  /* 6175 */ 'j', 'n', 'o', 9, 0,
+  /* 6180 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 6187 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 6195 */ 's', 'e', 't', 'o', 9, 0,
+  /* 6201 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 6208 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 6215 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 6222 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 6229 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 6236 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 6242 */ 'j', 'p', 9, 0,
+  /* 6246 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 6253 */ 'c', 'm', 'p', 9, 0,
+  /* 6258 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 6264 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6271 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6279 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6287 */ 'j', 'n', 'p', 9, 0,
+  /* 6292 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 6299 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 6307 */ 'n', 'o', 'p', 9, 0,
+  /* 6312 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 6318 */ 'p', 'o', 'p', 9, 0,
+  /* 6323 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 6331 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 6339 */ 's', 'e', 't', 'p', 9, 0,
+  /* 6345 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 6352 */ 'f', 's', 't', 'p', 9, 0,
+  /* 6358 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 6365 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 6373 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 6383 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 6394 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 6405 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 6421 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 6428 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 6435 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 6444 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 6452 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 6462 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 6473 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 6481 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 6492 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 6503 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6515 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6526 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 6535 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6547 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6558 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 6568 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 6576 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 6586 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 6598 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 6610 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 6619 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 6628 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 6637 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 6650 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6663 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6675 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 6687 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 6697 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 6708 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 6718 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 6729 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 6740 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 6749 */ 'r', 'e', 't', 'f', 'q', 9, 0,
+  /* 6756 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 6764 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 6772 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 6780 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 6788 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 6796 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 6804 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 6814 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 6826 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 6835 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 6844 */ 's', 't', 'o', 's', 'q', 9, 0,
+  /* 6851 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 6858 */ 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 6865 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 6875 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 6883 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 6891 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 6900 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 6914 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 6923 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 6932 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 6941 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 6950 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 6962 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 6969 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 6979 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 6990 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 7001 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 7012 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 7021 */ 'l', 'a', 'r', 9, 0,
+  /* 7026 */ 's', 'a', 'r', 9, 0,
+  /* 7031 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 7039 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 7047 */ 'r', 'c', 'r', 9, 0,
+  /* 7052 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 7059 */ 's', 'h', 'r', 9, 0,
+  /* 7064 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 7074 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 7080 */ 'r', 'o', 'r', 9, 0,
+  /* 7085 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7093 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7102 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 7109 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 7115 */ 'b', 's', 'r', 9, 0,
+  /* 7120 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7130 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7140 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 7146 */ 'b', 't', 'r', 9, 0,
+  /* 7151 */ 'l', 't', 'r', 9, 0,
+  /* 7156 */ 's', 't', 'r', 9, 0,
+  /* 7161 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 7168 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7175 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7183 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 7191 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 7197 */ 'l', 'd', 's', 9, 0,
+  /* 7202 */ 'l', 'e', 's', 9, 0,
+  /* 7207 */ 'l', 'f', 's', 9, 0,
+  /* 7212 */ 'l', 'g', 's', 9, 0,
+  /* 7217 */ 'j', 's', 9, 0,
+  /* 7221 */ 'j', 'n', 's', 9, 0,
+  /* 7226 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 7233 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 7241 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7257 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7270 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7284 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7300 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7313 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7327 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7343 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7356 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7370 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7386 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7399 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7413 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 7424 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 7435 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 7445 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 7457 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 7468 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7484 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7497 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7511 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7527 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7540 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7554 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 7563 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7576 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7587 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7596 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7606 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7617 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7625 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7638 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7647 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7657 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7668 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7676 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 7684 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 7694 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 7704 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 7716 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 7725 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 7736 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 7746 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 7755 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 7766 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 7776 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 7787 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 7798 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 7806 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 7815 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 7824 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 7833 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 7841 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 7849 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 7856 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 7864 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 7876 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 7883 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 7891 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 7903 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 7913 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 7924 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 7934 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 7943 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 7952 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 7961 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 7972 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 7980 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 7992 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 8000 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 8009 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8022 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8036 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8049 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8063 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8076 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8090 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8103 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8117 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 8128 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8139 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8151 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8164 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8178 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8191 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8205 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8215 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8226 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8234 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8244 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8255 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8263 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 8273 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8283 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8292 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 8300 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 8308 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 8316 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 8324 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 8333 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8343 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8352 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 8366 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 8374 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 8382 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 8390 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 8399 */ 'b', 't', 's', 9, 0,
+  /* 8404 */ 's', 'e', 't', 's', 9, 0,
+  /* 8410 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 8417 */ 'b', 't', 9, 0,
+  /* 8421 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 8427 */ 's', 'g', 'd', 't', 9, 0,
+  /* 8433 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 8439 */ 's', 'i', 'd', 't', 9, 0,
+  /* 8445 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 8451 */ 's', 'l', 'd', 't', 9, 0,
+  /* 8457 */ 'r', 'e', 't', 9, 0,
+  /* 8462 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 8471 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 8479 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 8486 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 8493 */ 'i', 'n', 't', 9, 0,
+  /* 8498 */ 'n', 'o', 't', 9, 0,
+  /* 8503 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 8511 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 8521 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 8529 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 8538 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8551 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8564 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 8572 */ 'f', 's', 't', 9, 0,
+  /* 8577 */ 'f', 'i', 's', 't', 9, 0,
+  /* 8583 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 8601 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 8610 */ 'o', 'u', 't', 9, 0,
+  /* 8615 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 8621 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 8629 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8642 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8651 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 8657 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 8664 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 8672 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 8681 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 8689 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 8697 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 8705 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 8715 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8725 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8734 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 8744 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 8756 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 8768 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 8779 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 8788 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 8796 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 8807 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 8818 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 8825 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 8833 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 8842 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 8850 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 8860 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 8871 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 8882 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 8889 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 8897 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 8905 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 8916 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 8926 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 8935 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 8942 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 8952 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 8960 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 8968 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 8977 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 8985 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 8993 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 9002 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 9012 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 9018 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 9027 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 9036 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 9045 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 9053 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 9065 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9075 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9084 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9094 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9103 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 9109 */ 's', 'm', 's', 'w', 9, 0,
+  /* 9115 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 9124 */ 's', 't', 'o', 's', 'w', 9, 0,
+  /* 9131 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 9138 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 9149 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 9157 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 9167 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 9177 */ 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 9184 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 9193 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 9203 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 9211 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 9225 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 9235 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 9244 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 9253 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 9266 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 9275 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 9285 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 9296 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 9303 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 9309 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 9315 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 9321 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 9327 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9340 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9352 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 9358 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 9364 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 9370 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 9382 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 9389 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 9396 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 9403 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 9409 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 9416 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9432 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9447 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9464 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9480 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9496 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9511 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9527 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9542 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9551 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9562 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9573 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9582 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 9591 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9600 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9609 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 9617 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 9626 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 9635 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 9647 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 9657 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 9666 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9675 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9684 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 9693 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9702 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9711 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 9721 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 9729 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 9738 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 9747 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 9759 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 9769 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 9778 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9789 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9800 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9810 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9820 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9830 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9840 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9850 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9862 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9874 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9885 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9894 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9904 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9914 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9927 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9938 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9948 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9958 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9968 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9978 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9988 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 9998 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10009 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10019 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10031 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10043 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10053 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10066 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10077 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
+  /* 10088 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
+  /* 10099 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
+  /* 10110 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 10121 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 10144 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 10168 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10188 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10208 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10228 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10249 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10270 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10291 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10313 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10334 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10356 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10378 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10398 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10419 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10440 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10462 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10481 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10501 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10521 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10542 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10562 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10581 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10601 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10621 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10640 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10658 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10677 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10696 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10716 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10735 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10755 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10775 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10795 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10815 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10835 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10855 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10875 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10894 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10914 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10934 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10953 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10971 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10990 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11009 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11029 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11048 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11068 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11087 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11107 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11125 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11144 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11163 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11183 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11201 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11220 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11238 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11257 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11275 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11292 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11310 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11328 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11347 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11368 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 11389 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 11395 */ 'f', 'l', 'd', '1', 0,
+  /* 11400 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 11407 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 11413 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 11421 */ 'i', 'n', 't', '1', 0,
+  /* 11426 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 11445 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 11463 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 11476 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 11489 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 11507 */ 'u', 'd', '2', 0,
+  /* 11511 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 11518 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 11525 */ 'i', 'n', 't', '3', 0,
+  /* 11530 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 11549 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 11567 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 11580 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11593 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11611 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 11617 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 11624 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 11632 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 11645 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 11652 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 11662 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 11671 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 11689 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 11705 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 11717 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 11732 */ 'a', 'a', 'a', 0,
+  /* 11736 */ 'd', 'a', 'a', 0,
+  /* 11740 */ 'u', 'd', '2', 'b', 0,
+  /* 11745 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 11755 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 11765 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 11775 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 11785 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 11795 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 11801 */ 'c', 'l', 'a', 'c', 0,
+  /* 11806 */ 's', 't', 'a', 'c', 0,
+  /* 11811 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 11821 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 11828 */ 's', 'a', 'l', 'c', 0,
+  /* 11833 */ 'c', 'l', 'c', 0,
+  /* 11837 */ 'c', 'm', 'c', 0,
+  /* 11841 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 11847 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 11854 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 11860 */ 's', 't', 'c', 0,
+  /* 11864 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 11871 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 11877 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 11883 */ 'c', 'l', 'd', 0,
+  /* 11887 */ 'x', 'e', 'n', 'd', 0,
+  /* 11892 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 11902 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 11912 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 11918 */ 's', 't', 'd', 0,
+  /* 11922 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 11929 */ 'c', 'w', 'd', 0,
+  /* 11933 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 11940 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11947 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11954 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11961 */ 'c', 'w', 'd', 'e', 0,
+  /* 11966 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 11973 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 11982 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 11988 */ 'c', 'd', 'q', 'e', 0,
+  /* 11993 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 12002 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 12009 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 12018 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 12024 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 12030 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 12037 */ 'l', 'a', 'h', 'f', 0,
+  /* 12042 */ 's', 'a', 'h', 'f', 0,
+  /* 12047 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 12053 */ 'p', 'o', 'p', 'f', 0,
+  /* 12058 */ 'r', 'e', 't', 'f', 0,
+  /* 12063 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 12072 */ 'c', 'l', 'g', 'i', 0,
+  /* 12077 */ 's', 't', 'g', 'i', 0,
+  /* 12082 */ 'c', 'l', 'i', 0,
+  /* 12086 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 12092 */ 's', 't', 'i', 0,
+  /* 12096 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 12111 */ 'l', 'o', 'c', 'k', 0,
+  /* 12116 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 12127 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 12134 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 12140 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 12148 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 12155 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 12163 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 12172 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 12180 */ 'f', 'x', 'a', 'm', 0,
+  /* 12185 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 12191 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 12198 */ 'r', 's', 'm', 0,
+  /* 12202 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 12209 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 12215 */ 'f', 's', 'i', 'n', 0,
+  /* 12220 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 12247 */ 'c', 'q', 'o', 0,
+  /* 12251 */ 'i', 'n', 't', 'o', 0,
+  /* 12256 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 12263 */ 'r', 'e', 'p', 0,
+  /* 12267 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 12273 */ 'v', 'c', 'm', 'p', 0,
+  /* 12278 */ 'f', 'n', 'o', 'p', 0,
+  /* 12283 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 12290 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 12298 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 12306 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 12314 */ 'c', 'd', 'q', 0,
+  /* 12318 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 12325 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 12331 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 12337 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 12347 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 12357 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 12363 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 12374 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 12383 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 12391 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 12397 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 12403 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 12413 */ 'a', 'a', 's', 0,
+  /* 12417 */ 'd', 'a', 's', 0,
+  /* 12421 */ 'f', 'a', 'b', 's', 0,
+  /* 12426 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 12434 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 12442 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 12449 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 12457 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 12464 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 12472 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 12479 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 12487 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 12494 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 12501 */ 'f', 'c', 'h', 's', 0,
+  /* 12506 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 12551 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 12557 */ 'f', 'c', 'o', 's', 0,
+  /* 12562 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 12570 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 12578 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 12585 */ 'c', 'l', 't', 's', 0,
+  /* 12590 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 12597 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 12605 */ 'i', 'r', 'e', 't', 0,
+  /* 12610 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 12617 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 12623 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 12630 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 12638 */ 'h', 'l', 't', 0,
+  /* 12642 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 12650 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 12656 */ 'x', 't', 'e', 's', 't', 0,
+  /* 12662 */ 'f', 't', 's', 't', 0,
+  /* 12667 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 12674 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 12681 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 12688 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 12694 */ 'c', 'b', 'w', 0,
+  /* 12698 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 12708 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 12718 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 12724 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 12734 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 12745 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 12756 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 12767 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 12777 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 12788 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 12800 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 12811 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 12822 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 12832 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12849 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12866 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 12876 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12886 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12897 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 12904 */ 'f', 'l', 'd', 'z', 0,
   };
 #endif