initial import
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
new file mode 100644
index 0000000..c2cb8af
--- /dev/null
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -0,0 +1,12482 @@
+/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
+|*                                                                            *|
+|*Assembly Writer Source Fragment                                             *|
+|*                                                                            *|
+|* Automatically generated file, do not edit!                                 *|
+|*                                                                            *|
+\*===----------------------------------------------------------------------===*/
+
+/* Capstone Disassembler Engine */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+
+/// printInstruction - This method is automatically generated by tablegen
+/// from the instruction set description.
+static void printInstruction(MCInst *MI, SStream *O)
+{
+  static const uint32_t OpInfo[] = {
+    0U,	// PHI
+    0U,	// INLINEASM
+    0U,	// PROLOG_LABEL
+    0U,	// EH_LABEL
+    0U,	// GC_LABEL
+    0U,	// KILL
+    0U,	// EXTRACT_SUBREG
+    0U,	// INSERT_SUBREG
+    0U,	// IMPLICIT_DEF
+    0U,	// SUBREG_TO_REG
+    0U,	// COPY_TO_REGCLASS
+    9360U,	// DBG_VALUE
+    0U,	// REG_SEQUENCE
+    0U,	// COPY
+    9353U,	// BUNDLE
+    9425U,	// LIFETIME_START
+    9340U,	// LIFETIME_END
+    9440U,	// AAA
+    17364U,	// AAD8i8
+    19932U,	// AAM8i8
+    10160U,	// AAS
+    10168U,	// ABS_F
+    0U,	// ABS_Fp32
+    0U,	// ABS_Fp64
+    0U,	// ABS_Fp80
+    9060U,	// ACQUIRE_MOV16rm
+    9060U,	// ACQUIRE_MOV32rm
+    9060U,	// ACQUIRE_MOV64rm
+    9060U,	// ACQUIRE_MOV8rm
+    23945U,	// ADC16i16
+    1082255U,	// ADC16mi
+    1082255U,	// ADC16mi8
+    1082255U,	// ADC16mr
+    35701647U,	// ADC16ri
+    35701647U,	// ADC16ri8
+    3195791U,	// ADC16rm
+    35701647U,	// ADC16rr
+    68174735U,	// ADC16rr_REV
+    24059U,	// ADC32i32
+    1115023U,	// ADC32mi
+    1115023U,	// ADC32mi8
+    1115023U,	// ADC32mr
+    35701647U,	// ADC32ri
+    35701647U,	// ADC32ri8
+    4244367U,	// ADC32rm
+    35701647U,	// ADC32rr
+    68174735U,	// ADC32rr_REV
+    24183U,	// ADC64i32
+    1131407U,	// ADC64mi32
+    1131407U,	// ADC64mi8
+    1131407U,	// ADC64mr
+    35701647U,	// ADC64ri32
+    35701647U,	// ADC64ri8
+    5292943U,	// ADC64rm
+    35701647U,	// ADC64rr
+    68174735U,	// ADC64rr_REV
+    23843U,	// ADC8i8
+    1147791U,	// ADC8mi
+    1147791U,	// ADC8mr
+    35701647U,	// ADC8ri
+    6341519U,	// ADC8rm
+    35701647U,	// ADC8rr
+    68174735U,	// ADC8rr_REV
+    101735458U,	// ADCX32rm
+    135289890U,	// ADCX32rr
+    168844322U,	// ADCX64rm
+    135289890U,	// ADCX64rr
+    23954U,	// ADD16i16
+    1082415U,	// ADD16mi
+    1082415U,	// ADD16mi8
+    1082415U,	// ADD16mr
+    35701807U,	// ADD16ri
+    35701807U,	// ADD16ri8
+    0U,	// ADD16ri8_DB
+    0U,	// ADD16ri_DB
+    3195951U,	// ADD16rm
+    35701807U,	// ADD16rr
+    0U,	// ADD16rr_DB
+    68174895U,	// ADD16rr_REV
+    24069U,	// ADD32i32
+    1115183U,	// ADD32mi
+    1115183U,	// ADD32mi8
+    1115183U,	// ADD32mr
+    35701807U,	// ADD32ri
+    35701807U,	// ADD32ri8
+    0U,	// ADD32ri8_DB
+    0U,	// ADD32ri_DB
+    4244527U,	// ADD32rm
+    35701807U,	// ADD32rr
+    0U,	// ADD32rr_DB
+    68174895U,	// ADD32rr_REV
+    24193U,	// ADD64i32
+    1131567U,	// ADD64mi32
+    1131567U,	// ADD64mi8
+    1131567U,	// ADD64mr
+    35701807U,	// ADD64ri32
+    0U,	// ADD64ri32_DB
+    35701807U,	// ADD64ri8
+    0U,	// ADD64ri8_DB
+    5293103U,	// ADD64rm
+    35701807U,	// ADD64rr
+    0U,	// ADD64rr_DB
+    68174895U,	// ADD64rr_REV
+    23852U,	// ADD8i8
+    1147951U,	// ADD8mi
+    1147951U,	// ADD8mr
+    35701807U,	// ADD8ri
+    6341679U,	// ADD8rm
+    35701807U,	// ADD8rr
+    68174895U,	// ADD8rr_REV
+    202393348U,	// ADDPDrm
+    68175620U,	// ADDPDrr
+    202396850U,	// ADDPSrm
+    68179122U,	// ADDPSrr
+    235948506U,	// ADDSDrm
+    235948506U,	// ADDSDrm_Int
+    68176346U,	// ADDSDrr
+    68176346U,	// ADDSDrr_Int
+    269506381U,	// ADDSSrm
+    269506381U,	// ADDSSrm_Int
+    68179789U,	// ADDSSrr
+    68179789U,	// ADDSSrr_Int
+    202393283U,	// ADDSUBPDrm
+    68175555U,	// ADDSUBPDrr
+    202396785U,	// ADDSUBPSrm
+    68179057U,	// ADDSUBPSrr
+    115758U,	// ADD_F32m
+    132142U,	// ADD_F64m
+    33844U,	// ADD_FI16m
+    66612U,	// ADD_FI32m
+    20077U,	// ADD_FPrST0
+    17454U,	// ADD_FST0r
+    0U,	// ADD_Fp32
+    0U,	// ADD_Fp32m
+    0U,	// ADD_Fp64
+    0U,	// ADD_Fp64m
+    0U,	// ADD_Fp64m32
+    0U,	// ADD_Fp80
+    0U,	// ADD_Fp80m32
+    0U,	// ADD_Fp80m64
+    0U,	// ADD_FpI16m32
+    0U,	// ADD_FpI16m64
+    0U,	// ADD_FpI16m80
+    0U,	// ADD_FpI32m32
+    0U,	// ADD_FpI32m64
+    0U,	// ADD_FpI32m80
+    7357486U,	// ADD_FrST0
+    9379U,	// ADJCALLSTACKDOWN32
+    9379U,	// ADJCALLSTACKDOWN64
+    9397U,	// ADJCALLSTACKUP32
+    9397U,	// ADJCALLSTACKUP64
+    101735476U,	// ADOX32rm
+    135289908U,	// ADOX32rr
+    168844340U,	// ADOX64rm
+    135289908U,	// ADOX64rr
+    303061135U,	// AESDECLASTrm
+    68180111U,	// AESDECLASTrr
+    303055765U,	// AESDECrm
+    68174741U,	// AESDECrr
+    303061148U,	// AESENCLASTrm
+    68180124U,	// AESENCLASTrr
+    303055783U,	// AESENCrm
+    68174759U,	// AESENCrr
+    336610206U,	// AESIMCrm
+    135283614U,	// AESIMCrr
+    1410357436U,	// AESKEYGENASSIST128rm
+    1209030844U,	// AESKEYGENASSIST128rr
+    23963U,	// AND16i16
+    1082661U,	// AND16mi
+    1082661U,	// AND16mi8
+    1082661U,	// AND16mr
+    35702053U,	// AND16ri
+    35702053U,	// AND16ri8
+    3196197U,	// AND16rm
+    35702053U,	// AND16rr
+    68175141U,	// AND16rr_REV
+    24079U,	// AND32i32
+    1115429U,	// AND32mi
+    1115429U,	// AND32mi8
+    1115429U,	// AND32mr
+    35702053U,	// AND32ri
+    35702053U,	// AND32ri8
+    4244773U,	// AND32rm
+    35702053U,	// AND32rr
+    68175141U,	// AND32rr_REV
+    24203U,	// AND64i32
+    1131813U,	// AND64mi32
+    1131813U,	// AND64mi8
+    1131813U,	// AND64mr
+    35702053U,	// AND64ri32
+    35702053U,	// AND64ri8
+    5293349U,	// AND64rm
+    35702053U,	// AND64rr
+    68175141U,	// AND64rr_REV
+    23861U,	// AND8i8
+    1148197U,	// AND8mi
+    1148197U,	// AND8mr
+    35702053U,	// AND8ri
+    6341925U,	// AND8rm
+    35702053U,	// AND8rr
+    68175141U,	// AND8rr_REV
+    1209028119U,	// ANDN32rm
+    1209028119U,	// ANDN32rr
+    1209028119U,	// ANDN64rm
+    1209028119U,	// ANDN64rr
+    202393567U,	// ANDNPDrm
+    68175839U,	// ANDNPDrr
+    202397081U,	// ANDNPSrm
+    68179353U,	// ANDNPSrr
+    202393394U,	// ANDPDrm
+    68175666U,	// ANDPDrr
+    202396896U,	// ANDPSrm
+    68179168U,	// ANDPSrr
+    1084831U,	// ARPL16mr
+    135286175U,	// ARPL16rr
+    8023U,	// ATOMADD6432
+    8742U,	// ATOMAND16
+    8236U,	// ATOMAND32
+    8469U,	// ATOMAND64
+    8044U,	// ATOMAND6432
+    8896U,	// ATOMAND8
+    8857U,	// ATOMMAX16
+    8430U,	// ATOMMAX32
+    8703U,	// ATOMMAX64
+    8193U,	// ATOMMAX6432
+    9023U,	// ATOMMAX8
+    8781U,	// ATOMMIN16
+    8315U,	// ATOMMIN32
+    8588U,	// ATOMMIN64
+    8087U,	// ATOMMIN6432
+    8933U,	// ATOMMIN8
+    8761U,	// ATOMNAND16
+    8255U,	// ATOMNAND32
+    8488U,	// ATOMNAND64
+    8065U,	// ATOMNAND6432
+    8914U,	// ATOMNAND8
+    8820U,	// ATOMOR16
+    8393U,	// ATOMOR32
+    8666U,	// ATOMOR64
+    8152U,	// ATOMOR6432
+    8988U,	// ATOMOR8
+    8002U,	// ATOMSUB6432
+    8130U,	// ATOMSWAP6432
+    8876U,	// ATOMUMAX16
+    8449U,	// ATOMUMAX32
+    8722U,	// ATOMUMAX64
+    8214U,	// ATOMUMAX6432
+    9041U,	// ATOMUMAX8
+    8800U,	// ATOMUMIN16
+    8334U,	// ATOMUMIN32
+    8607U,	// ATOMUMIN64
+    8108U,	// ATOMUMIN6432
+    8951U,	// ATOMUMIN8
+    8838U,	// ATOMXOR16
+    8411U,	// ATOMXOR32
+    8684U,	// ATOMXOR64
+    8172U,	// ATOMXOR6432
+    9005U,	// ATOMXOR8
+    0U,	// AVX2_SETALLONES
+    0U,	// AVX512_512_SET0
+    0U,	// AVX_SET0
+    1175474869U,	// BEXTR32rm
+    1209029301U,	// BEXTR32rr
+    1242583733U,	// BEXTR64rm
+    1209029301U,	// BEXTR64rr
+    1276135226U,	// BLENDPDrmi
+    1141917498U,	// BLENDPDrri
+    1276138728U,	// BLENDPSrmi
+    1141921000U,	// BLENDPSrri
+    202393677U,	// BLENDVPDrm0
+    68175949U,	// BLENDVPDrr0
+    202397232U,	// BLENDVPSrm0
+    68179504U,	// BLENDVPSrr0
+    101731695U,	// BLSI32rm
+    135286127U,	// BLSI32rr
+    168840559U,	// BLSI64rm
+    135286127U,	// BLSI64rr
+    101731709U,	// BLSMSK32rm
+    135286141U,	// BLSMSK32rr
+    168840573U,	// BLSMSK64rm
+    135286141U,	// BLSMSK64rr
+    101733024U,	// BLSR32rm
+    135287456U,	// BLSR32rr
+    168841888U,	// BLSR64rm
+    135287456U,	// BLSR64rr
+    370165069U,	// BOUNDS16rm
+    101729613U,	// BOUNDS32rm
+    370166886U,	// BSF16rm
+    135285862U,	// BSF16rr
+    101731430U,	// BSF32rm
+    135285862U,	// BSF32rr
+    168840294U,	// BSF64rm
+    135285862U,	// BSF64rr
+    370168455U,	// BSR16rm
+    135287431U,	// BSR16rr
+    101732999U,	// BSR32rm
+    135287431U,	// BSR32rr
+    168841863U,	// BSR64rm
+    135287431U,	// BSR64rr
+    20056U,	// BSWAP32r
+    20056U,	// BSWAP64r
+    1087502U,	// BT16mi8
+    1087502U,	// BT16mr
+    135288846U,	// BT16ri8
+    135288846U,	// BT16rr
+    1120270U,	// BT32mi8
+    1120270U,	// BT32mr
+    135288846U,	// BT32ri8
+    135288846U,	// BT32rr
+    1136654U,	// BT64mi8
+    1136654U,	// BT64mr
+    135288846U,	// BT64ri8
+    135288846U,	// BT64rr
+    1082292U,	// BTC16mi8
+    1082292U,	// BTC16mr
+    135283636U,	// BTC16ri8
+    135283636U,	// BTC16rr
+    1115060U,	// BTC32mi8
+    1115060U,	// BTC32mr
+    135283636U,	// BTC32ri8
+    135283636U,	// BTC32rr
+    1131444U,	// BTC64mi8
+    1131444U,	// BTC64mr
+    135283636U,	// BTC64ri8
+    135283636U,	// BTC64rr
+    1086118U,	// BTR16mi8
+    1086118U,	// BTR16mr
+    135287462U,	// BTR16ri8
+    135287462U,	// BTR16rr
+    1118886U,	// BTR32mi8
+    1118886U,	// BTR32mr
+    135287462U,	// BTR32ri8
+    135287462U,	// BTR32rr
+    1135270U,	// BTR64mi8
+    1135270U,	// BTR64mr
+    135287462U,	// BTR64ri8
+    135287462U,	// BTR64rr
+    1087484U,	// BTS16mi8
+    1087484U,	// BTS16mr
+    135288828U,	// BTS16ri8
+    135288828U,	// BTS16rr
+    1120252U,	// BTS32mi8
+    1120252U,	// BTS32mr
+    135288828U,	// BTS32ri8
+    135288828U,	// BTS32rr
+    1136636U,	// BTS64mi8
+    1136636U,	// BTS64mr
+    135288828U,	// BTS64ri8
+    135288828U,	// BTS64rr
+    1175473369U,	// BZHI32rm
+    1209027801U,	// BZHI32rr
+    1242582233U,	// BZHI64rm
+    1209027801U,	// BZHI64rr
+    69012U,	// CALL32m
+    19860U,	// CALL32r
+    85396U,	// CALL64m
+    150932U,	// CALL64pcrel32
+    19860U,	// CALL64r
+    154218U,	// CALLpcrel16
+    150932U,	// CALLpcrel32
+    10432U,	// CBW
+    10049U,	// CDQ
+    9743U,	// CDQE
+    10248U,	// CHS_F
+    0U,	// CHS_Fp32
+    0U,	// CHS_Fp64
+    0U,	// CHS_Fp80
+    9544U,	// CLAC
+    9564U,	// CLC
+    9614U,	// CLD
+    101578U,	// CLFLUSH
+    9827U,	// CLGI
+    9837U,	// CLI
+    10336U,	// CLTS
+    9568U,	// CMC
+    403718635U,	// CMOVA16rm
+    68174315U,	// CMOVA16rr
+    437273067U,	// CMOVA32rm
+    68174315U,	// CMOVA32rr
+    470827499U,	// CMOVA64rm
+    68174315U,	// CMOVA64rr
+    403721106U,	// CMOVAE16rm
+    68176786U,	// CMOVAE16rr
+    437275538U,	// CMOVAE32rm
+    68176786U,	// CMOVAE32rr
+    470829970U,	// CMOVAE64rm
+    68176786U,	// CMOVAE64rr
+    403719002U,	// CMOVB16rm
+    68174682U,	// CMOVB16rr
+    437273434U,	// CMOVB32rm
+    68174682U,	// CMOVB32rr
+    470827866U,	// CMOVB64rm
+    68174682U,	// CMOVB64rr
+    403721126U,	// CMOVBE16rm
+    68176806U,	// CMOVBE16rr
+    437275558U,	// CMOVBE32rm
+    68176806U,	// CMOVBE32rr
+    470829990U,	// CMOVBE64rm
+    68176806U,	// CMOVBE64rr
+    23747U,	// CMOVBE_F
+    0U,	// CMOVBE_Fp32
+    0U,	// CMOVBE_Fp64
+    0U,	// CMOVBE_Fp80
+    23715U,	// CMOVB_F
+    0U,	// CMOVB_Fp32
+    0U,	// CMOVB_Fp64
+    0U,	// CMOVB_Fp80
+    403721311U,	// CMOVE16rm
+    68176991U,	// CMOVE16rr
+    437275743U,	// CMOVE32rm
+    68176991U,	// CMOVE32rr
+    470830175U,	// CMOVE64rm
+    68176991U,	// CMOVE64rr
+    23779U,	// CMOVE_F
+    0U,	// CMOVE_Fp32
+    0U,	// CMOVE_Fp64
+    0U,	// CMOVE_Fp80
+    403721361U,	// CMOVG16rm
+    68177041U,	// CMOVG16rr
+    437275793U,	// CMOVG32rm
+    68177041U,	// CMOVG32rr
+    470830225U,	// CMOVG64rm
+    68177041U,	// CMOVG64rr
+    403721162U,	// CMOVGE16rm
+    68176842U,	// CMOVGE16rr
+    437275594U,	// CMOVGE32rm
+    68176842U,	// CMOVGE32rr
+    470830026U,	// CMOVGE64rm
+    68176842U,	// CMOVGE64rr
+    403721685U,	// CMOVL16rm
+    68177365U,	// CMOVL16rr
+    437276117U,	// CMOVL32rm
+    68177365U,	// CMOVL32rr
+    470830549U,	// CMOVL64rm
+    68177365U,	// CMOVL64rr
+    403721186U,	// CMOVLE16rm
+    68176866U,	// CMOVLE16rr
+    437275618U,	// CMOVLE32rm
+    68176866U,	// CMOVLE32rr
+    470830050U,	// CMOVLE64rm
+    68176866U,	// CMOVLE64rr
+    23730U,	// CMOVNBE_F
+    0U,	// CMOVNBE_Fp32
+    0U,	// CMOVNBE_Fp64
+    0U,	// CMOVNBE_Fp80
+    23699U,	// CMOVNB_F
+    0U,	// CMOVNB_Fp32
+    0U,	// CMOVNB_Fp64
+    0U,	// CMOVNB_Fp80
+    403721214U,	// CMOVNE16rm
+    68176894U,	// CMOVNE16rr
+    437275646U,	// CMOVNE32rm
+    68176894U,	// CMOVNE32rr
+    470830078U,	// CMOVNE64rm
+    68176894U,	// CMOVNE64rr
+    23763U,	// CMOVNE_F
+    0U,	// CMOVNE_Fp32
+    0U,	// CMOVNE_Fp64
+    0U,	// CMOVNE_Fp80
+    403721795U,	// CMOVNO16rm
+    68177475U,	// CMOVNO16rr
+    437276227U,	// CMOVNO32rm
+    68177475U,	// CMOVNO32rr
+    470830659U,	// CMOVNO64rm
+    68177475U,	// CMOVNO64rr
+    403721907U,	// CMOVNP16rm
+    68177587U,	// CMOVNP16rr
+    437276339U,	// CMOVNP32rm
+    68177587U,	// CMOVNP32rr
+    470830771U,	// CMOVNP64rm
+    68177587U,	// CMOVNP64rr
+    23794U,	// CMOVNP_F
+    0U,	// CMOVNP_Fp32
+    0U,	// CMOVNP_Fp64
+    0U,	// CMOVNP_Fp80
+    403722999U,	// CMOVNS16rm
+    68178679U,	// CMOVNS16rr
+    437277431U,	// CMOVNS32rm
+    68178679U,	// CMOVNS32rr
+    470831863U,	// CMOVNS64rm
+    68178679U,	// CMOVNS64rr
+    403721809U,	// CMOVO16rm
+    68177489U,	// CMOVO16rr
+    437276241U,	// CMOVO32rm
+    68177489U,	// CMOVO32rr
+    470830673U,	// CMOVO64rm
+    68177489U,	// CMOVO64rr
+    403722036U,	// CMOVP16rm
+    68177716U,	// CMOVP16rr
+    437276468U,	// CMOVP32rm
+    68177716U,	// CMOVP32rr
+    470830900U,	// CMOVP64rm
+    68177716U,	// CMOVP64rr
+    23810U,	// CMOVP_F
+    0U,	// CMOVP_Fp32
+    0U,	// CMOVP_Fp64
+    0U,	// CMOVP_Fp80
+    403724295U,	// CMOVS16rm
+    68179975U,	// CMOVS16rr
+    437278727U,	// CMOVS32rm
+    68179975U,	// CMOVS32rr
+    470833159U,	// CMOVS64rm
+    68179975U,	// CMOVS64rr
+    8374U,	// CMOV_FR32
+    8647U,	// CMOV_FR64
+    7962U,	// CMOV_GR16
+    7942U,	// CMOV_GR32
+    8970U,	// CMOV_GR8
+    8354U,	// CMOV_RFP32
+    8627U,	// CMOV_RFP64
+    7982U,	// CMOV_RFP80
+    8508U,	// CMOV_V2F64
+    8548U,	// CMOV_V2I64
+    8275U,	// CMOV_V4F32
+    8528U,	// CMOV_V4F64
+    8568U,	// CMOV_V4I64
+    8295U,	// CMOV_V8F32
+    23990U,	// CMP16i16
+    1085061U,	// CMP16mi
+    1085061U,	// CMP16mi8
+    1085061U,	// CMP16mr
+    135286405U,	// CMP16ri
+    135286405U,	// CMP16ri8
+    370167429U,	// CMP16rm
+    135286405U,	// CMP16rr
+    135286405U,	// CMP16rr_REV
+    24109U,	// CMP32i32
+    1117829U,	// CMP32mi
+    1117829U,	// CMP32mi8
+    1117829U,	// CMP32mr
+    135286405U,	// CMP32ri
+    135286405U,	// CMP32ri8
+    101731973U,	// CMP32rm
+    135286405U,	// CMP32rr
+    135286405U,	// CMP32rr_REV
+    24224U,	// CMP64i32
+    1134213U,	// CMP64mi32
+    1134213U,	// CMP64mi8
+    1134213U,	// CMP64mr
+    135286405U,	// CMP64ri32
+    135286405U,	// CMP64ri8
+    168840837U,	// CMP64rm
+    135286405U,	// CMP64rr
+    135286405U,	// CMP64rr_REV
+    23878U,	// CMP8i8
+    1150597U,	// CMP8mi
+    1150597U,	// CMP8mr
+    135286405U,	// CMP8ri
+    504385157U,	// CMP8rm
+    135286405U,	// CMP8rr
+    135286405U,	// CMP8rr_REV
+    209889044U,	// CMPPDrmi
+    1276135416U,	// CMPPDrmi_alt
+    75687700U,	// CMPPDrri
+    1141917688U,	// CMPPDrri_alt
+    210937620U,	// CMPPSrmi
+    1276138938U,	// CMPPSrmi_alt
+    76736276U,	// CMPPSrri
+    1141921210U,	// CMPPSrri_alt
+    10458U,	// CMPS16
+    9645U,	// CMPS32
+    10088U,	// CMPS64
+    9516U,	// CMPS8
+    245540628U,	// CMPSDrm
+    1309690424U,	// CMPSDrm_alt
+    77784852U,	// CMPSDrr
+    1141918264U,	// CMPSDrr_alt
+    280143636U,	// CMPSSrm
+    1343248298U,	// CMPSSrm_alt
+    78833428U,	// CMPSSrr
+    1141921706U,	// CMPSSrr_alt
+    197106U,	// CMPXCHG16B
+    1084534U,	// CMPXCHG16rm
+    135285878U,	// CMPXCHG16rr
+    1117302U,	// CMPXCHG32rm
+    135285878U,	// CMPXCHG32rr
+    1133686U,	// CMPXCHG64rm
+    135285878U,	// CMPXCHG64rr
+    82430U,	// CMPXCHG8B
+    1150070U,	// CMPXCHG8rm
+    135285878U,	// CMPXCHG8rr
+    537938445U,	// COMISDrm
+    135285261U,	// COMISDrr
+    537941888U,	// COMISSrm
+    135288704U,	// COMISSrr
+    20112U,	// COMP_FST0r
+    19736U,	// COM_FIPr
+    19679U,	// COM_FIr
+    19937U,	// COM_FST0r
+    10308U,	// COS_F
+    0U,	// COS_Fp32
+    0U,	// COS_Fp64
+    0U,	// COS_Fp80
+    9608U,	// CPUID
+    9982U,	// CQO
+    3194958U,	// CRC32r32m16
+    4243534U,	// CRC32r32m32
+    6340686U,	// CRC32r32m8
+    35700814U,	// CRC32r32r16
+    35700814U,	// CRC32r32r32
+    35700814U,	// CRC32r32r8
+    5292110U,	// CRC32r64m64
+    6340686U,	// CRC32r64m8
+    35700814U,	// CRC32r64r64
+    35700814U,	// CRC32r64r8
+    10178U,	// CS_PREFIX
+    168838690U,	// CVTDQ2PDrm
+    135284258U,	// CVTDQ2PDrr
+    336614371U,	// CVTDQ2PSrm
+    135287779U,	// CVTDQ2PSrr
+    537939887U,	// CVTPD2DQrm
+    135286703U,	// CVTPD2DQrr
+    537940908U,	// CVTPD2PSrm
+    135287724U,	// CVTPD2PSrr
+    537939919U,	// CVTPS2DQrm
+    135286735U,	// CVTPS2DQrr
+    571491897U,	// CVTPS2PDrm
+    135284281U,	// CVTPS2PDrr
+    571493710U,	// CVTSD2SI64rm
+    135286094U,	// CVTSD2SI64rr
+    571493710U,	// CVTSD2SIrm
+    135286094U,	// CVTSD2SIrr
+    571496140U,	// CVTSD2SSrm
+    135288524U,	// CVTSD2SSrr
+    168839505U,	// CVTSI2SD64rm
+    135285073U,	// CVTSI2SD64rr
+    101730641U,	// CVTSI2SDrm
+    135285073U,	// CVTSI2SDrr
+    168842967U,	// CVTSI2SS64rm
+    135288535U,	// CVTSI2SS64rr
+    101734103U,	// CVTSI2SSrm
+    135288535U,	// CVTSI2SSrr
+    605047132U,	// CVTSS2SDrm
+    135285084U,	// CVTSS2SDrr
+    605048165U,	// CVTSS2SI64rm
+    135286117U,	// CVTSS2SI64rr
+    605048165U,	// CVTSS2SIrm
+    135286117U,	// CVTSS2SIrr
+    537939875U,	// CVTTPD2DQrm
+    135286691U,	// CVTTPD2DQrr
+    537939907U,	// CVTTPS2DQrm
+    135286723U,	// CVTTPS2DQrr
+    571493698U,	// CVTTSD2SI64rm
+    135286082U,	// CVTTSD2SI64rr
+    571493698U,	// CVTTSD2SIrm
+    135286082U,	// CVTTSD2SIrr
+    605048153U,	// CVTTSS2SI64rm
+    135286105U,	// CVTTSS2SI64rr
+    605048153U,	// CVTTSS2SIrm
+    135286105U,	// CVTTSS2SIrr
+    9684U,	// CWD
+    9716U,	// CWDE
+    9444U,	// DAA
+    10164U,	// DAS
+    9325U,	// DATA16_PREFIX
+    33688U,	// DEC16m
+    17304U,	// DEC16r
+    66456U,	// DEC32m
+    17304U,	// DEC32r
+    33688U,	// DEC64_16m
+    17304U,	// DEC64_16r
+    66456U,	// DEC64_32m
+    17304U,	// DEC64_32r
+    82840U,	// DEC64m
+    17304U,	// DEC64r
+    99224U,	// DEC8m
+    17304U,	// DEC8r
+    39168U,	// DIV16m
+    22784U,	// DIV16r
+    71936U,	// DIV32m
+    22784U,	// DIV32r
+    88320U,	// DIV64m
+    22784U,	// DIV64r
+    104704U,	// DIV8m
+    22784U,	// DIV8r
+    202393688U,	// DIVPDrm
+    68175960U,	// DIVPDrr
+    202397243U,	// DIVPSrm
+    68179515U,	// DIVPSrr
+    119484U,	// DIVR_F32m
+    135868U,	// DIVR_F64m
+    37571U,	// DIVR_FI16m
+    70339U,	// DIVR_FI32m
+    20179U,	// DIVR_FPrST0
+    21180U,	// DIVR_FST0r
+    0U,	// DIVR_Fp32m
+    0U,	// DIVR_Fp64m
+    0U,	// DIVR_Fp64m32
+    0U,	// DIVR_Fp80m32
+    0U,	// DIVR_Fp80m64
+    0U,	// DIVR_FpI16m32
+    0U,	// DIVR_FpI16m64
+    0U,	// DIVR_FpI16m80
+    0U,	// DIVR_FpI32m32
+    0U,	// DIVR_FpI32m64
+    0U,	// DIVR_FpI32m80
+    7361212U,	// DIVR_FrST0
+    235948640U,	// DIVSDrm
+    235948640U,	// DIVSDrm_Int
+    68176480U,	// DIVSDrr
+    68176480U,	// DIVSDrr_Int
+    269506524U,	// DIVSSrm
+    269506524U,	// DIVSSrm_Int
+    68179932U,	// DIVSSrr
+    68179932U,	// DIVSSrr_Int
+    121087U,	// DIV_F32m
+    137471U,	// DIV_F64m
+    39173U,	// DIV_FI16m
+    71941U,	// DIV_FI32m
+    20269U,	// DIV_FPrST0
+    22783U,	// DIV_FST0r
+    0U,	// DIV_Fp32
+    0U,	// DIV_Fp32m
+    0U,	// DIV_Fp64
+    0U,	// DIV_Fp64m
+    0U,	// DIV_Fp64m32
+    0U,	// DIV_Fp80
+    0U,	// DIV_Fp80m32
+    0U,	// DIV_Fp80m64
+    0U,	// DIV_FpI16m32
+    0U,	// DIV_FpI16m64
+    0U,	// DIV_FpI16m80
+    0U,	// DIV_FpI32m32
+    0U,	// DIV_FpI32m64
+    0U,	// DIV_FpI32m80
+    7362815U,	// DIV_FrST0
+    1276135408U,	// DPPDrmi
+    1141917680U,	// DPPDrri
+    1276138930U,	// DPPSrmi
+    1141921202U,	// DPPSrri
+    10186U,	// DS_PREFIX
+    24279U,	// EH_RETURN
+    24279U,	// EH_RETURN64
+    9134U,	// EH_SjLj_LongJmp32
+    9238U,	// EH_SjLj_LongJmp64
+    9153U,	// EH_SjLj_SetJmp32
+    9257U,	// EH_SjLj_SetJmp64
+    151325U,	// EH_SjLj_Setup
+    135287368U,	// ENTER
+    10201U,	// ES_PREFIX
+    1074910698U,	// EXTRACTPSmr
+    1209030122U,	// EXTRACTPSrr
+    35705204U,	// EXTRQ
+    639684980U,	// EXTRQI
+    9120U,	// F2XM1
+    135286163U,	// FARCALL16i
+    216467U,	// FARCALL16m
+    135286163U,	// FARCALL32i
+    216467U,	// FARCALL32m
+    216467U,	// FARCALL64
+    135286410U,	// FARJMP16i
+    216714U,	// FARJMP16m
+    135286410U,	// FARJMP32i
+    216714U,	// FARJMP32m
+    216714U,	// FARJMP64
+    115907U,	// FBLDm
+    118497U,	// FBSTPm
+    118241U,	// FCOM32m
+    134625U,	// FCOM64m
+    118416U,	// FCOMP32m
+    134800U,	// FCOMP64m
+    10018U,	// FCOMPP
+    10033U,	// FDECSTP
+    10298U,	// FEMMS
+    19374U,	// FFREE
+    36327U,	// FICOM16m
+    69095U,	// FICOM32m
+    36503U,	// FICOMP16m
+    69271U,	// FICOMP32m
+    10041U,	// FINCSTP
+    39344U,	// FLDCW16m
+    121100U,	// FLDENVm
+    9688U,	// FLDL2E
+    10341U,	// FLDL2T
+    9219U,	// FLDLG2
+    9226U,	// FLDLN2
+    9841U,	// FLDPI
+    10659U,	// FNCLEX
+    10374U,	// FNINIT
+    10013U,	// FNOP
+    39351U,	// FNSTCW16m
+    10486U,	// FNSTSW16r
+    121711U,	// FNSTSWm
+    0U,	// FP32_TO_INT16_IN_MEM
+    0U,	// FP32_TO_INT32_IN_MEM
+    0U,	// FP32_TO_INT64_IN_MEM
+    0U,	// FP64_TO_INT16_IN_MEM
+    0U,	// FP64_TO_INT32_IN_MEM
+    0U,	// FP64_TO_INT64_IN_MEM
+    0U,	// FP80_TO_INT16_IN_MEM
+    0U,	// FP80_TO_INT32_IN_MEM
+    0U,	// FP80_TO_INT64_IN_MEM
+    9937U,	// FPATAN
+    9927U,	// FPREM
+    9113U,	// FPREM1
+    9944U,	// FPTAN
+    10393U,	// FRNDINT
+    119401U,	// FRSTORm
+    117839U,	// FSAVEm
+    9721U,	// FSCALE
+    10313U,	// FSINCOS
+    121108U,	// FSTENVm
+    10216U,	// FS_PREFIX
+    9922U,	// FXAM
+    217713U,	// FXRSTOR
+    217431U,	// FXRSTOR64
+    216151U,	// FXSAVE
+    217287U,	// FXSAVE64
+    10348U,	// FXTRACT
+    10480U,	// FYL2X
+    9126U,	// FYL2XP1
+    0U,	// FpPOP_RETVAL
+    202393567U,	// FsANDNPDrm
+    68175839U,	// FsANDNPDrr
+    202397081U,	// FsANDNPSrm
+    68179353U,	// FsANDNPSrr
+    202393394U,	// FsANDPDrm
+    68175666U,	// FsANDPDrr
+    202396896U,	// FsANDPSrm
+    68179168U,	// FsANDPSrr
+    0U,	// FsFLD0SD
+    0U,	// FsFLD0SS
+    537937584U,	// FsMOVAPDrm
+    135284400U,	// FsMOVAPDrr
+    537941094U,	// FsMOVAPSrm
+    135287910U,	// FsMOVAPSrr
+    202393625U,	// FsORPDrm
+    68175897U,	// FsORPDrr
+    202397147U,	// FsORPSrm
+    68179419U,	// FsORPSrr
+    537937583U,	// FsVMOVAPDrm
+    135284399U,	// FsVMOVAPDrr
+    537941093U,	// FsVMOVAPSrm
+    135287909U,	// FsVMOVAPSrr
+    202393632U,	// FsXORPDrm
+    68175904U,	// FsXORPDrr
+    202397154U,	// FsXORPSrm
+    68179426U,	// FsXORPSrr
+    10231U,	// GS_PREFIX
+    202393356U,	// HADDPDrm
+    68175628U,	// HADDPDrr
+    202396858U,	// HADDPSrm
+    68179130U,	// HADDPSrr
+    10389U,	// HLT
+    202393305U,	// HSUBPDrm
+    68175577U,	// HSUBPDrr
+    202396807U,	// HSUBPSrm
+    68179079U,	// HSUBPSrr
+    39174U,	// IDIV16m
+    22790U,	// IDIV16r
+    71942U,	// IDIV32m
+    22790U,	// IDIV32r
+    88326U,	// IDIV64m
+    22790U,	// IDIV64r
+    104710U,	// IDIV8m
+    22790U,	// IDIV8r
+    34006U,	// ILD_F16m
+    66774U,	// ILD_F32m
+    83158U,	// ILD_F64m
+    0U,	// ILD_Fp16m32
+    0U,	// ILD_Fp16m64
+    0U,	// ILD_Fp16m80
+    0U,	// ILD_Fp32m32
+    0U,	// ILD_Fp32m64
+    0U,	// ILD_Fp32m80
+    0U,	// ILD_Fp64m32
+    0U,	// ILD_Fp64m64
+    0U,	// ILD_Fp64m80
+    36303U,	// IMUL16m
+    19919U,	// IMUL16r
+    403721679U,	// IMUL16rm
+    1443909071U,	// IMUL16rmi
+    1443909071U,	// IMUL16rmi8
+    68177359U,	// IMUL16rr
+    1209028047U,	// IMUL16rri
+    1209028047U,	// IMUL16rri8
+    69071U,	// IMUL32m
+    19919U,	// IMUL32r
+    437276111U,	// IMUL32rm
+    1175473615U,	// IMUL32rmi
+    1175473615U,	// IMUL32rmi8
+    68177359U,	// IMUL32rr
+    1209028047U,	// IMUL32rri
+    1209028047U,	// IMUL32rri8
+    85455U,	// IMUL64m
+    19919U,	// IMUL64r
+    470830543U,	// IMUL64rm
+    1242582479U,	// IMUL64rmi32
+    1242582479U,	// IMUL64rmi8
+    68177359U,	// IMUL64rr
+    1209028047U,	// IMUL64rri32
+    1209028047U,	// IMUL64rri8
+    101839U,	// IMUL8m
+    19919U,	// IMUL8r
+    10304U,	// IN16
+    23982U,	// IN16ri
+    10638U,	// IN16rr
+    10304U,	// IN32
+    24100U,	// IN32ri
+    10648U,	// IN32rr
+    10304U,	// IN8
+    23870U,	// IN8ri
+    10628U,	// IN8rr
+    33711U,	// INC16m
+    17327U,	// INC16r
+    66479U,	// INC32m
+    17327U,	// INC32r
+    33711U,	// INC64_16m
+    17327U,	// INC64_16r
+    66479U,	// INC64_32m
+    17327U,	// INC64_32r
+    82863U,	// INC64m
+    17327U,	// INC64r
+    99247U,	// INC8m
+    17327U,	// INC8r
+    1343247872U,	// INSERTPSrm
+    1141921280U,	// INSERTPSrr
+    35705245U,	// INSERTQ
+    1713426845U,	// INSERTQI
+    22618U,	// INT
+    9233U,	// INT3
+    9986U,	// INTO
+    9679U,	// INVD
+    336615524U,	// INVEPT32
+    336615524U,	// INVEPT64
+    101507U,	// INVLPG
+    10594U,	// INVLPGA32
+    10611U,	// INVLPGA64
+    336610481U,	// INVPCID32
+    336610481U,	// INVPCID64
+    336610490U,	// INVVPID32
+    336610490U,	// INVVPID64
+    10356U,	// IRET16
+    9667U,	// IRET32
+    10104U,	// IRET64
+    36597U,	// ISTT_FP16m
+    69365U,	// ISTT_FP32m
+    85749U,	// ISTT_FP64m
+    0U,	// ISTT_Fp16m32
+    0U,	// ISTT_Fp16m64
+    0U,	// ISTT_Fp16m80
+    0U,	// ISTT_Fp32m32
+    0U,	// ISTT_Fp32m64
+    0U,	// ISTT_Fp32m80
+    0U,	// ISTT_Fp64m32
+    0U,	// ISTT_Fp64m64
+    0U,	// ISTT_Fp64m80
+    39093U,	// IST_F16m
+    71861U,	// IST_F32m
+    36590U,	// IST_FP16m
+    69358U,	// IST_FP32m
+    85742U,	// IST_FP64m
+    0U,	// IST_Fp16m32
+    0U,	// IST_Fp16m64
+    0U,	// IST_Fp16m80
+    0U,	// IST_Fp32m32
+    0U,	// IST_Fp32m64
+    0U,	// IST_Fp32m80
+    0U,	// IST_Fp64m32
+    0U,	// IST_Fp64m64
+    0U,	// IST_Fp64m80
+    245540628U,	// Int_CMPSDrm
+    77784852U,	// Int_CMPSDrr
+    280143636U,	// Int_CMPSSrm
+    78833428U,	// Int_CMPSSrr
+    537938445U,	// Int_COMISDrm
+    135285261U,	// Int_COMISDrr
+    537941888U,	// Int_COMISSrm
+    135288704U,	// Int_COMISSrr
+    1209030348U,	// Int_CVTSD2SSrm
+    1209030348U,	// Int_CVTSD2SSrr
+    470829393U,	// Int_CVTSI2SD64rm
+    68176209U,	// Int_CVTSI2SD64rr
+    437274961U,	// Int_CVTSI2SDrm
+    68176209U,	// Int_CVTSI2SDrr
+    470832855U,	// Int_CVTSI2SS64rm
+    68179671U,	// Int_CVTSI2SS64rr
+    437278423U,	// Int_CVTSI2SSrm
+    68179671U,	// Int_CVTSI2SSrr
+    269502812U,	// Int_CVTSS2SDrm
+    68176220U,	// Int_CVTSS2SDrr
+    571493698U,	// Int_CVTTSD2SI64rm
+    135286082U,	// Int_CVTTSD2SI64rr
+    571493698U,	// Int_CVTTSD2SIrm
+    135286082U,	// Int_CVTTSD2SIrr
+    605048153U,	// Int_CVTTSS2SI64rm
+    135286105U,	// Int_CVTTSS2SI64rr
+    605048153U,	// Int_CVTTSS2SIrm
+    135286105U,	// Int_CVTTSS2SIrr
+    9413U,	// Int_MemBarrier
+    537938444U,	// Int_UCOMISDrm
+    135285260U,	// Int_UCOMISDrr
+    537941887U,	// Int_UCOMISSrm
+    135288703U,	// Int_UCOMISSrr
+    1218684696U,	// Int_VCMPSDrm
+    1218701080U,	// Int_VCMPSDrr
+    1219733272U,	// Int_VCMPSSrm
+    1219749656U,	// Int_VCMPSSrr
+    537938453U,	// Int_VCOMISDZrm
+    135285269U,	// Int_VCOMISDZrr
+    537938453U,	// Int_VCOMISDrm
+    135285269U,	// Int_VCOMISDrr
+    537941896U,	// Int_VCOMISSZrm
+    135288712U,	// Int_VCOMISSZrr
+    537941896U,	// Int_VCOMISSrm
+    135288712U,	// Int_VCOMISSrr
+    1209030347U,	// Int_VCVTSD2SSrm
+    1209030347U,	// Int_VCVTSD2SSrr
+    1209026896U,	// Int_VCVTSI2SD64rm
+    1209026896U,	// Int_VCVTSI2SD64rr
+    1209026896U,	// Int_VCVTSI2SDrm
+    1209026896U,	// Int_VCVTSI2SDrr
+    1209030358U,	// Int_VCVTSI2SS64rm
+    1209030358U,	// Int_VCVTSI2SS64rr
+    1209030358U,	// Int_VCVTSI2SSrm
+    1209030358U,	// Int_VCVTSI2SSrr
+    1209026907U,	// Int_VCVTSS2SDrm
+    1209026907U,	// Int_VCVTSS2SDrr
+    571493697U,	// Int_VCVTTSD2SI64rm
+    135286081U,	// Int_VCVTTSD2SI64rr
+    571493697U,	// Int_VCVTTSD2SIrm
+    135286081U,	// Int_VCVTTSD2SIrr
+    605048152U,	// Int_VCVTTSS2SI64rm
+    135286104U,	// Int_VCVTTSS2SI64rr
+    605048152U,	// Int_VCVTTSS2SIrm
+    135286104U,	// Int_VCVTTSS2SIrr
+    537938443U,	// Int_VUCOMISDZrm
+    135285259U,	// Int_VUCOMISDZrr
+    537938443U,	// Int_VUCOMISDrm
+    135285259U,	// Int_VUCOMISDrr
+    537941886U,	// Int_VUCOMISSZrm
+    135288702U,	// Int_VUCOMISSZrr
+    537941886U,	// Int_VUCOMISSrm
+    135288702U,	// Int_VUCOMISSrr
+    150406U,	// JAE_1
+    150406U,	// JAE_4
+    147904U,	// JA_1
+    147904U,	// JA_4
+    150426U,	// JBE_1
+    150426U,	// JBE_4
+    148053U,	// JB_1
+    148053U,	// JB_4
+    154758U,	// JCXZ
+    154751U,	// JECXZ_32
+    154751U,	// JECXZ_64
+    150482U,	// JE_1
+    150482U,	// JE_4
+    150453U,	// JGE_1
+    150453U,	// JGE_4
+    150655U,	// JG_1
+    150655U,	// JG_4
+    150486U,	// JLE_1
+    150486U,	// JLE_4
+    150927U,	// JL_1
+    150927U,	// JL_4
+    69259U,	// JMP32m
+    20107U,	// JMP32r
+    85643U,	// JMP64m
+    151847U,	// JMP64pcrel32
+    20107U,	// JMP64r
+    151179U,	// JMP_1
+    151179U,	// JMP_4
+    150506U,	// JNE_1
+    150506U,	// JNE_4
+    151095U,	// JNO_1
+    151095U,	// JNO_4
+    151207U,	// JNP_1
+    151207U,	// JNP_4
+    152299U,	// JNS_1
+    152299U,	// JNS_4
+    151091U,	// JO_1
+    151091U,	// JO_4
+    151162U,	// JP_1
+    151162U,	// JP_4
+    154764U,	// JRCXZ
+    152295U,	// JS_1
+    152295U,	// JS_4
+    1209031112U,	// KADDWrr
+    1209031324U,	// KANDNWrr
+    1209031127U,	// KANDWrr
+    135289855U,	// KMOVWkk
+    370170879U,	// KMOVWkm
+    135289855U,	// KMOVWkr
+    1088511U,	// KMOVWmk
+    135289855U,	// KMOVWrk
+    135289758U,	// KNOTWrr
+    135289795U,	// KORTESTWrr
+    1209031396U,	// KORWrr
+    0U,	// KSET0B
+    0U,	// KSET0W
+    0U,	// KSET1B
+    0U,	// KSET1W
+    1209031306U,	// KSHIFTLWri
+    1209031426U,	// KSHIFTRWri
+    135289787U,	// KTESTWrr
+    1209031016U,	// KUNPCKBWrr
+    1209031402U,	// KXNORWrr
+    1209031410U,	// KXORWrr
+    9792U,	// LAHF
+    370168361U,	// LAR16rm
+    135287337U,	// LAR16rr
+    370168361U,	// LAR32rm
+    135287337U,	// LAR32rr
+    370168361U,	// LAR64rm
+    135287337U,	// LAR64rr
+    1084534U,	// LCMPXCHG16
+    197106U,	// LCMPXCHG16B
+    1117302U,	// LCMPXCHG32
+    1133686U,	// LCMPXCHG64
+    1150070U,	// LCMPXCHG8
+    82430U,	// LCMPXCHG8B
+    336615650U,	// LDDQUrm
+    70285U,	// LDMXCSR
+    672158419U,	// LDS16rm
+    672158419U,	// LDS32rm
+    10666U,	// LD_F0
+    9108U,	// LD_F1
+    115913U,	// LD_F32m
+    132297U,	// LD_F64m
+    263369U,	// LD_F80m
+    0U,	// LD_Fp032
+    0U,	// LD_Fp064
+    0U,	// LD_Fp080
+    0U,	// LD_Fp132
+    0U,	// LD_Fp164
+    0U,	// LD_Fp180
+    0U,	// LD_Fp32m
+    0U,	// LD_Fp32m64
+    0U,	// LD_Fp32m80
+    0U,	// LD_Fp64m
+    0U,	// LD_Fp64m80
+    0U,	// LD_Fp80m
+    17609U,	// LD_Frr
+    101728699U,	// LEA16r
+    101728699U,	// LEA32r
+    101728699U,	// LEA64_32r
+    168837563U,	// LEA64r
+    9779U,	// LEAVE
+    9779U,	// LEAVE64
+    672158424U,	// LES16rm
+    672158424U,	// LES32rm
+    9695U,	// LFENCE
+    672158429U,	// LFS16rm
+    672158429U,	// LFS32rm
+    672158429U,	// LFS64rm
+    219154U,	// LGDT16m
+    219154U,	// LGDTm
+    672158434U,	// LGS16rm
+    672158434U,	// LGS32rm
+    672158434U,	// LGS64rm
+    219166U,	// LIDT16m
+    219166U,	// LIDTm
+    38954U,	// LLDT16m
+    22570U,	// LLDT16r
+    39759U,	// LMSW16m
+    23375U,	// LMSW16r
+    1082415U,	// LOCK_ADD16mi
+    1082415U,	// LOCK_ADD16mi8
+    1082415U,	// LOCK_ADD16mr
+    1115183U,	// LOCK_ADD32mi
+    1115183U,	// LOCK_ADD32mi8
+    1115183U,	// LOCK_ADD32mr
+    1131567U,	// LOCK_ADD64mi32
+    1131567U,	// LOCK_ADD64mi8
+    1131567U,	// LOCK_ADD64mr
+    1147951U,	// LOCK_ADD8mi
+    1147951U,	// LOCK_ADD8mr
+    1082661U,	// LOCK_AND16mi
+    1082661U,	// LOCK_AND16mi8
+    1082661U,	// LOCK_AND16mr
+    1115429U,	// LOCK_AND32mi
+    1115429U,	// LOCK_AND32mi8
+    1115429U,	// LOCK_AND32mr
+    1131813U,	// LOCK_AND64mi32
+    1131813U,	// LOCK_AND64mi8
+    1131813U,	// LOCK_AND64mr
+    1148197U,	// LOCK_AND8mi
+    1148197U,	// LOCK_AND8mr
+    33688U,	// LOCK_DEC16m
+    66456U,	// LOCK_DEC32m
+    82840U,	// LOCK_DEC64m
+    99224U,	// LOCK_DEC8m
+    33711U,	// LOCK_INC16m
+    66479U,	// LOCK_INC32m
+    82863U,	// LOCK_INC64m
+    99247U,	// LOCK_INC8m
+    1086048U,	// LOCK_OR16mi
+    1086048U,	// LOCK_OR16mi8
+    1086048U,	// LOCK_OR16mr
+    1118816U,	// LOCK_OR32mi
+    1118816U,	// LOCK_OR32mi8
+    1118816U,	// LOCK_OR32mr
+    1135200U,	// LOCK_OR64mi32
+    1135200U,	// LOCK_OR64mi8
+    1135200U,	// LOCK_OR64mr
+    1151584U,	// LOCK_OR8mi
+    1151584U,	// LOCK_OR8mr
+    9866U,	// LOCK_PREFIX
+    1082170U,	// LOCK_SUB16mi
+    1082170U,	// LOCK_SUB16mi8
+    1082170U,	// LOCK_SUB16mr
+    1114938U,	// LOCK_SUB32mi
+    1114938U,	// LOCK_SUB32mi8
+    1114938U,	// LOCK_SUB32mr
+    1131322U,	// LOCK_SUB64mi32
+    1131322U,	// LOCK_SUB64mi8
+    1131322U,	// LOCK_SUB64mr
+    1147706U,	// LOCK_SUB8mi
+    1147706U,	// LOCK_SUB8mr
+    1086076U,	// LOCK_XOR16mi
+    1086076U,	// LOCK_XOR16mi8
+    1086076U,	// LOCK_XOR16mr
+    1118844U,	// LOCK_XOR32mi
+    1118844U,	// LOCK_XOR32mi8
+    1118844U,	// LOCK_XOR32mr
+    1135228U,	// LOCK_XOR64mi32
+    1135228U,	// LOCK_XOR64mi8
+    1135228U,	// LOCK_XOR64mr
+    1151612U,	// LOCK_XOR8mi
+    1151612U,	// LOCK_XOR8mr
+    9500U,	// LODSB
+    9629U,	// LODSD
+    10072U,	// LODSQ
+    10442U,	// LODSW
+    151232U,	// LOOP
+    150534U,	// LOOPE
+    150511U,	// LOOPNE
+    19563U,	// LRETI
+    19563U,	// LRETIW
+    9813U,	// LRETL
+    9813U,	// LRETQ
+    9813U,	// LRETW
+    370167228U,	// LSL16rm
+    135286204U,	// LSL16rr
+    101731772U,	// LSL32rm
+    135286204U,	// LSL32rr
+    168840636U,	// LSL64rm
+    135286204U,	// LSL64rr
+    672159636U,	// LSS16rm
+    672159636U,	// LSS32rm
+    672159636U,	// LSS64rm
+    37547U,	// LTRm
+    21163U,	// LTRr
+    279611U,	// LXADD16
+    295995U,	// LXADD32
+    312379U,	// LXADD64
+    328763U,	// LXADD8
+    370169932U,	// LZCNT16rm
+    135288908U,	// LZCNT16rr
+    101734476U,	// LZCNT32rm
+    135288908U,	// LZCNT32rr
+    168843340U,	// LZCNT64rm
+    135288908U,	// LZCNT64rr
+    135289066U,	// MASKMOVDQU
+    135289066U,	// MASKMOVDQU64
+    202393708U,	// MAXCPDrm
+    68175980U,	// MAXCPDrr
+    202397263U,	// MAXCPSrm
+    68179535U,	// MAXCPSrr
+    235948657U,	// MAXCSDrm
+    68176497U,	// MAXCSDrr
+    269506540U,	// MAXCSSrm
+    68179948U,	// MAXCSSrr
+    202393708U,	// MAXPDrm
+    68175980U,	// MAXPDrr
+    202397263U,	// MAXPSrm
+    68179535U,	// MAXPSrr
+    235948657U,	// MAXSDrm
+    235948657U,	// MAXSDrm_Int
+    68176497U,	// MAXSDrr
+    68176497U,	// MAXSDrr_Int
+    269506540U,	// MAXSSrm
+    269506540U,	// MAXSSrm_Int
+    68179948U,	// MAXSSrr
+    68179948U,	// MAXSSrr_Int
+    9702U,	// MFENCE
+    202393576U,	// MINCPDrm
+    68175848U,	// MINCPDrr
+    202397090U,	// MINCPSrm
+    68179362U,	// MINCPSrr
+    235948584U,	// MINCSDrm
+    68176424U,	// MINCSDrr
+    269506458U,	// MINCSSrm
+    68179866U,	// MINCSSrr
+    202393576U,	// MINPDrm
+    68175848U,	// MINPDrr
+    202397090U,	// MINPSrm
+    68179362U,	// MINPSrr
+    235948584U,	// MINSDrm
+    235948584U,	// MINSDrm_Int
+    68176424U,	// MINSDrr
+    68176424U,	// MINSDrr_Int
+    269506458U,	// MINSSrm
+    269506458U,	// MINSSrm_Int
+    68179866U,	// MINSSrr
+    68179866U,	// MINSSrr_Int
+    537939193U,	// MMX_CVTPD2PIirm
+    135286009U,	// MMX_CVTPD2PIirr
+    168838667U,	// MMX_CVTPI2PDirm
+    135284235U,	// MMX_CVTPI2PDirr
+    470832076U,	// MMX_CVTPI2PSirm
+    68178892U,	// MMX_CVTPI2PSirr
+    571493646U,	// MMX_CVTPS2PIirm
+    135286030U,	// MMX_CVTPS2PIirr
+    537939182U,	// MMX_CVTTPD2PIirm
+    135285998U,	// MMX_CVTTPD2PIirr
+    571493635U,	// MMX_CVTTPS2PIirm
+    135286019U,	// MMX_CVTTPS2PIirr
+    10299U,	// MMX_EMMS
+    135287267U,	// MMX_MASKMOVQ
+    135287267U,	// MMX_MASKMOVQ64
+    135285486U,	// MMX_MOVD64from64rr
+    135285486U,	// MMX_MOVD64grr
+    1116910U,	// MMX_MOVD64mr
+    101731054U,	// MMX_MOVD64rm
+    135285486U,	// MMX_MOVD64rr
+    135285486U,	// MMX_MOVD64rrv164
+    135285486U,	// MMX_MOVD64to64rr
+    135286614U,	// MMX_MOVDQ2Qrr
+    135286614U,	// MMX_MOVFR642Qrr
+    1134989U,	// MMX_MOVNTQmr
+    135286713U,	// MMX_MOVQ2DQrr
+    135286713U,	// MMX_MOVQ2FR64rr
+    1135079U,	// MMX_MOVQ64mr
+    168841703U,	// MMX_MOVQ64rm
+    135287271U,	// MMX_MOVQ64rr
+    101731054U,	// MMX_MOVZDI2PDIrm
+    135285486U,	// MMX_MOVZDI2PDIrr
+    168837816U,	// MMX_PABSBrm64
+    135283384U,	// MMX_PABSBrr64
+    168839603U,	// MMX_PABSDrm64
+    135285171U,	// MMX_PABSDrr64
+    168844054U,	// MMX_PABSWrm64
+    135289622U,	// MMX_PABSWrr64
+    470833641U,	// MMX_PACKSSDWirm
+    68180457U,	// MMX_PACKSSDWirr
+    470827874U,	// MMX_PACKSSWBirm
+    68174690U,	// MMX_PACKSSWBirr
+    470827885U,	// MMX_PACKUSWBirm
+    68174701U,	// MMX_PACKUSWBirr
+    470827551U,	// MMX_PADDBirm
+    68174367U,	// MMX_PADDBirr
+    470828107U,	// MMX_PADDDirm
+    68174923U,	// MMX_PADDDirr
+    470831076U,	// MMX_PADDQirm
+    68177892U,	// MMX_PADDQirr
+    470827721U,	// MMX_PADDSBirm
+    68174537U,	// MMX_PADDSBirr
+    470833991U,	// MMX_PADDSWirm
+    68180807U,	// MMX_PADDSWirr
+    470827749U,	// MMX_PADDUSBirm
+    68174565U,	// MMX_PADDUSBirr
+    470834050U,	// MMX_PADDUSWirm
+    68180866U,	// MMX_PADDUSWirr
+    470833616U,	// MMX_PADDWirm
+    68180432U,	// MMX_PADDWirr
+    1544573525U,	// MMX_PALIGNR64irm
+    1141920341U,	// MMX_PALIGNR64irr
+    470830614U,	// MMX_PANDNirm
+    68177430U,	// MMX_PANDNirr
+    470828324U,	// MMX_PANDirm
+    68175140U,	// MMX_PANDirr
+    470827598U,	// MMX_PAVGBirm
+    68174414U,	// MMX_PAVGBirr
+    470833708U,	// MMX_PAVGWirm
+    68180524U,	// MMX_PAVGWirr
+    470827646U,	// MMX_PCMPEQBirm
+    68174462U,	// MMX_PCMPEQBirr
+    470829181U,	// MMX_PCMPEQDirm
+    68175997U,	// MMX_PCMPEQDirr
+    470833838U,	// MMX_PCMPEQWirm
+    68180654U,	// MMX_PCMPEQWirr
+    470827783U,	// MMX_PCMPGTBirm
+    68174599U,	// MMX_PCMPGTBirr
+    470829706U,	// MMX_PCMPGTDirm
+    68176522U,	// MMX_PCMPGTDirr
+    470834069U,	// MMX_PCMPGTWirm
+    68180885U,	// MMX_PCMPGTWirr
+    1209031437U,	// MMX_PEXTRWirri
+    470833981U,	// MMX_PHADDSWrm64
+    68180797U,	// MMX_PHADDSWrr64
+    470833600U,	// MMX_PHADDWrm64
+    68180416U,	// MMX_PHADDWrr64
+    470828098U,	// MMX_PHADDrm64
+    68174914U,	// MMX_PHADDrr64
+    470828039U,	// MMX_PHSUBDrm64
+    68174855U,	// MMX_PHSUBDrr64
+    470833962U,	// MMX_PHSUBSWrm64
+    68180778U,	// MMX_PHSUBSWrr64
+    470833546U,	// MMX_PHSUBWrm64
+    68180362U,	// MMX_PHSUBWrr64
+    1477466874U,	// MMX_PINSRWirmi
+    1141922554U,	// MMX_PINSRWirri
+    470833950U,	// MMX_PMADDUBSWrm64
+    68180766U,	// MMX_PMADDUBSWrr64
+    470829840U,	// MMX_PMADDWDirm
+    68176656U,	// MMX_PMADDWDirr
+    470834060U,	// MMX_PMAXSWirm
+    68180876U,	// MMX_PMAXSWirr
+    470827847U,	// MMX_PMAXUBirm
+    68174663U,	// MMX_PMAXUBirr
+    470834012U,	// MMX_PMINSWirm
+    68180828U,	// MMX_PMINSWirr
+    470827824U,	// MMX_PMINUBirm
+    68174640U,	// MMX_PMINUBirr
+    135283290U,	// MMX_PMOVMSKBrr
+    470834021U,	// MMX_PMULHRSWrm64
+    68180837U,	// MMX_PMULHRSWrr64
+    470834126U,	// MMX_PMULHUWirm
+    68180942U,	// MMX_PMULHUWirr
+    470833737U,	// MMX_PMULHWirm
+    68180553U,	// MMX_PMULHWirr
+    470833786U,	// MMX_PMULLWirm
+    68180602U,	// MMX_PMULLWirr
+    470831263U,	// MMX_PMULUDQirm
+    68178079U,	// MMX_PMULUDQirr
+    470831711U,	// MMX_PORirm
+    68178527U,	// MMX_PORirr
+    470833473U,	// MMX_PSADBWirm
+    68180289U,	// MMX_PSADBWirr
+    470827589U,	// MMX_PSHUFBrm64
+    68174405U,	// MMX_PSHUFBrr64
+    1242585635U,	// MMX_PSHUFWmi
+    1209031203U,	// MMX_PSHUFWri
+    470827637U,	// MMX_PSIGNBrm64
+    68174453U,	// MMX_PSIGNBrr64
+    470828357U,	// MMX_PSIGNDrm64
+    68175173U,	// MMX_PSIGNDrr64
+    470833829U,	// MMX_PSIGNWrm64
+    68180645U,	// MMX_PSIGNWrr64
+    68175069U,	// MMX_PSLLDri
+    470828253U,	// MMX_PSLLDrm
+    68175069U,	// MMX_PSLLDrr
+    68178137U,	// MMX_PSLLQri
+    470831321U,	// MMX_PSLLQrm
+    68178137U,	// MMX_PSLLQrr
+    68180594U,	// MMX_PSLLWri
+    470833778U,	// MMX_PSLLWrm
+    68180594U,	// MMX_PSLLWrr
+    68174826U,	// MMX_PSRADri
+    470828010U,	// MMX_PSRADrm
+    68174826U,	// MMX_PSRADrr
+    68180270U,	// MMX_PSRAWri
+    470833454U,	// MMX_PSRAWrm
+    68180270U,	// MMX_PSRAWrr
+    68175086U,	// MMX_PSRLDri
+    470828270U,	// MMX_PSRLDrm
+    68175086U,	// MMX_PSRLDrr
+    68178145U,	// MMX_PSRLQri
+    470831329U,	// MMX_PSRLQrm
+    68178145U,	// MMX_PSRLQrr
+    68180611U,	// MMX_PSRLWri
+    470833795U,	// MMX_PSRLWrm
+    68180611U,	// MMX_PSRLWrr
+    470827543U,	// MMX_PSUBBirm
+    68174359U,	// MMX_PSUBBirr
+    470828048U,	// MMX_PSUBDirm
+    68174864U,	// MMX_PSUBDirr
+    470830981U,	// MMX_PSUBQirm
+    68177797U,	// MMX_PSUBQirr
+    470827712U,	// MMX_PSUBSBirm
+    68174528U,	// MMX_PSUBSBirr
+    470833972U,	// MMX_PSUBSWirm
+    68180788U,	// MMX_PSUBSWirr
+    470827739U,	// MMX_PSUBUSBirm
+    68174555U,	// MMX_PSUBUSBirr
+    470834040U,	// MMX_PSUBUSWirm
+    68180856U,	// MMX_PSUBUSWirr
+    470833555U,	// MMX_PSUBWirm
+    68180371U,	// MMX_PSUBWirr
+    470833501U,	// MMX_PUNPCKHBWirm
+    68180317U,	// MMX_PUNPCKHBWirr
+    470831094U,	// MMX_PUNPCKHDQirm
+    68177910U,	// MMX_PUNPCKHDQirr
+    470829850U,	// MMX_PUNPCKHWDirm
+    68176666U,	// MMX_PUNPCKHWDirr
+    470833523U,	// MMX_PUNPCKLBWirm
+    68180339U,	// MMX_PUNPCKLBWirr
+    470831106U,	// MMX_PUNPCKLDQirm
+    68177922U,	// MMX_PUNPCKLDQirr
+    470829862U,	// MMX_PUNPCKLWDirm
+    68176678U,	// MMX_PUNPCKLWDirr
+    470831739U,	// MMX_PXORirm
+    68178555U,	// MMX_PXORirr
+    0U,	// MONITOR
+    10130U,	// MONITORrrr
+    9914U,	// MONTMUL
+    0U,	// MORESTACK_RET
+    0U,	// MORESTACK_RET_RESTORE_R10
+    12933408U,	// MOV16ao16
+    1087776U,	// MOV16mi
+    1087776U,	// MOV16mr
+    1087776U,	// MOV16ms
+    351710U,	// MOV16o16a
+    135289120U,	// MOV16ri
+    370170144U,	// MOV16rm
+    135289120U,	// MOV16rr
+    135289120U,	// MOV16rr_REV
+    135289120U,	// MOV16rs
+    370170144U,	// MOV16sm
+    135289120U,	// MOV16sr
+    13998368U,	// MOV32ao32
+    135289120U,	// MOV32cr
+    135289120U,	// MOV32dr
+    1120544U,	// MOV32mi
+    1120544U,	// MOV32mr
+    1120544U,	// MOV32ms
+    368217U,	// MOV32o32a
+    0U,	// MOV32r0
+    135289120U,	// MOV32rc
+    135289120U,	// MOV32rd
+    135289120U,	// MOV32ri
+    0U,	// MOV32ri64
+    101734688U,	// MOV32rm
+    135289120U,	// MOV32rr
+    135289120U,	// MOV32rr_REV
+    135289120U,	// MOV32rs
+    101734688U,	// MOV32sm
+    135289120U,	// MOV32sr
+    12931787U,	// MOV64ao16
+    13996747U,	// MOV64ao32
+    15061707U,	// MOV64ao64
+    16126667U,	// MOV64ao8
+    135289120U,	// MOV64cr
+    135289120U,	// MOV64dr
+    1136928U,	// MOV64mi32
+    1136928U,	// MOV64mr
+    1136928U,	// MOV64ms
+    351688U,	// MOV64o16a
+    368193U,	// MOV64o32a
+    384692U,	// MOV64o64a
+    400728U,	// MOV64o8a
+    135289120U,	// MOV64rc
+    135289120U,	// MOV64rd
+    135287499U,	// MOV64ri
+    135289120U,	// MOV64ri32
+    168843552U,	// MOV64rm
+    135289120U,	// MOV64rr
+    135289120U,	// MOV64rr_REV
+    135289120U,	// MOV64rs
+    168843552U,	// MOV64sm
+    135289120U,	// MOV64sr
+    135287271U,	// MOV64toPQIrr
+    168841703U,	// MOV64toSDrm
+    135287271U,	// MOV64toSDrr
+    16128288U,	// MOV8ao8
+    1153312U,	// MOV8mi
+    1153312U,	// MOV8mr
+    2148636960U,	// MOV8mr_NOREX
+    400750U,	// MOV8o8a
+    135289120U,	// MOV8ri
+    504387872U,	// MOV8rm
+    2651871520U,	// MOV8rm_NOREX
+    135289120U,	// MOV8rr
+    2282772768U,	// MOV8rr_NOREX
+    135289120U,	// MOV8rr_REV
+    411312U,	// MOVAPDmr
+    537937584U,	// MOVAPDrm
+    135284400U,	// MOVAPDrr
+    135284400U,	// MOVAPDrr_REV
+    414822U,	// MOVAPSmr
+    537941094U,	// MOVAPSrm
+    135287910U,	// MOVAPSrr
+    135287910U,	// MOVAPSrr_REV
+    1084327U,	// MOVBE16mr
+    370166695U,	// MOVBE16rm
+    1117095U,	// MOVBE32mr
+    101731239U,	// MOVBE32rm
+    1133479U,	// MOVBE64mr
+    168840103U,	// MOVBE64rm
+    571494142U,	// MOVDDUPrm
+    135286526U,	// MOVDDUPrr
+    101731054U,	// MOVDI2PDIrm
+    135285486U,	// MOVDI2PDIrr
+    101731054U,	// MOVDI2SSrm
+    135285486U,	// MOVDI2SSrr
+    1245648U,	// MOVDQAmr
+    336609744U,	// MOVDQArm
+    135283152U,	// MOVDQArr
+    135283152U,	// MOVDQArr_REV
+    1251566U,	// MOVDQUmr
+    336615662U,	// MOVDQUrm
+    135289070U,	// MOVDQUrr
+    135289070U,	// MOVDQUrr_REV
+    68179284U,	// MOVHLPSrr
+    1181576U,	// MOVHPDmr
+    235947912U,	// MOVHPDrm
+    1185088U,	// MOVHPSmr
+    235951424U,	// MOVHPSrm
+    68179254U,	// MOVLHPSrr
+    1181626U,	// MOVLPDmr
+    235947962U,	// MOVLPDrm
+    1185148U,	// MOVLPSmr
+    235951484U,	// MOVLPSrm
+    1135079U,	// MOVLQ128mr
+    135284625U,	// MOVMSKPDrr32
+    135284625U,	// MOVMSKPDrr64
+    135288137U,	// MOVMSKPSrr32
+    135288137U,	// MOVMSKPSrr64
+    336609733U,	// MOVNTDQArm
+    413808U,	// MOVNTDQmr
+    1133941U,	// MOVNTI_64mr
+    1117557U,	// MOVNTImr
+    411688U,	// MOVNTPDmr
+    415222U,	// MOVNTPSmr
+    1182271U,	// MOVNTSD
+    1169329U,	// MOVNTSS
+    0U,	// MOVPC32r
+    1116910U,	// MOVPDI2DImr
+    135285486U,	// MOVPDI2DIrr
+    1135079U,	// MOVPQI2QImr
+    135287271U,	// MOVPQIto64rr
+    168841703U,	// MOVQI2PQIrm
+    135287271U,	// MOVQxrxr
+    9532U,	// MOVSB
+    9661U,	// MOVSD
+    1182312U,	// MOVSDmr
+    571492968U,	// MOVSDrm
+    68176488U,	// MOVSDrr
+    68176488U,	// MOVSDrr_REV
+    1135079U,	// MOVSDto64mr
+    135287271U,	// MOVSDto64rr
+    537939720U,	// MOVSHDUPrm
+    135286536U,	// MOVSHDUPrr
+    537939731U,	// MOVSLDUPrm
+    135286547U,	// MOVSLDUPrr
+    10098U,	// MOVSQ
+    1116910U,	// MOVSS2DImr
+    135285486U,	// MOVSS2DIrr
+    1169380U,	// MOVSSmr
+    605050852U,	// MOVSSrm
+    68179940U,	// MOVSSrr
+    68179940U,	// MOVSSrr_REV
+    10474U,	// MOVSW
+    504388721U,	// MOVSX16rm8
+    135289969U,	// MOVSX16rr8
+    370170993U,	// MOVSX32rm16
+    504388721U,	// MOVSX32rm8
+    135289969U,	// MOVSX32rr16
+    135289969U,	// MOVSX32rr8
+    370170993U,	// MOVSX64rm16
+    101731198U,	// MOVSX64rm32
+    504388721U,	// MOVSX64rm8
+    135289969U,	// MOVSX64rr16
+    135285630U,	// MOVSX64rr32
+    135289969U,	// MOVSX64rr8
+    411716U,	// MOVUPDmr
+    537937988U,	// MOVUPDrm
+    135284804U,	// MOVUPDrr
+    135284804U,	// MOVUPDrr_REV
+    415271U,	// MOVUPSmr
+    537941543U,	// MOVUPSrm
+    135288359U,	// MOVUPSrr
+    135288359U,	// MOVUPSrr_REV
+    101731054U,	// MOVZDI2PDIrm
+    135285486U,	// MOVZDI2PDIrr
+    336613863U,	// MOVZPQILo2PQIrm
+    135287271U,	// MOVZPQILo2PQIrr
+    168841703U,	// MOVZQI2PQIrm
+    135287271U,	// MOVZQI2PQIrr
+    504388728U,	// MOVZX16rm8
+    135289976U,	// MOVZX16rr8
+    504388728U,	// MOVZX32_NOREXrm8
+    135289976U,	// MOVZX32_NOREXrr8
+    370171000U,	// MOVZX32rm16
+    504388728U,	// MOVZX32rm8
+    135289976U,	// MOVZX32rr16
+    135289976U,	// MOVZX32rr8
+    370171000U,	// MOVZX64rm16_Q
+    504388728U,	// MOVZX64rm8_Q
+    135289976U,	// MOVZX64rr16_Q
+    135289976U,	// MOVZX64rr8_Q
+    1376803136U,	// MPSADBWrmi
+    1141922112U,	// MPSADBWrri
+    36297U,	// MUL16m
+    19913U,	// MUL16r
+    69065U,	// MUL32m
+    19913U,	// MUL32r
+    85449U,	// MUL64m
+    19913U,	// MUL64r
+    101833U,	// MUL8m
+    19913U,	// MUL8r
+    202393522U,	// MULPDrm
+    68175794U,	// MULPDrr
+    202397044U,	// MULPSrm
+    68179316U,	// MULPSrr
+    235948575U,	// MULSDrm
+    235948575U,	// MULSDrm_Int
+    68176415U,	// MULSDrr
+    68176415U,	// MULSDrr_Int
+    269506450U,	// MULSSrm
+    269506450U,	// MULSSrm_Int
+    68179858U,	// MULSSrr
+    68179858U,	// MULSSrr_Int
+    1209031726U,	// MULX32rm
+    1209031726U,	// MULX32rr
+    1209031726U,	// MULX64rm
+    1209031726U,	// MULX64rr
+    118216U,	// MUL_F32m
+    134600U,	// MUL_F64m
+    36302U,	// MUL_FI16m
+    69070U,	// MUL_FI32m
+    20094U,	// MUL_FPrST0
+    19912U,	// MUL_FST0r
+    0U,	// MUL_Fp32
+    0U,	// MUL_Fp32m
+    0U,	// MUL_Fp64
+    0U,	// MUL_Fp64m
+    0U,	// MUL_Fp64m32
+    0U,	// MUL_Fp80
+    0U,	// MUL_Fp80m32
+    0U,	// MUL_Fp80m64
+    0U,	// MUL_FpI16m32
+    0U,	// MUL_FpI16m64
+    0U,	// MUL_FpI16m80
+    0U,	// MUL_FpI32m32
+    0U,	// MUL_FpI32m64
+    0U,	// MUL_FpI32m80
+    7359944U,	// MUL_FrST0
+    10368U,	// MWAITrr
+    35953U,	// NEG16m
+    19569U,	// NEG16r
+    68721U,	// NEG32m
+    19569U,	// NEG32r
+    85105U,	// NEG64m
+    19569U,	// NEG64r
+    101489U,	// NEG8m
+    19569U,	// NEG8r
+    10014U,	// NOOP
+    69307U,	// NOOPL
+    36539U,	// NOOPW
+    39007U,	// NOT16m
+    22623U,	// NOT16r
+    71775U,	// NOT32m
+    22623U,	// NOT32r
+    88159U,	// NOT64m
+    22623U,	// NOT64r
+    104543U,	// NOT8m
+    22623U,	// NOT8r
+    24000U,	// OR16i16
+    1086048U,	// OR16mi
+    1086048U,	// OR16mi8
+    1086048U,	// OR16mr
+    35705440U,	// OR16ri
+    35705440U,	// OR16ri8
+    3199584U,	// OR16rm
+    35705440U,	// OR16rr
+    68178528U,	// OR16rr_REV
+    24120U,	// OR32i32
+    1118816U,	// OR32mi
+    1118816U,	// OR32mi8
+    1118816U,	// OR32mr
+    1118816U,	// OR32mrLocked
+    35705440U,	// OR32ri
+    35705440U,	// OR32ri8
+    4248160U,	// OR32rm
+    35705440U,	// OR32rr
+    68178528U,	// OR32rr_REV
+    24235U,	// OR64i32
+    1135200U,	// OR64mi32
+    1135200U,	// OR64mi8
+    1135200U,	// OR64mr
+    35705440U,	// OR64ri32
+    35705440U,	// OR64ri8
+    5296736U,	// OR64rm
+    35705440U,	// OR64rr
+    68178528U,	// OR64rr_REV
+    23888U,	// OR8i8
+    1151584U,	// OR8mi
+    1151584U,	// OR8mr
+    35705440U,	// OR8ri
+    6345312U,	// OR8rm
+    35705440U,	// OR8rr
+    68178528U,	// OR8rr_REV
+    202393625U,	// ORPDrm
+    68175897U,	// ORPDrr
+    202397147U,	// ORPSrm
+    68179419U,	// ORPSrr
+    12605654U,	// OUT16ir
+    10496U,	// OUT16rr
+    13654230U,	// OUT32ir
+    10550U,	// OUT32rr
+    15751382U,	// OUT8ir
+    9871U,	// OUT8rr
+    9522U,	// OUTSB
+    9651U,	// OUTSD
+    10464U,	// OUTSW
+    336609976U,	// PABSBrm128
+    135283384U,	// PABSBrr128
+    336611763U,	// PABSDrm128
+    135285171U,	// PABSDrr128
+    336616214U,	// PABSWrm128
+    135289622U,	// PABSWrr128
+    303061481U,	// PACKSSDWrm
+    68180457U,	// PACKSSDWrr
+    303055714U,	// PACKSSWBrm
+    68174690U,	// PACKSSWBrr
+    303061492U,	// PACKUSDWrm
+    68180468U,	// PACKUSDWrr
+    303055725U,	// PACKUSWBrm
+    68174701U,	// PACKUSWBrr
+    303055391U,	// PADDBrm
+    68174367U,	// PADDBrr
+    303055947U,	// PADDDrm
+    68174923U,	// PADDDrr
+    303058916U,	// PADDQrm
+    68177892U,	// PADDQrr
+    303055561U,	// PADDSBrm
+    68174537U,	// PADDSBrr
+    303061831U,	// PADDSWrm
+    68180807U,	// PADDSWrr
+    303055589U,	// PADDUSBrm
+    68174565U,	// PADDUSBrr
+    303061890U,	// PADDUSWrm
+    68180866U,	// PADDUSWrr
+    303061456U,	// PADDWrm
+    68180432U,	// PADDWrr
+    1376801365U,	// PALIGNR128rm
+    1141920341U,	// PALIGNR128rr
+    303058454U,	// PANDNrm
+    68177430U,	// PANDNrr
+    303056164U,	// PANDrm
+    68175140U,	// PANDrr
+    9773U,	// PAUSE
+    303055438U,	// PAVGBrm
+    68174414U,	// PAVGBrr
+    470827758U,	// PAVGUSBrm
+    68174574U,	// PAVGUSBrr
+    303061548U,	// PAVGWrm
+    68180524U,	// PAVGWrr
+    303055696U,	// PBLENDVBrm0
+    68174672U,	// PBLENDVBrr0
+    1376803295U,	// PBLENDWrmi
+    1141922271U,	// PBLENDWrri
+    1376800843U,	// PCLMULQDQrm
+    1141919819U,	// PCLMULQDQrr
+    303055486U,	// PCMPEQBrm
+    68174462U,	// PCMPEQBrr
+    303057021U,	// PCMPEQDrm
+    68175997U,	// PCMPEQDrr
+    303059246U,	// PCMPEQQrm
+    68178222U,	// PCMPEQQrr
+    303061678U,	// PCMPEQWrm
+    68180654U,	// PCMPEQWrr
+    0U,	// PCMPESTRIMEM
+    0U,	// PCMPESTRIREG
+    1410354474U,	// PCMPESTRIrm
+    1209027882U,	// PCMPESTRIrr
+    0U,	// PCMPESTRM128MEM
+    0U,	// PCMPESTRM128REG
+    1410354686U,	// PCMPESTRM128rm
+    1209028094U,	// PCMPESTRM128rr
+    303055623U,	// PCMPGTBrm
+    68174599U,	// PCMPGTBrr
+    303057546U,	// PCMPGTDrm
+    68176522U,	// PCMPGTDrr
+    303059332U,	// PCMPGTQrm
+    68178308U,	// PCMPGTQrr
+    303061909U,	// PCMPGTWrm
+    68180885U,	// PCMPGTWrr
+    0U,	// PCMPISTRIMEM
+    0U,	// PCMPISTRIREG
+    1410354486U,	// PCMPISTRIrm
+    1209027894U,	// PCMPISTRIrr
+    0U,	// PCMPISTRM128MEM
+    0U,	// PCMPISTRM128REG
+    1410354698U,	// PCMPISTRM128rm
+    1209028106U,	// PCMPISTRM128rr
+    1209028212U,	// PDEP32rm
+    1209028212U,	// PDEP32rr
+    1209028212U,	// PDEP64rm
+    1209028212U,	// PDEP64rr
+    1209030875U,	// PEXT32rm
+    1209030875U,	// PEXT32rr
+    1209030875U,	// PEXT64rm
+    1209030875U,	// PEXT64rr
+    1074889391U,	// PEXTRBmr
+    1209025199U,	// PEXTRBrr
+    1074858204U,	// PEXTRDmr
+    1209026780U,	// PEXTRDrr
+    1074876787U,	// PEXTRQmr
+    1209028979U,	// PEXTRQrr
+    1074830093U,	// PEXTRWmr
+    1209031437U,	// PEXTRWri
+    168838314U,	// PF2IDrm
+    135283882U,	// PF2IDrr
+    168843857U,	// PF2IWrm
+    135289425U,	// PF2IWrr
+    470827895U,	// PFACCrm
+    68174711U,	// PFACCrr
+    470828077U,	// PFADDrm
+    68174893U,	// PFADDrr
+    470831294U,	// PFCMPEQrm
+    68178110U,	// PFCMPEQrr
+    470830010U,	// PFCMPGErm
+    68176826U,	// PFCMPGErr
+    470833211U,	// PFCMPGTrm
+    68180027U,	// PFCMPGTrr
+    470834203U,	// PFMAXrm
+    68181019U,	// PFMAXrr
+    470830629U,	// PFMINrm
+    68177445U,	// PFMINrr
+    470830535U,	// PFMULrm
+    68177351U,	// PFMULrr
+    470827902U,	// PFNACCrm
+    68174718U,	// PFNACCrr
+    470827910U,	// PFPNACCrm
+    68174726U,	// PFPNACCrr
+    470827055U,	// PFRCPIT1rm
+    68173871U,	// PFRCPIT1rr
+    470827151U,	// PFRCPIT2rm
+    68173967U,	// PFRCPIT2rr
+    168840806U,	// PFRCPrm
+    135286374U,	// PFRCPrr
+    470827065U,	// PFRSQIT1rm
+    68173881U,	// PFRSQIT1rr
+    168843390U,	// PFRSQRTrm
+    135288958U,	// PFRSQRTrr
+    470831667U,	// PFSUBRrm
+    68178483U,	// PFSUBRrr
+    470827832U,	// PFSUBrm
+    68174648U,	// PFSUBrr
+    303055938U,	// PHADDDrm
+    68174914U,	// PHADDDrr
+    303061821U,	// PHADDSWrm128
+    68180797U,	// PHADDSWrr128
+    303061440U,	// PHADDWrm
+    68180416U,	// PHADDWrr
+    336616426U,	// PHMINPOSUWrm128
+    135289834U,	// PHMINPOSUWrr128
+    303055879U,	// PHSUBDrm
+    68174855U,	// PHSUBDrr
+    303061802U,	// PHSUBSWrm128
+    68180778U,	// PHSUBSWrr128
+    303061386U,	// PHSUBWrm
+    68180362U,	// PHSUBWrr
+    168838298U,	// PI2FDrm
+    135283866U,	// PI2FDrr
+    168843804U,	// PI2FWrm
+    135289372U,	// PI2FWrr
+    705708710U,	// PINSRBrm
+    1141916326U,	// PINSRBrr
+    1511016659U,	// PINSRDrm
+    1141917907U,	// PINSRDrr
+    1544573290U,	// PINSRQrm
+    1141920106U,	// PINSRQrr
+    1477466874U,	// PINSRWrmi
+    1141922554U,	// PINSRWrri
+    303061790U,	// PMADDUBSWrm128
+    68180766U,	// PMADDUBSWrr128
+    303057680U,	// PMADDWDrm
+    68176656U,	// PMADDWDrr
+    303055608U,	// PMAXSBrm
+    68174584U,	// PMAXSBrr
+    303057520U,	// PMAXSDrm
+    68176496U,	// PMAXSDrr
+    303061900U,	// PMAXSWrm
+    68180876U,	// PMAXSWrr
+    303055687U,	// PMAXUBrm
+    68174663U,	// PMAXUBrr
+    303057605U,	// PMAXUDrm
+    68176581U,	// PMAXUDrr
+    303062007U,	// PMAXUWrm
+    68180983U,	// PMAXUWrr
+    303055570U,	// PMINSBrm
+    68174546U,	// PMINSBrr
+    303057447U,	// PMINSDrm
+    68176423U,	// PMINSDrr
+    303061852U,	// PMINSWrm
+    68180828U,	// PMINSWrr
+    303055664U,	// PMINUBrm
+    68174640U,	// PMINUBrr
+    303057587U,	// PMINUDrm
+    68176563U,	// PMINUDrr
+    303061985U,	// PMINUWrm
+    68180961U,	// PMINUWrr
+    135283290U,	// PMOVMSKBrr
+    101729304U,	// PMOVSXBDrm
+    135283736U,	// PMOVSXBDrr
+    370167693U,	// PMOVSXBQrm
+    135286669U,	// PMOVSXBQrr
+    168843675U,	// PMOVSXBWrm
+    135289243U,	// PMOVSXBWrr
+    168841385U,	// PMOVSXDQrm
+    135286953U,	// PMOVSXDQrr
+    168840041U,	// PMOVSXWDrm
+    135285609U,	// PMOVSXWDrr
+    101732875U,	// PMOVSXWQrm
+    135287307U,	// PMOVSXWQrr
+    101729315U,	// PMOVZXBDrm
+    135283747U,	// PMOVZXBDrr
+    370167704U,	// PMOVZXBQrm
+    135286680U,	// PMOVZXBQrr
+    168843686U,	// PMOVZXBWrm
+    135289254U,	// PMOVZXBWrr
+    168841396U,	// PMOVZXDQrm
+    135286964U,	// PMOVZXDQrr
+    168840052U,	// PMOVZXWDrm
+    135285620U,	// PMOVZXWDrr
+    101732886U,	// PMOVZXWQrm
+    135287318U,	// PMOVZXWQrr
+    303058976U,	// PMULDQrm
+    68177952U,	// PMULDQrr
+    303061861U,	// PMULHRSWrm128
+    68180837U,	// PMULHRSWrr128
+    470833883U,	// PMULHRWrm
+    68180699U,	// PMULHRWrr
+    303061966U,	// PMULHUWrm
+    68180942U,	// PMULHUWrr
+    303061577U,	// PMULHWrm
+    68180553U,	// PMULHWrr
+    303056101U,	// PMULLDrm
+    68175077U,	// PMULLDrr
+    303061626U,	// PMULLWrm
+    68180602U,	// PMULLWrr
+    303059103U,	// PMULUDQrm
+    68178079U,	// PMULUDQrr
+    20166U,	// POP16r
+    36550U,	// POP16rmm
+    20166U,	// POP16rmr
+    20166U,	// POP32r
+    69318U,	// POP32rmm
+    20166U,	// POP32rmr
+    20166U,	// POP64r
+    85702U,	// POP64rmm
+    20166U,	// POP64rmr
+    9454U,	// POPA32
+    370169924U,	// POPCNT16rm
+    135288900U,	// POPCNT16rr
+    101734468U,	// POPCNT32rm
+    135288900U,	// POPCNT32rr
+    168843332U,	// POPCNT64rm
+    135288900U,	// POPCNT64rr
+    10189U,	// POPDS16
+    10189U,	// POPDS32
+    10204U,	// POPES16
+    10204U,	// POPES32
+    9808U,	// POPF16
+    9602U,	// POPF32
+    10060U,	// POPF64
+    10219U,	// POPFS16
+    10219U,	// POPFS32
+    10219U,	// POPFS64
+    10234U,	// POPGS16
+    10234U,	// POPGS32
+    10234U,	// POPGS64
+    10329U,	// POPSS16
+    10329U,	// POPSS32
+    303059551U,	// PORrm
+    68178527U,	// PORrr
+    101528U,	// PREFETCH
+    98782U,	// PREFETCHNTA
+    98305U,	// PREFETCHT0
+    98339U,	// PREFETCHT1
+    98435U,	// PREFETCHT2
+    105011U,	// PREFETCHW
+    303061313U,	// PSADBWrm
+    68180289U,	// PSADBWrr
+    303055429U,	// PSHUFBrm
+    68174405U,	// PSHUFBrr
+    1410352290U,	// PSHUFDmi
+    1209025698U,	// PSHUFDri
+    1410357823U,	// PSHUFHWmi
+    1209031231U,	// PSHUFHWri
+    1410357849U,	// PSHUFLWmi
+    1209031257U,	// PSHUFLWri
+    303055477U,	// PSIGNBrm
+    68174453U,	// PSIGNBrr
+    303056197U,	// PSIGNDrm
+    68175173U,	// PSIGNDrr
+    303061669U,	// PSIGNWrm
+    68180645U,	// PSIGNWrr
+    68177934U,	// PSLLDQri
+    68175069U,	// PSLLDri
+    303056093U,	// PSLLDrm
+    68175069U,	// PSLLDrr
+    68178137U,	// PSLLQri
+    303059161U,	// PSLLQrm
+    68178137U,	// PSLLQrr
+    68180594U,	// PSLLWri
+    303061618U,	// PSLLWrm
+    68180594U,	// PSLLWrr
+    68174826U,	// PSRADri
+    303055850U,	// PSRADrm
+    68174826U,	// PSRADrr
+    68180270U,	// PSRAWri
+    303061294U,	// PSRAWrm
+    68180270U,	// PSRAWrr
+    68177943U,	// PSRLDQri
+    68175086U,	// PSRLDri
+    303056110U,	// PSRLDrm
+    68175086U,	// PSRLDrr
+    68178145U,	// PSRLQri
+    303059169U,	// PSRLQrm
+    68178145U,	// PSRLQrr
+    68180611U,	// PSRLWri
+    303061635U,	// PSRLWrm
+    68180611U,	// PSRLWrr
+    303055383U,	// PSUBBrm
+    68174359U,	// PSUBBrr
+    303055888U,	// PSUBDrm
+    68174864U,	// PSUBDrr
+    303058821U,	// PSUBQrm
+    68177797U,	// PSUBQrr
+    303055552U,	// PSUBSBrm
+    68174528U,	// PSUBSBrr
+    303061812U,	// PSUBSWrm
+    68180788U,	// PSUBSWrr
+    303055579U,	// PSUBUSBrm
+    68174555U,	// PSUBUSBrr
+    303061880U,	// PSUBUSWrm
+    68180856U,	// PSUBUSWrr
+    303061395U,	// PSUBWrm
+    68180371U,	// PSUBWrr
+    168838840U,	// PSWAPDrm
+    135284408U,	// PSWAPDrr
+    537942185U,	// PTESTrm
+    135289001U,	// PTESTrr
+    303061341U,	// PUNPCKHBWrm
+    68180317U,	// PUNPCKHBWrr
+    303058934U,	// PUNPCKHDQrm
+    68177910U,	// PUNPCKHDQrr
+    303058993U,	// PUNPCKHQDQrm
+    68177969U,	// PUNPCKHQDQrr
+    303057690U,	// PUNPCKHWDrm
+    68176666U,	// PUNPCKHWDrr
+    303061363U,	// PUNPCKLBWrm
+    68180339U,	// PUNPCKLBWrr
+    303058946U,	// PUNPCKLDQrm
+    68177922U,	// PUNPCKLDQrr
+    303059006U,	// PUNPCKLQDQrm
+    68177982U,	// PUNPCKLQDQrr
+    303057702U,	// PUNPCKLWDrm
+    68176678U,	// PUNPCKLWDrr
+    19667U,	// PUSH16r
+    36051U,	// PUSH16rmm
+    19667U,	// PUSH16rmr
+    19667U,	// PUSH32r
+    68819U,	// PUSH32rmm
+    19667U,	// PUSH32rmr
+    19667U,	// PUSH64i16
+    19667U,	// PUSH64i32
+    19667U,	// PUSH64i8
+    19667U,	// PUSH64r
+    85203U,	// PUSH64rmm
+    19667U,	// PUSH64rmr
+    9448U,	// PUSHA32
+    10173U,	// PUSHCS16
+    10173U,	// PUSHCS32
+    10181U,	// PUSHDS16
+    10181U,	// PUSHDS32
+    10196U,	// PUSHES16
+    10196U,	// PUSHES32
+    9802U,	// PUSHF16
+    9595U,	// PUSHF32
+    10053U,	// PUSHF64
+    10211U,	// PUSHFS16
+    10211U,	// PUSHFS32
+    10211U,	// PUSHFS64
+    10226U,	// PUSHGS16
+    10226U,	// PUSHGS32
+    10226U,	// PUSHGS64
+    10321U,	// PUSHSS16
+    10321U,	// PUSHSS32
+    19667U,	// PUSHi16
+    19667U,	// PUSHi32
+    19667U,	// PUSHi8
+    303059579U,	// PXORrm
+    68178555U,	// PXORrr
+    36229U,	// RCL16m1
+    16813445U,	// RCL16mCL
+    1084805U,	// RCL16mi
+    19845U,	// RCL16r1
+    16797061U,	// RCL16rCL
+    68177285U,	// RCL16ri
+    68997U,	// RCL32m1
+    16846213U,	// RCL32mCL
+    1117573U,	// RCL32mi
+    19845U,	// RCL32r1
+    16797061U,	// RCL32rCL
+    68177285U,	// RCL32ri
+    85381U,	// RCL64m1
+    16862597U,	// RCL64mCL
+    1133957U,	// RCL64mi
+    19845U,	// RCL64r1
+    16797061U,	// RCL64rCL
+    68177285U,	// RCL64ri
+    101765U,	// RCL8m1
+    16878981U,	// RCL8mCL
+    1150341U,	// RCL8mi
+    19845U,	// RCL8r1
+    16797061U,	// RCL8rCL
+    68177285U,	// RCL8ri
+    537941418U,	// RCPPSm
+    537941418U,	// RCPPSm_Int
+    135288234U,	// RCPPSr
+    135288234U,	// RCPPSr_Int
+    605050786U,	// RCPSSm
+    269506466U,	// RCPSSm_Int
+    135288738U,	// RCPSSr
+    68179874U,	// RCPSSr_Int
+    37443U,	// RCR16m1
+    16814659U,	// RCR16mCL
+    1086019U,	// RCR16mi
+    21059U,	// RCR16r1
+    16798275U,	// RCR16rCL
+    68178499U,	// RCR16ri
+    70211U,	// RCR32m1
+    16847427U,	// RCR32mCL
+    1118787U,	// RCR32mi
+    21059U,	// RCR32r1
+    16798275U,	// RCR32rCL
+    68178499U,	// RCR32ri
+    86595U,	// RCR64m1
+    16863811U,	// RCR64mCL
+    1135171U,	// RCR64mi
+    21059U,	// RCR64r1
+    16798275U,	// RCR64rCL
+    68178499U,	// RCR64ri
+    102979U,	// RCR8m1
+    16880195U,	// RCR8mCL
+    1151555U,	// RCR8mi
+    21059U,	// RCR8r1
+    16798275U,	// RCR8rCL
+    68178499U,	// RCR8ri
+    19469U,	// RDFSBASE
+    19469U,	// RDFSBASE64
+    19489U,	// RDGSBASE
+    19489U,	// RDGSBASE64
+    10138U,	// RDMSR
+    9572U,	// RDPMC
+    17706U,	// RDRAND16r
+    17706U,	// RDRAND32r
+    17706U,	// RDRAND64r
+    17554U,	// RDSEED16r
+    17554U,	// RDSEED32r
+    17554U,	// RDSEED64r
+    9585U,	// RDTSC
+    9991U,	// RDTSCP
+    9081U,	// RELEASE_MOV16mr
+    9081U,	// RELEASE_MOV32mr
+    9081U,	// RELEASE_MOV64mr
+    9081U,	// RELEASE_MOV8mr
+    9737U,	// REPNE_PREFIX
+    9528U,	// REP_MOVSB_32
+    9528U,	// REP_MOVSB_64
+    9657U,	// REP_MOVSD_32
+    9657U,	// REP_MOVSD_64
+    10094U,	// REP_MOVSQ_64
+    10470U,	// REP_MOVSW_32
+    10470U,	// REP_MOVSW_64
+    9998U,	// REP_PREFIX
+    9506U,	// REP_STOSB_32
+    9506U,	// REP_STOSB_64
+    9635U,	// REP_STOSD_32
+    9635U,	// REP_STOSD_64
+    10078U,	// REP_STOSQ_64
+    10448U,	// REP_STOSW_32
+    10448U,	// REP_STOSW_64
+    10357U,	// RET
+    22582U,	// RETI
+    22582U,	// RETIW
+    10357U,	// RETW
+    9319U,	// REX64_PREFIX
+    36250U,	// ROL16m1
+    16813466U,	// ROL16mCL
+    1084826U,	// ROL16mi
+    19866U,	// ROL16r1
+    16797082U,	// ROL16rCL
+    68177306U,	// ROL16ri
+    69018U,	// ROL32m1
+    16846234U,	// ROL32mCL
+    1117594U,	// ROL32mi
+    19866U,	// ROL32r1
+    16797082U,	// ROL32rCL
+    68177306U,	// ROL32ri
+    85402U,	// ROL64m1
+    16862618U,	// ROL64mCL
+    1133978U,	// ROL64mi
+    19866U,	// ROL64r1
+    16797082U,	// ROL64rCL
+    68177306U,	// ROL64ri
+    101786U,	// ROL8m1
+    16879002U,	// ROL8mCL
+    1150362U,	// ROL8mi
+    19866U,	// ROL8r1
+    16797082U,	// ROL8rCL
+    68177306U,	// ROL8ri
+    37476U,	// ROR16m1
+    16814692U,	// ROR16mCL
+    1086052U,	// ROR16mi
+    21092U,	// ROR16r1
+    16798308U,	// ROR16rCL
+    68178532U,	// ROR16ri
+    70244U,	// ROR32m1
+    16847460U,	// ROR32mCL
+    1118820U,	// ROR32mi
+    21092U,	// ROR32r1
+    16798308U,	// ROR32rCL
+    68178532U,	// ROR32ri
+    86628U,	// ROR64m1
+    16863844U,	// ROR64mCL
+    1135204U,	// ROR64mi
+    21092U,	// ROR64r1
+    16798308U,	// ROR64rCL
+    68178532U,	// ROR64ri
+    103012U,	// ROR8m1
+    16880228U,	// ROR8mCL
+    1151588U,	// ROR8mi
+    21092U,	// ROR8r1
+    16798308U,	// ROR8rCL
+    68178532U,	// ROR8ri
+    1175477343U,	// RORX32mi
+    1209031775U,	// RORX32ri
+    1242586207U,	// RORX64mi
+    1209031775U,	// RORX64ri
+    1611679556U,	// ROUNDPDm
+    1209026372U,	// ROUNDPDr
+    1611683058U,	// ROUNDPSm
+    1209029874U,	// ROUNDPSr
+    1309690357U,	// ROUNDSDm
+    1141918197U,	// ROUNDSDr
+    1141918197U,	// ROUNDSDr_Int
+    1343248232U,	// ROUNDSSm
+    1141921640U,	// ROUNDSSr
+    1141921640U,	// ROUNDSSr_Int
+    9933U,	// RSM
+    537941515U,	// RSQRTPSm
+    537941515U,	// RSQRTPSm_Int
+    135288331U,	// RSQRTPSr
+    135288331U,	// RSQRTPSr_Int
+    605050811U,	// RSQRTSSm
+    269506491U,	// RSQRTSSm_Int
+    135288763U,	// RSQRTSSr
+    68179899U,	// RSQRTSSr_Int
+    9797U,	// SAHF
+    37422U,	// SAR16m1
+    16814638U,	// SAR16mCL
+    1085998U,	// SAR16mi
+    21038U,	// SAR16r1
+    16798254U,	// SAR16rCL
+    68178478U,	// SAR16ri
+    70190U,	// SAR32m1
+    16847406U,	// SAR32mCL
+    1118766U,	// SAR32mi
+    21038U,	// SAR32r1
+    16798254U,	// SAR32rCL
+    68178478U,	// SAR32ri
+    86574U,	// SAR64m1
+    16863790U,	// SAR64mCL
+    1135150U,	// SAR64mi
+    21038U,	// SAR64r1
+    16798254U,	// SAR64rCL
+    68178478U,	// SAR64ri
+    102958U,	// SAR8m1
+    16880174U,	// SAR8mCL
+    1151534U,	// SAR8mi
+    21038U,	// SAR8r1
+    16798254U,	// SAR8rCL
+    68178478U,	// SAR8ri
+    1175477331U,	// SARX32rm
+    1209031763U,	// SARX32rr
+    1242586195U,	// SARX64rm
+    1209031763U,	// SARX64rr
+    23927U,	// SBB16i16
+    1081873U,	// SBB16mi
+    1081873U,	// SBB16mi8
+    1081873U,	// SBB16mr
+    35701265U,	// SBB16ri
+    35701265U,	// SBB16ri8
+    3195409U,	// SBB16rm
+    35701265U,	// SBB16rr
+    68174353U,	// SBB16rr_REV
+    24039U,	// SBB32i32
+    1114641U,	// SBB32mi
+    1114641U,	// SBB32mi8
+    1114641U,	// SBB32mr
+    35701265U,	// SBB32ri
+    35701265U,	// SBB32ri8
+    4243985U,	// SBB32rm
+    35701265U,	// SBB32rr
+    68174353U,	// SBB32rr_REV
+    24163U,	// SBB64i32
+    1131025U,	// SBB64mi32
+    1131025U,	// SBB64mi8
+    1131025U,	// SBB64mr
+    35701265U,	// SBB64ri32
+    35701265U,	// SBB64ri8
+    5292561U,	// SBB64rm
+    35701265U,	// SBB64rr
+    68174353U,	// SBB64rr_REV
+    23825U,	// SBB8i8
+    1147409U,	// SBB8mi
+    1147409U,	// SBB8mr
+    35701265U,	// SBB8ri
+    6341137U,	// SBB8rm
+    35701265U,	// SBB8rr
+    68174353U,	// SBB8rr_REV
+    10436U,	// SCAS16
+    9623U,	// SCAS32
+    10066U,	// SCAS64
+    9494U,	// SCAS8
+    10253U,	// SEG_ALLOCA_32
+    10253U,	// SEG_ALLOCA_64
+    101259U,	// SETAEm
+    19339U,	// SETAEr
+    98776U,	// SETAm
+    16856U,	// SETAr
+    101279U,	// SETBEm
+    19359U,	// SETBEr
+    0U,	// SETB_C16r
+    0U,	// SETB_C32r
+    0U,	// SETB_C64r
+    0U,	// SETB_C8r
+    99072U,	// SETBm
+    17152U,	// SETBr
+    101429U,	// SETEm
+    19509U,	// SETEr
+    101315U,	// SETGEm
+    19395U,	// SETGEr
+    101515U,	// SETGm
+    19595U,	// SETGr
+    101339U,	// SETLEm
+    19419U,	// SETLEr
+    101825U,	// SETLm
+    19905U,	// SETLr
+    101367U,	// SETNEm
+    19447U,	// SETNEr
+    101948U,	// SETNOm
+    20028U,	// SETNOr
+    102060U,	// SETNPm
+    20140U,	// SETNPr
+    103152U,	// SETNSm
+    21232U,	// SETNSr
+    101963U,	// SETOm
+    20043U,	// SETOr
+    102107U,	// SETPm
+    20187U,	// SETPr
+    104449U,	// SETSm
+    22529U,	// SETSr
+    9709U,	// SFENCE
+    219160U,	// SGDT16m
+    219160U,	// SGDTm
+    303054861U,	// SHA1MSG1rm
+    68173837U,	// SHA1MSG1rr
+    303054944U,	// SHA1MSG2rm
+    68173920U,	// SHA1MSG2rr
+    303057988U,	// SHA1NEXTErm
+    68176964U,	// SHA1NEXTErr
+    1376796878U,	// SHA1RNDS4rmi
+    1141915854U,	// SHA1RNDS4rri
+    303054871U,	// SHA256MSG1rm
+    68173847U,	// SHA256MSG1rr
+    303054954U,	// SHA256MSG2rm
+    68173930U,	// SHA256MSG2rr
+    303054966U,	// SHA256RNDS2rm
+    68173942U,	// SHA256RNDS2rr
+    36234U,	// SHL16m1
+    16813450U,	// SHL16mCL
+    1084810U,	// SHL16mi
+    19850U,	// SHL16r1
+    16797066U,	// SHL16rCL
+    68177290U,	// SHL16ri
+    69002U,	// SHL32m1
+    16846218U,	// SHL32mCL
+    1117578U,	// SHL32mi
+    19850U,	// SHL32r1
+    16797066U,	// SHL32rCL
+    68177290U,	// SHL32ri
+    85386U,	// SHL64m1
+    16862602U,	// SHL64mCL
+    1133962U,	// SHL64mi
+    19850U,	// SHL64r1
+    16797066U,	// SHL64rCL
+    68177290U,	// SHL64ri
+    101770U,	// SHL8m1
+    16878986U,	// SHL8mCL
+    1150346U,	// SHL8mi
+    19850U,	// SHL8r1
+    16797066U,	// SHL8rCL
+    68177290U,	// SHL8ri
+    3222308048U,	// SHLD16mrCL
+    1074824400U,	// SHLD16mri8
+    3289400528U,	// SHLD16rrCL
+    1141916880U,	// SHLD16rri8
+    3222340816U,	// SHLD32mrCL
+    1074857168U,	// SHLD32mri8
+    3289400528U,	// SHLD32rrCL
+    1141916880U,	// SHLD32rri8
+    3222357200U,	// SHLD64mrCL
+    1074873552U,	// SHLD64mri8
+    3289400528U,	// SHLD64rrCL
+    1141916880U,	// SHLD64rri8
+    1175477288U,	// SHLX32rm
+    1209031720U,	// SHLX32rr
+    1242586152U,	// SHLX64rm
+    1209031720U,	// SHLX64rr
+    37455U,	// SHR16m1
+    16814671U,	// SHR16mCL
+    1086031U,	// SHR16mi
+    21071U,	// SHR16r1
+    16798287U,	// SHR16rCL
+    68178511U,	// SHR16ri
+    70223U,	// SHR32m1
+    16847439U,	// SHR32mCL
+    1118799U,	// SHR32mi
+    21071U,	// SHR32r1
+    16798287U,	// SHR32rCL
+    68178511U,	// SHR32ri
+    86607U,	// SHR64m1
+    16863823U,	// SHR64mCL
+    1135183U,	// SHR64mi
+    21071U,	// SHR64r1
+    16798287U,	// SHR64rCL
+    68178511U,	// SHR64ri
+    102991U,	// SHR8m1
+    16880207U,	// SHR8mCL
+    1151567U,	// SHR8mi
+    21071U,	// SHR8r1
+    16798287U,	// SHR8rCL
+    68178511U,	// SHR8ri
+    3222309053U,	// SHRD16mrCL
+    1074825405U,	// SHRD16mri8
+    3289401533U,	// SHRD16rrCL
+    1141917885U,	// SHRD16rri8
+    3222341821U,	// SHRD32mrCL
+    1074858173U,	// SHRD32mri8
+    3289401533U,	// SHRD32rrCL
+    1141917885U,	// SHRD32rri8
+    3222358205U,	// SHRD64mrCL
+    1074874557U,	// SHRD64mri8
+    3289401533U,	// SHRD64rrCL
+    1141917885U,	// SHRD64rri8
+    1175477337U,	// SHRX32rm
+    1209031769U,	// SHRX32rr
+    1242586201U,	// SHRX64rm
+    1209031769U,	// SHRX64rr
+    1276135284U,	// SHUFPDrmi
+    1141917556U,	// SHUFPDrri
+    1276138786U,	// SHUFPSrmi
+    1141921058U,	// SHUFPSrri
+    219172U,	// SIDT16m
+    219172U,	// SIDTm
+    9950U,	// SIN_F
+    0U,	// SIN_Fp32
+    0U,	// SIN_Fp64
+    0U,	// SIN_Fp80
+    10539U,	// SKINIT
+    38960U,	// SLDT16m
+    22576U,	// SLDT16r
+    22576U,	// SLDT32r
+    38960U,	// SLDT64m
+    22576U,	// SLDT64r
+    39765U,	// SMSW16m
+    23381U,	// SMSW16r
+    23381U,	// SMSW32r
+    23381U,	// SMSW64r
+    537937970U,	// SQRTPDm
+    135284786U,	// SQRTPDr
+    537941516U,	// SQRTPSm
+    135288332U,	// SQRTPSr
+    571492937U,	// SQRTSDm
+    571492937U,	// SQRTSDm_Int
+    135285321U,	// SQRTSDr
+    135285321U,	// SQRTSDr_Int
+    605050812U,	// SQRTSSm
+    605050812U,	// SQRTSSm_Int
+    135288764U,	// SQRTSSr
+    135288764U,	// SQRTSSr_Int
+    10401U,	// SQRT_F
+    0U,	// SQRT_Fp32
+    0U,	// SQRT_Fp64
+    0U,	// SQRT_Fp80
+    10326U,	// SS_PREFIX
+    9549U,	// STAC
+    9591U,	// STC
+    9673U,	// STD
+    9832U,	// STGI
+    9847U,	// STI
+    70295U,	// STMXCSR
+    9510U,	// STOSB
+    9639U,	// STOSD
+    10082U,	// STOSQ
+    10452U,	// STOSW
+    21168U,	// STR16r
+    21168U,	// STR32r
+    21168U,	// STR64r
+    37552U,	// STRm
+    121008U,	// ST_F32m
+    137392U,	// ST_F64m
+    118504U,	// ST_FP32m
+    134888U,	// ST_FP64m
+    265960U,	// ST_FP80m
+    20200U,	// ST_FPrr
+    0U,	// ST_Fp32m
+    0U,	// ST_Fp64m
+    0U,	// ST_Fp64m32
+    0U,	// ST_Fp80m32
+    0U,	// ST_Fp80m64
+    0U,	// ST_FpP32m
+    0U,	// ST_FpP64m
+    0U,	// ST_FpP64m32
+    0U,	// ST_FpP80m
+    0U,	// ST_FpP80m32
+    0U,	// ST_FpP80m64
+    22704U,	// ST_Frr
+    23936U,	// SUB16i16
+    1082170U,	// SUB16mi
+    1082170U,	// SUB16mi8
+    1082170U,	// SUB16mr
+    35701562U,	// SUB16ri
+    35701562U,	// SUB16ri8
+    3195706U,	// SUB16rm
+    35701562U,	// SUB16rr
+    68174650U,	// SUB16rr_REV
+    24049U,	// SUB32i32
+    1114938U,	// SUB32mi
+    1114938U,	// SUB32mi8
+    1114938U,	// SUB32mr
+    35701562U,	// SUB32ri
+    35701562U,	// SUB32ri8
+    4244282U,	// SUB32rm
+    35701562U,	// SUB32rr
+    68174650U,	// SUB32rr_REV
+    24173U,	// SUB64i32
+    1131322U,	// SUB64mi32
+    1131322U,	// SUB64mi8
+    1131322U,	// SUB64mr
+    35701562U,	// SUB64ri32
+    35701562U,	// SUB64ri8
+    5292858U,	// SUB64rm
+    35701562U,	// SUB64rr
+    68174650U,	// SUB64rr_REV
+    23834U,	// SUB8i8
+    1147706U,	// SUB8mi
+    1147706U,	// SUB8mr
+    35701562U,	// SUB8ri
+    6341434U,	// SUB8rm
+    35701562U,	// SUB8rr
+    68174650U,	// SUB8rr_REV
+    202393286U,	// SUBPDrm
+    68175558U,	// SUBPDrr
+    202396788U,	// SUBPSrm
+    68179060U,	// SUBPSrr
+    119348U,	// SUBR_F32m
+    135732U,	// SUBR_F64m
+    37435U,	// SUBR_FI16m
+    70203U,	// SUBR_FI32m
+    20171U,	// SUBR_FPrST0
+    21044U,	// SUBR_FST0r
+    0U,	// SUBR_Fp32m
+    0U,	// SUBR_Fp64m
+    0U,	// SUBR_Fp64m32
+    0U,	// SUBR_Fp80m32
+    0U,	// SUBR_Fp80m64
+    0U,	// SUBR_FpI16m32
+    0U,	// SUBR_FpI16m64
+    0U,	// SUBR_FpI16m80
+    0U,	// SUBR_FpI32m32
+    0U,	// SUBR_FpI32m64
+    0U,	// SUBR_FpI32m80
+    7361076U,	// SUBR_FrST0
+    235948477U,	// SUBSDrm
+    235948477U,	// SUBSDrm_Int
+    68176317U,	// SUBSDrr
+    68176317U,	// SUBSDrr_Int
+    269506352U,	// SUBSSrm
+    269506352U,	// SUBSSrm_Int
+    68179760U,	// SUBSSrr
+    68179760U,	// SUBSSrr_Int
+    115513U,	// SUB_F32m
+    131897U,	// SUB_F64m
+    33599U,	// SUB_FI16m
+    66367U,	// SUB_FI32m
+    20063U,	// SUB_FPrST0
+    17209U,	// SUB_FST0r
+    0U,	// SUB_Fp32
+    0U,	// SUB_Fp32m
+    0U,	// SUB_Fp64
+    0U,	// SUB_Fp64m
+    0U,	// SUB_Fp64m32
+    0U,	// SUB_Fp80
+    0U,	// SUB_Fp80m32
+    0U,	// SUB_Fp80m64
+    0U,	// SUB_FpI16m32
+    0U,	// SUB_FpI16m64
+    0U,	// SUB_FpI16m80
+    0U,	// SUB_FpI32m32
+    0U,	// SUB_FpI32m64
+    0U,	// SUB_FpI32m80
+    7357241U,	// SUB_FrST0
+    10241U,	// SWAPGS
+    9897U,	// SYSCALL
+    10121U,	// SYSENTER
+    10381U,	// SYSEXIT
+    10381U,	// SYSEXIT64
+    10361U,	// SYSRET
+    10361U,	// SYSRET64
+    17976971U,	// TAILJMPd
+    17976971U,	// TAILJMPd64
+    17895051U,	// TAILJMPm
+    17911435U,	// TAILJMPm64
+    0U,	// TAILJMPr
+    17845899U,	// TAILJMPr64
+    0U,	// TCRETURNdi
+    0U,	// TCRETURNdi64
+    0U,	// TCRETURNmi
+    0U,	// TCRETURNmi64
+    0U,	// TCRETURNri
+    0U,	// TCRETURNri64
+    24020U,	// TEST16i16
+    1087658U,	// TEST16mi
+    135289002U,	// TEST16ri
+    370170026U,	// TEST16rm
+    135289002U,	// TEST16rr
+    24142U,	// TEST32i32
+    1120426U,	// TEST32mi
+    135289002U,	// TEST32ri
+    101734570U,	// TEST32rm
+    135289002U,	// TEST32rr
+    24257U,	// TEST64i32
+    1136810U,	// TEST64mi32
+    135289002U,	// TEST64ri32
+    168843434U,	// TEST64rm
+    135289002U,	// TEST64rr
+    23908U,	// TEST8i8
+    1153194U,	// TEST8mi
+    135289002U,	// TEST8ri
+    0U,	// TEST8ri_NOREX
+    504387754U,	// TEST8rm
+    135289002U,	// TEST8rr
+    9171U,	// TLSCall_32
+    9275U,	// TLSCall_64
+    9184U,	// TLS_addr32
+    9288U,	// TLS_addr64
+    9197U,	// TLS_base_addr32
+    9301U,	// TLS_base_addr64
+    9215U,	// TRAP
+    10413U,	// TST_F
+    0U,	// TST_Fp32
+    0U,	// TST_Fp64
+    0U,	// TST_Fp80
+    370169939U,	// TZCNT16rm
+    135288915U,	// TZCNT16rr
+    101734483U,	// TZCNT32rm
+    135288915U,	// TZCNT32rr
+    168843347U,	// TZCNT64rm
+    135288915U,	// TZCNT64rr
+    571492876U,	// UCOMISDrm
+    135285260U,	// UCOMISDrr
+    605050751U,	// UCOMISSrm
+    135288703U,	// UCOMISSrr
+    19744U,	// UCOM_FIPr
+    19686U,	// UCOM_FIr
+    10025U,	// UCOM_FPPr
+    20127U,	// UCOM_FPr
+    0U,	// UCOM_FpIr32
+    0U,	// UCOM_FpIr64
+    0U,	// UCOM_FpIr80
+    0U,	// UCOM_Fpr32
+    0U,	// UCOM_Fpr64
+    0U,	// UCOM_Fpr80
+    19950U,	// UCOM_Fr
+    9459U,	// UD2B
+    202393469U,	// UNPCKHPDrm
+    68175741U,	// UNPCKHPDrr
+    202396971U,	// UNPCKHPSrm
+    68179243U,	// UNPCKHPSrr
+    202393511U,	// UNPCKLPDrm
+    68175783U,	// UNPCKLPDrr
+    202397033U,	// UNPCKLPSrm
+    68179305U,	// UNPCKLPSrr
+    1578131148U,	// VAARG_64
+    1209026345U,	// VADDPDYrm
+    1209026345U,	// VADDPDYrr
+    1209026345U,	// VADDPDZrm
+    1209026345U,	// VADDPDZrmb
+    1209026345U,	// VADDPDZrr
+    1209026345U,	// VADDPDrm
+    1209026345U,	// VADDPDrr
+    1209029847U,	// VADDPSYrm
+    1209029847U,	// VADDPSYrr
+    1209029847U,	// VADDPSZrm
+    1209029847U,	// VADDPSZrmb
+    1209029847U,	// VADDPSZrr
+    1209029847U,	// VADDPSrm
+    1209029847U,	// VADDPSrr
+    1209027052U,	// VADDSDZrm
+    1209027052U,	// VADDSDZrr
+    1209027052U,	// VADDSDrm
+    1209027052U,	// VADDSDrm_Int
+    1209027052U,	// VADDSDrr
+    1209027052U,	// VADDSDrr_Int
+    1209030495U,	// VADDSSZrm
+    1209030495U,	// VADDSSZrr
+    1209030495U,	// VADDSSrm
+    1209030495U,	// VADDSSrm_Int
+    1209030495U,	// VADDSSrr
+    1209030495U,	// VADDSSrr_Int
+    1209026253U,	// VADDSUBPDYrm
+    1209026253U,	// VADDSUBPDYrr
+    1209026253U,	// VADDSUBPDrm
+    1209026253U,	// VADDSUBPDrr
+    1209029755U,	// VADDSUBPSYrm
+    1209029755U,	// VADDSUBPSYrr
+    1209029755U,	// VADDSUBPSrm
+    1209029755U,	// VADDSUBPSrr
+    1209030798U,	// VAESDECLASTrm
+    1209030798U,	// VAESDECLASTrr
+    1209025428U,	// VAESDECrm
+    1209025428U,	// VAESDECrr
+    1209030811U,	// VAESENCLASTrm
+    1209030811U,	// VAESENCLASTrr
+    1209025446U,	// VAESENCrm
+    1209025446U,	// VAESENCrr
+    336610205U,	// VAESIMCrm
+    135283613U,	// VAESIMCrr
+    1410357435U,	// VAESKEYGENASSIST128rm
+    1209030843U,	// VAESKEYGENASSIST128rr
+    1209025851U,	// VALIGNDrmi
+    1209025851U,	// VALIGNDrri
+    1209028886U,	// VALIGNQrmi
+    1209028886U,	// VALIGNQrri
+    1209026526U,	// VANDNPDYrm
+    1209026526U,	// VANDNPDYrr
+    1209026526U,	// VANDNPDrm
+    1209026526U,	// VANDNPDrr
+    1209030040U,	// VANDNPSYrm
+    1209030040U,	// VANDNPSYrr
+    1209030040U,	// VANDNPSrm
+    1209030040U,	// VANDNPSrr
+    1209026353U,	// VANDPDYrm
+    1209026353U,	// VANDPDYrr
+    1209026353U,	// VANDPDrm
+    1209026353U,	// VANDPDrr
+    1209029855U,	// VANDPSYrm
+    1209029855U,	// VANDPSYrr
+    1209029855U,	// VANDPSrm
+    1209029855U,	// VANDPSrr
+    1209032430U,	// VASTART_SAVE_XMM_REGS
+    1209026506U,	// VBLENDMPDZrm
+    153110474U,	// VBLENDMPDZrr
+    1209030020U,	// VBLENDMPSZrm
+    153113988U,	// VBLENDMPSZrr
+    1209026361U,	// VBLENDPDYrmi
+    1209026361U,	// VBLENDPDYrri
+    1209026361U,	// VBLENDPDrmi
+    1209026361U,	// VBLENDPDrri
+    1209029863U,	// VBLENDPSYrmi
+    1209029863U,	// VBLENDPSYrri
+    1209029863U,	// VBLENDPSrmi
+    1209029863U,	// VBLENDPSrri
+    1209026636U,	// VBLENDVPDYrm
+    1209026636U,	// VBLENDVPDYrr
+    1209026636U,	// VBLENDVPDrm
+    1209026636U,	// VBLENDVPDrr
+    1209030191U,	// VBLENDVPSYrm
+    1209030191U,	// VBLENDVPSYrr
+    1209030191U,	// VBLENDVPSrm
+    1209030191U,	// VBLENDVPSrr
+    537936244U,	// VBROADCASTF128
+    336609707U,	// VBROADCASTI128
+    571492945U,	// VBROADCASTSDYrm
+    135285329U,	// VBROADCASTSDYrr
+    571492945U,	// VBROADCASTSDZrm
+    135285329U,	// VBROADCASTSDZrr
+    605050829U,	// VBROADCASTSSYrm
+    135288781U,	// VBROADCASTSSYrr
+    605050829U,	// VBROADCASTSSZrm
+    135288781U,	// VBROADCASTSSZrr
+    605050829U,	// VBROADCASTSSrm
+    135288781U,	// VBROADCASTSSrr
+    1216587544U,	// VCMPPDYrmi
+    1209026551U,	// VCMPPDYrmi_alt
+    1216603928U,	// VCMPPDYrri
+    1209026551U,	// VCMPPDYrri_alt
+    1216587544U,	// VCMPPDZrmi
+    1209026550U,	// VCMPPDZrmi_alt
+    1216603928U,	// VCMPPDZrri
+    1209026550U,	// VCMPPDZrri_alt
+    1216587544U,	// VCMPPDrmi
+    1209026551U,	// VCMPPDrmi_alt
+    1216603928U,	// VCMPPDrri
+    1209026551U,	// VCMPPDrri_alt
+    1217636120U,	// VCMPPSYrmi
+    1209030073U,	// VCMPPSYrmi_alt
+    1217652504U,	// VCMPPSYrri
+    1209030073U,	// VCMPPSYrri_alt
+    1217636120U,	// VCMPPSZrmi
+    1209030072U,	// VCMPPSZrmi_alt
+    1217652504U,	// VCMPPSZrri
+    1209030072U,	// VCMPPSZrri_alt
+    1217636120U,	// VCMPPSrmi
+    1209030073U,	// VCMPPSrmi_alt
+    1217652504U,	// VCMPPSrri
+    1209030073U,	// VCMPPSrri_alt
+    1218684696U,	// VCMPSDrm
+    1209027127U,	// VCMPSDrm_alt
+    1218701080U,	// VCMPSDrr
+    1209027127U,	// VCMPSDrr_alt
+    1219733272U,	// VCMPSSrm
+    1209030569U,	// VCMPSSrm_alt
+    1219749656U,	// VCMPSSrr
+    1209030569U,	// VCMPSSrr_alt
+    537938453U,	// VCOMISDZrm
+    135285269U,	// VCOMISDZrr
+    537938453U,	// VCOMISDrm
+    135285269U,	// VCOMISDrr
+    537941896U,	// VCOMISSZrm
+    135288712U,	// VCOMISSZrr
+    537941896U,	// VCOMISSrm
+    135288712U,	// VCOMISSrr
+    336610849U,	// VCVTDQ2PDYrm
+    135284257U,	// VCVTDQ2PDYrr
+    739264033U,	// VCVTDQ2PDZrm
+    135284257U,	// VCVTDQ2PDZrr
+    168838689U,	// VCVTDQ2PDrm
+    135284257U,	// VCVTDQ2PDrr
+    739267554U,	// VCVTDQ2PSYrm
+    135287778U,	// VCVTDQ2PSYrr
+    772821986U,	// VCVTDQ2PSZrm
+    135287778U,	// VCVTDQ2PSZrr
+    336614370U,	// VCVTDQ2PSrm
+    135287778U,	// VCVTDQ2PSrr
+    537943111U,	// VCVTPD2DQXrm
+    806375342U,	// VCVTPD2DQYrm
+    135286702U,	// VCVTPD2DQYrr
+    135286702U,	// VCVTPD2DQrr
+    537943141U,	// VCVTPD2PSXrm
+    806376363U,	// VCVTPD2PSYrm
+    135287723U,	// VCVTPD2PSYrr
+    839930795U,	// VCVTPD2PSZrm
+    135287723U,	// VCVTPD2PSZrr
+    135287723U,	// VCVTPD2PSrr
+    537940918U,	// VCVTPH2PSYrm
+    135287734U,	// VCVTPH2PSYrr
+    571495350U,	// VCVTPH2PSrm
+    135287734U,	// VCVTPH2PSrr
+    806375374U,	// VCVTPS2DQYrm
+    135286734U,	// VCVTPS2DQYrr
+    839929806U,	// VCVTPS2DQZrm
+    135286734U,	// VCVTPS2DQZrr
+    537939918U,	// VCVTPS2DQrm
+    135286734U,	// VCVTPS2DQrr
+    537937464U,	// VCVTPS2PDYrm
+    135284280U,	// VCVTPS2PDYrr
+    806372920U,	// VCVTPS2PDZrm
+    135284280U,	// VCVTPS2PDZrr
+    571491896U,	// VCVTPS2PDrm
+    135284280U,	// VCVTPS2PDrr
+    873876648U,	// VCVTPS2PHYmr
+    1209027752U,	// VCVTPS2PHYrr
+    1074924712U,	// VCVTPS2PHmr
+    1209027752U,	// VCVTPS2PHrr
+    571493709U,	// VCVTSD2SI64rm
+    135286093U,	// VCVTSD2SI64rr
+    571493709U,	// VCVTSD2SIrm
+    135286093U,	// VCVTSD2SIrr
+    1209030347U,	// VCVTSD2SSZrm
+    1209030347U,	// VCVTSD2SSZrr
+    1209030347U,	// VCVTSD2SSrm
+    1209030347U,	// VCVTSD2SSrr
+    1209026896U,	// VCVTSI2SD64Zrm
+    1209026896U,	// VCVTSI2SD64Zrr
+    1209026896U,	// VCVTSI2SD64rm
+    1209026896U,	// VCVTSI2SD64rr
+    1209026896U,	// VCVTSI2SDZrm
+    1209026896U,	// VCVTSI2SDZrr
+    1209026896U,	// VCVTSI2SDrm
+    1209026896U,	// VCVTSI2SDrr
+    1209030358U,	// VCVTSI2SS64Zrm
+    1209030358U,	// VCVTSI2SS64Zrr
+    1209030358U,	// VCVTSI2SS64rm
+    1209030358U,	// VCVTSI2SS64rr
+    1209030358U,	// VCVTSI2SSZrm
+    1209030358U,	// VCVTSI2SSZrr
+    1209030358U,	// VCVTSI2SSrm
+    1209030358U,	// VCVTSI2SSrr
+    1209026907U,	// VCVTSS2SDZrm
+    1209026907U,	// VCVTSS2SDZrr
+    1209026907U,	// VCVTSS2SDrm
+    1209026907U,	// VCVTSS2SDrr
+    605048164U,	// VCVTSS2SI64rm
+    135286116U,	// VCVTSS2SI64rr
+    605048164U,	// VCVTSS2SIrm
+    135286116U,	// VCVTSS2SIrr
+    537943098U,	// VCVTTPD2DQXrm
+    806375330U,	// VCVTTPD2DQYrm
+    135286690U,	// VCVTTPD2DQYrr
+    839929762U,	// VCVTTPD2DQZrm
+    135286690U,	// VCVTTPD2DQZrr
+    135286690U,	// VCVTTPD2DQrr
+    839929977U,	// VCVTTPD2UDQZrm
+    135286905U,	// VCVTTPD2UDQZrr
+    806375362U,	// VCVTTPS2DQYrm
+    135286722U,	// VCVTTPS2DQYrr
+    839929794U,	// VCVTTPS2DQZrm
+    135286722U,	// VCVTTPS2DQZrr
+    537939906U,	// VCVTTPS2DQrm
+    135286722U,	// VCVTTPS2DQrr
+    839929990U,	// VCVTTPS2UDQZrm
+    135286918U,	// VCVTTPS2UDQZrr
+    571493697U,	// VCVTTSD2SI64rm
+    135286081U,	// VCVTTSD2SI64rr
+    571493697U,	// VCVTTSD2SIrm
+    135286081U,	// VCVTTSD2SIrr
+    605048152U,	// VCVTTSS2SI64rm
+    135286104U,	// VCVTTSS2SI64rr
+    605048152U,	// VCVTTSS2SIrm
+    135286104U,	// VCVTTSS2SIrr
+    806372908U,	// VCVTUDQ2PDZrm
+    135284268U,	// VCVTUDQ2PDZrr
+    839930861U,	// VCVTUDQ2PSZrm
+    135287789U,	// VCVTUDQ2PSZrr
+    1209026647U,	// VDIVPDYrm
+    1209026647U,	// VDIVPDYrr
+    1209026647U,	// VDIVPDZrm
+    1209026647U,	// VDIVPDZrmb
+    1209026647U,	// VDIVPDZrr
+    1209026647U,	// VDIVPDrm
+    1209026647U,	// VDIVPDrr
+    1209030202U,	// VDIVPSYrm
+    1209030202U,	// VDIVPSYrr
+    1209030202U,	// VDIVPSZrm
+    1209030202U,	// VDIVPSZrmb
+    1209030202U,	// VDIVPSZrr
+    1209030202U,	// VDIVPSrm
+    1209030202U,	// VDIVPSrr
+    1209027167U,	// VDIVSDZrm
+    1209027167U,	// VDIVSDZrr
+    1209027167U,	// VDIVSDrm
+    1209027167U,	// VDIVSDrm_Int
+    1209027167U,	// VDIVSDrr
+    1209027167U,	// VDIVSDrr_Int
+    1209030619U,	// VDIVSSZrm
+    1209030619U,	// VDIVSSZrr
+    1209030619U,	// VDIVSSrm
+    1209030619U,	// VDIVSSrm_Int
+    1209030619U,	// VDIVSSrr
+    1209030619U,	// VDIVSSrr_Int
+    1209026543U,	// VDPPDrmi
+    1209026543U,	// VDPPDrri
+    1209030065U,	// VDPPSYrmi
+    1209030065U,	// VDPPSYrri
+    1209030065U,	// VDPPSrmi
+    1209030065U,	// VDPPSrri
+    37505U,	// VERRm
+    21121U,	// VERRr
+    39637U,	// VERWm
+    23253U,	// VERWr
+    873873753U,	// VEXTRACTF128mr
+    1209024857U,	// VEXTRACTF128rr
+    873873625U,	// VEXTRACTF32x4mr
+    1209024729U,	// VEXTRACTF32x4rr
+    873890067U,	// VEXTRACTF64x4mr
+    1209024787U,	// VEXTRACTF64x4rr
+    1074987408U,	// VEXTRACTI128mr
+    1209024912U,	// VEXTRACTI128rr
+    1074987254U,	// VEXTRACTI32x4mr
+    1209024758U,	// VEXTRACTI32x4rr
+    873906480U,	// VEXTRACTI64x4mr
+    1209024816U,	// VEXTRACTI64x4rr
+    1074910697U,	// VEXTRACTPSmr
+    1209030121U,	// VEXTRACTPSrr
+    1209030121U,	// VEXTRACTPSrr64
+    1074910697U,	// VEXTRACTPSzmr
+    1209030121U,	// VEXTRACTPSzrr
+    1141917157U,	// VFMADD132PDZm
+    1141917157U,	// VFMADD132PDZmb
+    1141920656U,	// VFMADD132PSZm
+    1141920656U,	// VFMADD132PSZmb
+    1141917310U,	// VFMADD213PDZm
+    1141917310U,	// VFMADD213PDZmb
+    1141917310U,	// VFMADD213PDZr
+    1141920820U,	// VFMADD213PSZm
+    1141920820U,	// VFMADD213PSZmb
+    1141920820U,	// VFMADD213PSZr
+    1209026324U,	// VFMADDPD4mr
+    1209026324U,	// VFMADDPD4mrY
+    1209026324U,	// VFMADDPD4rm
+    1209026324U,	// VFMADDPD4rmY
+    1209026324U,	// VFMADDPD4rr
+    1209026324U,	// VFMADDPD4rrY
+    1209026324U,	// VFMADDPD4rrY_REV
+    1209026324U,	// VFMADDPD4rr_REV
+    1141917157U,	// VFMADDPDr132m
+    1141917157U,	// VFMADDPDr132mY
+    1141917157U,	// VFMADDPDr132r
+    1141917157U,	// VFMADDPDr132rY
+    1141917310U,	// VFMADDPDr213m
+    1141917310U,	// VFMADDPDr213mY
+    1141917310U,	// VFMADDPDr213r
+    1141917310U,	// VFMADDPDr213rY
+    1141917071U,	// VFMADDPDr231m
+    1141917071U,	// VFMADDPDr231mY
+    1141917071U,	// VFMADDPDr231r
+    1141917071U,	// VFMADDPDr231rY
+    1209029826U,	// VFMADDPS4mr
+    1209029826U,	// VFMADDPS4mrY
+    1209029826U,	// VFMADDPS4rm
+    1209029826U,	// VFMADDPS4rmY
+    1209029826U,	// VFMADDPS4rr
+    1209029826U,	// VFMADDPS4rrY
+    1209029826U,	// VFMADDPS4rrY_REV
+    1209029826U,	// VFMADDPS4rr_REV
+    1141920656U,	// VFMADDPSr132m
+    1141920656U,	// VFMADDPSr132mY
+    1141920656U,	// VFMADDPSr132r
+    1141920656U,	// VFMADDPSr132rY
+    1141920820U,	// VFMADDPSr213m
+    1141920820U,	// VFMADDPSr213mY
+    1141920820U,	// VFMADDPSr213r
+    1141920820U,	// VFMADDPSr213rY
+    1141920570U,	// VFMADDPSr231m
+    1141920570U,	// VFMADDPSr231mY
+    1141920570U,	// VFMADDPSr231r
+    1141920570U,	// VFMADDPSr231rY
+    1209027031U,	// VFMADDSD4mr
+    1209027031U,	// VFMADDSD4mr_Int
+    1209027031U,	// VFMADDSD4rm
+    1209027031U,	// VFMADDSD4rm_Int
+    1209027031U,	// VFMADDSD4rr
+    1209027031U,	// VFMADDSD4rr_Int
+    1209027031U,	// VFMADDSD4rr_REV
+    1141918081U,	// VFMADDSDZm
+    1141918081U,	// VFMADDSDZr
+    1141918005U,	// VFMADDSDr132m
+    1141918005U,	// VFMADDSDr132r
+    1141918081U,	// VFMADDSDr213m
+    1141918081U,	// VFMADDSDr213m_Int
+    1141918081U,	// VFMADDSDr213r
+    1141918081U,	// VFMADDSDr213r_Int
+    1141917951U,	// VFMADDSDr231m
+    1141917951U,	// VFMADDSDr231r
+    1209030474U,	// VFMADDSS4mr
+    1209030474U,	// VFMADDSS4mr_Int
+    1209030474U,	// VFMADDSS4rm
+    1209030474U,	// VFMADDSS4rm_Int
+    1209030474U,	// VFMADDSS4rr
+    1209030474U,	// VFMADDSS4rr_Int
+    1209030474U,	// VFMADDSS4rr_REV
+    1141921532U,	// VFMADDSSZm
+    1141921532U,	// VFMADDSSZr
+    1141921456U,	// VFMADDSSr132m
+    1141921456U,	// VFMADDSSr132r
+    1141921532U,	// VFMADDSSr213m
+    1141921532U,	// VFMADDSSr213m_Int
+    1141921532U,	// VFMADDSSr213r
+    1141921532U,	// VFMADDSSr213r_Int
+    1141921402U,	// VFMADDSSr231m
+    1141921402U,	// VFMADDSSr231r
+    1141917098U,	// VFMADDSUB132PDZm
+    1141917098U,	// VFMADDSUB132PDZmb
+    1141920597U,	// VFMADDSUB132PSZm
+    1141920597U,	// VFMADDSUB132PSZmb
+    1141917251U,	// VFMADDSUB213PDZm
+    1141917251U,	// VFMADDSUB213PDZmb
+    1141917251U,	// VFMADDSUB213PDZr
+    1141920761U,	// VFMADDSUB213PSZm
+    1141920761U,	// VFMADDSUB213PSZmb
+    1141920761U,	// VFMADDSUB213PSZr
+    1209026240U,	// VFMADDSUBPD4mr
+    1209026240U,	// VFMADDSUBPD4mrY
+    1209026240U,	// VFMADDSUBPD4rm
+    1209026240U,	// VFMADDSUBPD4rmY
+    1209026240U,	// VFMADDSUBPD4rr
+    1209026240U,	// VFMADDSUBPD4rrY
+    1209026240U,	// VFMADDSUBPD4rrY_REV
+    1209026240U,	// VFMADDSUBPD4rr_REV
+    1141917098U,	// VFMADDSUBPDr132m
+    1141917098U,	// VFMADDSUBPDr132mY
+    1141917098U,	// VFMADDSUBPDr132r
+    1141917098U,	// VFMADDSUBPDr132rY
+    1141917251U,	// VFMADDSUBPDr213m
+    1141917251U,	// VFMADDSUBPDr213mY
+    1141917251U,	// VFMADDSUBPDr213r
+    1141917251U,	// VFMADDSUBPDr213rY
+    1141917012U,	// VFMADDSUBPDr231m
+    1141917012U,	// VFMADDSUBPDr231mY
+    1141917012U,	// VFMADDSUBPDr231r
+    1141917012U,	// VFMADDSUBPDr231rY
+    1209029742U,	// VFMADDSUBPS4mr
+    1209029742U,	// VFMADDSUBPS4mrY
+    1209029742U,	// VFMADDSUBPS4rm
+    1209029742U,	// VFMADDSUBPS4rmY
+    1209029742U,	// VFMADDSUBPS4rr
+    1209029742U,	// VFMADDSUBPS4rrY
+    1209029742U,	// VFMADDSUBPS4rrY_REV
+    1209029742U,	// VFMADDSUBPS4rr_REV
+    1141920597U,	// VFMADDSUBPSr132m
+    1141920597U,	// VFMADDSUBPSr132mY
+    1141920597U,	// VFMADDSUBPSr132r
+    1141920597U,	// VFMADDSUBPSr132rY
+    1141920761U,	// VFMADDSUBPSr213m
+    1141920761U,	// VFMADDSUBPSr213mY
+    1141920761U,	// VFMADDSUBPSr213r
+    1141920761U,	// VFMADDSUBPSr213rY
+    1141920511U,	// VFMADDSUBPSr231m
+    1141920511U,	// VFMADDSUBPSr231mY
+    1141920511U,	// VFMADDSUBPSr231r
+    1141920511U,	// VFMADDSUBPSr231rY
+    1141917114U,	// VFMSUB132PDZm
+    1141917114U,	// VFMSUB132PDZmb
+    1141920613U,	// VFMSUB132PSZm
+    1141920613U,	// VFMSUB132PSZmb
+    1141917267U,	// VFMSUB213PDZm
+    1141917267U,	// VFMSUB213PDZmb
+    1141917267U,	// VFMSUB213PDZr
+    1141920777U,	// VFMSUB213PSZm
+    1141920777U,	// VFMSUB213PSZmb
+    1141920777U,	// VFMSUB213PSZr
+    1141917141U,	// VFMSUBADD132PDZm
+    1141917141U,	// VFMSUBADD132PDZmb
+    1141920640U,	// VFMSUBADD132PSZm
+    1141920640U,	// VFMSUBADD132PSZmb
+    1141917294U,	// VFMSUBADD213PDZm
+    1141917294U,	// VFMSUBADD213PDZmb
+    1141917294U,	// VFMSUBADD213PDZr
+    1141920804U,	// VFMSUBADD213PSZm
+    1141920804U,	// VFMSUBADD213PSZmb
+    1141920804U,	// VFMSUBADD213PSZr
+    1209026302U,	// VFMSUBADDPD4mr
+    1209026302U,	// VFMSUBADDPD4mrY
+    1209026302U,	// VFMSUBADDPD4rm
+    1209026302U,	// VFMSUBADDPD4rmY
+    1209026302U,	// VFMSUBADDPD4rr
+    1209026302U,	// VFMSUBADDPD4rrY
+    1209026302U,	// VFMSUBADDPD4rrY_REV
+    1209026302U,	// VFMSUBADDPD4rr_REV
+    1141917141U,	// VFMSUBADDPDr132m
+    1141917141U,	// VFMSUBADDPDr132mY
+    1141917141U,	// VFMSUBADDPDr132r
+    1141917141U,	// VFMSUBADDPDr132rY
+    1141917294U,	// VFMSUBADDPDr213m
+    1141917294U,	// VFMSUBADDPDr213mY
+    1141917294U,	// VFMSUBADDPDr213r
+    1141917294U,	// VFMSUBADDPDr213rY
+    1141917055U,	// VFMSUBADDPDr231m
+    1141917055U,	// VFMSUBADDPDr231mY
+    1141917055U,	// VFMSUBADDPDr231r
+    1141917055U,	// VFMSUBADDPDr231rY
+    1209029804U,	// VFMSUBADDPS4mr
+    1209029804U,	// VFMSUBADDPS4mrY
+    1209029804U,	// VFMSUBADDPS4rm
+    1209029804U,	// VFMSUBADDPS4rmY
+    1209029804U,	// VFMSUBADDPS4rr
+    1209029804U,	// VFMSUBADDPS4rrY
+    1209029804U,	// VFMSUBADDPS4rrY_REV
+    1209029804U,	// VFMSUBADDPS4rr_REV
+    1141920640U,	// VFMSUBADDPSr132m
+    1141920640U,	// VFMSUBADDPSr132mY
+    1141920640U,	// VFMSUBADDPSr132r
+    1141920640U,	// VFMSUBADDPSr132rY
+    1141920804U,	// VFMSUBADDPSr213m
+    1141920804U,	// VFMSUBADDPSr213mY
+    1141920804U,	// VFMSUBADDPSr213r
+    1141920804U,	// VFMSUBADDPSr213rY
+    1141920554U,	// VFMSUBADDPSr231m
+    1141920554U,	// VFMSUBADDPSr231mY
+    1141920554U,	// VFMSUBADDPSr231r
+    1141920554U,	// VFMSUBADDPSr231rY
+    1209026273U,	// VFMSUBPD4mr
+    1209026273U,	// VFMSUBPD4mrY
+    1209026273U,	// VFMSUBPD4rm
+    1209026273U,	// VFMSUBPD4rmY
+    1209026273U,	// VFMSUBPD4rr
+    1209026273U,	// VFMSUBPD4rrY
+    1209026273U,	// VFMSUBPD4rrY_REV
+    1209026273U,	// VFMSUBPD4rr_REV
+    1141917114U,	// VFMSUBPDr132m
+    1141917114U,	// VFMSUBPDr132mY
+    1141917114U,	// VFMSUBPDr132r
+    1141917114U,	// VFMSUBPDr132rY
+    1141917267U,	// VFMSUBPDr213m
+    1141917267U,	// VFMSUBPDr213mY
+    1141917267U,	// VFMSUBPDr213r
+    1141917267U,	// VFMSUBPDr213rY
+    1141917028U,	// VFMSUBPDr231m
+    1141917028U,	// VFMSUBPDr231mY
+    1141917028U,	// VFMSUBPDr231r
+    1141917028U,	// VFMSUBPDr231rY
+    1209029775U,	// VFMSUBPS4mr
+    1209029775U,	// VFMSUBPS4mrY
+    1209029775U,	// VFMSUBPS4rm
+    1209029775U,	// VFMSUBPS4rmY
+    1209029775U,	// VFMSUBPS4rr
+    1209029775U,	// VFMSUBPS4rrY
+    1209029775U,	// VFMSUBPS4rrY_REV
+    1209029775U,	// VFMSUBPS4rr_REV
+    1141920613U,	// VFMSUBPSr132m
+    1141920613U,	// VFMSUBPSr132mY
+    1141920613U,	// VFMSUBPSr132r
+    1141920613U,	// VFMSUBPSr132rY
+    1141920777U,	// VFMSUBPSr213m
+    1141920777U,	// VFMSUBPSr213mY
+    1141920777U,	// VFMSUBPSr213r
+    1141920777U,	// VFMSUBPSr213rY
+    1141920527U,	// VFMSUBPSr231m
+    1141920527U,	// VFMSUBPSr231mY
+    1141920527U,	// VFMSUBPSr231r
+    1141920527U,	// VFMSUBPSr231rY
+    1209027002U,	// VFMSUBSD4mr
+    1209027002U,	// VFMSUBSD4mr_Int
+    1209027002U,	// VFMSUBSD4rm
+    1209027002U,	// VFMSUBSD4rm_Int
+    1209027002U,	// VFMSUBSD4rr
+    1209027002U,	// VFMSUBSD4rr_Int
+    1209027002U,	// VFMSUBSD4rr_REV
+    1141918054U,	// VFMSUBSDZm
+    1141918054U,	// VFMSUBSDZr
+    1141917978U,	// VFMSUBSDr132m
+    1141917978U,	// VFMSUBSDr132r
+    1141918054U,	// VFMSUBSDr213m
+    1141918054U,	// VFMSUBSDr213m_Int
+    1141918054U,	// VFMSUBSDr213r
+    1141918054U,	// VFMSUBSDr213r_Int
+    1141917924U,	// VFMSUBSDr231m
+    1141917924U,	// VFMSUBSDr231r
+    1209030445U,	// VFMSUBSS4mr
+    1209030445U,	// VFMSUBSS4mr_Int
+    1209030445U,	// VFMSUBSS4rm
+    1209030445U,	// VFMSUBSS4rm_Int
+    1209030445U,	// VFMSUBSS4rr
+    1209030445U,	// VFMSUBSS4rr_Int
+    1209030445U,	// VFMSUBSS4rr_REV
+    1141921505U,	// VFMSUBSSZm
+    1141921505U,	// VFMSUBSSZr
+    1141921429U,	// VFMSUBSSr132m
+    1141921429U,	// VFMSUBSSr132r
+    1141921505U,	// VFMSUBSSr213m
+    1141921505U,	// VFMSUBSSr213m_Int
+    1141921505U,	// VFMSUBSSr213r
+    1141921505U,	// VFMSUBSSr213r_Int
+    1141921375U,	// VFMSUBSSr231m
+    1141921375U,	// VFMSUBSSr231r
+    1141917170U,	// VFNMADD132PDZm
+    1141917170U,	// VFNMADD132PDZmb
+    1141920669U,	// VFNMADD132PSZm
+    1141920669U,	// VFNMADD132PSZmb
+    1141917323U,	// VFNMADD213PDZm
+    1141917323U,	// VFNMADD213PDZmb
+    1141917323U,	// VFNMADD213PDZr
+    1141920833U,	// VFNMADD213PSZm
+    1141920833U,	// VFNMADD213PSZmb
+    1141920833U,	// VFNMADD213PSZr
+    1209026334U,	// VFNMADDPD4mr
+    1209026334U,	// VFNMADDPD4mrY
+    1209026334U,	// VFNMADDPD4rm
+    1209026334U,	// VFNMADDPD4rmY
+    1209026334U,	// VFNMADDPD4rr
+    1209026334U,	// VFNMADDPD4rrY
+    1209026334U,	// VFNMADDPD4rrY_REV
+    1209026334U,	// VFNMADDPD4rr_REV
+    1141917170U,	// VFNMADDPDr132m
+    1141917170U,	// VFNMADDPDr132mY
+    1141917170U,	// VFNMADDPDr132r
+    1141917170U,	// VFNMADDPDr132rY
+    1141917323U,	// VFNMADDPDr213m
+    1141917323U,	// VFNMADDPDr213mY
+    1141917323U,	// VFNMADDPDr213r
+    1141917323U,	// VFNMADDPDr213rY
+    1141917084U,	// VFNMADDPDr231m
+    1141917084U,	// VFNMADDPDr231mY
+    1141917084U,	// VFNMADDPDr231r
+    1141917084U,	// VFNMADDPDr231rY
+    1209029836U,	// VFNMADDPS4mr
+    1209029836U,	// VFNMADDPS4mrY
+    1209029836U,	// VFNMADDPS4rm
+    1209029836U,	// VFNMADDPS4rmY
+    1209029836U,	// VFNMADDPS4rr
+    1209029836U,	// VFNMADDPS4rrY
+    1209029836U,	// VFNMADDPS4rrY_REV
+    1209029836U,	// VFNMADDPS4rr_REV
+    1141920669U,	// VFNMADDPSr132m
+    1141920669U,	// VFNMADDPSr132mY
+    1141920669U,	// VFNMADDPSr132r
+    1141920669U,	// VFNMADDPSr132rY
+    1141920833U,	// VFNMADDPSr213m
+    1141920833U,	// VFNMADDPSr213mY
+    1141920833U,	// VFNMADDPSr213r
+    1141920833U,	// VFNMADDPSr213rY
+    1141920583U,	// VFNMADDPSr231m
+    1141920583U,	// VFNMADDPSr231mY
+    1141920583U,	// VFNMADDPSr231r
+    1141920583U,	// VFNMADDPSr231rY
+    1209027041U,	// VFNMADDSD4mr
+    1209027041U,	// VFNMADDSD4mr_Int
+    1209027041U,	// VFNMADDSD4rm
+    1209027041U,	// VFNMADDSD4rm_Int
+    1209027041U,	// VFNMADDSD4rr
+    1209027041U,	// VFNMADDSD4rr_Int
+    1209027041U,	// VFNMADDSD4rr_REV
+    1141918094U,	// VFNMADDSDZm
+    1141918094U,	// VFNMADDSDZr
+    1141918018U,	// VFNMADDSDr132m
+    1141918018U,	// VFNMADDSDr132r
+    1141918094U,	// VFNMADDSDr213m
+    1141918094U,	// VFNMADDSDr213m_Int
+    1141918094U,	// VFNMADDSDr213r
+    1141918094U,	// VFNMADDSDr213r_Int
+    1141917964U,	// VFNMADDSDr231m
+    1141917964U,	// VFNMADDSDr231r
+    1209030484U,	// VFNMADDSS4mr
+    1209030484U,	// VFNMADDSS4mr_Int
+    1209030484U,	// VFNMADDSS4rm
+    1209030484U,	// VFNMADDSS4rm_Int
+    1209030484U,	// VFNMADDSS4rr
+    1209030484U,	// VFNMADDSS4rr_Int
+    1209030484U,	// VFNMADDSS4rr_REV
+    1141921545U,	// VFNMADDSSZm
+    1141921545U,	// VFNMADDSSZr
+    1141921469U,	// VFNMADDSSr132m
+    1141921469U,	// VFNMADDSSr132r
+    1141921545U,	// VFNMADDSSr213m
+    1141921545U,	// VFNMADDSSr213m_Int
+    1141921545U,	// VFNMADDSSr213r
+    1141921545U,	// VFNMADDSSr213r_Int
+    1141921415U,	// VFNMADDSSr231m
+    1141921415U,	// VFNMADDSSr231r
+    1141917127U,	// VFNMSUB132PDZm
+    1141917127U,	// VFNMSUB132PDZmb
+    1141920626U,	// VFNMSUB132PSZm
+    1141920626U,	// VFNMSUB132PSZmb
+    1141917280U,	// VFNMSUB213PDZm
+    1141917280U,	// VFNMSUB213PDZmb
+    1141917280U,	// VFNMSUB213PDZr
+    1141920790U,	// VFNMSUB213PSZm
+    1141920790U,	// VFNMSUB213PSZmb
+    1141920790U,	// VFNMSUB213PSZr
+    1209026283U,	// VFNMSUBPD4mr
+    1209026283U,	// VFNMSUBPD4mrY
+    1209026283U,	// VFNMSUBPD4rm
+    1209026283U,	// VFNMSUBPD4rmY
+    1209026283U,	// VFNMSUBPD4rr
+    1209026283U,	// VFNMSUBPD4rrY
+    1209026283U,	// VFNMSUBPD4rrY_REV
+    1209026283U,	// VFNMSUBPD4rr_REV
+    1141917127U,	// VFNMSUBPDr132m
+    1141917127U,	// VFNMSUBPDr132mY
+    1141917127U,	// VFNMSUBPDr132r
+    1141917127U,	// VFNMSUBPDr132rY
+    1141917280U,	// VFNMSUBPDr213m
+    1141917280U,	// VFNMSUBPDr213mY
+    1141917280U,	// VFNMSUBPDr213r
+    1141917280U,	// VFNMSUBPDr213rY
+    1141917041U,	// VFNMSUBPDr231m
+    1141917041U,	// VFNMSUBPDr231mY
+    1141917041U,	// VFNMSUBPDr231r
+    1141917041U,	// VFNMSUBPDr231rY
+    1209029785U,	// VFNMSUBPS4mr
+    1209029785U,	// VFNMSUBPS4mrY
+    1209029785U,	// VFNMSUBPS4rm
+    1209029785U,	// VFNMSUBPS4rmY
+    1209029785U,	// VFNMSUBPS4rr
+    1209029785U,	// VFNMSUBPS4rrY
+    1209029785U,	// VFNMSUBPS4rrY_REV
+    1209029785U,	// VFNMSUBPS4rr_REV
+    1141920626U,	// VFNMSUBPSr132m
+    1141920626U,	// VFNMSUBPSr132mY
+    1141920626U,	// VFNMSUBPSr132r
+    1141920626U,	// VFNMSUBPSr132rY
+    1141920790U,	// VFNMSUBPSr213m
+    1141920790U,	// VFNMSUBPSr213mY
+    1141920790U,	// VFNMSUBPSr213r
+    1141920790U,	// VFNMSUBPSr213rY
+    1141920540U,	// VFNMSUBPSr231m
+    1141920540U,	// VFNMSUBPSr231mY
+    1141920540U,	// VFNMSUBPSr231r
+    1141920540U,	// VFNMSUBPSr231rY
+    1209027012U,	// VFNMSUBSD4mr
+    1209027012U,	// VFNMSUBSD4mr_Int
+    1209027012U,	// VFNMSUBSD4rm
+    1209027012U,	// VFNMSUBSD4rm_Int
+    1209027012U,	// VFNMSUBSD4rr
+    1209027012U,	// VFNMSUBSD4rr_Int
+    1209027012U,	// VFNMSUBSD4rr_REV
+    1141918067U,	// VFNMSUBSDZm
+    1141918067U,	// VFNMSUBSDZr
+    1141917991U,	// VFNMSUBSDr132m
+    1141917991U,	// VFNMSUBSDr132r
+    1141918067U,	// VFNMSUBSDr213m
+    1141918067U,	// VFNMSUBSDr213m_Int
+    1141918067U,	// VFNMSUBSDr213r
+    1141918067U,	// VFNMSUBSDr213r_Int
+    1141917937U,	// VFNMSUBSDr231m
+    1141917937U,	// VFNMSUBSDr231r
+    1209030455U,	// VFNMSUBSS4mr
+    1209030455U,	// VFNMSUBSS4mr_Int
+    1209030455U,	// VFNMSUBSS4rm
+    1209030455U,	// VFNMSUBSS4rm_Int
+    1209030455U,	// VFNMSUBSS4rr
+    1209030455U,	// VFNMSUBSS4rr_Int
+    1209030455U,	// VFNMSUBSS4rr_REV
+    1141921518U,	// VFNMSUBSSZm
+    1141921518U,	// VFNMSUBSSZr
+    1141921442U,	// VFNMSUBSSr132m
+    1141921442U,	// VFNMSUBSSr132r
+    1141921518U,	// VFNMSUBSSr213m
+    1141921518U,	// VFNMSUBSSr213m_Int
+    1141921518U,	// VFNMSUBSSr213r
+    1141921518U,	// VFNMSUBSSr213r_Int
+    1141921388U,	// VFNMSUBSSr231m
+    1141921388U,	// VFNMSUBSSr231r
+    537938035U,	// VFRCZPDrm
+    806373491U,	// VFRCZPDrmY
+    135284851U,	// VFRCZPDrr
+    135284851U,	// VFRCZPDrrY
+    537941590U,	// VFRCZPSrm
+    806377046U,	// VFRCZPSrmY
+    135288406U,	// VFRCZPSrr
+    135288406U,	// VFRCZPSrrY
+    571492992U,	// VFRCZSDrm
+    135285376U,	// VFRCZSDrr
+    605050867U,	// VFRCZSSrm
+    135288819U,	// VFRCZSSrr
+    1209026526U,	// VFsANDNPDrm
+    1209026526U,	// VFsANDNPDrr
+    1209030040U,	// VFsANDNPSrm
+    1209030040U,	// VFsANDNPSrr
+    1209026353U,	// VFsANDPDrm
+    1209026353U,	// VFsANDPDrr
+    1209029855U,	// VFsANDPSrm
+    1209029855U,	// VFsANDPSrr
+    1209026584U,	// VFsORPDrm
+    1209026584U,	// VFsORPDrr
+    1209030106U,	// VFsORPSrm
+    1209030106U,	// VFsORPSrr
+    1209026591U,	// VFsXORPDrm
+    1209026591U,	// VFsXORPDrr
+    1209030113U,	// VFsXORPSrm
+    1209030113U,	// VFsXORPSrr
+    907036493U,	// VGATHERDPDYrm
+    2032158541U,	// VGATHERDPDZrm
+    907036493U,	// VGATHERDPDrm
+    974148859U,	// VGATHERDPSYrm
+    3105903867U,	// VGATHERDPSZrm
+    974148859U,	// VGATHERDPSrm
+    907036671U,	// VGATHERQPDYrm
+    2032158719U,	// VGATHERQPDZrm
+    907036671U,	// VGATHERQPDrm
+    974149057U,	// VGATHERQPSYrm
+    2032162241U,	// VGATHERQPSZrm
+    974149057U,	// VGATHERQPSrm
+    1209026315U,	// VHADDPDYrm
+    1209026315U,	// VHADDPDYrr
+    1209026315U,	// VHADDPDrm
+    1209026315U,	// VHADDPDrr
+    1209029817U,	// VHADDPSYrm
+    1209029817U,	// VHADDPSYrr
+    1209029817U,	// VHADDPSrm
+    1209029817U,	// VHADDPSrr
+    1209026264U,	// VHSUBPDYrm
+    1209026264U,	// VHSUBPDYrr
+    1209026264U,	// VHSUBPDrm
+    1209026264U,	// VHSUBPDrr
+    1209029766U,	// VHSUBPSYrm
+    1209029766U,	// VHSUBPSYrr
+    1209029766U,	// VHSUBPSrm
+    1209029766U,	// VHSUBPSrr
+    1209024871U,	// VINSERTF128rm
+    1209024871U,	// VINSERTF128rr
+    1209024744U,	// VINSERTF32x4rm
+    1209024744U,	// VINSERTF32x4rr
+    1209024802U,	// VINSERTF64x4rm
+    1209024802U,	// VINSERTF64x4rr
+    1209024926U,	// VINSERTI128rm
+    1209024926U,	// VINSERTI128rr
+    1209024773U,	// VINSERTI32x4rm
+    1209024773U,	// VINSERTI32x4rr
+    1209024831U,	// VINSERTI64x4rm
+    1209024831U,	// VINSERTI64x4rr
+    1209030143U,	// VINSERTPSrm
+    1209030143U,	// VINSERTPSrr
+    1209030143U,	// VINSERTPSzrm
+    1209030143U,	// VINSERTPSzrr
+    739268833U,	// VLDDQUYrm
+    336615649U,	// VLDDQUrm
+    70284U,	// VLDMXCSR
+    135289065U,	// VMASKMOVDQU
+    135289065U,	// VMASKMOVDQU64
+    873891935U,	// VMASKMOVPDYmr
+    1209026655U,	// VMASKMOVPDYrm
+    873875551U,	// VMASKMOVPDmr
+    1209026655U,	// VMASKMOVPDrm
+    873895490U,	// VMASKMOVPSYmr
+    1209030210U,	// VMASKMOVPSYrm
+    873879106U,	// VMASKMOVPSmr
+    1209030210U,	// VMASKMOVPSrm
+    1209026667U,	// VMAXCPDYrm
+    1209026667U,	// VMAXCPDYrr
+    1209026667U,	// VMAXCPDrm
+    1209026667U,	// VMAXCPDrr
+    1209030222U,	// VMAXCPSYrm
+    1209030222U,	// VMAXCPSYrr
+    1209030222U,	// VMAXCPSrm
+    1209030222U,	// VMAXCPSrr
+    1209027192U,	// VMAXCSDrm
+    1209027192U,	// VMAXCSDrr
+    1209030635U,	// VMAXCSSrm
+    1209030635U,	// VMAXCSSrr
+    1209026667U,	// VMAXPDYrm
+    1209026667U,	// VMAXPDYrr
+    1209026667U,	// VMAXPDZrm
+    1209026667U,	// VMAXPDZrmb
+    1209026667U,	// VMAXPDZrr
+    1209026667U,	// VMAXPDrm
+    1209026667U,	// VMAXPDrr
+    1209030222U,	// VMAXPSYrm
+    1209030222U,	// VMAXPSYrr
+    1209030222U,	// VMAXPSZrm
+    1209030222U,	// VMAXPSZrmb
+    1209030222U,	// VMAXPSZrr
+    1209030222U,	// VMAXPSrm
+    1209030222U,	// VMAXPSrr
+    1209027192U,	// VMAXSDZrm
+    1209027192U,	// VMAXSDZrr
+    1209027192U,	// VMAXSDrm
+    1209027192U,	// VMAXSDrm_Int
+    1209027192U,	// VMAXSDrr
+    1209027192U,	// VMAXSDrr_Int
+    1209030635U,	// VMAXSSZrm
+    1209030635U,	// VMAXSSZrr
+    1209030635U,	// VMAXSSrm
+    1209030635U,	// VMAXSSrm_Int
+    1209030635U,	// VMAXSSrr
+    1209030635U,	// VMAXSSrr_Int
+    9890U,	// VMCALL
+    86560U,	// VMCLEARm
+    9578U,	// VMFUNC
+    1209026535U,	// VMINCPDYrm
+    1209026535U,	// VMINCPDYrr
+    1209026535U,	// VMINCPDrm
+    1209026535U,	// VMINCPDrr
+    1209030049U,	// VMINCPSYrm
+    1209030049U,	// VMINCPSYrr
+    1209030049U,	// VMINCPSrm
+    1209030049U,	// VMINCPSrr
+    1209027119U,	// VMINCSDrm
+    1209027119U,	// VMINCSDrr
+    1209030553U,	// VMINCSSrm
+    1209030553U,	// VMINCSSrr
+    1209026535U,	// VMINPDYrm
+    1209026535U,	// VMINPDYrr
+    1209026535U,	// VMINPDZrm
+    1209026535U,	// VMINPDZrmb
+    1209026535U,	// VMINPDZrr
+    1209026535U,	// VMINPDrm
+    1209026535U,	// VMINPDrr
+    1209030049U,	// VMINPSYrm
+    1209030049U,	// VMINPSYrr
+    1209030049U,	// VMINPSZrm
+    1209030049U,	// VMINPSZrmb
+    1209030049U,	// VMINPSZrr
+    1209030049U,	// VMINPSrm
+    1209030049U,	// VMINPSrr
+    1209027119U,	// VMINSDZrm
+    1209027119U,	// VMINSDZrr
+    1209027119U,	// VMINSDrm
+    1209027119U,	// VMINSDrm_Int
+    1209027119U,	// VMINSDrr
+    1209027119U,	// VMINSDrr_Int
+    1209030553U,	// VMINSSZrm
+    1209030553U,	// VMINSSZrr
+    1209030553U,	// VMINSSrm
+    1209030553U,	// VMINSSrm_Int
+    1209030553U,	// VMINSSrr
+    1209030553U,	// VMINSSrr_Int
+    9818U,	// VMLAUNCH
+    10507U,	// VMLOAD32
+    10562U,	// VMLOAD64
+    9882U,	// VMMCALL
+    135287278U,	// VMOV64toPQIZrr
+    135287278U,	// VMOV64toPQIrr
+    135287278U,	// VMOV64toSDZrr
+    168841709U,	// VMOV64toSDrm
+    135287278U,	// VMOV64toSDrr
+    427695U,	// VMOVAPDYmr
+    806373039U,	// VMOVAPDYrm
+    135284399U,	// VMOVAPDYrr
+    135284399U,	// VMOVAPDYrr_REV
+    460463U,	// VMOVAPDZmr
+    839927471U,	// VMOVAPDZrm
+    86001327U,	// VMOVAPDZrmk
+    135284399U,	// VMOVAPDZrr
+    86001327U,	// VMOVAPDZrrk
+    411311U,	// VMOVAPDmr
+    537937583U,	// VMOVAPDrm
+    135284399U,	// VMOVAPDrr
+    135284399U,	// VMOVAPDrr_REV
+    431205U,	// VMOVAPSYmr
+    806376549U,	// VMOVAPSYrm
+    135287909U,	// VMOVAPSYrr
+    135287909U,	// VMOVAPSYrr_REV
+    463973U,	// VMOVAPSZmr
+    839930981U,	// VMOVAPSZrm
+    86004837U,	// VMOVAPSZrmk
+    135287909U,	// VMOVAPSZrr
+    86004837U,	// VMOVAPSZrrk
+    414821U,	// VMOVAPSmr
+    537941093U,	// VMOVAPSrm
+    135287909U,	// VMOVAPSrr
+    135287909U,	// VMOVAPSrr_REV
+    806375165U,	// VMOVDDUPYrm
+    135286525U,	// VMOVDDUPYrr
+    839929597U,	// VMOVDDUPZrm
+    135286525U,	// VMOVDDUPZrr
+    571494141U,	// VMOVDDUPrm
+    135286525U,	// VMOVDDUPrr
+    101731060U,	// VMOVDI2PDIZrm
+    135285492U,	// VMOVDI2PDIZrr
+    101731060U,	// VMOVDI2PDIrm
+    135285492U,	// VMOVDI2PDIrr
+    101731060U,	// VMOVDI2SSZrm
+    135285492U,	// VMOVDI2SSZrr
+    101731060U,	// VMOVDI2SSrm
+    135285492U,	// VMOVDI2SSrr
+    475203U,	// VMOVDQA32mr
+    772816963U,	// VMOVDQA32rm
+    135282755U,	// VMOVDQA32rr
+    475289U,	// VMOVDQA64mr
+    772817049U,	// VMOVDQA64rm
+    135282841U,	// VMOVDQA64rr
+    442831U,	// VMOVDQAYmr
+    739262927U,	// VMOVDQAYrm
+    135283151U,	// VMOVDQAYrr
+    135283151U,	// VMOVDQAYrr_REV
+    1245647U,	// VMOVDQAmr
+    336609743U,	// VMOVDQArm
+    135283151U,	// VMOVDQArr
+    135283151U,	// VMOVDQArr_REV
+    772816981U,	// VMOVDQU32rm
+    85999701U,	// VMOVDQU32rmk
+    135282773U,	// VMOVDQU32rr
+    85999701U,	// VMOVDQU32rrk
+    772817091U,	// VMOVDQU64rm
+    85999811U,	// VMOVDQU64rmk
+    135282883U,	// VMOVDQU64rr
+    85999811U,	// VMOVDQU64rrk
+    448758U,	// VMOVDQUYmr
+    739268854U,	// VMOVDQUYrm
+    135289078U,	// VMOVDQUYrr
+    135289078U,	// VMOVDQUYrr_REV
+    1251574U,	// VMOVDQUmr
+    336615670U,	// VMOVDQUrm
+    135289078U,	// VMOVDQUrr
+    135289078U,	// VMOVDQUrr_REV
+    1209029971U,	// VMOVHLPSZrr
+    1209029971U,	// VMOVHLPSrr
+    1181575U,	// VMOVHPDmr
+    1209026439U,	// VMOVHPDrm
+    1185087U,	// VMOVHPSmr
+    1209029951U,	// VMOVHPSrm
+    1209029941U,	// VMOVLHPSZrr
+    1209029941U,	// VMOVLHPSrr
+    1181625U,	// VMOVLPDmr
+    1209026489U,	// VMOVLPDrm
+    1185147U,	// VMOVLPSmr
+    1209030011U,	// VMOVLPSrm
+    1135086U,	// VMOVLQ128mr
+    135284624U,	// VMOVMSKPDYr64r
+    135284624U,	// VMOVMSKPDYrr32
+    135284624U,	// VMOVMSKPDYrr64
+    135284624U,	// VMOVMSKPDr64r
+    135284624U,	// VMOVMSKPDrr32
+    135284624U,	// VMOVMSKPDrr64
+    135288136U,	// VMOVMSKPSYr64r
+    135288136U,	// VMOVMSKPSYrr32
+    135288136U,	// VMOVMSKPSYrr64
+    135288136U,	// VMOVMSKPSr64r
+    135288136U,	// VMOVMSKPSrr32
+    135288136U,	// VMOVMSKPSrr64
+    739262916U,	// VMOVNTDQAYrm
+    336609732U,	// VMOVNTDQArm
+    430191U,	// VMOVNTDQYmr
+    413807U,	// VMOVNTDQmr
+    428071U,	// VMOVNTPDYmr
+    411687U,	// VMOVNTPDmr
+    431605U,	// VMOVNTPSYmr
+    415221U,	// VMOVNTPSmr
+    1116916U,	// VMOVPDI2DIZmr
+    135285492U,	// VMOVPDI2DIZrr
+    1116916U,	// VMOVPDI2DImr
+    135285492U,	// VMOVPDI2DIrr
+    1135086U,	// VMOVPQI2QImr
+    1135086U,	// VMOVPQIto64Zmr
+    135287278U,	// VMOVPQIto64Zrr
+    135287278U,	// VMOVPQIto64rr
+    168841710U,	// VMOVQI2PQIZrm
+    168841710U,	// VMOVQI2PQIrm
+    135287278U,	// VMOVQd64rr
+    135287278U,	// VMOVQd64rr_alt
+    135287278U,	// VMOVQs64rr
+    135287278U,	// VMOVQxrxr
+    1182311U,	// VMOVSDZmr
+    571492967U,	// VMOVSDZrm
+    1209027175U,	// VMOVSDZrr
+    1209027175U,	// VMOVSDZrr_REV
+    1182311U,	// VMOVSDmr
+    571492967U,	// VMOVSDrm
+    1209027175U,	// VMOVSDrr
+    1209027175U,	// VMOVSDrr_REV
+    1135086U,	// VMOVSDto64Zmr
+    135287278U,	// VMOVSDto64Zrr
+    1135086U,	// VMOVSDto64mr
+    135287278U,	// VMOVSDto64rr
+    806375175U,	// VMOVSHDUPYrm
+    135286535U,	// VMOVSHDUPYrr
+    537939719U,	// VMOVSHDUPrm
+    135286535U,	// VMOVSHDUPrr
+    806375186U,	// VMOVSLDUPYrm
+    135286546U,	// VMOVSLDUPYrr
+    537939730U,	// VMOVSLDUPrm
+    135286546U,	// VMOVSLDUPrr
+    1116916U,	// VMOVSS2DIZmr
+    135285492U,	// VMOVSS2DIZrr
+    1116916U,	// VMOVSS2DImr
+    135285492U,	// VMOVSS2DIrr
+    1169379U,	// VMOVSSZmr
+    605050851U,	// VMOVSSZrm
+    1209030627U,	// VMOVSSZrr
+    1209030627U,	// VMOVSSZrr_REV
+    1169379U,	// VMOVSSmr
+    605050851U,	// VMOVSSrm
+    1209030627U,	// VMOVSSrr
+    1209030627U,	// VMOVSSrr_REV
+    428099U,	// VMOVUPDYmr
+    806373443U,	// VMOVUPDYrm
+    135284803U,	// VMOVUPDYrr
+    135284803U,	// VMOVUPDYrr_REV
+    460867U,	// VMOVUPDZmr
+    839927875U,	// VMOVUPDZrm
+    86001731U,	// VMOVUPDZrmk
+    135284803U,	// VMOVUPDZrr
+    86001731U,	// VMOVUPDZrrk
+    411715U,	// VMOVUPDmr
+    537937987U,	// VMOVUPDrm
+    135284803U,	// VMOVUPDrr
+    135284803U,	// VMOVUPDrr_REV
+    431654U,	// VMOVUPSYmr
+    806376998U,	// VMOVUPSYrm
+    135288358U,	// VMOVUPSYrr
+    135288358U,	// VMOVUPSYrr_REV
+    464422U,	// VMOVUPSZmr
+    839931430U,	// VMOVUPSZrm
+    86005286U,	// VMOVUPSZrmk
+    135288358U,	// VMOVUPSZrr
+    86005286U,	// VMOVUPSZrrk
+    415270U,	// VMOVUPSmr
+    537941542U,	// VMOVUPSrm
+    135288358U,	// VMOVUPSrr
+    135288358U,	// VMOVUPSrr_REV
+    101731060U,	// VMOVZDI2PDIrm
+    135285492U,	// VMOVZDI2PDIrr
+    336613870U,	// VMOVZPQILo2PQIZrm
+    135287278U,	// VMOVZPQILo2PQIZrr
+    336613870U,	// VMOVZPQILo2PQIrm
+    135287278U,	// VMOVZPQILo2PQIrr
+    168841710U,	// VMOVZQI2PQIrm
+    135287278U,	// VMOVZQI2PQIrr
+    1209030975U,	// VMPSADBWYrmi
+    1209030975U,	// VMPSADBWYrri
+    1209030975U,	// VMPSADBWrmi
+    1209030975U,	// VMPSADBWrri
+    83189U,	// VMPTRLDm
+    88269U,	// VMPTRSTm
+    1115097U,	// VMREAD32rm
+    135283673U,	// VMREAD32rr
+    1131481U,	// VMREAD64rm
+    135283673U,	// VMREAD64rr
+    9728U,	// VMRESUME
+    10529U,	// VMRUN32
+    10584U,	// VMRUN64
+    10518U,	// VMSAVE32
+    10573U,	// VMSAVE64
+    1209026481U,	// VMULPDYrm
+    1209026481U,	// VMULPDYrr
+    1209026481U,	// VMULPDZrm
+    1209026481U,	// VMULPDZrmb
+    1209026481U,	// VMULPDZrr
+    1209026481U,	// VMULPDrm
+    1209026481U,	// VMULPDrr
+    1209030003U,	// VMULPSYrm
+    1209030003U,	// VMULPSYrr
+    1209030003U,	// VMULPSZrm
+    1209030003U,	// VMULPSZrmb
+    1209030003U,	// VMULPSZrr
+    1209030003U,	// VMULPSrm
+    1209030003U,	// VMULPSrr
+    1209027102U,	// VMULSDZrm
+    1209027102U,	// VMULSDZrr
+    1209027102U,	// VMULSDrm
+    1209027102U,	// VMULSDrm_Int
+    1209027102U,	// VMULSDrr
+    1209027102U,	// VMULSDrr_Int
+    1209030545U,	// VMULSSZrm
+    1209030545U,	// VMULSSZrr
+    1209030545U,	// VMULSSrm
+    1209030545U,	// VMULSSrm_Int
+    1209030545U,	// VMULSSrr
+    1209030545U,	// VMULSSrr_Int
+    101731387U,	// VMWRITE32rm
+    135285819U,	// VMWRITE32rr
+    168840251U,	// VMWRITE64rm
+    135285819U,	// VMWRITE64rr
+    9785U,	// VMXOFF
+    85548U,	// VMXON
+    1209026584U,	// VORPDYrm
+    1209026584U,	// VORPDYrr
+    1209026584U,	// VORPDrm
+    1209026584U,	// VORPDrr
+    1209030106U,	// VORPSYrm
+    1209030106U,	// VORPSYrr
+    1209030106U,	// VORPSrm
+    1209030106U,	// VORPSrr
+    336609975U,	// VPABSBrm128
+    739263159U,	// VPABSBrm256
+    135283383U,	// VPABSBrr128
+    135283383U,	// VPABSBrr256
+    772819378U,	// VPABSDrm
+    336611762U,	// VPABSDrm128
+    739264946U,	// VPABSDrm256
+    135285170U,	// VPABSDrr
+    135285170U,	// VPABSDrr128
+    135285170U,	// VPABSDrr256
+    772821371U,	// VPABSQrm
+    135287163U,	// VPABSQrr
+    336616213U,	// VPABSWrm128
+    739269397U,	// VPABSWrm256
+    135289621U,	// VPABSWrr128
+    135289621U,	// VPABSWrr256
+    1209031144U,	// VPACKSSDWYrm
+    1209031144U,	// VPACKSSDWYrr
+    1209031144U,	// VPACKSSDWrm
+    1209031144U,	// VPACKSSDWrr
+    1209025377U,	// VPACKSSWBYrm
+    1209025377U,	// VPACKSSWBYrr
+    1209025377U,	// VPACKSSWBrm
+    1209025377U,	// VPACKSSWBrr
+    1209031155U,	// VPACKUSDWYrm
+    1209031155U,	// VPACKUSDWYrr
+    1209031155U,	// VPACKUSDWrm
+    1209031155U,	// VPACKUSDWrr
+    1209025388U,	// VPACKUSWBYrm
+    1209025388U,	// VPACKUSWBYrr
+    1209025388U,	// VPACKUSWBrm
+    1209025388U,	// VPACKUSWBrr
+    1209025054U,	// VPADDBYrm
+    1209025054U,	// VPADDBYrr
+    1209025054U,	// VPADDBrm
+    1209025054U,	// VPADDBrr
+    1209025610U,	// VPADDDYrm
+    1209025610U,	// VPADDDYrr
+    1209025610U,	// VPADDDZrm
+    1209025610U,	// VPADDDZrmb
+    1209025610U,	// VPADDDZrr
+    1209025610U,	// VPADDDrm
+    1209025610U,	// VPADDDrr
+    1209028579U,	// VPADDQYrm
+    1209028579U,	// VPADDQYrr
+    1209028579U,	// VPADDQZrm
+    1209028579U,	// VPADDQZrmb
+    1209028579U,	// VPADDQZrr
+    1209028579U,	// VPADDQrm
+    1209028579U,	// VPADDQrr
+    1209025224U,	// VPADDSBYrm
+    1209025224U,	// VPADDSBYrr
+    1209025224U,	// VPADDSBrm
+    1209025224U,	// VPADDSBrr
+    1209031494U,	// VPADDSWYrm
+    1209031494U,	// VPADDSWYrr
+    1209031494U,	// VPADDSWrm
+    1209031494U,	// VPADDSWrr
+    1209025252U,	// VPADDUSBYrm
+    1209025252U,	// VPADDUSBYrr
+    1209025252U,	// VPADDUSBrm
+    1209025252U,	// VPADDUSBrr
+    1209031553U,	// VPADDUSWYrm
+    1209031553U,	// VPADDUSWYrr
+    1209031553U,	// VPADDUSWrm
+    1209031553U,	// VPADDUSWrr
+    1209031119U,	// VPADDWYrm
+    1209031119U,	// VPADDWYrr
+    1209031119U,	// VPADDWrm
+    1209031119U,	// VPADDWrr
+    1209029204U,	// VPALIGNR128rm
+    1209029204U,	// VPALIGNR128rr
+    1209029204U,	// VPALIGNR256rm
+    1209029204U,	// VPALIGNR256rr
+    1209025618U,	// VPANDDZrm
+    1209025618U,	// VPANDDZrmb
+    1209025618U,	// VPANDDZrr
+    1209025842U,	// VPANDNDZrm
+    1209025842U,	// VPANDNDZrmb
+    1209025842U,	// VPANDNDZrr
+    1209028877U,	// VPANDNQZrm
+    1209028877U,	// VPANDNQZrmb
+    1209028877U,	// VPANDNQZrr
+    1209028117U,	// VPANDNYrm
+    1209028117U,	// VPANDNYrr
+    1209028117U,	// VPANDNrm
+    1209028117U,	// VPANDNrr
+    1209028648U,	// VPANDQZrm
+    1209028648U,	// VPANDQZrmb
+    1209028648U,	// VPANDQZrr
+    1209025827U,	// VPANDYrm
+    1209025827U,	// VPANDYrr
+    1209025827U,	// VPANDrm
+    1209025827U,	// VPANDrr
+    1209025101U,	// VPAVGBYrm
+    1209025101U,	// VPAVGBYrr
+    1209025101U,	// VPAVGBrm
+    1209025101U,	// VPAVGBrr
+    1209031211U,	// VPAVGWYrm
+    1209031211U,	// VPAVGWYrr
+    1209031211U,	// VPAVGWrm
+    1209031211U,	// VPAVGWrr
+    1209025626U,	// VPBLENDDYrmi
+    1209025626U,	// VPBLENDDYrri
+    1209025626U,	// VPBLENDDrmi
+    1209025626U,	// VPBLENDDrri
+    1209025790U,	// VPBLENDMDZrm
+    153109758U,	// VPBLENDMDZrr
+    1209028840U,	// VPBLENDMQZrm
+    153112808U,	// VPBLENDMQZrr
+    1209025359U,	// VPBLENDVBYrm
+    1209025359U,	// VPBLENDVBYrr
+    1209025359U,	// VPBLENDVBrm
+    1209025359U,	// VPBLENDVBrr
+    1209031134U,	// VPBLENDWYrmi
+    1209031134U,	// VPBLENDWYrri
+    1209031134U,	// VPBLENDWrmi
+    1209031134U,	// VPBLENDWrri
+    504382232U,	// VPBROADCASTBYrm
+    135283480U,	// VPBROADCASTBYrr
+    504382232U,	// VPBROADCASTBrm
+    135283480U,	// VPBROADCASTBrr
+    101730971U,	// VPBROADCASTDYrm
+    135285403U,	// VPBROADCASTDYrr
+    3374336667U,	// VPBROADCASTDZkrm
+    3374336667U,	// VPBROADCASTDZkrr
+    101730971U,	// VPBROADCASTDZrm
+    135285403U,	// VPBROADCASTDZrr
+    3374336667U,	// VPBROADCASTDrZkrr
+    135285403U,	// VPBROADCASTDrZrr
+    101730971U,	// VPBROADCASTDrm
+    135285403U,	// VPBROADCASTDrr
+    135286587U,	// VPBROADCASTMB2Qrr
+    135283651U,	// VPBROADCASTMW2Drr
+    168841638U,	// VPBROADCASTQYrm
+    135287206U,	// VPBROADCASTQYrr
+    3374338470U,	// VPBROADCASTQZkrm
+    3374338470U,	// VPBROADCASTQZkrr
+    168841638U,	// VPBROADCASTQZrm
+    135287206U,	// VPBROADCASTQZrr
+    3374338470U,	// VPBROADCASTQrZkrr
+    135287206U,	// VPBROADCASTQrZrr
+    168841638U,	// VPBROADCASTQrm
+    135287206U,	// VPBROADCASTQrr
+    370170797U,	// VPBROADCASTWYrm
+    135289773U,	// VPBROADCASTWYrr
+    370170797U,	// VPBROADCASTWrm
+    135289773U,	// VPBROADCASTWrr
+    1209028682U,	// VPCLMULQDQrm
+    1209028682U,	// VPCLMULQDQrr
+    1209030941U,	// VPCMOVmr
+    1209030941U,	// VPCMOVmrY
+    1209030941U,	// VPCMOVrm
+    1209030941U,	// VPCMOVrmY
+    1209030941U,	// VPCMOVrr
+    1209030941U,	// VPCMOVrrY
+    1026795282U,	// VPCMPDZrmi
+    1209026498U,	// VPCMPDZrmi_alt
+    87287570U,	// VPCMPDZrri
+    1209026498U,	// VPCMPDZrri_alt
+    1209025149U,	// VPCMPEQBYrm
+    1209025149U,	// VPCMPEQBYrr
+    1209025149U,	// VPCMPEQBrm
+    1209025149U,	// VPCMPEQBrr
+    1209026684U,	// VPCMPEQDYrm
+    1209026684U,	// VPCMPEQDYrr
+    1209026684U,	// VPCMPEQDZrm
+    1209026684U,	// VPCMPEQDZrr
+    1209026684U,	// VPCMPEQDrm
+    1209026684U,	// VPCMPEQDrr
+    1209028909U,	// VPCMPEQQYrm
+    1209028909U,	// VPCMPEQQYrr
+    1209028909U,	// VPCMPEQQZrm
+    1209028909U,	// VPCMPEQQZrr
+    1209028909U,	// VPCMPEQQrm
+    1209028909U,	// VPCMPEQQrr
+    1209031341U,	// VPCMPEQWYrm
+    1209031341U,	// VPCMPEQWYrr
+    1209031341U,	// VPCMPEQWrm
+    1209031341U,	// VPCMPEQWrr
+    0U,	// VPCMPESTRIMEM
+    0U,	// VPCMPESTRIREG
+    1410354473U,	// VPCMPESTRIrm
+    1209027881U,	// VPCMPESTRIrr
+    0U,	// VPCMPESTRM128MEM
+    0U,	// VPCMPESTRM128REG
+    1410354685U,	// VPCMPESTRM128rm
+    1209028093U,	// VPCMPESTRM128rr
+    1209025286U,	// VPCMPGTBYrm
+    1209025286U,	// VPCMPGTBYrr
+    1209025286U,	// VPCMPGTBrm
+    1209025286U,	// VPCMPGTBrr
+    1209027209U,	// VPCMPGTDYrm
+    1209027209U,	// VPCMPGTDYrr
+    1209027209U,	// VPCMPGTDZrm
+    1209027209U,	// VPCMPGTDZrr
+    1209027209U,	// VPCMPGTDrm
+    1209027209U,	// VPCMPGTDrr
+    1209028995U,	// VPCMPGTQYrm
+    1209028995U,	// VPCMPGTQYrr
+    1209028995U,	// VPCMPGTQZrm
+    1209028995U,	// VPCMPGTQZrr
+    1209028995U,	// VPCMPGTQrm
+    1209028995U,	// VPCMPGTQrr
+    1209031572U,	// VPCMPGTWYrm
+    1209031572U,	// VPCMPGTWYrr
+    1209031572U,	// VPCMPGTWrm
+    1209031572U,	// VPCMPGTWrr
+    0U,	// VPCMPISTRIMEM
+    0U,	// VPCMPISTRIREG
+    1410354485U,	// VPCMPISTRIrm
+    1209027893U,	// VPCMPISTRIrr
+    0U,	// VPCMPISTRM128MEM
+    0U,	// VPCMPISTRM128REG
+    1410354697U,	// VPCMPISTRM128rm
+    1209028105U,	// VPCMPISTRM128rr
+    1027843858U,	// VPCMPQZrmi
+    1209028895U,	// VPCMPQZrmi_alt
+    88336146U,	// VPCMPQZrri
+    1209028895U,	// VPCMPQZrri_alt
+    1028892434U,	// VPCMPUDZrmi
+    1209027259U,	// VPCMPUDZrmi_alt
+    89384722U,	// VPCMPUDZrri
+    1209027259U,	// VPCMPUDZrri_alt
+    1029941010U,	// VPCMPUQZrmi
+    1209029053U,	// VPCMPUQZrmi_alt
+    90433298U,	// VPCMPUQZrri
+    1209029053U,	// VPCMPUQZrri_alt
+    1209025132U,	// VPCOMBmi
+    1209025132U,	// VPCOMBri
+    1209025801U,	// VPCOMDmi
+    1209025801U,	// VPCOMDri
+    1209028851U,	// VPCOMQmi
+    1209028851U,	// VPCOMQri
+    1209025318U,	// VPCOMUBmi
+    1209025318U,	// VPCOMUBri
+    1209027241U,	// VPCOMUDmi
+    1209027241U,	// VPCOMUDri
+    1209029044U,	// VPCOMUQmi
+    1209029044U,	// VPCOMUQri
+    1209031639U,	// VPCOMUWmi
+    1209031639U,	// VPCOMUWri
+    1209031316U,	// VPCOMWmi
+    1209031316U,	// VPCOMWri
+    1209024845U,	// VPERM2F128rm
+    1209024845U,	// VPERM2F128rr
+    1209024900U,	// VPERM2I128rm
+    1209024900U,	// VPERM2I128rr
+    1209025809U,	// VPERMDYrm
+    1209025809U,	// VPERMDYrr
+    1209025809U,	// VPERMDZrm
+    1209025809U,	// VPERMDZrr
+    1141916601U,	// VPERMI2Drm
+    1141916601U,	// VPERMI2Drr
+    1141917184U,	// VPERMI2PDrm
+    1141917184U,	// VPERMI2PDrr
+    1141920705U,	// VPERMI2PSrm
+    1141920705U,	// VPERMI2PSrr
+    1141919564U,	// VPERMI2Qrm
+    1141919564U,	// VPERMI2Qrr
+    1209026069U,	// VPERMIL2PDmr
+    1209026069U,	// VPERMIL2PDmrY
+    1209026069U,	// VPERMIL2PDrm
+    1209026069U,	// VPERMIL2PDrmY
+    1209026069U,	// VPERMIL2PDrr
+    1209026069U,	// VPERMIL2PDrrY
+    1209029590U,	// VPERMIL2PSmr
+    1209029590U,	// VPERMIL2PSmrY
+    1209029590U,	// VPERMIL2PSrm
+    1209029590U,	// VPERMIL2PSrmY
+    1209029590U,	// VPERMIL2PSrr
+    1209029590U,	// VPERMIL2PSrrY
+    1880115099U,	// VPERMILPDYmi
+    1209026459U,	// VPERMILPDYri
+    1209026459U,	// VPERMILPDYrm
+    1209026459U,	// VPERMILPDYrr
+    1846560667U,	// VPERMILPDZmi
+    1209026459U,	// VPERMILPDZri
+    1611679643U,	// VPERMILPDmi
+    1209026459U,	// VPERMILPDri
+    1209026459U,	// VPERMILPDrm
+    1209026459U,	// VPERMILPDrr
+    1880118621U,	// VPERMILPSYmi
+    1209029981U,	// VPERMILPSYri
+    1209029981U,	// VPERMILPSYrm
+    1209029981U,	// VPERMILPSYrr
+    1846564189U,	// VPERMILPSZmi
+    1209029981U,	// VPERMILPSZri
+    1611683165U,	// VPERMILPSmi
+    1209029981U,	// VPERMILPSri
+    1209029981U,	// VPERMILPSrm
+    1209029981U,	// VPERMILPSrr
+    1813006293U,	// VPERMPDYmi
+    1209026517U,	// VPERMPDYri
+    1913669589U,	// VPERMPDZmi
+    1209026517U,	// VPERMPDZri
+    1209026517U,	// VPERMPDZrm
+    1209026517U,	// VPERMPDZrr
+    1209030031U,	// VPERMPSYrm
+    1209030031U,	// VPERMPSYrr
+    1209030031U,	// VPERMPSZrm
+    1209030031U,	// VPERMPSZrr
+    1813008635U,	// VPERMQYmi
+    1209028859U,	// VPERMQYri
+    1846563067U,	// VPERMQZmi
+    1209028859U,	// VPERMQZri
+    1209028859U,	// VPERMQZrm
+    1209028859U,	// VPERMQZrr
+    1074889390U,	// VPEXTRBmr
+    1209025198U,	// VPEXTRBrr
+    1209025198U,	// VPEXTRBrr64
+    1074858203U,	// VPEXTRDmr
+    1209026779U,	// VPEXTRDrr
+    1074876786U,	// VPEXTRQmr
+    1209028978U,	// VPEXTRQrr
+    1074830092U,	// VPEXTRWmr
+    1209031436U,	// VPEXTRWri
+    974144612U,	// VPGATHERDDYrm
+    3105899620U,	// VPGATHERDDZrm
+    974144612U,	// VPGATHERDDrm
+    907038806U,	// VPGATHERDQYrm
+    2032160854U,	// VPGATHERDQZrm
+    907038806U,	// VPGATHERDQrm
+    974145670U,	// VPGATHERQDYrm
+    2032158854U,	// VPGATHERQDZrm
+    974145670U,	// VPGATHERQDrm
+    907039031U,	// VPGATHERQQYrm
+    2032161079U,	// VPGATHERQQZrm
+    907039031U,	// VPGATHERQQrm
+    336610289U,	// VPHADDBDrm
+    135283697U,	// VPHADDBDrr
+    336613231U,	// VPHADDBQrm
+    135286639U,	// VPHADDBQrr
+    336615762U,	// VPHADDBWrm
+    135289170U,	// VPHADDBWrr
+    336613355U,	// VPHADDDQrm
+    135286763U,	// VPHADDDQrr
+    1209025601U,	// VPHADDDYrm
+    1209025601U,	// VPHADDDYrr
+    1209025601U,	// VPHADDDrm
+    1209025601U,	// VPHADDDrr
+    1209031484U,	// VPHADDSWrm128
+    1209031484U,	// VPHADDSWrm256
+    1209031484U,	// VPHADDSWrr128
+    1209031484U,	// VPHADDSWrr256
+    336610299U,	// VPHADDUBDrm
+    135283707U,	// VPHADDUBDrr
+    336613241U,	// VPHADDUBQrm
+    135286649U,	// VPHADDUBQrr
+    336615806U,	// VPHADDUBWrm
+    135289214U,	// VPHADDUBWrr
+    336613523U,	// VPHADDUDQrm
+    135286931U,	// VPHADDUDQrr
+    336612189U,	// VPHADDUWDrm
+    135285597U,	// VPHADDUWDrr
+    336613887U,	// VPHADDUWQrm
+    135287295U,	// VPHADDUWQrr
+    336612101U,	// VPHADDWDrm
+    135285509U,	// VPHADDWDrr
+    336613877U,	// VPHADDWQrm
+    135287285U,	// VPHADDWQrr
+    1209031103U,	// VPHADDWYrm
+    1209031103U,	// VPHADDWYrr
+    1209031103U,	// VPHADDWrm
+    1209031103U,	// VPHADDWrr
+    336616425U,	// VPHMINPOSUWrm128
+    135289833U,	// VPHMINPOSUWrr128
+    336615733U,	// VPHSUBBWrm
+    135289141U,	// VPHSUBBWrr
+    336613337U,	// VPHSUBDQrm
+    135286745U,	// VPHSUBDQrr
+    1209025542U,	// VPHSUBDYrm
+    1209025542U,	// VPHSUBDYrr
+    1209025542U,	// VPHSUBDrm
+    1209025542U,	// VPHSUBDrr
+    1209031465U,	// VPHSUBSWrm128
+    1209031465U,	// VPHSUBSWrm256
+    1209031465U,	// VPHSUBSWrr128
+    1209031465U,	// VPHSUBSWrr256
+    336612091U,	// VPHSUBWDrm
+    135285499U,	// VPHSUBWDrr
+    1209031049U,	// VPHSUBWYrm
+    1209031049U,	// VPHSUBWYrr
+    1209031049U,	// VPHSUBWrm
+    1209031049U,	// VPHSUBWrr
+    1209025189U,	// VPINSRBrm
+    1209025189U,	// VPINSRBrr
+    1209026770U,	// VPINSRDrm
+    1209026770U,	// VPINSRDrr
+    1209028969U,	// VPINSRQrm
+    1209028969U,	// VPINSRQrr
+    1209031417U,	// VPINSRWrmi
+    1209031417U,	// VPINSRWrr64i
+    1209031417U,	// VPINSRWrri
+    1209025661U,	// VPMACSDDrm
+    1209025661U,	// VPMACSDDrr
+    1209027763U,	// VPMACSDQHrm
+    1209027763U,	// VPMACSDQHrr
+    1209028005U,	// VPMACSDQLrm
+    1209028005U,	// VPMACSDQLrr
+    1209025671U,	// VPMACSSDDrm
+    1209025671U,	// VPMACSSDDrr
+    1209027774U,	// VPMACSSDQHrm
+    1209027774U,	// VPMACSSDQHrr
+    1209028016U,	// VPMACSSDQLrm
+    1209028016U,	// VPMACSSDQLrr
+    1209027398U,	// VPMACSSWDrm
+    1209027398U,	// VPMACSSWDrr
+    1209031696U,	// VPMACSSWWrm
+    1209031696U,	// VPMACSSWWrr
+    1209027377U,	// VPMACSWDrm
+    1209027377U,	// VPMACSWDrr
+    1209031686U,	// VPMACSWWrm
+    1209031686U,	// VPMACSWWrr
+    1209027409U,	// VPMADCSSWDrm
+    1209027409U,	// VPMADCSSWDrr
+    1209027387U,	// VPMADCSWDrm
+    1209027387U,	// VPMADCSWDrr
+    1209031453U,	// VPMADDUBSWrm128
+    1209031453U,	// VPMADDUBSWrm256
+    1209031453U,	// VPMADDUBSWrr128
+    1209031453U,	// VPMADDUBSWrr256
+    1209027343U,	// VPMADDWDYrm
+    1209027343U,	// VPMADDWDYrr
+    1209027343U,	// VPMADDWDrm
+    1209027343U,	// VPMADDWDrr
+    873908968U,	// VPMASKMOVDYmr
+    1209027304U,	// VPMASKMOVDYrm
+    1074989800U,	// VPMASKMOVDmr
+    1209027304U,	// VPMASKMOVDrm
+    873910753U,	// VPMASKMOVQYmr
+    1209029089U,	// VPMASKMOVQYrm
+    1074991585U,	// VPMASKMOVQmr
+    1209029089U,	// VPMASKMOVQrm
+    1209025271U,	// VPMAXSBYrm
+    1209025271U,	// VPMAXSBYrr
+    1209025271U,	// VPMAXSBrm
+    1209025271U,	// VPMAXSBrr
+    1209027183U,	// VPMAXSDYrm
+    1209027183U,	// VPMAXSDYrr
+    1209027183U,	// VPMAXSDrm
+    1209027183U,	// VPMAXSDrr
+    1209031563U,	// VPMAXSWYrm
+    1209031563U,	// VPMAXSWYrr
+    1209031563U,	// VPMAXSWrm
+    1209031563U,	// VPMAXSWrr
+    1209025350U,	// VPMAXUBYrm
+    1209025350U,	// VPMAXUBYrr
+    1209025350U,	// VPMAXUBrm
+    1209025350U,	// VPMAXUBrr
+    1209027268U,	// VPMAXUDYrm
+    1209027268U,	// VPMAXUDYrr
+    1209027268U,	// VPMAXUDrm
+    1209027268U,	// VPMAXUDrr
+    1209031670U,	// VPMAXUWYrm
+    1209031670U,	// VPMAXUWYrr
+    1209031670U,	// VPMAXUWrm
+    1209031670U,	// VPMAXUWrr
+    1209025233U,	// VPMINSBYrm
+    1209025233U,	// VPMINSBYrr
+    1209025233U,	// VPMINSBrm
+    1209025233U,	// VPMINSBrr
+    1209027110U,	// VPMINSDYrm
+    1209027110U,	// VPMINSDYrr
+    1209027110U,	// VPMINSDrm
+    1209027110U,	// VPMINSDrr
+    1209031515U,	// VPMINSWYrm
+    1209031515U,	// VPMINSWYrr
+    1209031515U,	// VPMINSWrm
+    1209031515U,	// VPMINSWrr
+    1209025327U,	// VPMINUBYrm
+    1209025327U,	// VPMINUBYrr
+    1209025327U,	// VPMINUBrm
+    1209025327U,	// VPMINUBrr
+    1209027250U,	// VPMINUDYrm
+    1209027250U,	// VPMINUDYrr
+    1209027250U,	// VPMINUDrm
+    1209027250U,	// VPMINUDrr
+    1209031648U,	// VPMINUWYrm
+    1209031648U,	// VPMINUWYrr
+    1209031648U,	// VPMINUWrm
+    1209031648U,	// VPMINUWrr
+    3374334523U,	// VPMOVDBkrr
+    1245755U,	// VPMOVDBmr
+    135283259U,	// VPMOVDBrr
+    3374340627U,	// VPMOVDWkrr
+    449043U,	// VPMOVDWmr
+    135289363U,	// VPMOVDWrr
+    135283289U,	// VPMOVMSKBYr64r
+    135283289U,	// VPMOVMSKBYrr
+    135283289U,	// VPMOVMSKBr64r
+    135283289U,	// VPMOVMSKBrr
+    3374334620U,	// VPMOVQBkrr
+    1245852U,	// VPMOVQBmr
+    135283356U,	// VPMOVQBrr
+    3374336180U,	// VPMOVQDkrr
+    444596U,	// VPMOVQDmr
+    135284916U,	// VPMOVQDrr
+    3374340812U,	// VPMOVQWkrr
+    1252044U,	// VPMOVQWmr
+    135289548U,	// VPMOVQWrr
+    3374334513U,	// VPMOVSDBkrr
+    1245745U,	// VPMOVSDBmr
+    135283249U,	// VPMOVSDBrr
+    3374340617U,	// VPMOVSDWkrr
+    449033U,	// VPMOVSDWmr
+    135289353U,	// VPMOVSDWrr
+    3374334610U,	// VPMOVSQBkrr
+    1245842U,	// VPMOVSQBmr
+    135283346U,	// VPMOVSQBrr
+    3374336170U,	// VPMOVSQDkrr
+    444586U,	// VPMOVSQDmr
+    135284906U,	// VPMOVSQDrr
+    3374340802U,	// VPMOVSQWkrr
+    1252034U,	// VPMOVSQWmr
+    135289538U,	// VPMOVSQWrr
+    101729303U,	// VPMOVSXBDYrm
+    135283735U,	// VPMOVSXBDYrr
+    336610327U,	// VPMOVSXBDZrm
+    135283735U,	// VPMOVSXBDZrr
+    101729303U,	// VPMOVSXBDrm
+    135283735U,	// VPMOVSXBDrr
+    370167692U,	// VPMOVSXBQYrm
+    135286668U,	// VPMOVSXBQYrr
+    336613260U,	// VPMOVSXBQZrm
+    135286668U,	// VPMOVSXBQZrr
+    370167692U,	// VPMOVSXBQrm
+    135286668U,	// VPMOVSXBQrr
+    336615834U,	// VPMOVSXBWYrm
+    135289242U,	// VPMOVSXBWYrr
+    168843674U,	// VPMOVSXBWrm
+    135289242U,	// VPMOVSXBWrr
+    336613544U,	// VPMOVSXDQYrm
+    135286952U,	// VPMOVSXDQYrr
+    739266728U,	// VPMOVSXDQZrm
+    135286952U,	// VPMOVSXDQZrr
+    168841384U,	// VPMOVSXDQrm
+    135286952U,	// VPMOVSXDQrr
+    336612200U,	// VPMOVSXWDYrm
+    135285608U,	// VPMOVSXWDYrr
+    739265384U,	// VPMOVSXWDZrm
+    135285608U,	// VPMOVSXWDZrr
+    168840040U,	// VPMOVSXWDrm
+    135285608U,	// VPMOVSXWDrr
+    101732874U,	// VPMOVSXWQYrm
+    135287306U,	// VPMOVSXWQYrr
+    336613898U,	// VPMOVSXWQZrm
+    135287306U,	// VPMOVSXWQZrr
+    101732874U,	// VPMOVSXWQrm
+    135287306U,	// VPMOVSXWQrr
+    3374334502U,	// VPMOVUSDBkrr
+    1245734U,	// VPMOVUSDBmr
+    135283238U,	// VPMOVUSDBrr
+    3374340606U,	// VPMOVUSDWkrr
+    449022U,	// VPMOVUSDWmr
+    135289342U,	// VPMOVUSDWrr
+    3374334599U,	// VPMOVUSQBkrr
+    1245831U,	// VPMOVUSQBmr
+    135283335U,	// VPMOVUSQBrr
+    3374336159U,	// VPMOVUSQDkrr
+    444575U,	// VPMOVUSQDmr
+    135284895U,	// VPMOVUSQDrr
+    3374340791U,	// VPMOVUSQWkrr
+    1252023U,	// VPMOVUSQWmr
+    135289527U,	// VPMOVUSQWrr
+    101729314U,	// VPMOVZXBDYrm
+    135283746U,	// VPMOVZXBDYrr
+    336610338U,	// VPMOVZXBDZrm
+    135283746U,	// VPMOVZXBDZrr
+    101729314U,	// VPMOVZXBDrm
+    135283746U,	// VPMOVZXBDrr
+    370167703U,	// VPMOVZXBQYrm
+    135286679U,	// VPMOVZXBQYrr
+    336613271U,	// VPMOVZXBQZrm
+    135286679U,	// VPMOVZXBQZrr
+    370167703U,	// VPMOVZXBQrm
+    135286679U,	// VPMOVZXBQrr
+    336615845U,	// VPMOVZXBWYrm
+    135289253U,	// VPMOVZXBWYrr
+    168843685U,	// VPMOVZXBWrm
+    135289253U,	// VPMOVZXBWrr
+    336613555U,	// VPMOVZXDQYrm
+    135286963U,	// VPMOVZXDQYrr
+    739266739U,	// VPMOVZXDQZrm
+    135286963U,	// VPMOVZXDQZrr
+    168841395U,	// VPMOVZXDQrm
+    135286963U,	// VPMOVZXDQrr
+    336612211U,	// VPMOVZXWDYrm
+    135285619U,	// VPMOVZXWDYrr
+    739265395U,	// VPMOVZXWDZrm
+    135285619U,	// VPMOVZXWDZrr
+    168840051U,	// VPMOVZXWDrm
+    135285619U,	// VPMOVZXWDrr
+    101732885U,	// VPMOVZXWQYrm
+    135287317U,	// VPMOVZXWQYrr
+    336613909U,	// VPMOVZXWQZrm
+    135287317U,	// VPMOVZXWQZrr
+    101732885U,	// VPMOVZXWQrm
+    135287317U,	// VPMOVZXWQrr
+    1209028639U,	// VPMULDQYrm
+    1209028639U,	// VPMULDQYrr
+    1209028639U,	// VPMULDQZrm
+    1209028639U,	// VPMULDQZrr
+    1209028639U,	// VPMULDQrm
+    1209028639U,	// VPMULDQrr
+    1209031524U,	// VPMULHRSWrm128
+    1209031524U,	// VPMULHRSWrm256
+    1209031524U,	// VPMULHRSWrr128
+    1209031524U,	// VPMULHRSWrr256
+    1209031629U,	// VPMULHUWYrm
+    1209031629U,	// VPMULHUWYrr
+    1209031629U,	// VPMULHUWrm
+    1209031629U,	// VPMULHUWrr
+    1209031240U,	// VPMULHWYrm
+    1209031240U,	// VPMULHWYrr
+    1209031240U,	// VPMULHWrm
+    1209031240U,	// VPMULHWrr
+    1209025764U,	// VPMULLDYrm
+    1209025764U,	// VPMULLDYrr
+    1209025764U,	// VPMULLDZrm
+    1209025764U,	// VPMULLDZrmb
+    1209025764U,	// VPMULLDZrr
+    1209025764U,	// VPMULLDrm
+    1209025764U,	// VPMULLDrr
+    1209031289U,	// VPMULLWYrm
+    1209031289U,	// VPMULLWYrr
+    1209031289U,	// VPMULLWrm
+    1209031289U,	// VPMULLWrr
+    1209028766U,	// VPMULUDQYrm
+    1209028766U,	// VPMULUDQYrr
+    1209028766U,	// VPMULUDQZrm
+    1209028766U,	// VPMULUDQZrr
+    1209028766U,	// VPMULUDQrm
+    1209028766U,	// VPMULUDQrr
+    1209026755U,	// VPORDZrm
+    1209026755U,	// VPORDZrmb
+    1209026755U,	// VPORDZrr
+    1209028944U,	// VPORQZrm
+    1209028944U,	// VPORQZrmb
+    1209028944U,	// VPORQZrr
+    1209029214U,	// VPORYrm
+    1209029214U,	// VPORYrr
+    1209029214U,	// VPORrm
+    1209029214U,	// VPORrr
+    1209028085U,	// VPPERMmr
+    1209028085U,	// VPPERMrm
+    1209028085U,	// VPPERMrr
+    1410351888U,	// VPROTBmi
+    1410351888U,	// VPROTBmr
+    1209025296U,	// VPROTBri
+    1209025296U,	// VPROTBrm
+    1209025296U,	// VPROTBrr
+    1410353811U,	// VPROTDmi
+    1410353811U,	// VPROTDmr
+    1209027219U,	// VPROTDri
+    1209027219U,	// VPROTDrm
+    1209027219U,	// VPROTDrr
+    1410355605U,	// VPROTQmi
+    1410355605U,	// VPROTQmr
+    1209029013U,	// VPROTQri
+    1209029013U,	// VPROTQrm
+    1209029013U,	// VPROTQrr
+    1410358181U,	// VPROTWmi
+    1410358181U,	// VPROTWmr
+    1209031589U,	// VPROTWri
+    1209031589U,	// VPROTWrm
+    1209031589U,	// VPROTWrr
+    1209030985U,	// VPSADBWYrm
+    1209030985U,	// VPSADBWYrr
+    1209030985U,	// VPSADBWrm
+    1209030985U,	// VPSADBWrr
+    492656U,	// VPSCATTERDDZmr
+    512098U,	// VPSCATTERDQZmr
+    510098U,	// VPSCATTERQDZmr
+    512323U,	// VPSCATTERQQZmr
+    1410351625U,	// VPSHABmr
+    1209025033U,	// VPSHABrm
+    1209025033U,	// VPSHABrr
+    1410352097U,	// VPSHADmr
+    1209025505U,	// VPSHADrm
+    1209025505U,	// VPSHADrr
+    1410355039U,	// VPSHAQmr
+    1209028447U,	// VPSHAQrm
+    1209028447U,	// VPSHAQrr
+    1410357541U,	// VPSHAWmr
+    1209030949U,	// VPSHAWrm
+    1209030949U,	// VPSHAWrr
+    1410351716U,	// VPSHLBmr
+    1209025124U,	// VPSHLBrm
+    1209025124U,	// VPSHLBrr
+    1410352334U,	// VPSHLDmr
+    1209025742U,	// VPSHLDrm
+    1209025742U,	// VPSHLDrr
+    1410355408U,	// VPSHLQmr
+    1209028816U,	// VPSHLQrm
+    1209028816U,	// VPSHLQrr
+    1410357858U,	// VPSHLWmr
+    1209031266U,	// VPSHLWrm
+    1209031266U,	// VPSHLWrr
+    1209025092U,	// VPSHUFBYrm
+    1209025092U,	// VPSHUFBYrr
+    1209025092U,	// VPSHUFBrm
+    1209025092U,	// VPSHUFBrr
+    1813005473U,	// VPSHUFDYmi
+    1209025697U,	// VPSHUFDYri
+    1846559905U,	// VPSHUFDZmi
+    1209025697U,	// VPSHUFDZri
+    1410352289U,	// VPSHUFDmi
+    1209025697U,	// VPSHUFDri
+    1813011006U,	// VPSHUFHWYmi
+    1209031230U,	// VPSHUFHWYri
+    1410357822U,	// VPSHUFHWmi
+    1209031230U,	// VPSHUFHWri
+    1813011032U,	// VPSHUFLWYmi
+    1209031256U,	// VPSHUFLWYri
+    1410357848U,	// VPSHUFLWmi
+    1209031256U,	// VPSHUFLWri
+    1209025140U,	// VPSIGNBYrm
+    1209025140U,	// VPSIGNBYrr
+    1209025140U,	// VPSIGNBrm
+    1209025140U,	// VPSIGNBrr
+    1209025860U,	// VPSIGNDYrm
+    1209025860U,	// VPSIGNDYrr
+    1209025860U,	// VPSIGNDrm
+    1209025860U,	// VPSIGNDrr
+    1209031332U,	// VPSIGNWYrm
+    1209031332U,	// VPSIGNWYrr
+    1209031332U,	// VPSIGNWrm
+    1209031332U,	// VPSIGNWrr
+    1209028621U,	// VPSLLDQYri
+    1209028621U,	// VPSLLDQri
+    1209025756U,	// VPSLLDYri
+    1209025756U,	// VPSLLDYrm
+    1209025756U,	// VPSLLDYrr
+    1846559964U,	// VPSLLDZmi
+    153109724U,	// VPSLLDZmik
+    1209025756U,	// VPSLLDZri
+    153109724U,	// VPSLLDZrik
+    1209025756U,	// VPSLLDZrm
+    153109724U,	// VPSLLDZrmk
+    1209025756U,	// VPSLLDZrr
+    153109724U,	// VPSLLDZrrk
+    1209025756U,	// VPSLLDri
+    1209025756U,	// VPSLLDrm
+    1209025756U,	// VPSLLDrr
+    1209028824U,	// VPSLLQYri
+    1209028824U,	// VPSLLQYrm
+    1209028824U,	// VPSLLQYrr
+    1846563032U,	// VPSLLQZmi
+    153112792U,	// VPSLLQZmik
+    1209028824U,	// VPSLLQZri
+    153112792U,	// VPSLLQZrik
+    1209028824U,	// VPSLLQZrm
+    153112792U,	// VPSLLQZrmk
+    1209028824U,	// VPSLLQZrr
+    153112792U,	// VPSLLQZrrk
+    1209028824U,	// VPSLLQri
+    1209028824U,	// VPSLLQrm
+    1209028824U,	// VPSLLQrr
+    1209027286U,	// VPSLLVDYrm
+    1209027286U,	// VPSLLVDYrr
+    1209027286U,	// VPSLLVDZrm
+    1209027286U,	// VPSLLVDZrr
+    1209027286U,	// VPSLLVDrm
+    1209027286U,	// VPSLLVDrr
+    1209029071U,	// VPSLLVQYrm
+    1209029071U,	// VPSLLVQYrr
+    1209029071U,	// VPSLLVQZrm
+    1209029071U,	// VPSLLVQZrr
+    1209029071U,	// VPSLLVQrm
+    1209029071U,	// VPSLLVQrr
+    1209031281U,	// VPSLLWYri
+    1209031281U,	// VPSLLWYrm
+    1209031281U,	// VPSLLWYrr
+    1209031281U,	// VPSLLWri
+    1209031281U,	// VPSLLWrm
+    1209031281U,	// VPSLLWrr
+    1209025513U,	// VPSRADYri
+    1209025513U,	// VPSRADYrm
+    1209025513U,	// VPSRADYrr
+    1846559721U,	// VPSRADZmi
+    153109481U,	// VPSRADZmik
+    1209025513U,	// VPSRADZri
+    153109481U,	// VPSRADZrik
+    1209025513U,	// VPSRADZrm
+    153109481U,	// VPSRADZrmk
+    1209025513U,	// VPSRADZrr
+    153109481U,	// VPSRADZrrk
+    1209025513U,	// VPSRADri
+    1209025513U,	// VPSRADrm
+    1209025513U,	// VPSRADrr
+    1846562663U,	// VPSRAQZmi
+    153112423U,	// VPSRAQZmik
+    1209028455U,	// VPSRAQZri
+    153112423U,	// VPSRAQZrik
+    1209028455U,	// VPSRAQZrm
+    153112423U,	// VPSRAQZrmk
+    1209028455U,	// VPSRAQZrr
+    153112423U,	// VPSRAQZrrk
+    1209027277U,	// VPSRAVDYrm
+    1209027277U,	// VPSRAVDYrr
+    1209027277U,	// VPSRAVDZrm
+    1209027277U,	// VPSRAVDZrr
+    1209027277U,	// VPSRAVDrm
+    1209027277U,	// VPSRAVDrr
+    1209029062U,	// VPSRAVQZrm
+    1209029062U,	// VPSRAVQZrr
+    1209030957U,	// VPSRAWYri
+    1209030957U,	// VPSRAWYrm
+    1209030957U,	// VPSRAWYrr
+    1209030957U,	// VPSRAWri
+    1209030957U,	// VPSRAWrm
+    1209030957U,	// VPSRAWrr
+    1209028630U,	// VPSRLDQYri
+    1209028630U,	// VPSRLDQri
+    1209025773U,	// VPSRLDYri
+    1209025773U,	// VPSRLDYrm
+    1209025773U,	// VPSRLDYrr
+    1846559981U,	// VPSRLDZmi
+    153109741U,	// VPSRLDZmik
+    1209025773U,	// VPSRLDZri
+    153109741U,	// VPSRLDZrik
+    1209025773U,	// VPSRLDZrm
+    153109741U,	// VPSRLDZrmk
+    1209025773U,	// VPSRLDZrr
+    153109741U,	// VPSRLDZrrk
+    1209025773U,	// VPSRLDri
+    1209025773U,	// VPSRLDrm
+    1209025773U,	// VPSRLDrr
+    1209028832U,	// VPSRLQYri
+    1209028832U,	// VPSRLQYrm
+    1209028832U,	// VPSRLQYrr
+    1846563040U,	// VPSRLQZmi
+    153112800U,	// VPSRLQZmik
+    1209028832U,	// VPSRLQZri
+    153112800U,	// VPSRLQZrik
+    1209028832U,	// VPSRLQZrm
+    153112800U,	// VPSRLQZrmk
+    1209028832U,	// VPSRLQZrr
+    153112800U,	// VPSRLQZrrk
+    1209028832U,	// VPSRLQri
+    1209028832U,	// VPSRLQrm
+    1209028832U,	// VPSRLQrr
+    1209027295U,	// VPSRLVDYrm
+    1209027295U,	// VPSRLVDYrr
+    1209027295U,	// VPSRLVDZrm
+    1209027295U,	// VPSRLVDZrr
+    1209027295U,	// VPSRLVDrm
+    1209027295U,	// VPSRLVDrr
+    1209029080U,	// VPSRLVQYrm
+    1209029080U,	// VPSRLVQYrr
+    1209029080U,	// VPSRLVQZrm
+    1209029080U,	// VPSRLVQZrr
+    1209029080U,	// VPSRLVQrm
+    1209029080U,	// VPSRLVQrr
+    1209031298U,	// VPSRLWYri
+    1209031298U,	// VPSRLWYrm
+    1209031298U,	// VPSRLWYrr
+    1209031298U,	// VPSRLWri
+    1209031298U,	// VPSRLWrm
+    1209031298U,	// VPSRLWrr
+    1209025046U,	// VPSUBBYrm
+    1209025046U,	// VPSUBBYrr
+    1209025046U,	// VPSUBBrm
+    1209025046U,	// VPSUBBrr
+    1209025551U,	// VPSUBDYrm
+    1209025551U,	// VPSUBDYrr
+    1209025551U,	// VPSUBDZrm
+    1209025551U,	// VPSUBDZrmb
+    1209025551U,	// VPSUBDZrr
+    1209025551U,	// VPSUBDrm
+    1209025551U,	// VPSUBDrr
+    1209028484U,	// VPSUBQYrm
+    1209028484U,	// VPSUBQYrr
+    1209028484U,	// VPSUBQZrm
+    1209028484U,	// VPSUBQZrmb
+    1209028484U,	// VPSUBQZrr
+    1209028484U,	// VPSUBQrm
+    1209028484U,	// VPSUBQrr
+    1209025215U,	// VPSUBSBYrm
+    1209025215U,	// VPSUBSBYrr
+    1209025215U,	// VPSUBSBrm
+    1209025215U,	// VPSUBSBrr
+    1209031475U,	// VPSUBSWYrm
+    1209031475U,	// VPSUBSWYrr
+    1209031475U,	// VPSUBSWrm
+    1209031475U,	// VPSUBSWrr
+    1209025242U,	// VPSUBUSBYrm
+    1209025242U,	// VPSUBUSBYrr
+    1209025242U,	// VPSUBUSBrm
+    1209025242U,	// VPSUBUSBrr
+    1209031543U,	// VPSUBUSWYrm
+    1209031543U,	// VPSUBUSWYrr
+    1209031543U,	// VPSUBUSWrm
+    1209031543U,	// VPSUBUSWrr
+    1209031058U,	// VPSUBWYrm
+    1209031058U,	// VPSUBWYrr
+    1209031058U,	// VPSUBWrm
+    1209031058U,	// VPSUBWrr
+    1209025817U,	// VPTESTMDZrm
+    1209025817U,	// VPTESTMDZrr
+    1209028867U,	// VPTESTMQZrm
+    1209028867U,	// VPTESTMQZrr
+    739268776U,	// VPTESTYrm
+    135289000U,	// VPTESTYrr
+    537942184U,	// VPTESTrm
+    135289000U,	// VPTESTrr
+    1209031004U,	// VPUNPCKHBWYrm
+    1209031004U,	// VPUNPCKHBWYrr
+    1209031004U,	// VPUNPCKHBWrm
+    1209031004U,	// VPUNPCKHBWrr
+    1209028597U,	// VPUNPCKHDQYrm
+    1209028597U,	// VPUNPCKHDQYrr
+    1209028597U,	// VPUNPCKHDQZrm
+    1209028597U,	// VPUNPCKHDQZrr
+    1209028597U,	// VPUNPCKHDQrm
+    1209028597U,	// VPUNPCKHDQrr
+    1209028656U,	// VPUNPCKHQDQYrm
+    1209028656U,	// VPUNPCKHQDQYrr
+    1209028656U,	// VPUNPCKHQDQZrm
+    1209028656U,	// VPUNPCKHQDQZrr
+    1209028656U,	// VPUNPCKHQDQrm
+    1209028656U,	// VPUNPCKHQDQrr
+    1209027353U,	// VPUNPCKHWDYrm
+    1209027353U,	// VPUNPCKHWDYrr
+    1209027353U,	// VPUNPCKHWDrm
+    1209027353U,	// VPUNPCKHWDrr
+    1209031026U,	// VPUNPCKLBWYrm
+    1209031026U,	// VPUNPCKLBWYrr
+    1209031026U,	// VPUNPCKLBWrm
+    1209031026U,	// VPUNPCKLBWrr
+    1209028609U,	// VPUNPCKLDQYrm
+    1209028609U,	// VPUNPCKLDQYrr
+    1209028609U,	// VPUNPCKLDQZrm
+    1209028609U,	// VPUNPCKLDQZrr
+    1209028609U,	// VPUNPCKLDQrm
+    1209028609U,	// VPUNPCKLDQrr
+    1209028669U,	// VPUNPCKLQDQYrm
+    1209028669U,	// VPUNPCKLQDQYrr
+    1209028669U,	// VPUNPCKLQDQZrm
+    1209028669U,	// VPUNPCKLQDQZrr
+    1209028669U,	// VPUNPCKLQDQrm
+    1209028669U,	// VPUNPCKLQDQrr
+    1209027365U,	// VPUNPCKLWDYrm
+    1209027365U,	// VPUNPCKLWDYrr
+    1209027365U,	// VPUNPCKLWDrm
+    1209027365U,	// VPUNPCKLWDrr
+    1209026762U,	// VPXORDZrm
+    1209026762U,	// VPXORDZrmb
+    1209026762U,	// VPXORDZrr
+    1209028961U,	// VPXORQZrm
+    1209028961U,	// VPXORQZrmb
+    1209028961U,	// VPXORQZrr
+    1209029242U,	// VPXORYrm
+    1209029242U,	// VPXORYrr
+    1209029242U,	// VPXORrm
+    1209029242U,	// VPXORrr
+    839927449U,	// VRCP14PDZm
+    839927449U,	// VRCP14PDZm_Int
+    135284377U,	// VRCP14PDZr
+    135284377U,	// VRCP14PDZr_Int
+    806376527U,	// VRCP14PSZm
+    839930959U,	// VRCP14PSZm_Int
+    135287887U,	// VRCP14PSZr
+    135287887U,	// VRCP14PSZr_Int
+    1209026972U,	// VRCP14SDZm
+    1209026972U,	// VRCP14SDZm_Int
+    1209026972U,	// VRCP14SDZr
+    1209030423U,	// VRCP14SSZm
+    1209030423U,	// VRCP14SSZm_Int
+    1209030423U,	// VRCP14SSZr
+    806376873U,	// VRCPPSYm
+    806376873U,	// VRCPPSYm_Int
+    135288233U,	// VRCPPSYr
+    135288233U,	// VRCPPSYr_Int
+    537941417U,	// VRCPPSm
+    537941417U,	// VRCPPSm_Int
+    135288233U,	// VRCPPSr
+    135288233U,	// VRCPPSr_Int
+    1209030561U,	// VRCPSSm
+    1209030561U,	// VRCPSSm_Int
+    1209030561U,	// VRCPSSr
+    1209027070U,	// VRNDSCALESDm
+    1209027070U,	// VRNDSCALESDr
+    1209027070U,	// VRNDSCALESDr_Int
+    1209030513U,	// VRNDSCALESSm
+    1209030513U,	// VRNDSCALESSr
+    1209030513U,	// VRNDSCALESSr_Int
+    1880115046U,	// VRNDSCALEZPDm
+    1209026406U,	// VRNDSCALEZPDr
+    1880118548U,	// VRNDSCALEZPSm
+    1209029908U,	// VRNDSCALEZPSr
+    1611679555U,	// VROUNDPDm
+    1209026371U,	// VROUNDPDr
+    1611683057U,	// VROUNDPSm
+    1209029873U,	// VROUNDPSr
+    1209027060U,	// VROUNDSDm
+    1209027060U,	// VROUNDSDr
+    1209027060U,	// VROUNDSDr_Int
+    1209030503U,	// VROUNDSSm
+    1209030503U,	// VROUNDSSr
+    1209030503U,	// VROUNDSSr_Int
+    1880115011U,	// VROUNDYPDm
+    1209026371U,	// VROUNDYPDr
+    1880118513U,	// VROUNDYPSm
+    1209029873U,	// VROUNDYPSr
+    839927459U,	// VRSQRT14PDZm
+    839927459U,	// VRSQRT14PDZm_Int
+    135284387U,	// VRSQRT14PDZr
+    135284387U,	// VRSQRT14PDZr_Int
+    806376537U,	// VRSQRT14PSZm
+    839930969U,	// VRSQRT14PSZm_Int
+    135287897U,	// VRSQRT14PSZr
+    135287897U,	// VRSQRT14PSZr_Int
+    1209026982U,	// VRSQRT14SDZm
+    1209026982U,	// VRSQRT14SDZm_Int
+    1209026982U,	// VRSQRT14SDZr
+    1209030433U,	// VRSQRT14SSZm
+    1209030433U,	// VRSQRT14SSZm_Int
+    1209030433U,	// VRSQRT14SSZr
+    806376970U,	// VRSQRTPSYm
+    806376970U,	// VRSQRTPSYm_Int
+    135288330U,	// VRSQRTPSYr
+    135288330U,	// VRSQRTPSYr_Int
+    537941514U,	// VRSQRTPSm
+    537941514U,	// VRSQRTPSm_Int
+    135288330U,	// VRSQRTPSr
+    135288330U,	// VRSQRTPSr_Int
+    1209030586U,	// VRSQRTSSm
+    1209030586U,	// VRSQRTSSm_Int
+    1209030586U,	// VRSQRTSSr
+    509785U,	// VSCATTERDPDZmr
+    496903U,	// VSCATTERDPSZmr
+    509963U,	// VSCATTERQPDZmr
+    513485U,	// VSCATTERQPSZmr
+    1041254259U,	// VSHUFPDYrmi
+    1141917555U,	// VSHUFPDYrri
+    1209026419U,	// VSHUFPDZrmi
+    1209026419U,	// VSHUFPDZrri
+    1276135283U,	// VSHUFPDrmi
+    1141917555U,	// VSHUFPDrri
+    1209029921U,	// VSHUFPSYrmi
+    1209029921U,	// VSHUFPSYrri
+    1209029921U,	// VSHUFPSZrmi
+    1209029921U,	// VSHUFPSZrri
+    1209029921U,	// VSHUFPSrmi
+    1209029921U,	// VSHUFPSrri
+    806373425U,	// VSQRTPDYm
+    135284785U,	// VSQRTPDYr
+    839927857U,	// VSQRTPDZm_Int
+    135284785U,	// VSQRTPDZr_Int
+    839932039U,	// VSQRTPDZrm
+    135288967U,	// VSQRTPDZrr
+    537937969U,	// VSQRTPDm
+    135284785U,	// VSQRTPDr
+    806376980U,	// VSQRTPSYm
+    135288340U,	// VSQRTPSYr
+    839931412U,	// VSQRTPSZm_Int
+    135288340U,	// VSQRTPSZr_Int
+    839932039U,	// VSQRTPSZrm
+    135288967U,	// VSQRTPSZrr
+    537941524U,	// VSQRTPSm
+    135288340U,	// VSQRTPSr
+    1209027144U,	// VSQRTSDZm
+    1209027144U,	// VSQRTSDZm_Int
+    1209027144U,	// VSQRTSDZr
+    1209027144U,	// VSQRTSDZr_Int
+    1209027144U,	// VSQRTSDm
+    1209027144U,	// VSQRTSDm_Int
+    1209027144U,	// VSQRTSDr
+    1209030596U,	// VSQRTSSZm
+    1209030596U,	// VSQRTSSZm_Int
+    1209030596U,	// VSQRTSSZr
+    1209030596U,	// VSQRTSSZr_Int
+    1209030596U,	// VSQRTSSm
+    1209030596U,	// VSQRTSSm_Int
+    1209030596U,	// VSQRTSSr
+    70294U,	// VSTMXCSR
+    1209026294U,	// VSUBPDYrm
+    1209026294U,	// VSUBPDYrr
+    1209026294U,	// VSUBPDZrm
+    1209026294U,	// VSUBPDZrmb
+    1209026294U,	// VSUBPDZrr
+    1209026294U,	// VSUBPDrm
+    1209026294U,	// VSUBPDrr
+    1209029796U,	// VSUBPSYrm
+    1209029796U,	// VSUBPSYrr
+    1209029796U,	// VSUBPSZrm
+    1209029796U,	// VSUBPSZrmb
+    1209029796U,	// VSUBPSZrr
+    1209029796U,	// VSUBPSrm
+    1209029796U,	// VSUBPSrr
+    1209027023U,	// VSUBSDZrm
+    1209027023U,	// VSUBSDZrr
+    1209027023U,	// VSUBSDrm
+    1209027023U,	// VSUBSDrm_Int
+    1209027023U,	// VSUBSDrr
+    1209027023U,	// VSUBSDrr_Int
+    1209030466U,	// VSUBSSZrm
+    1209030466U,	// VSUBSSZrr
+    1209030466U,	// VSUBSSrm
+    1209030466U,	// VSUBSSrm_Int
+    1209030466U,	// VSUBSSrr
+    1209030466U,	// VSUBSSrr_Int
+    806373434U,	// VTESTPDYrm
+    135284794U,	// VTESTPDYrr
+    537937978U,	// VTESTPDrm
+    135284794U,	// VTESTPDrr
+    806376989U,	// VTESTPSYrm
+    135288349U,	// VTESTPSYrr
+    537941533U,	// VTESTPSrm
+    135288349U,	// VTESTPSrr
+    571492875U,	// VUCOMISDZrm
+    135285259U,	// VUCOMISDZrr
+    571492875U,	// VUCOMISDrm
+    135285259U,	// VUCOMISDrr
+    605050750U,	// VUCOMISSZrm
+    135288702U,	// VUCOMISSZrr
+    605050750U,	// VUCOMISSrm
+    135288702U,	// VUCOMISSrr
+    1209026428U,	// VUNPCKHPDYrm
+    1209026428U,	// VUNPCKHPDYrr
+    1209026428U,	// VUNPCKHPDZrm
+    1209026428U,	// VUNPCKHPDZrr
+    1209026428U,	// VUNPCKHPDrm
+    1209026428U,	// VUNPCKHPDrr
+    1209029930U,	// VUNPCKHPSYrm
+    1209029930U,	// VUNPCKHPSYrr
+    1209029930U,	// VUNPCKHPSZrm
+    1209029930U,	// VUNPCKHPSZrr
+    1209029930U,	// VUNPCKHPSrm
+    1209029930U,	// VUNPCKHPSrr
+    1209026470U,	// VUNPCKLPDYrm
+    1209026470U,	// VUNPCKLPDYrr
+    1209026470U,	// VUNPCKLPDZrm
+    1209026470U,	// VUNPCKLPDZrr
+    1209026470U,	// VUNPCKLPDrm
+    1209026470U,	// VUNPCKLPDrr
+    1209029992U,	// VUNPCKLPSYrm
+    1209029992U,	// VUNPCKLPSYrr
+    1209029992U,	// VUNPCKLPSZrm
+    1209029992U,	// VUNPCKLPSZrr
+    1209029992U,	// VUNPCKLPSrm
+    1209029992U,	// VUNPCKLPSrr
+    1209026591U,	// VXORPDYrm
+    1209026591U,	// VXORPDYrr
+    1209026591U,	// VXORPDrm
+    1209026591U,	// VXORPDrr
+    1209030113U,	// VXORPSYrm
+    1209030113U,	// VXORPSYrr
+    1209030113U,	// VXORPSrm
+    1209030113U,	// VXORPSrr
+    9905U,	// VZEROALL
+    10110U,	// VZEROUPPER
+    0U,	// V_SET0
+    0U,	// V_SETALLONES
+    150932U,	// W64ALLOCA
+    10369U,	// WAIT
+    9677U,	// WBINVD
+    9955U,	// WIN_ALLOCA
+    9851U,	// WIN_FTOL_32
+    9851U,	// WIN_FTOL_64
+    19479U,	// WRFSBASE
+    19479U,	// WRFSBASE64
+    19499U,	// WRGSBASE
+    19499U,	// WRGSBASE64
+    10144U,	// WRMSR
+    22646U,	// XABORT
+    9748U,	// XACQUIRE_PREFIX
+    1082427U,	// XADD16rm
+    135283771U,	// XADD16rr
+    1115195U,	// XADD32rm
+    135283771U,	// XADD32rr
+    1131579U,	// XADD64rm
+    135283771U,	// XADD64rr
+    1147963U,	// XADD8rm
+    135283771U,	// XADD8rr
+    9370U,	// XBEGIN
+    151069U,	// XBEGIN_4
+    23972U,	// XCHG16ar
+    281721U,	// XCHG16rm
+    527481U,	// XCHG16rr
+    24089U,	// XCHG32ar
+    24089U,	// XCHG32ar64
+    298105U,	// XCHG32rm
+    527481U,	// XCHG32rr
+    24213U,	// XCHG64ar
+    314489U,	// XCHG64rm
+    527481U,	// XCHG64rr
+    330873U,	// XCHG8rm
+    527481U,	// XCHG8rr
+    19618U,	// XCH_F
+    9554U,	// XCRYPTCBC
+    9474U,	// XCRYPTCFB
+    10150U,	// XCRYPTCTR
+    9464U,	// XCRYPTECB
+    9484U,	// XCRYPTOFB
+    9618U,	// XEND
+    10418U,	// XGETBV
+    9538U,	// XLAT
+    23999U,	// XOR16i16
+    1086076U,	// XOR16mi
+    1086076U,	// XOR16mi8
+    1086076U,	// XOR16mr
+    35705468U,	// XOR16ri
+    35705468U,	// XOR16ri8
+    3199612U,	// XOR16rm
+    35705468U,	// XOR16rr
+    68178556U,	// XOR16rr_REV
+    24119U,	// XOR32i32
+    1118844U,	// XOR32mi
+    1118844U,	// XOR32mi8
+    1118844U,	// XOR32mr
+    35705468U,	// XOR32ri
+    35705468U,	// XOR32ri8
+    4248188U,	// XOR32rm
+    35705468U,	// XOR32rr
+    68178556U,	// XOR32rr_REV
+    24234U,	// XOR64i32
+    1135228U,	// XOR64mi32
+    1135228U,	// XOR64mi8
+    1135228U,	// XOR64mr
+    35705468U,	// XOR64ri32
+    35705468U,	// XOR64ri8
+    5296764U,	// XOR64rm
+    35705468U,	// XOR64rr
+    68178556U,	// XOR64rr_REV
+    23887U,	// XOR8i8
+    1151612U,	// XOR8mi
+    1151612U,	// XOR8mr
+    35705468U,	// XOR8ri
+    6345340U,	// XOR8rm
+    35705468U,	// XOR8rr
+    68178556U,	// XOR8rr_REV
+    202393632U,	// XORPDrm
+    68175904U,	// XORPDrr
+    202397154U,	// XORPSrm
+    68179426U,	// XORPSrr
+    9764U,	// XRELEASE_PREFIX
+    217714U,	// XRSTOR
+    213165U,	// XRSTOR64
+    216152U,	// XSAVE
+    213156U,	// XSAVE64
+    219244U,	// XSAVEOPT
+    213175U,	// XSAVEOPT64
+    10425U,	// XSETBV
+    9102U,	// XSHA1
+    9332U,	// XSHA256
+    9757U,	// XSTORE
+    10407U,	// XTEST
+    0U
+  };
+
+  static const uint16_t OpInfo2[] = {
+    0U,	// PHI
+    0U,	// INLINEASM
+    0U,	// PROLOG_LABEL
+    0U,	// EH_LABEL
+    0U,	// GC_LABEL
+    0U,	// KILL
+    0U,	// EXTRACT_SUBREG
+    0U,	// INSERT_SUBREG
+    0U,	// IMPLICIT_DEF
+    0U,	// SUBREG_TO_REG
+    0U,	// COPY_TO_REGCLASS
+    0U,	// DBG_VALUE
+    0U,	// REG_SEQUENCE
+    0U,	// COPY
+    0U,	// BUNDLE
+    0U,	// LIFETIME_START
+    0U,	// LIFETIME_END
+    0U,	// AAA
+    0U,	// AAD8i8
+    0U,	// AAM8i8
+    0U,	// AAS
+    0U,	// ABS_F
+    0U,	// ABS_Fp32
+    0U,	// ABS_Fp64
+    0U,	// ABS_Fp80
+    0U,	// ACQUIRE_MOV16rm
+    0U,	// ACQUIRE_MOV32rm
+    0U,	// ACQUIRE_MOV64rm
+    0U,	// ACQUIRE_MOV8rm
+    0U,	// ADC16i16
+    0U,	// ADC16mi
+    0U,	// ADC16mi8
+    0U,	// ADC16mr
+    0U,	// ADC16ri
+    0U,	// ADC16ri8
+    0U,	// ADC16rm
+    0U,	// ADC16rr
+    0U,	// ADC16rr_REV
+    0U,	// ADC32i32
+    0U,	// ADC32mi
+    0U,	// ADC32mi8
+    0U,	// ADC32mr
+    0U,	// ADC32ri
+    0U,	// ADC32ri8
+    0U,	// ADC32rm
+    0U,	// ADC32rr
+    0U,	// ADC32rr_REV
+    0U,	// ADC64i32
+    0U,	// ADC64mi32
+    0U,	// ADC64mi8
+    0U,	// ADC64mr
+    0U,	// ADC64ri32
+    0U,	// ADC64ri8
+    0U,	// ADC64rm
+    0U,	// ADC64rr
+    0U,	// ADC64rr_REV
+    0U,	// ADC8i8
+    0U,	// ADC8mi
+    0U,	// ADC8mr
+    0U,	// ADC8ri
+    0U,	// ADC8rm
+    0U,	// ADC8rr
+    0U,	// ADC8rr_REV
+    0U,	// ADCX32rm
+    0U,	// ADCX32rr
+    0U,	// ADCX64rm
+    0U,	// ADCX64rr
+    0U,	// ADD16i16
+    0U,	// ADD16mi
+    0U,	// ADD16mi8
+    0U,	// ADD16mr
+    0U,	// ADD16ri
+    0U,	// ADD16ri8
+    0U,	// ADD16ri8_DB
+    0U,	// ADD16ri_DB
+    0U,	// ADD16rm
+    0U,	// ADD16rr
+    0U,	// ADD16rr_DB
+    0U,	// ADD16rr_REV
+    0U,	// ADD32i32
+    0U,	// ADD32mi
+    0U,	// ADD32mi8
+    0U,	// ADD32mr
+    0U,	// ADD32ri
+    0U,	// ADD32ri8
+    0U,	// ADD32ri8_DB
+    0U,	// ADD32ri_DB
+    0U,	// ADD32rm
+    0U,	// ADD32rr
+    0U,	// ADD32rr_DB
+    0U,	// ADD32rr_REV
+    0U,	// ADD64i32
+    0U,	// ADD64mi32
+    0U,	// ADD64mi8
+    0U,	// ADD64mr
+    0U,	// ADD64ri32
+    0U,	// ADD64ri32_DB
+    0U,	// ADD64ri8
+    0U,	// ADD64ri8_DB
+    0U,	// ADD64rm
+    0U,	// ADD64rr
+    0U,	// ADD64rr_DB
+    0U,	// ADD64rr_REV
+    0U,	// ADD8i8
+    0U,	// ADD8mi
+    0U,	// ADD8mr
+    0U,	// ADD8ri
+    0U,	// ADD8rm
+    0U,	// ADD8rr
+    0U,	// ADD8rr_REV
+    0U,	// ADDPDrm
+    0U,	// ADDPDrr
+    0U,	// ADDPSrm
+    0U,	// ADDPSrr
+    0U,	// ADDSDrm
+    0U,	// ADDSDrm_Int
+    0U,	// ADDSDrr
+    0U,	// ADDSDrr_Int
+    0U,	// ADDSSrm
+    0U,	// ADDSSrm_Int
+    0U,	// ADDSSrr
+    0U,	// ADDSSrr_Int
+    0U,	// ADDSUBPDrm
+    0U,	// ADDSUBPDrr
+    0U,	// ADDSUBPSrm
+    0U,	// ADDSUBPSrr
+    0U,	// ADD_F32m
+    0U,	// ADD_F64m
+    0U,	// ADD_FI16m
+    0U,	// ADD_FI32m
+    0U,	// ADD_FPrST0
+    0U,	// ADD_FST0r
+    0U,	// ADD_Fp32
+    0U,	// ADD_Fp32m
+    0U,	// ADD_Fp64
+    0U,	// ADD_Fp64m
+    0U,	// ADD_Fp64m32
+    0U,	// ADD_Fp80
+    0U,	// ADD_Fp80m32
+    0U,	// ADD_Fp80m64
+    0U,	// ADD_FpI16m32
+    0U,	// ADD_FpI16m64
+    0U,	// ADD_FpI16m80
+    0U,	// ADD_FpI32m32
+    0U,	// ADD_FpI32m64
+    0U,	// ADD_FpI32m80
+    0U,	// ADD_FrST0
+    0U,	// ADJCALLSTACKDOWN32
+    0U,	// ADJCALLSTACKDOWN64
+    0U,	// ADJCALLSTACKUP32
+    0U,	// ADJCALLSTACKUP64
+    0U,	// ADOX32rm
+    0U,	// ADOX32rr
+    0U,	// ADOX64rm
+    0U,	// ADOX64rr
+    0U,	// AESDECLASTrm
+    0U,	// AESDECLASTrr
+    0U,	// AESDECrm
+    0U,	// AESDECrr
+    0U,	// AESENCLASTrm
+    0U,	// AESENCLASTrr
+    0U,	// AESENCrm
+    0U,	// AESENCrr
+    0U,	// AESIMCrm
+    0U,	// AESIMCrr
+    0U,	// AESKEYGENASSIST128rm
+    2U,	// AESKEYGENASSIST128rr
+    0U,	// AND16i16
+    0U,	// AND16mi
+    0U,	// AND16mi8
+    0U,	// AND16mr
+    0U,	// AND16ri
+    0U,	// AND16ri8
+    0U,	// AND16rm
+    0U,	// AND16rr
+    0U,	// AND16rr_REV
+    0U,	// AND32i32
+    0U,	// AND32mi
+    0U,	// AND32mi8
+    0U,	// AND32mr
+    0U,	// AND32ri
+    0U,	// AND32ri8
+    0U,	// AND32rm
+    0U,	// AND32rr
+    0U,	// AND32rr_REV
+    0U,	// AND64i32
+    0U,	// AND64mi32
+    0U,	// AND64mi8
+    0U,	// AND64mr
+    0U,	// AND64ri32
+    0U,	// AND64ri8
+    0U,	// AND64rm
+    0U,	// AND64rr
+    0U,	// AND64rr_REV
+    0U,	// AND8i8
+    0U,	// AND8mi
+    0U,	// AND8mr
+    0U,	// AND8ri
+    0U,	// AND8rm
+    0U,	// AND8rr
+    0U,	// AND8rr_REV
+    4U,	// ANDN32rm
+    2U,	// ANDN32rr
+    6U,	// ANDN64rm
+    2U,	// ANDN64rr
+    0U,	// ANDNPDrm
+    0U,	// ANDNPDrr
+    0U,	// ANDNPSrm
+    0U,	// ANDNPSrr
+    0U,	// ANDPDrm
+    0U,	// ANDPDrr
+    0U,	// ANDPSrm
+    0U,	// ANDPSrr
+    0U,	// ARPL16mr
+    0U,	// ARPL16rr
+    0U,	// ATOMADD6432
+    0U,	// ATOMAND16
+    0U,	// ATOMAND32
+    0U,	// ATOMAND64
+    0U,	// ATOMAND6432
+    0U,	// ATOMAND8
+    0U,	// ATOMMAX16
+    0U,	// ATOMMAX32
+    0U,	// ATOMMAX64
+    0U,	// ATOMMAX6432
+    0U,	// ATOMMAX8
+    0U,	// ATOMMIN16
+    0U,	// ATOMMIN32
+    0U,	// ATOMMIN64
+    0U,	// ATOMMIN6432
+    0U,	// ATOMMIN8
+    0U,	// ATOMNAND16
+    0U,	// ATOMNAND32
+    0U,	// ATOMNAND64
+    0U,	// ATOMNAND6432
+    0U,	// ATOMNAND8
+    0U,	// ATOMOR16
+    0U,	// ATOMOR32
+    0U,	// ATOMOR64
+    0U,	// ATOMOR6432
+    0U,	// ATOMOR8
+    0U,	// ATOMSUB6432
+    0U,	// ATOMSWAP6432
+    0U,	// ATOMUMAX16
+    0U,	// ATOMUMAX32
+    0U,	// ATOMUMAX64
+    0U,	// ATOMUMAX6432
+    0U,	// ATOMUMAX8
+    0U,	// ATOMUMIN16
+    0U,	// ATOMUMIN32
+    0U,	// ATOMUMIN64
+    0U,	// ATOMUMIN6432
+    0U,	// ATOMUMIN8
+    0U,	// ATOMXOR16
+    0U,	// ATOMXOR32
+    0U,	// ATOMXOR64
+    0U,	// ATOMXOR6432
+    0U,	// ATOMXOR8
+    0U,	// AVX2_SETALLONES
+    0U,	// AVX512_512_SET0
+    0U,	// AVX_SET0
+    0U,	// BEXTR32rm
+    2U,	// BEXTR32rr
+    0U,	// BEXTR64rm
+    2U,	// BEXTR64rr
+    8U,	// BLENDPDrmi
+    10U,	// BLENDPDrri
+    8U,	// BLENDPSrmi
+    10U,	// BLENDPSrri
+    0U,	// BLENDVPDrm0
+    0U,	// BLENDVPDrr0
+    0U,	// BLENDVPSrm0
+    0U,	// BLENDVPSrr0
+    0U,	// BLSI32rm
+    0U,	// BLSI32rr
+    0U,	// BLSI64rm
+    0U,	// BLSI64rr
+    0U,	// BLSMSK32rm
+    0U,	// BLSMSK32rr
+    0U,	// BLSMSK64rm
+    0U,	// BLSMSK64rr
+    0U,	// BLSR32rm
+    0U,	// BLSR32rr
+    0U,	// BLSR64rm
+    0U,	// BLSR64rr
+    0U,	// BOUNDS16rm
+    0U,	// BOUNDS32rm
+    0U,	// BSF16rm
+    0U,	// BSF16rr
+    0U,	// BSF32rm
+    0U,	// BSF32rr
+    0U,	// BSF64rm
+    0U,	// BSF64rr
+    0U,	// BSR16rm
+    0U,	// BSR16rr
+    0U,	// BSR32rm
+    0U,	// BSR32rr
+    0U,	// BSR64rm
+    0U,	// BSR64rr
+    0U,	// BSWAP32r
+    0U,	// BSWAP64r
+    0U,	// BT16mi8
+    0U,	// BT16mr
+    0U,	// BT16ri8
+    0U,	// BT16rr
+    0U,	// BT32mi8
+    0U,	// BT32mr
+    0U,	// BT32ri8
+    0U,	// BT32rr
+    0U,	// BT64mi8
+    0U,	// BT64mr
+    0U,	// BT64ri8
+    0U,	// BT64rr
+    0U,	// BTC16mi8
+    0U,	// BTC16mr
+    0U,	// BTC16ri8
+    0U,	// BTC16rr
+    0U,	// BTC32mi8
+    0U,	// BTC32mr
+    0U,	// BTC32ri8
+    0U,	// BTC32rr
+    0U,	// BTC64mi8
+    0U,	// BTC64mr
+    0U,	// BTC64ri8
+    0U,	// BTC64rr
+    0U,	// BTR16mi8
+    0U,	// BTR16mr
+    0U,	// BTR16ri8
+    0U,	// BTR16rr
+    0U,	// BTR32mi8
+    0U,	// BTR32mr
+    0U,	// BTR32ri8
+    0U,	// BTR32rr
+    0U,	// BTR64mi8
+    0U,	// BTR64mr
+    0U,	// BTR64ri8
+    0U,	// BTR64rr
+    0U,	// BTS16mi8
+    0U,	// BTS16mr
+    0U,	// BTS16ri8
+    0U,	// BTS16rr
+    0U,	// BTS32mi8
+    0U,	// BTS32mr
+    0U,	// BTS32ri8
+    0U,	// BTS32rr
+    0U,	// BTS64mi8
+    0U,	// BTS64mr
+    0U,	// BTS64ri8
+    0U,	// BTS64rr
+    0U,	// BZHI32rm
+    2U,	// BZHI32rr
+    0U,	// BZHI64rm
+    2U,	// BZHI64rr
+    0U,	// CALL32m
+    0U,	// CALL32r
+    0U,	// CALL64m
+    0U,	// CALL64pcrel32
+    0U,	// CALL64r
+    0U,	// CALLpcrel16
+    0U,	// CALLpcrel32
+    0U,	// CBW
+    0U,	// CDQ
+    0U,	// CDQE
+    0U,	// CHS_F
+    0U,	// CHS_Fp32
+    0U,	// CHS_Fp64
+    0U,	// CHS_Fp80
+    0U,	// CLAC
+    0U,	// CLC
+    0U,	// CLD
+    0U,	// CLFLUSH
+    0U,	// CLGI
+    0U,	// CLI
+    0U,	// CLTS
+    0U,	// CMC
+    0U,	// CMOVA16rm
+    0U,	// CMOVA16rr
+    0U,	// CMOVA32rm
+    0U,	// CMOVA32rr
+    0U,	// CMOVA64rm
+    0U,	// CMOVA64rr
+    0U,	// CMOVAE16rm
+    0U,	// CMOVAE16rr
+    0U,	// CMOVAE32rm
+    0U,	// CMOVAE32rr
+    0U,	// CMOVAE64rm
+    0U,	// CMOVAE64rr
+    0U,	// CMOVB16rm
+    0U,	// CMOVB16rr
+    0U,	// CMOVB32rm
+    0U,	// CMOVB32rr
+    0U,	// CMOVB64rm
+    0U,	// CMOVB64rr
+    0U,	// CMOVBE16rm
+    0U,	// CMOVBE16rr
+    0U,	// CMOVBE32rm
+    0U,	// CMOVBE32rr
+    0U,	// CMOVBE64rm
+    0U,	// CMOVBE64rr
+    0U,	// CMOVBE_F
+    0U,	// CMOVBE_Fp32
+    0U,	// CMOVBE_Fp64
+    0U,	// CMOVBE_Fp80
+    0U,	// CMOVB_F
+    0U,	// CMOVB_Fp32
+    0U,	// CMOVB_Fp64
+    0U,	// CMOVB_Fp80
+    0U,	// CMOVE16rm
+    0U,	// CMOVE16rr
+    0U,	// CMOVE32rm
+    0U,	// CMOVE32rr
+    0U,	// CMOVE64rm
+    0U,	// CMOVE64rr
+    0U,	// CMOVE_F
+    0U,	// CMOVE_Fp32
+    0U,	// CMOVE_Fp64
+    0U,	// CMOVE_Fp80
+    0U,	// CMOVG16rm
+    0U,	// CMOVG16rr
+    0U,	// CMOVG32rm
+    0U,	// CMOVG32rr
+    0U,	// CMOVG64rm
+    0U,	// CMOVG64rr
+    0U,	// CMOVGE16rm
+    0U,	// CMOVGE16rr
+    0U,	// CMOVGE32rm
+    0U,	// CMOVGE32rr
+    0U,	// CMOVGE64rm
+    0U,	// CMOVGE64rr
+    0U,	// CMOVL16rm
+    0U,	// CMOVL16rr
+    0U,	// CMOVL32rm
+    0U,	// CMOVL32rr
+    0U,	// CMOVL64rm
+    0U,	// CMOVL64rr
+    0U,	// CMOVLE16rm
+    0U,	// CMOVLE16rr
+    0U,	// CMOVLE32rm
+    0U,	// CMOVLE32rr
+    0U,	// CMOVLE64rm
+    0U,	// CMOVLE64rr
+    0U,	// CMOVNBE_F
+    0U,	// CMOVNBE_Fp32
+    0U,	// CMOVNBE_Fp64
+    0U,	// CMOVNBE_Fp80
+    0U,	// CMOVNB_F
+    0U,	// CMOVNB_Fp32
+    0U,	// CMOVNB_Fp64
+    0U,	// CMOVNB_Fp80
+    0U,	// CMOVNE16rm
+    0U,	// CMOVNE16rr
+    0U,	// CMOVNE32rm
+    0U,	// CMOVNE32rr
+    0U,	// CMOVNE64rm
+    0U,	// CMOVNE64rr
+    0U,	// CMOVNE_F
+    0U,	// CMOVNE_Fp32
+    0U,	// CMOVNE_Fp64
+    0U,	// CMOVNE_Fp80
+    0U,	// CMOVNO16rm
+    0U,	// CMOVNO16rr
+    0U,	// CMOVNO32rm
+    0U,	// CMOVNO32rr
+    0U,	// CMOVNO64rm
+    0U,	// CMOVNO64rr
+    0U,	// CMOVNP16rm
+    0U,	// CMOVNP16rr
+    0U,	// CMOVNP32rm
+    0U,	// CMOVNP32rr
+    0U,	// CMOVNP64rm
+    0U,	// CMOVNP64rr
+    0U,	// CMOVNP_F
+    0U,	// CMOVNP_Fp32
+    0U,	// CMOVNP_Fp64
+    0U,	// CMOVNP_Fp80
+    0U,	// CMOVNS16rm
+    0U,	// CMOVNS16rr
+    0U,	// CMOVNS32rm
+    0U,	// CMOVNS32rr
+    0U,	// CMOVNS64rm
+    0U,	// CMOVNS64rr
+    0U,	// CMOVO16rm
+    0U,	// CMOVO16rr
+    0U,	// CMOVO32rm
+    0U,	// CMOVO32rr
+    0U,	// CMOVO64rm
+    0U,	// CMOVO64rr
+    0U,	// CMOVP16rm
+    0U,	// CMOVP16rr
+    0U,	// CMOVP32rm
+    0U,	// CMOVP32rr
+    0U,	// CMOVP64rm
+    0U,	// CMOVP64rr
+    0U,	// CMOVP_F
+    0U,	// CMOVP_Fp32
+    0U,	// CMOVP_Fp64
+    0U,	// CMOVP_Fp80
+    0U,	// CMOVS16rm
+    0U,	// CMOVS16rr
+    0U,	// CMOVS32rm
+    0U,	// CMOVS32rr
+    0U,	// CMOVS64rm
+    0U,	// CMOVS64rr
+    0U,	// CMOV_FR32
+    0U,	// CMOV_FR64
+    0U,	// CMOV_GR16
+    0U,	// CMOV_GR32
+    0U,	// CMOV_GR8
+    0U,	// CMOV_RFP32
+    0U,	// CMOV_RFP64
+    0U,	// CMOV_RFP80
+    0U,	// CMOV_V2F64
+    0U,	// CMOV_V2I64
+    0U,	// CMOV_V4F32
+    0U,	// CMOV_V4F64
+    0U,	// CMOV_V4I64
+    0U,	// CMOV_V8F32
+    0U,	// CMP16i16
+    0U,	// CMP16mi
+    0U,	// CMP16mi8
+    0U,	// CMP16mr
+    0U,	// CMP16ri
+    0U,	// CMP16ri8
+    0U,	// CMP16rm
+    0U,	// CMP16rr
+    0U,	// CMP16rr_REV
+    0U,	// CMP32i32
+    0U,	// CMP32mi
+    0U,	// CMP32mi8
+    0U,	// CMP32mr
+    0U,	// CMP32ri
+    0U,	// CMP32ri8
+    0U,	// CMP32rm
+    0U,	// CMP32rr
+    0U,	// CMP32rr_REV
+    0U,	// CMP64i32
+    0U,	// CMP64mi32
+    0U,	// CMP64mi8
+    0U,	// CMP64mr
+    0U,	// CMP64ri32
+    0U,	// CMP64ri8
+    0U,	// CMP64rm
+    0U,	// CMP64rr
+    0U,	// CMP64rr_REV
+    0U,	// CMP8i8
+    0U,	// CMP8mi
+    0U,	// CMP8mr
+    0U,	// CMP8ri
+    0U,	// CMP8rm
+    0U,	// CMP8rr
+    0U,	// CMP8rr_REV
+    0U,	// CMPPDrmi
+    8U,	// CMPPDrmi_alt
+    0U,	// CMPPDrri
+    10U,	// CMPPDrri_alt
+    0U,	// CMPPSrmi
+    8U,	// CMPPSrmi_alt
+    0U,	// CMPPSrri
+    10U,	// CMPPSrri_alt
+    0U,	// CMPS16
+    0U,	// CMPS32
+    0U,	// CMPS64
+    0U,	// CMPS8
+    0U,	// CMPSDrm
+    8U,	// CMPSDrm_alt
+    0U,	// CMPSDrr
+    10U,	// CMPSDrr_alt
+    0U,	// CMPSSrm
+    8U,	// CMPSSrm_alt
+    0U,	// CMPSSrr
+    10U,	// CMPSSrr_alt
+    0U,	// CMPXCHG16B
+    0U,	// CMPXCHG16rm
+    0U,	// CMPXCHG16rr
+    0U,	// CMPXCHG32rm
+    0U,	// CMPXCHG32rr
+    0U,	// CMPXCHG64rm
+    0U,	// CMPXCHG64rr
+    0U,	// CMPXCHG8B
+    0U,	// CMPXCHG8rm
+    0U,	// CMPXCHG8rr
+    0U,	// COMISDrm
+    0U,	// COMISDrr
+    0U,	// COMISSrm
+    0U,	// COMISSrr
+    0U,	// COMP_FST0r
+    0U,	// COM_FIPr
+    0U,	// COM_FIr
+    0U,	// COM_FST0r
+    0U,	// COS_F
+    0U,	// COS_Fp32
+    0U,	// COS_Fp64
+    0U,	// COS_Fp80
+    0U,	// CPUID
+    0U,	// CQO
+    0U,	// CRC32r32m16
+    0U,	// CRC32r32m32
+    0U,	// CRC32r32m8
+    0U,	// CRC32r32r16
+    0U,	// CRC32r32r32
+    0U,	// CRC32r32r8
+    0U,	// CRC32r64m64
+    0U,	// CRC32r64m8
+    0U,	// CRC32r64r64
+    0U,	// CRC32r64r8
+    0U,	// CS_PREFIX
+    0U,	// CVTDQ2PDrm
+    0U,	// CVTDQ2PDrr
+    0U,	// CVTDQ2PSrm
+    0U,	// CVTDQ2PSrr
+    0U,	// CVTPD2DQrm
+    0U,	// CVTPD2DQrr
+    0U,	// CVTPD2PSrm
+    0U,	// CVTPD2PSrr
+    0U,	// CVTPS2DQrm
+    0U,	// CVTPS2DQrr
+    0U,	// CVTPS2PDrm
+    0U,	// CVTPS2PDrr
+    0U,	// CVTSD2SI64rm
+    0U,	// CVTSD2SI64rr
+    0U,	// CVTSD2SIrm
+    0U,	// CVTSD2SIrr
+    0U,	// CVTSD2SSrm
+    0U,	// CVTSD2SSrr
+    0U,	// CVTSI2SD64rm
+    0U,	// CVTSI2SD64rr
+    0U,	// CVTSI2SDrm
+    0U,	// CVTSI2SDrr
+    0U,	// CVTSI2SS64rm
+    0U,	// CVTSI2SS64rr
+    0U,	// CVTSI2SSrm
+    0U,	// CVTSI2SSrr
+    0U,	// CVTSS2SDrm
+    0U,	// CVTSS2SDrr
+    0U,	// CVTSS2SI64rm
+    0U,	// CVTSS2SI64rr
+    0U,	// CVTSS2SIrm
+    0U,	// CVTSS2SIrr
+    0U,	// CVTTPD2DQrm
+    0U,	// CVTTPD2DQrr
+    0U,	// CVTTPS2DQrm
+    0U,	// CVTTPS2DQrr
+    0U,	// CVTTSD2SI64rm
+    0U,	// CVTTSD2SI64rr
+    0U,	// CVTTSD2SIrm
+    0U,	// CVTTSD2SIrr
+    0U,	// CVTTSS2SI64rm
+    0U,	// CVTTSS2SI64rr
+    0U,	// CVTTSS2SIrm
+    0U,	// CVTTSS2SIrr
+    0U,	// CWD
+    0U,	// CWDE
+    0U,	// DAA
+    0U,	// DAS
+    0U,	// DATA16_PREFIX
+    0U,	// DEC16m
+    0U,	// DEC16r
+    0U,	// DEC32m
+    0U,	// DEC32r
+    0U,	// DEC64_16m
+    0U,	// DEC64_16r
+    0U,	// DEC64_32m
+    0U,	// DEC64_32r
+    0U,	// DEC64m
+    0U,	// DEC64r
+    0U,	// DEC8m
+    0U,	// DEC8r
+    0U,	// DIV16m
+    0U,	// DIV16r
+    0U,	// DIV32m
+    0U,	// DIV32r
+    0U,	// DIV64m
+    0U,	// DIV64r
+    0U,	// DIV8m
+    0U,	// DIV8r
+    0U,	// DIVPDrm
+    0U,	// DIVPDrr
+    0U,	// DIVPSrm
+    0U,	// DIVPSrr
+    0U,	// DIVR_F32m
+    0U,	// DIVR_F64m
+    0U,	// DIVR_FI16m
+    0U,	// DIVR_FI32m
+    0U,	// DIVR_FPrST0
+    0U,	// DIVR_FST0r
+    0U,	// DIVR_Fp32m
+    0U,	// DIVR_Fp64m
+    0U,	// DIVR_Fp64m32
+    0U,	// DIVR_Fp80m32
+    0U,	// DIVR_Fp80m64
+    0U,	// DIVR_FpI16m32
+    0U,	// DIVR_FpI16m64
+    0U,	// DIVR_FpI16m80
+    0U,	// DIVR_FpI32m32
+    0U,	// DIVR_FpI32m64
+    0U,	// DIVR_FpI32m80
+    0U,	// DIVR_FrST0
+    0U,	// DIVSDrm
+    0U,	// DIVSDrm_Int
+    0U,	// DIVSDrr
+    0U,	// DIVSDrr_Int
+    0U,	// DIVSSrm
+    0U,	// DIVSSrm_Int
+    0U,	// DIVSSrr
+    0U,	// DIVSSrr_Int
+    0U,	// DIV_F32m
+    0U,	// DIV_F64m
+    0U,	// DIV_FI16m
+    0U,	// DIV_FI32m
+    0U,	// DIV_FPrST0
+    0U,	// DIV_FST0r
+    0U,	// DIV_Fp32
+    0U,	// DIV_Fp32m
+    0U,	// DIV_Fp64
+    0U,	// DIV_Fp64m
+    0U,	// DIV_Fp64m32
+    0U,	// DIV_Fp80
+    0U,	// DIV_Fp80m32
+    0U,	// DIV_Fp80m64
+    0U,	// DIV_FpI16m32
+    0U,	// DIV_FpI16m64
+    0U,	// DIV_FpI16m80
+    0U,	// DIV_FpI32m32
+    0U,	// DIV_FpI32m64
+    0U,	// DIV_FpI32m80
+    0U,	// DIV_FrST0
+    8U,	// DPPDrmi
+    10U,	// DPPDrri
+    8U,	// DPPSrmi
+    10U,	// DPPSrri
+    0U,	// DS_PREFIX
+    0U,	// EH_RETURN
+    0U,	// EH_RETURN64
+    0U,	// EH_SjLj_LongJmp32
+    0U,	// EH_SjLj_LongJmp64
+    0U,	// EH_SjLj_SetJmp32
+    0U,	// EH_SjLj_SetJmp64
+    0U,	// EH_SjLj_Setup
+    0U,	// ENTER
+    0U,	// ES_PREFIX
+    0U,	// EXTRACTPSmr
+    2U,	// EXTRACTPSrr
+    0U,	// EXTRQ
+    0U,	// EXTRQI
+    0U,	// F2XM1
+    0U,	// FARCALL16i
+    0U,	// FARCALL16m
+    0U,	// FARCALL32i
+    0U,	// FARCALL32m
+    0U,	// FARCALL64
+    0U,	// FARJMP16i
+    0U,	// FARJMP16m
+    0U,	// FARJMP32i
+    0U,	// FARJMP32m
+    0U,	// FARJMP64
+    0U,	// FBLDm
+    0U,	// FBSTPm
+    0U,	// FCOM32m
+    0U,	// FCOM64m
+    0U,	// FCOMP32m
+    0U,	// FCOMP64m
+    0U,	// FCOMPP
+    0U,	// FDECSTP
+    0U,	// FEMMS
+    0U,	// FFREE
+    0U,	// FICOM16m
+    0U,	// FICOM32m
+    0U,	// FICOMP16m
+    0U,	// FICOMP32m
+    0U,	// FINCSTP
+    0U,	// FLDCW16m
+    0U,	// FLDENVm
+    0U,	// FLDL2E
+    0U,	// FLDL2T
+    0U,	// FLDLG2
+    0U,	// FLDLN2
+    0U,	// FLDPI
+    0U,	// FNCLEX
+    0U,	// FNINIT
+    0U,	// FNOP
+    0U,	// FNSTCW16m
+    0U,	// FNSTSW16r
+    0U,	// FNSTSWm
+    0U,	// FP32_TO_INT16_IN_MEM
+    0U,	// FP32_TO_INT32_IN_MEM
+    0U,	// FP32_TO_INT64_IN_MEM
+    0U,	// FP64_TO_INT16_IN_MEM
+    0U,	// FP64_TO_INT32_IN_MEM
+    0U,	// FP64_TO_INT64_IN_MEM
+    0U,	// FP80_TO_INT16_IN_MEM
+    0U,	// FP80_TO_INT32_IN_MEM
+    0U,	// FP80_TO_INT64_IN_MEM
+    0U,	// FPATAN
+    0U,	// FPREM
+    0U,	// FPREM1
+    0U,	// FPTAN
+    0U,	// FRNDINT
+    0U,	// FRSTORm
+    0U,	// FSAVEm
+    0U,	// FSCALE
+    0U,	// FSINCOS
+    0U,	// FSTENVm
+    0U,	// FS_PREFIX
+    0U,	// FXAM
+    0U,	// FXRSTOR
+    0U,	// FXRSTOR64
+    0U,	// FXSAVE
+    0U,	// FXSAVE64
+    0U,	// FXTRACT
+    0U,	// FYL2X
+    0U,	// FYL2XP1
+    0U,	// FpPOP_RETVAL
+    0U,	// FsANDNPDrm
+    0U,	// FsANDNPDrr
+    0U,	// FsANDNPSrm
+    0U,	// FsANDNPSrr
+    0U,	// FsANDPDrm
+    0U,	// FsANDPDrr
+    0U,	// FsANDPSrm
+    0U,	// FsANDPSrr
+    0U,	// FsFLD0SD
+    0U,	// FsFLD0SS
+    0U,	// FsMOVAPDrm
+    0U,	// FsMOVAPDrr
+    0U,	// FsMOVAPSrm
+    0U,	// FsMOVAPSrr
+    0U,	// FsORPDrm
+    0U,	// FsORPDrr
+    0U,	// FsORPSrm
+    0U,	// FsORPSrr
+    0U,	// FsVMOVAPDrm
+    0U,	// FsVMOVAPDrr
+    0U,	// FsVMOVAPSrm
+    0U,	// FsVMOVAPSrr
+    0U,	// FsXORPDrm
+    0U,	// FsXORPDrr
+    0U,	// FsXORPSrm
+    0U,	// FsXORPSrr
+    0U,	// GS_PREFIX
+    0U,	// HADDPDrm
+    0U,	// HADDPDrr
+    0U,	// HADDPSrm
+    0U,	// HADDPSrr
+    0U,	// HLT
+    0U,	// HSUBPDrm
+    0U,	// HSUBPDrr
+    0U,	// HSUBPSrm
+    0U,	// HSUBPSrr
+    0U,	// IDIV16m
+    0U,	// IDIV16r
+    0U,	// IDIV32m
+    0U,	// IDIV32r
+    0U,	// IDIV64m
+    0U,	// IDIV64r
+    0U,	// IDIV8m
+    0U,	// IDIV8r
+    0U,	// ILD_F16m
+    0U,	// ILD_F32m
+    0U,	// ILD_F64m
+    0U,	// ILD_Fp16m32
+    0U,	// ILD_Fp16m64
+    0U,	// ILD_Fp16m80
+    0U,	// ILD_Fp32m32
+    0U,	// ILD_Fp32m64
+    0U,	// ILD_Fp32m80
+    0U,	// ILD_Fp64m32
+    0U,	// ILD_Fp64m64
+    0U,	// ILD_Fp64m80
+    0U,	// IMUL16m
+    0U,	// IMUL16r
+    0U,	// IMUL16rm
+    0U,	// IMUL16rmi
+    0U,	// IMUL16rmi8
+    0U,	// IMUL16rr
+    2U,	// IMUL16rri
+    2U,	// IMUL16rri8
+    0U,	// IMUL32m
+    0U,	// IMUL32r
+    0U,	// IMUL32rm
+    0U,	// IMUL32rmi
+    0U,	// IMUL32rmi8
+    0U,	// IMUL32rr
+    2U,	// IMUL32rri
+    2U,	// IMUL32rri8
+    0U,	// IMUL64m
+    0U,	// IMUL64r
+    0U,	// IMUL64rm
+    0U,	// IMUL64rmi32
+    0U,	// IMUL64rmi8
+    0U,	// IMUL64rr
+    2U,	// IMUL64rri32
+    2U,	// IMUL64rri8
+    0U,	// IMUL8m
+    0U,	// IMUL8r
+    0U,	// IN16
+    0U,	// IN16ri
+    0U,	// IN16rr
+    0U,	// IN32
+    0U,	// IN32ri
+    0U,	// IN32rr
+    0U,	// IN8
+    0U,	// IN8ri
+    0U,	// IN8rr
+    0U,	// INC16m
+    0U,	// INC16r
+    0U,	// INC32m
+    0U,	// INC32r
+    0U,	// INC64_16m
+    0U,	// INC64_16r
+    0U,	// INC64_32m
+    0U,	// INC64_32r
+    0U,	// INC64m
+    0U,	// INC64r
+    0U,	// INC8m
+    0U,	// INC8r
+    8U,	// INSERTPSrm
+    10U,	// INSERTPSrr
+    0U,	// INSERTQ
+    12U,	// INSERTQI
+    0U,	// INT
+    0U,	// INT3
+    0U,	// INTO
+    0U,	// INVD
+    0U,	// INVEPT32
+    0U,	// INVEPT64
+    0U,	// INVLPG
+    0U,	// INVLPGA32
+    0U,	// INVLPGA64
+    0U,	// INVPCID32
+    0U,	// INVPCID64
+    0U,	// INVVPID32
+    0U,	// INVVPID64
+    0U,	// IRET16
+    0U,	// IRET32
+    0U,	// IRET64
+    0U,	// ISTT_FP16m
+    0U,	// ISTT_FP32m
+    0U,	// ISTT_FP64m
+    0U,	// ISTT_Fp16m32
+    0U,	// ISTT_Fp16m64
+    0U,	// ISTT_Fp16m80
+    0U,	// ISTT_Fp32m32
+    0U,	// ISTT_Fp32m64
+    0U,	// ISTT_Fp32m80
+    0U,	// ISTT_Fp64m32
+    0U,	// ISTT_Fp64m64
+    0U,	// ISTT_Fp64m80
+    0U,	// IST_F16m
+    0U,	// IST_F32m
+    0U,	// IST_FP16m
+    0U,	// IST_FP32m
+    0U,	// IST_FP64m
+    0U,	// IST_Fp16m32
+    0U,	// IST_Fp16m64
+    0U,	// IST_Fp16m80
+    0U,	// IST_Fp32m32
+    0U,	// IST_Fp32m64
+    0U,	// IST_Fp32m80
+    0U,	// IST_Fp64m32
+    0U,	// IST_Fp64m64
+    0U,	// IST_Fp64m80
+    0U,	// Int_CMPSDrm
+    0U,	// Int_CMPSDrr
+    0U,	// Int_CMPSSrm
+    0U,	// Int_CMPSSrr
+    0U,	// Int_COMISDrm
+    0U,	// Int_COMISDrr
+    0U,	// Int_COMISSrm
+    0U,	// Int_COMISSrr
+    14U,	// Int_CVTSD2SSrm
+    2U,	// Int_CVTSD2SSrr
+    0U,	// Int_CVTSI2SD64rm
+    0U,	// Int_CVTSI2SD64rr
+    0U,	// Int_CVTSI2SDrm
+    0U,	// Int_CVTSI2SDrr
+    0U,	// Int_CVTSI2SS64rm
+    0U,	// Int_CVTSI2SS64rr
+    0U,	// Int_CVTSI2SSrm
+    0U,	// Int_CVTSI2SSrr
+    0U,	// Int_CVTSS2SDrm
+    0U,	// Int_CVTSS2SDrr
+    0U,	// Int_CVTTSD2SI64rm
+    0U,	// Int_CVTTSD2SI64rr
+    0U,	// Int_CVTTSD2SIrm
+    0U,	// Int_CVTTSD2SIrr
+    0U,	// Int_CVTTSS2SI64rm
+    0U,	// Int_CVTTSS2SI64rr
+    0U,	// Int_CVTTSS2SIrm
+    0U,	// Int_CVTTSS2SIrr
+    0U,	// Int_MemBarrier
+    0U,	// Int_UCOMISDrm
+    0U,	// Int_UCOMISDrr
+    0U,	// Int_UCOMISSrm
+    0U,	// Int_UCOMISSrr
+    14U,	// Int_VCMPSDrm
+    2U,	// Int_VCMPSDrr
+    16U,	// Int_VCMPSSrm
+    2U,	// Int_VCMPSSrr
+    0U,	// Int_VCOMISDZrm
+    0U,	// Int_VCOMISDZrr
+    0U,	// Int_VCOMISDrm
+    0U,	// Int_VCOMISDrr
+    0U,	// Int_VCOMISSZrm
+    0U,	// Int_VCOMISSZrr
+    0U,	// Int_VCOMISSrm
+    0U,	// Int_VCOMISSrr
+    14U,	// Int_VCVTSD2SSrm
+    2U,	// Int_VCVTSD2SSrr
+    6U,	// Int_VCVTSI2SD64rm
+    2U,	// Int_VCVTSI2SD64rr
+    4U,	// Int_VCVTSI2SDrm
+    2U,	// Int_VCVTSI2SDrr
+    6U,	// Int_VCVTSI2SS64rm
+    2U,	// Int_VCVTSI2SS64rr
+    4U,	// Int_VCVTSI2SSrm
+    2U,	// Int_VCVTSI2SSrr
+    16U,	// Int_VCVTSS2SDrm
+    2U,	// Int_VCVTSS2SDrr
+    0U,	// Int_VCVTTSD2SI64rm
+    0U,	// Int_VCVTTSD2SI64rr
+    0U,	// Int_VCVTTSD2SIrm
+    0U,	// Int_VCVTTSD2SIrr
+    0U,	// Int_VCVTTSS2SI64rm
+    0U,	// Int_VCVTTSS2SI64rr
+    0U,	// Int_VCVTTSS2SIrm
+    0U,	// Int_VCVTTSS2SIrr
+    0U,	// Int_VUCOMISDZrm
+    0U,	// Int_VUCOMISDZrr
+    0U,	// Int_VUCOMISDrm
+    0U,	// Int_VUCOMISDrr
+    0U,	// Int_VUCOMISSZrm
+    0U,	// Int_VUCOMISSZrr
+    0U,	// Int_VUCOMISSrm
+    0U,	// Int_VUCOMISSrr
+    0U,	// JAE_1
+    0U,	// JAE_4
+    0U,	// JA_1
+    0U,	// JA_4
+    0U,	// JBE_1
+    0U,	// JBE_4
+    0U,	// JB_1
+    0U,	// JB_4
+    0U,	// JCXZ
+    0U,	// JECXZ_32
+    0U,	// JECXZ_64
+    0U,	// JE_1
+    0U,	// JE_4
+    0U,	// JGE_1
+    0U,	// JGE_4
+    0U,	// JG_1
+    0U,	// JG_4
+    0U,	// JLE_1
+    0U,	// JLE_4
+    0U,	// JL_1
+    0U,	// JL_4
+    0U,	// JMP32m
+    0U,	// JMP32r
+    0U,	// JMP64m
+    0U,	// JMP64pcrel32
+    0U,	// JMP64r
+    0U,	// JMP_1
+    0U,	// JMP_4
+    0U,	// JNE_1
+    0U,	// JNE_4
+    0U,	// JNO_1
+    0U,	// JNO_4
+    0U,	// JNP_1
+    0U,	// JNP_4
+    0U,	// JNS_1
+    0U,	// JNS_4
+    0U,	// JO_1
+    0U,	// JO_4
+    0U,	// JP_1
+    0U,	// JP_4
+    0U,	// JRCXZ
+    0U,	// JS_1
+    0U,	// JS_4
+    2U,	// KADDWrr
+    2U,	// KANDNWrr
+    2U,	// KANDWrr
+    0U,	// KMOVWkk
+    0U,	// KMOVWkm
+    0U,	// KMOVWkr
+    0U,	// KMOVWmk
+    0U,	// KMOVWrk
+    0U,	// KNOTWrr
+    0U,	// KORTESTWrr
+    2U,	// KORWrr
+    0U,	// KSET0B
+    0U,	// KSET0W
+    0U,	// KSET1B
+    0U,	// KSET1W
+    2U,	// KSHIFTLWri
+    2U,	// KSHIFTRWri
+    0U,	// KTESTWrr
+    2U,	// KUNPCKBWrr
+    2U,	// KXNORWrr
+    2U,	// KXORWrr
+    0U,	// LAHF
+    0U,	// LAR16rm
+    0U,	// LAR16rr
+    0U,	// LAR32rm
+    0U,	// LAR32rr
+    0U,	// LAR64rm
+    0U,	// LAR64rr
+    0U,	// LCMPXCHG16
+    0U,	// LCMPXCHG16B
+    0U,	// LCMPXCHG32
+    0U,	// LCMPXCHG64
+    0U,	// LCMPXCHG8
+    0U,	// LCMPXCHG8B
+    0U,	// LDDQUrm
+    0U,	// LDMXCSR
+    0U,	// LDS16rm
+    0U,	// LDS32rm
+    0U,	// LD_F0
+    0U,	// LD_F1
+    0U,	// LD_F32m
+    0U,	// LD_F64m
+    0U,	// LD_F80m
+    0U,	// LD_Fp032
+    0U,	// LD_Fp064
+    0U,	// LD_Fp080
+    0U,	// LD_Fp132
+    0U,	// LD_Fp164
+    0U,	// LD_Fp180
+    0U,	// LD_Fp32m
+    0U,	// LD_Fp32m64
+    0U,	// LD_Fp32m80
+    0U,	// LD_Fp64m
+    0U,	// LD_Fp64m80
+    0U,	// LD_Fp80m
+    0U,	// LD_Frr
+    0U,	// LEA16r
+    0U,	// LEA32r
+    0U,	// LEA64_32r
+    0U,	// LEA64r
+    0U,	// LEAVE
+    0U,	// LEAVE64
+    0U,	// LES16rm
+    0U,	// LES32rm
+    0U,	// LFENCE
+    0U,	// LFS16rm
+    0U,	// LFS32rm
+    0U,	// LFS64rm
+    0U,	// LGDT16m
+    0U,	// LGDTm
+    0U,	// LGS16rm
+    0U,	// LGS32rm
+    0U,	// LGS64rm
+    0U,	// LIDT16m
+    0U,	// LIDTm
+    0U,	// LLDT16m
+    0U,	// LLDT16r
+    0U,	// LMSW16m
+    0U,	// LMSW16r
+    0U,	// LOCK_ADD16mi
+    0U,	// LOCK_ADD16mi8
+    0U,	// LOCK_ADD16mr
+    0U,	// LOCK_ADD32mi
+    0U,	// LOCK_ADD32mi8
+    0U,	// LOCK_ADD32mr
+    0U,	// LOCK_ADD64mi32
+    0U,	// LOCK_ADD64mi8
+    0U,	// LOCK_ADD64mr
+    0U,	// LOCK_ADD8mi
+    0U,	// LOCK_ADD8mr
+    0U,	// LOCK_AND16mi
+    0U,	// LOCK_AND16mi8
+    0U,	// LOCK_AND16mr
+    0U,	// LOCK_AND32mi
+    0U,	// LOCK_AND32mi8
+    0U,	// LOCK_AND32mr
+    0U,	// LOCK_AND64mi32
+    0U,	// LOCK_AND64mi8
+    0U,	// LOCK_AND64mr
+    0U,	// LOCK_AND8mi
+    0U,	// LOCK_AND8mr
+    0U,	// LOCK_DEC16m
+    0U,	// LOCK_DEC32m
+    0U,	// LOCK_DEC64m
+    0U,	// LOCK_DEC8m
+    0U,	// LOCK_INC16m
+    0U,	// LOCK_INC32m
+    0U,	// LOCK_INC64m
+    0U,	// LOCK_INC8m
+    0U,	// LOCK_OR16mi
+    0U,	// LOCK_OR16mi8
+    0U,	// LOCK_OR16mr
+    0U,	// LOCK_OR32mi
+    0U,	// LOCK_OR32mi8
+    0U,	// LOCK_OR32mr
+    0U,	// LOCK_OR64mi32
+    0U,	// LOCK_OR64mi8
+    0U,	// LOCK_OR64mr
+    0U,	// LOCK_OR8mi
+    0U,	// LOCK_OR8mr
+    0U,	// LOCK_PREFIX
+    0U,	// LOCK_SUB16mi
+    0U,	// LOCK_SUB16mi8
+    0U,	// LOCK_SUB16mr
+    0U,	// LOCK_SUB32mi
+    0U,	// LOCK_SUB32mi8
+    0U,	// LOCK_SUB32mr
+    0U,	// LOCK_SUB64mi32
+    0U,	// LOCK_SUB64mi8
+    0U,	// LOCK_SUB64mr
+    0U,	// LOCK_SUB8mi
+    0U,	// LOCK_SUB8mr
+    0U,	// LOCK_XOR16mi
+    0U,	// LOCK_XOR16mi8
+    0U,	// LOCK_XOR16mr
+    0U,	// LOCK_XOR32mi
+    0U,	// LOCK_XOR32mi8
+    0U,	// LOCK_XOR32mr
+    0U,	// LOCK_XOR64mi32
+    0U,	// LOCK_XOR64mi8
+    0U,	// LOCK_XOR64mr
+    0U,	// LOCK_XOR8mi
+    0U,	// LOCK_XOR8mr
+    0U,	// LODSB
+    0U,	// LODSD
+    0U,	// LODSQ
+    0U,	// LODSW
+    0U,	// LOOP
+    0U,	// LOOPE
+    0U,	// LOOPNE
+    0U,	// LRETI
+    0U,	// LRETIW
+    0U,	// LRETL
+    0U,	// LRETQ
+    0U,	// LRETW
+    0U,	// LSL16rm
+    0U,	// LSL16rr
+    0U,	// LSL32rm
+    0U,	// LSL32rr
+    0U,	// LSL64rm
+    0U,	// LSL64rr
+    0U,	// LSS16rm
+    0U,	// LSS32rm
+    0U,	// LSS64rm
+    0U,	// LTRm
+    0U,	// LTRr
+    0U,	// LXADD16
+    0U,	// LXADD32
+    0U,	// LXADD64
+    0U,	// LXADD8
+    0U,	// LZCNT16rm
+    0U,	// LZCNT16rr
+    0U,	// LZCNT32rm
+    0U,	// LZCNT32rr
+    0U,	// LZCNT64rm
+    0U,	// LZCNT64rr
+    0U,	// MASKMOVDQU
+    0U,	// MASKMOVDQU64
+    0U,	// MAXCPDrm
+    0U,	// MAXCPDrr
+    0U,	// MAXCPSrm
+    0U,	// MAXCPSrr
+    0U,	// MAXCSDrm
+    0U,	// MAXCSDrr
+    0U,	// MAXCSSrm
+    0U,	// MAXCSSrr
+    0U,	// MAXPDrm
+    0U,	// MAXPDrr
+    0U,	// MAXPSrm
+    0U,	// MAXPSrr
+    0U,	// MAXSDrm
+    0U,	// MAXSDrm_Int
+    0U,	// MAXSDrr
+    0U,	// MAXSDrr_Int
+    0U,	// MAXSSrm
+    0U,	// MAXSSrm_Int
+    0U,	// MAXSSrr
+    0U,	// MAXSSrr_Int
+    0U,	// MFENCE
+    0U,	// MINCPDrm
+    0U,	// MINCPDrr
+    0U,	// MINCPSrm
+    0U,	// MINCPSrr
+    0U,	// MINCSDrm
+    0U,	// MINCSDrr
+    0U,	// MINCSSrm
+    0U,	// MINCSSrr
+    0U,	// MINPDrm
+    0U,	// MINPDrr
+    0U,	// MINPSrm
+    0U,	// MINPSrr
+    0U,	// MINSDrm
+    0U,	// MINSDrm_Int
+    0U,	// MINSDrr
+    0U,	// MINSDrr_Int
+    0U,	// MINSSrm
+    0U,	// MINSSrm_Int
+    0U,	// MINSSrr
+    0U,	// MINSSrr_Int
+    0U,	// MMX_CVTPD2PIirm
+    0U,	// MMX_CVTPD2PIirr
+    0U,	// MMX_CVTPI2PDirm
+    0U,	// MMX_CVTPI2PDirr
+    0U,	// MMX_CVTPI2PSirm
+    0U,	// MMX_CVTPI2PSirr
+    0U,	// MMX_CVTPS2PIirm
+    0U,	// MMX_CVTPS2PIirr
+    0U,	// MMX_CVTTPD2PIirm
+    0U,	// MMX_CVTTPD2PIirr
+    0U,	// MMX_CVTTPS2PIirm
+    0U,	// MMX_CVTTPS2PIirr
+    0U,	// MMX_EMMS
+    0U,	// MMX_MASKMOVQ
+    0U,	// MMX_MASKMOVQ64
+    0U,	// MMX_MOVD64from64rr
+    0U,	// MMX_MOVD64grr
+    0U,	// MMX_MOVD64mr
+    0U,	// MMX_MOVD64rm
+    0U,	// MMX_MOVD64rr
+    0U,	// MMX_MOVD64rrv164
+    0U,	// MMX_MOVD64to64rr
+    0U,	// MMX_MOVDQ2Qrr
+    0U,	// MMX_MOVFR642Qrr
+    0U,	// MMX_MOVNTQmr
+    0U,	// MMX_MOVQ2DQrr
+    0U,	// MMX_MOVQ2FR64rr
+    0U,	// MMX_MOVQ64mr
+    0U,	// MMX_MOVQ64rm
+    0U,	// MMX_MOVQ64rr
+    0U,	// MMX_MOVZDI2PDIrm
+    0U,	// MMX_MOVZDI2PDIrr
+    0U,	// MMX_PABSBrm64
+    0U,	// MMX_PABSBrr64
+    0U,	// MMX_PABSDrm64
+    0U,	// MMX_PABSDrr64
+    0U,	// MMX_PABSWrm64
+    0U,	// MMX_PABSWrr64
+    0U,	// MMX_PACKSSDWirm
+    0U,	// MMX_PACKSSDWirr
+    0U,	// MMX_PACKSSWBirm
+    0U,	// MMX_PACKSSWBirr
+    0U,	// MMX_PACKUSWBirm
+    0U,	// MMX_PACKUSWBirr
+    0U,	// MMX_PADDBirm
+    0U,	// MMX_PADDBirr
+    0U,	// MMX_PADDDirm
+    0U,	// MMX_PADDDirr
+    0U,	// MMX_PADDQirm
+    0U,	// MMX_PADDQirr
+    0U,	// MMX_PADDSBirm
+    0U,	// MMX_PADDSBirr
+    0U,	// MMX_PADDSWirm
+    0U,	// MMX_PADDSWirr
+    0U,	// MMX_PADDUSBirm
+    0U,	// MMX_PADDUSBirr
+    0U,	// MMX_PADDUSWirm
+    0U,	// MMX_PADDUSWirr
+    0U,	// MMX_PADDWirm
+    0U,	// MMX_PADDWirr
+    8U,	// MMX_PALIGNR64irm
+    10U,	// MMX_PALIGNR64irr
+    0U,	// MMX_PANDNirm
+    0U,	// MMX_PANDNirr
+    0U,	// MMX_PANDirm
+    0U,	// MMX_PANDirr
+    0U,	// MMX_PAVGBirm
+    0U,	// MMX_PAVGBirr
+    0U,	// MMX_PAVGWirm
+    0U,	// MMX_PAVGWirr
+    0U,	// MMX_PCMPEQBirm
+    0U,	// MMX_PCMPEQBirr
+    0U,	// MMX_PCMPEQDirm
+    0U,	// MMX_PCMPEQDirr
+    0U,	// MMX_PCMPEQWirm
+    0U,	// MMX_PCMPEQWirr
+    0U,	// MMX_PCMPGTBirm
+    0U,	// MMX_PCMPGTBirr
+    0U,	// MMX_PCMPGTDirm
+    0U,	// MMX_PCMPGTDirr
+    0U,	// MMX_PCMPGTWirm
+    0U,	// MMX_PCMPGTWirr
+    2U,	// MMX_PEXTRWirri
+    0U,	// MMX_PHADDSWrm64
+    0U,	// MMX_PHADDSWrr64
+    0U,	// MMX_PHADDWrm64
+    0U,	// MMX_PHADDWrr64
+    0U,	// MMX_PHADDrm64
+    0U,	// MMX_PHADDrr64
+    0U,	// MMX_PHSUBDrm64
+    0U,	// MMX_PHSUBDrr64
+    0U,	// MMX_PHSUBSWrm64
+    0U,	// MMX_PHSUBSWrr64
+    0U,	// MMX_PHSUBWrm64
+    0U,	// MMX_PHSUBWrr64
+    8U,	// MMX_PINSRWirmi
+    10U,	// MMX_PINSRWirri
+    0U,	// MMX_PMADDUBSWrm64
+    0U,	// MMX_PMADDUBSWrr64
+    0U,	// MMX_PMADDWDirm
+    0U,	// MMX_PMADDWDirr
+    0U,	// MMX_PMAXSWirm
+    0U,	// MMX_PMAXSWirr
+    0U,	// MMX_PMAXUBirm
+    0U,	// MMX_PMAXUBirr
+    0U,	// MMX_PMINSWirm
+    0U,	// MMX_PMINSWirr
+    0U,	// MMX_PMINUBirm
+    0U,	// MMX_PMINUBirr
+    0U,	// MMX_PMOVMSKBrr
+    0U,	// MMX_PMULHRSWrm64
+    0U,	// MMX_PMULHRSWrr64
+    0U,	// MMX_PMULHUWirm
+    0U,	// MMX_PMULHUWirr
+    0U,	// MMX_PMULHWirm
+    0U,	// MMX_PMULHWirr
+    0U,	// MMX_PMULLWirm
+    0U,	// MMX_PMULLWirr
+    0U,	// MMX_PMULUDQirm
+    0U,	// MMX_PMULUDQirr
+    0U,	// MMX_PORirm
+    0U,	// MMX_PORirr
+    0U,	// MMX_PSADBWirm
+    0U,	// MMX_PSADBWirr
+    0U,	// MMX_PSHUFBrm64
+    0U,	// MMX_PSHUFBrr64
+    0U,	// MMX_PSHUFWmi
+    2U,	// MMX_PSHUFWri
+    0U,	// MMX_PSIGNBrm64
+    0U,	// MMX_PSIGNBrr64
+    0U,	// MMX_PSIGNDrm64
+    0U,	// MMX_PSIGNDrr64
+    0U,	// MMX_PSIGNWrm64
+    0U,	// MMX_PSIGNWrr64
+    0U,	// MMX_PSLLDri
+    0U,	// MMX_PSLLDrm
+    0U,	// MMX_PSLLDrr
+    0U,	// MMX_PSLLQri
+    0U,	// MMX_PSLLQrm
+    0U,	// MMX_PSLLQrr
+    0U,	// MMX_PSLLWri
+    0U,	// MMX_PSLLWrm
+    0U,	// MMX_PSLLWrr
+    0U,	// MMX_PSRADri
+    0U,	// MMX_PSRADrm
+    0U,	// MMX_PSRADrr
+    0U,	// MMX_PSRAWri
+    0U,	// MMX_PSRAWrm
+    0U,	// MMX_PSRAWrr
+    0U,	// MMX_PSRLDri
+    0U,	// MMX_PSRLDrm
+    0U,	// MMX_PSRLDrr
+    0U,	// MMX_PSRLQri
+    0U,	// MMX_PSRLQrm
+    0U,	// MMX_PSRLQrr
+    0U,	// MMX_PSRLWri
+    0U,	// MMX_PSRLWrm
+    0U,	// MMX_PSRLWrr
+    0U,	// MMX_PSUBBirm
+    0U,	// MMX_PSUBBirr
+    0U,	// MMX_PSUBDirm
+    0U,	// MMX_PSUBDirr
+    0U,	// MMX_PSUBQirm
+    0U,	// MMX_PSUBQirr
+    0U,	// MMX_PSUBSBirm
+    0U,	// MMX_PSUBSBirr
+    0U,	// MMX_PSUBSWirm
+    0U,	// MMX_PSUBSWirr
+    0U,	// MMX_PSUBUSBirm
+    0U,	// MMX_PSUBUSBirr
+    0U,	// MMX_PSUBUSWirm
+    0U,	// MMX_PSUBUSWirr
+    0U,	// MMX_PSUBWirm
+    0U,	// MMX_PSUBWirr
+    0U,	// MMX_PUNPCKHBWirm
+    0U,	// MMX_PUNPCKHBWirr
+    0U,	// MMX_PUNPCKHDQirm
+    0U,	// MMX_PUNPCKHDQirr
+    0U,	// MMX_PUNPCKHWDirm
+    0U,	// MMX_PUNPCKHWDirr
+    0U,	// MMX_PUNPCKLBWirm
+    0U,	// MMX_PUNPCKLBWirr
+    0U,	// MMX_PUNPCKLDQirm
+    0U,	// MMX_PUNPCKLDQirr
+    0U,	// MMX_PUNPCKLWDirm
+    0U,	// MMX_PUNPCKLWDirr
+    0U,	// MMX_PXORirm
+    0U,	// MMX_PXORirr
+    0U,	// MONITOR
+    0U,	// MONITORrrr
+    0U,	// MONTMUL
+    0U,	// MORESTACK_RET
+    0U,	// MORESTACK_RET_RESTORE_R10
+    0U,	// MOV16ao16
+    0U,	// MOV16mi
+    0U,	// MOV16mr
+    0U,	// MOV16ms
+    0U,	// MOV16o16a
+    0U,	// MOV16ri
+    0U,	// MOV16rm
+    0U,	// MOV16rr
+    0U,	// MOV16rr_REV
+    0U,	// MOV16rs
+    0U,	// MOV16sm
+    0U,	// MOV16sr
+    0U,	// MOV32ao32
+    0U,	// MOV32cr
+    0U,	// MOV32dr
+    0U,	// MOV32mi
+    0U,	// MOV32mr
+    0U,	// MOV32ms
+    0U,	// MOV32o32a
+    0U,	// MOV32r0
+    0U,	// MOV32rc
+    0U,	// MOV32rd
+    0U,	// MOV32ri
+    0U,	// MOV32ri64
+    0U,	// MOV32rm
+    0U,	// MOV32rr
+    0U,	// MOV32rr_REV
+    0U,	// MOV32rs
+    0U,	// MOV32sm
+    0U,	// MOV32sr
+    0U,	// MOV64ao16
+    0U,	// MOV64ao32
+    0U,	// MOV64ao64
+    0U,	// MOV64ao8
+    0U,	// MOV64cr
+    0U,	// MOV64dr
+    0U,	// MOV64mi32
+    0U,	// MOV64mr
+    0U,	// MOV64ms
+    0U,	// MOV64o16a
+    0U,	// MOV64o32a
+    0U,	// MOV64o64a
+    0U,	// MOV64o8a
+    0U,	// MOV64rc
+    0U,	// MOV64rd
+    0U,	// MOV64ri
+    0U,	// MOV64ri32
+    0U,	// MOV64rm
+    0U,	// MOV64rr
+    0U,	// MOV64rr_REV
+    0U,	// MOV64rs
+    0U,	// MOV64sm
+    0U,	// MOV64sr
+    0U,	// MOV64toPQIrr
+    0U,	// MOV64toSDrm
+    0U,	// MOV64toSDrr
+    0U,	// MOV8ao8
+    0U,	// MOV8mi
+    0U,	// MOV8mr
+    0U,	// MOV8mr_NOREX
+    0U,	// MOV8o8a
+    0U,	// MOV8ri
+    0U,	// MOV8rm
+    0U,	// MOV8rm_NOREX
+    0U,	// MOV8rr
+    0U,	// MOV8rr_NOREX
+    0U,	// MOV8rr_REV
+    0U,	// MOVAPDmr
+    0U,	// MOVAPDrm
+    0U,	// MOVAPDrr
+    0U,	// MOVAPDrr_REV
+    0U,	// MOVAPSmr
+    0U,	// MOVAPSrm
+    0U,	// MOVAPSrr
+    0U,	// MOVAPSrr_REV
+    0U,	// MOVBE16mr
+    0U,	// MOVBE16rm
+    0U,	// MOVBE32mr
+    0U,	// MOVBE32rm
+    0U,	// MOVBE64mr
+    0U,	// MOVBE64rm
+    0U,	// MOVDDUPrm
+    0U,	// MOVDDUPrr
+    0U,	// MOVDI2PDIrm
+    0U,	// MOVDI2PDIrr
+    0U,	// MOVDI2SSrm
+    0U,	// MOVDI2SSrr
+    0U,	// MOVDQAmr
+    0U,	// MOVDQArm
+    0U,	// MOVDQArr
+    0U,	// MOVDQArr_REV
+    0U,	// MOVDQUmr
+    0U,	// MOVDQUrm
+    0U,	// MOVDQUrr
+    0U,	// MOVDQUrr_REV
+    0U,	// MOVHLPSrr
+    0U,	// MOVHPDmr
+    0U,	// MOVHPDrm
+    0U,	// MOVHPSmr
+    0U,	// MOVHPSrm
+    0U,	// MOVLHPSrr
+    0U,	// MOVLPDmr
+    0U,	// MOVLPDrm
+    0U,	// MOVLPSmr
+    0U,	// MOVLPSrm
+    0U,	// MOVLQ128mr
+    0U,	// MOVMSKPDrr32
+    0U,	// MOVMSKPDrr64
+    0U,	// MOVMSKPSrr32
+    0U,	// MOVMSKPSrr64
+    0U,	// MOVNTDQArm
+    0U,	// MOVNTDQmr
+    0U,	// MOVNTI_64mr
+    0U,	// MOVNTImr
+    0U,	// MOVNTPDmr
+    0U,	// MOVNTPSmr
+    0U,	// MOVNTSD
+    0U,	// MOVNTSS
+    0U,	// MOVPC32r
+    0U,	// MOVPDI2DImr
+    0U,	// MOVPDI2DIrr
+    0U,	// MOVPQI2QImr
+    0U,	// MOVPQIto64rr
+    0U,	// MOVQI2PQIrm
+    0U,	// MOVQxrxr
+    0U,	// MOVSB
+    0U,	// MOVSD
+    0U,	// MOVSDmr
+    0U,	// MOVSDrm
+    0U,	// MOVSDrr
+    0U,	// MOVSDrr_REV
+    0U,	// MOVSDto64mr
+    0U,	// MOVSDto64rr
+    0U,	// MOVSHDUPrm
+    0U,	// MOVSHDUPrr
+    0U,	// MOVSLDUPrm
+    0U,	// MOVSLDUPrr
+    0U,	// MOVSQ
+    0U,	// MOVSS2DImr
+    0U,	// MOVSS2DIrr
+    0U,	// MOVSSmr
+    0U,	// MOVSSrm
+    0U,	// MOVSSrr
+    0U,	// MOVSSrr_REV
+    0U,	// MOVSW
+    0U,	// MOVSX16rm8
+    0U,	// MOVSX16rr8
+    0U,	// MOVSX32rm16
+    0U,	// MOVSX32rm8
+    0U,	// MOVSX32rr16
+    0U,	// MOVSX32rr8
+    0U,	// MOVSX64rm16
+    0U,	// MOVSX64rm32
+    0U,	// MOVSX64rm8
+    0U,	// MOVSX64rr16
+    0U,	// MOVSX64rr32
+    0U,	// MOVSX64rr8
+    0U,	// MOVUPDmr
+    0U,	// MOVUPDrm
+    0U,	// MOVUPDrr
+    0U,	// MOVUPDrr_REV
+    0U,	// MOVUPSmr
+    0U,	// MOVUPSrm
+    0U,	// MOVUPSrr
+    0U,	// MOVUPSrr_REV
+    0U,	// MOVZDI2PDIrm
+    0U,	// MOVZDI2PDIrr
+    0U,	// MOVZPQILo2PQIrm
+    0U,	// MOVZPQILo2PQIrr
+    0U,	// MOVZQI2PQIrm
+    0U,	// MOVZQI2PQIrr
+    0U,	// MOVZX16rm8
+    0U,	// MOVZX16rr8
+    0U,	// MOVZX32_NOREXrm8
+    0U,	// MOVZX32_NOREXrr8
+    0U,	// MOVZX32rm16
+    0U,	// MOVZX32rm8
+    0U,	// MOVZX32rr16
+    0U,	// MOVZX32rr8
+    0U,	// MOVZX64rm16_Q
+    0U,	// MOVZX64rm8_Q
+    0U,	// MOVZX64rr16_Q
+    0U,	// MOVZX64rr8_Q
+    8U,	// MPSADBWrmi
+    10U,	// MPSADBWrri
+    0U,	// MUL16m
+    0U,	// MUL16r
+    0U,	// MUL32m
+    0U,	// MUL32r
+    0U,	// MUL64m
+    0U,	// MUL64r
+    0U,	// MUL8m
+    0U,	// MUL8r
+    0U,	// MULPDrm
+    0U,	// MULPDrr
+    0U,	// MULPSrm
+    0U,	// MULPSrr
+    0U,	// MULSDrm
+    0U,	// MULSDrm_Int
+    0U,	// MULSDrr
+    0U,	// MULSDrr_Int
+    0U,	// MULSSrm
+    0U,	// MULSSrm_Int
+    0U,	// MULSSrr
+    0U,	// MULSSrr_Int
+    4U,	// MULX32rm
+    2U,	// MULX32rr
+    6U,	// MULX64rm
+    2U,	// MULX64rr
+    0U,	// MUL_F32m
+    0U,	// MUL_F64m
+    0U,	// MUL_FI16m
+    0U,	// MUL_FI32m
+    0U,	// MUL_FPrST0
+    0U,	// MUL_FST0r
+    0U,	// MUL_Fp32
+    0U,	// MUL_Fp32m
+    0U,	// MUL_Fp64
+    0U,	// MUL_Fp64m
+    0U,	// MUL_Fp64m32
+    0U,	// MUL_Fp80
+    0U,	// MUL_Fp80m32
+    0U,	// MUL_Fp80m64
+    0U,	// MUL_FpI16m32
+    0U,	// MUL_FpI16m64
+    0U,	// MUL_FpI16m80
+    0U,	// MUL_FpI32m32
+    0U,	// MUL_FpI32m64
+    0U,	// MUL_FpI32m80
+    0U,	// MUL_FrST0
+    0U,	// MWAITrr
+    0U,	// NEG16m
+    0U,	// NEG16r
+    0U,	// NEG32m
+    0U,	// NEG32r
+    0U,	// NEG64m
+    0U,	// NEG64r
+    0U,	// NEG8m
+    0U,	// NEG8r
+    0U,	// NOOP
+    0U,	// NOOPL
+    0U,	// NOOPW
+    0U,	// NOT16m
+    0U,	// NOT16r
+    0U,	// NOT32m
+    0U,	// NOT32r
+    0U,	// NOT64m
+    0U,	// NOT64r
+    0U,	// NOT8m
+    0U,	// NOT8r
+    0U,	// OR16i16
+    0U,	// OR16mi
+    0U,	// OR16mi8
+    0U,	// OR16mr
+    0U,	// OR16ri
+    0U,	// OR16ri8
+    0U,	// OR16rm
+    0U,	// OR16rr
+    0U,	// OR16rr_REV
+    0U,	// OR32i32
+    0U,	// OR32mi
+    0U,	// OR32mi8
+    0U,	// OR32mr
+    0U,	// OR32mrLocked
+    0U,	// OR32ri
+    0U,	// OR32ri8
+    0U,	// OR32rm
+    0U,	// OR32rr
+    0U,	// OR32rr_REV
+    0U,	// OR64i32
+    0U,	// OR64mi32
+    0U,	// OR64mi8
+    0U,	// OR64mr
+    0U,	// OR64ri32
+    0U,	// OR64ri8
+    0U,	// OR64rm
+    0U,	// OR64rr
+    0U,	// OR64rr_REV
+    0U,	// OR8i8
+    0U,	// OR8mi
+    0U,	// OR8mr
+    0U,	// OR8ri
+    0U,	// OR8rm
+    0U,	// OR8rr
+    0U,	// OR8rr_REV
+    0U,	// ORPDrm
+    0U,	// ORPDrr
+    0U,	// ORPSrm
+    0U,	// ORPSrr
+    0U,	// OUT16ir
+    0U,	// OUT16rr
+    0U,	// OUT32ir
+    0U,	// OUT32rr
+    0U,	// OUT8ir
+    0U,	// OUT8rr
+    0U,	// OUTSB
+    0U,	// OUTSD
+    0U,	// OUTSW
+    0U,	// PABSBrm128
+    0U,	// PABSBrr128
+    0U,	// PABSDrm128
+    0U,	// PABSDrr128
+    0U,	// PABSWrm128
+    0U,	// PABSWrr128
+    0U,	// PACKSSDWrm
+    0U,	// PACKSSDWrr
+    0U,	// PACKSSWBrm
+    0U,	// PACKSSWBrr
+    0U,	// PACKUSDWrm
+    0U,	// PACKUSDWrr
+    0U,	// PACKUSWBrm
+    0U,	// PACKUSWBrr
+    0U,	// PADDBrm
+    0U,	// PADDBrr
+    0U,	// PADDDrm
+    0U,	// PADDDrr
+    0U,	// PADDQrm
+    0U,	// PADDQrr
+    0U,	// PADDSBrm
+    0U,	// PADDSBrr
+    0U,	// PADDSWrm
+    0U,	// PADDSWrr
+    0U,	// PADDUSBrm
+    0U,	// PADDUSBrr
+    0U,	// PADDUSWrm
+    0U,	// PADDUSWrr
+    0U,	// PADDWrm
+    0U,	// PADDWrr
+    8U,	// PALIGNR128rm
+    10U,	// PALIGNR128rr
+    0U,	// PANDNrm
+    0U,	// PANDNrr
+    0U,	// PANDrm
+    0U,	// PANDrr
+    0U,	// PAUSE
+    0U,	// PAVGBrm
+    0U,	// PAVGBrr
+    0U,	// PAVGUSBrm
+    0U,	// PAVGUSBrr
+    0U,	// PAVGWrm
+    0U,	// PAVGWrr
+    0U,	// PBLENDVBrm0
+    0U,	// PBLENDVBrr0
+    8U,	// PBLENDWrmi
+    10U,	// PBLENDWrri
+    8U,	// PCLMULQDQrm
+    10U,	// PCLMULQDQrr
+    0U,	// PCMPEQBrm
+    0U,	// PCMPEQBrr
+    0U,	// PCMPEQDrm
+    0U,	// PCMPEQDrr
+    0U,	// PCMPEQQrm
+    0U,	// PCMPEQQrr
+    0U,	// PCMPEQWrm
+    0U,	// PCMPEQWrr
+    0U,	// PCMPESTRIMEM
+    0U,	// PCMPESTRIREG
+    0U,	// PCMPESTRIrm
+    2U,	// PCMPESTRIrr
+    0U,	// PCMPESTRM128MEM
+    0U,	// PCMPESTRM128REG
+    0U,	// PCMPESTRM128rm
+    2U,	// PCMPESTRM128rr
+    0U,	// PCMPGTBrm
+    0U,	// PCMPGTBrr
+    0U,	// PCMPGTDrm
+    0U,	// PCMPGTDrr
+    0U,	// PCMPGTQrm
+    0U,	// PCMPGTQrr
+    0U,	// PCMPGTWrm
+    0U,	// PCMPGTWrr
+    0U,	// PCMPISTRIMEM
+    0U,	// PCMPISTRIREG
+    0U,	// PCMPISTRIrm
+    2U,	// PCMPISTRIrr
+    0U,	// PCMPISTRM128MEM
+    0U,	// PCMPISTRM128REG
+    0U,	// PCMPISTRM128rm
+    2U,	// PCMPISTRM128rr
+    4U,	// PDEP32rm
+    2U,	// PDEP32rr
+    6U,	// PDEP64rm
+    2U,	// PDEP64rr
+    4U,	// PEXT32rm
+    2U,	// PEXT32rr
+    6U,	// PEXT64rm
+    2U,	// PEXT64rr
+    0U,	// PEXTRBmr
+    2U,	// PEXTRBrr
+    0U,	// PEXTRDmr
+    2U,	// PEXTRDrr
+    0U,	// PEXTRQmr
+    2U,	// PEXTRQrr
+    0U,	// PEXTRWmr
+    2U,	// PEXTRWri
+    0U,	// PF2IDrm
+    0U,	// PF2IDrr
+    0U,	// PF2IWrm
+    0U,	// PF2IWrr
+    0U,	// PFACCrm
+    0U,	// PFACCrr
+    0U,	// PFADDrm
+    0U,	// PFADDrr
+    0U,	// PFCMPEQrm
+    0U,	// PFCMPEQrr
+    0U,	// PFCMPGErm
+    0U,	// PFCMPGErr
+    0U,	// PFCMPGTrm
+    0U,	// PFCMPGTrr
+    0U,	// PFMAXrm
+    0U,	// PFMAXrr
+    0U,	// PFMINrm
+    0U,	// PFMINrr
+    0U,	// PFMULrm
+    0U,	// PFMULrr
+    0U,	// PFNACCrm
+    0U,	// PFNACCrr
+    0U,	// PFPNACCrm
+    0U,	// PFPNACCrr
+    0U,	// PFRCPIT1rm
+    0U,	// PFRCPIT1rr
+    0U,	// PFRCPIT2rm
+    0U,	// PFRCPIT2rr
+    0U,	// PFRCPrm
+    0U,	// PFRCPrr
+    0U,	// PFRSQIT1rm
+    0U,	// PFRSQIT1rr
+    0U,	// PFRSQRTrm
+    0U,	// PFRSQRTrr
+    0U,	// PFSUBRrm
+    0U,	// PFSUBRrr
+    0U,	// PFSUBrm
+    0U,	// PFSUBrr
+    0U,	// PHADDDrm
+    0U,	// PHADDDrr
+    0U,	// PHADDSWrm128
+    0U,	// PHADDSWrr128
+    0U,	// PHADDWrm
+    0U,	// PHADDWrr
+    0U,	// PHMINPOSUWrm128
+    0U,	// PHMINPOSUWrr128
+    0U,	// PHSUBDrm
+    0U,	// PHSUBDrr
+    0U,	// PHSUBSWrm128
+    0U,	// PHSUBSWrr128
+    0U,	// PHSUBWrm
+    0U,	// PHSUBWrr
+    0U,	// PI2FDrm
+    0U,	// PI2FDrr
+    0U,	// PI2FWrm
+    0U,	// PI2FWrr
+    0U,	// PINSRBrm
+    10U,	// PINSRBrr
+    8U,	// PINSRDrm
+    10U,	// PINSRDrr
+    8U,	// PINSRQrm
+    10U,	// PINSRQrr
+    8U,	// PINSRWrmi
+    10U,	// PINSRWrri
+    0U,	// PMADDUBSWrm128
+    0U,	// PMADDUBSWrr128
+    0U,	// PMADDWDrm
+    0U,	// PMADDWDrr
+    0U,	// PMAXSBrm
+    0U,	// PMAXSBrr
+    0U,	// PMAXSDrm
+    0U,	// PMAXSDrr
+    0U,	// PMAXSWrm
+    0U,	// PMAXSWrr
+    0U,	// PMAXUBrm
+    0U,	// PMAXUBrr
+    0U,	// PMAXUDrm
+    0U,	// PMAXUDrr
+    0U,	// PMAXUWrm
+    0U,	// PMAXUWrr
+    0U,	// PMINSBrm
+    0U,	// PMINSBrr
+    0U,	// PMINSDrm
+    0U,	// PMINSDrr
+    0U,	// PMINSWrm
+    0U,	// PMINSWrr
+    0U,	// PMINUBrm
+    0U,	// PMINUBrr
+    0U,	// PMINUDrm
+    0U,	// PMINUDrr
+    0U,	// PMINUWrm
+    0U,	// PMINUWrr
+    0U,	// PMOVMSKBrr
+    0U,	// PMOVSXBDrm
+    0U,	// PMOVSXBDrr
+    0U,	// PMOVSXBQrm
+    0U,	// PMOVSXBQrr
+    0U,	// PMOVSXBWrm
+    0U,	// PMOVSXBWrr
+    0U,	// PMOVSXDQrm
+    0U,	// PMOVSXDQrr
+    0U,	// PMOVSXWDrm
+    0U,	// PMOVSXWDrr
+    0U,	// PMOVSXWQrm
+    0U,	// PMOVSXWQrr
+    0U,	// PMOVZXBDrm
+    0U,	// PMOVZXBDrr
+    0U,	// PMOVZXBQrm
+    0U,	// PMOVZXBQrr
+    0U,	// PMOVZXBWrm
+    0U,	// PMOVZXBWrr
+    0U,	// PMOVZXDQrm
+    0U,	// PMOVZXDQrr
+    0U,	// PMOVZXWDrm
+    0U,	// PMOVZXWDrr
+    0U,	// PMOVZXWQrm
+    0U,	// PMOVZXWQrr
+    0U,	// PMULDQrm
+    0U,	// PMULDQrr
+    0U,	// PMULHRSWrm128
+    0U,	// PMULHRSWrr128
+    0U,	// PMULHRWrm
+    0U,	// PMULHRWrr
+    0U,	// PMULHUWrm
+    0U,	// PMULHUWrr
+    0U,	// PMULHWrm
+    0U,	// PMULHWrr
+    0U,	// PMULLDrm
+    0U,	// PMULLDrr
+    0U,	// PMULLWrm
+    0U,	// PMULLWrr
+    0U,	// PMULUDQrm
+    0U,	// PMULUDQrr
+    0U,	// POP16r
+    0U,	// POP16rmm
+    0U,	// POP16rmr
+    0U,	// POP32r
+    0U,	// POP32rmm
+    0U,	// POP32rmr
+    0U,	// POP64r
+    0U,	// POP64rmm
+    0U,	// POP64rmr
+    0U,	// POPA32
+    0U,	// POPCNT16rm
+    0U,	// POPCNT16rr
+    0U,	// POPCNT32rm
+    0U,	// POPCNT32rr
+    0U,	// POPCNT64rm
+    0U,	// POPCNT64rr
+    0U,	// POPDS16
+    0U,	// POPDS32
+    0U,	// POPES16
+    0U,	// POPES32
+    0U,	// POPF16
+    0U,	// POPF32
+    0U,	// POPF64
+    0U,	// POPFS16
+    0U,	// POPFS32
+    0U,	// POPFS64
+    0U,	// POPGS16
+    0U,	// POPGS32
+    0U,	// POPGS64
+    0U,	// POPSS16
+    0U,	// POPSS32
+    0U,	// PORrm
+    0U,	// PORrr
+    0U,	// PREFETCH
+    0U,	// PREFETCHNTA
+    0U,	// PREFETCHT0
+    0U,	// PREFETCHT1
+    0U,	// PREFETCHT2
+    0U,	// PREFETCHW
+    0U,	// PSADBWrm
+    0U,	// PSADBWrr
+    0U,	// PSHUFBrm
+    0U,	// PSHUFBrr
+    0U,	// PSHUFDmi
+    2U,	// PSHUFDri
+    0U,	// PSHUFHWmi
+    2U,	// PSHUFHWri
+    0U,	// PSHUFLWmi
+    2U,	// PSHUFLWri
+    0U,	// PSIGNBrm
+    0U,	// PSIGNBrr
+    0U,	// PSIGNDrm
+    0U,	// PSIGNDrr
+    0U,	// PSIGNWrm
+    0U,	// PSIGNWrr
+    0U,	// PSLLDQri
+    0U,	// PSLLDri
+    0U,	// PSLLDrm
+    0U,	// PSLLDrr
+    0U,	// PSLLQri
+    0U,	// PSLLQrm
+    0U,	// PSLLQrr
+    0U,	// PSLLWri
+    0U,	// PSLLWrm
+    0U,	// PSLLWrr
+    0U,	// PSRADri
+    0U,	// PSRADrm
+    0U,	// PSRADrr
+    0U,	// PSRAWri
+    0U,	// PSRAWrm
+    0U,	// PSRAWrr
+    0U,	// PSRLDQri
+    0U,	// PSRLDri
+    0U,	// PSRLDrm
+    0U,	// PSRLDrr
+    0U,	// PSRLQri
+    0U,	// PSRLQrm
+    0U,	// PSRLQrr
+    0U,	// PSRLWri
+    0U,	// PSRLWrm
+    0U,	// PSRLWrr
+    0U,	// PSUBBrm
+    0U,	// PSUBBrr
+    0U,	// PSUBDrm
+    0U,	// PSUBDrr
+    0U,	// PSUBQrm
+    0U,	// PSUBQrr
+    0U,	// PSUBSBrm
+    0U,	// PSUBSBrr
+    0U,	// PSUBSWrm
+    0U,	// PSUBSWrr
+    0U,	// PSUBUSBrm
+    0U,	// PSUBUSBrr
+    0U,	// PSUBUSWrm
+    0U,	// PSUBUSWrr
+    0U,	// PSUBWrm
+    0U,	// PSUBWrr
+    0U,	// PSWAPDrm
+    0U,	// PSWAPDrr
+    0U,	// PTESTrm
+    0U,	// PTESTrr
+    0U,	// PUNPCKHBWrm
+    0U,	// PUNPCKHBWrr
+    0U,	// PUNPCKHDQrm
+    0U,	// PUNPCKHDQrr
+    0U,	// PUNPCKHQDQrm
+    0U,	// PUNPCKHQDQrr
+    0U,	// PUNPCKHWDrm
+    0U,	// PUNPCKHWDrr
+    0U,	// PUNPCKLBWrm
+    0U,	// PUNPCKLBWrr
+    0U,	// PUNPCKLDQrm
+    0U,	// PUNPCKLDQrr
+    0U,	// PUNPCKLQDQrm
+    0U,	// PUNPCKLQDQrr
+    0U,	// PUNPCKLWDrm
+    0U,	// PUNPCKLWDrr
+    0U,	// PUSH16r
+    0U,	// PUSH16rmm
+    0U,	// PUSH16rmr
+    0U,	// PUSH32r
+    0U,	// PUSH32rmm
+    0U,	// PUSH32rmr
+    0U,	// PUSH64i16
+    0U,	// PUSH64i32
+    0U,	// PUSH64i8
+    0U,	// PUSH64r
+    0U,	// PUSH64rmm
+    0U,	// PUSH64rmr
+    0U,	// PUSHA32
+    0U,	// PUSHCS16
+    0U,	// PUSHCS32
+    0U,	// PUSHDS16
+    0U,	// PUSHDS32
+    0U,	// PUSHES16
+    0U,	// PUSHES32
+    0U,	// PUSHF16
+    0U,	// PUSHF32
+    0U,	// PUSHF64
+    0U,	// PUSHFS16
+    0U,	// PUSHFS32
+    0U,	// PUSHFS64
+    0U,	// PUSHGS16
+    0U,	// PUSHGS32
+    0U,	// PUSHGS64
+    0U,	// PUSHSS16
+    0U,	// PUSHSS32
+    0U,	// PUSHi16
+    0U,	// PUSHi32
+    0U,	// PUSHi8
+    0U,	// PXORrm
+    0U,	// PXORrr
+    0U,	// RCL16m1
+    0U,	// RCL16mCL
+    0U,	// RCL16mi
+    0U,	// RCL16r1
+    0U,	// RCL16rCL
+    0U,	// RCL16ri
+    0U,	// RCL32m1
+    0U,	// RCL32mCL
+    0U,	// RCL32mi
+    0U,	// RCL32r1
+    0U,	// RCL32rCL
+    0U,	// RCL32ri
+    0U,	// RCL64m1
+    0U,	// RCL64mCL
+    0U,	// RCL64mi
+    0U,	// RCL64r1
+    0U,	// RCL64rCL
+    0U,	// RCL64ri
+    0U,	// RCL8m1
+    0U,	// RCL8mCL
+    0U,	// RCL8mi
+    0U,	// RCL8r1
+    0U,	// RCL8rCL
+    0U,	// RCL8ri
+    0U,	// RCPPSm
+    0U,	// RCPPSm_Int
+    0U,	// RCPPSr
+    0U,	// RCPPSr_Int
+    0U,	// RCPSSm
+    0U,	// RCPSSm_Int
+    0U,	// RCPSSr
+    0U,	// RCPSSr_Int
+    0U,	// RCR16m1
+    0U,	// RCR16mCL
+    0U,	// RCR16mi
+    0U,	// RCR16r1
+    0U,	// RCR16rCL
+    0U,	// RCR16ri
+    0U,	// RCR32m1
+    0U,	// RCR32mCL
+    0U,	// RCR32mi
+    0U,	// RCR32r1
+    0U,	// RCR32rCL
+    0U,	// RCR32ri
+    0U,	// RCR64m1
+    0U,	// RCR64mCL
+    0U,	// RCR64mi
+    0U,	// RCR64r1
+    0U,	// RCR64rCL
+    0U,	// RCR64ri
+    0U,	// RCR8m1
+    0U,	// RCR8mCL
+    0U,	// RCR8mi
+    0U,	// RCR8r1
+    0U,	// RCR8rCL
+    0U,	// RCR8ri
+    0U,	// RDFSBASE
+    0U,	// RDFSBASE64
+    0U,	// RDGSBASE
+    0U,	// RDGSBASE64
+    0U,	// RDMSR
+    0U,	// RDPMC
+    0U,	// RDRAND16r
+    0U,	// RDRAND32r
+    0U,	// RDRAND64r
+    0U,	// RDSEED16r
+    0U,	// RDSEED32r
+    0U,	// RDSEED64r
+    0U,	// RDTSC
+    0U,	// RDTSCP
+    0U,	// RELEASE_MOV16mr
+    0U,	// RELEASE_MOV32mr
+    0U,	// RELEASE_MOV64mr
+    0U,	// RELEASE_MOV8mr
+    0U,	// REPNE_PREFIX
+    0U,	// REP_MOVSB_32
+    0U,	// REP_MOVSB_64
+    0U,	// REP_MOVSD_32
+    0U,	// REP_MOVSD_64
+    0U,	// REP_MOVSQ_64
+    0U,	// REP_MOVSW_32
+    0U,	// REP_MOVSW_64
+    0U,	// REP_PREFIX
+    0U,	// REP_STOSB_32
+    0U,	// REP_STOSB_64
+    0U,	// REP_STOSD_32
+    0U,	// REP_STOSD_64
+    0U,	// REP_STOSQ_64
+    0U,	// REP_STOSW_32
+    0U,	// REP_STOSW_64
+    0U,	// RET
+    0U,	// RETI
+    0U,	// RETIW
+    0U,	// RETW
+    0U,	// REX64_PREFIX
+    0U,	// ROL16m1
+    0U,	// ROL16mCL
+    0U,	// ROL16mi
+    0U,	// ROL16r1
+    0U,	// ROL16rCL
+    0U,	// ROL16ri
+    0U,	// ROL32m1
+    0U,	// ROL32mCL
+    0U,	// ROL32mi
+    0U,	// ROL32r1
+    0U,	// ROL32rCL
+    0U,	// ROL32ri
+    0U,	// ROL64m1
+    0U,	// ROL64mCL
+    0U,	// ROL64mi
+    0U,	// ROL64r1
+    0U,	// ROL64rCL
+    0U,	// ROL64ri
+    0U,	// ROL8m1
+    0U,	// ROL8mCL
+    0U,	// ROL8mi
+    0U,	// ROL8r1
+    0U,	// ROL8rCL
+    0U,	// ROL8ri
+    0U,	// ROR16m1
+    0U,	// ROR16mCL
+    0U,	// ROR16mi
+    0U,	// ROR16r1
+    0U,	// ROR16rCL
+    0U,	// ROR16ri
+    0U,	// ROR32m1
+    0U,	// ROR32mCL
+    0U,	// ROR32mi
+    0U,	// ROR32r1
+    0U,	// ROR32rCL
+    0U,	// ROR32ri
+    0U,	// ROR64m1
+    0U,	// ROR64mCL
+    0U,	// ROR64mi
+    0U,	// ROR64r1
+    0U,	// ROR64rCL
+    0U,	// ROR64ri
+    0U,	// ROR8m1
+    0U,	// ROR8mCL
+    0U,	// ROR8mi
+    0U,	// ROR8r1
+    0U,	// ROR8rCL
+    0U,	// ROR8ri
+    0U,	// RORX32mi
+    2U,	// RORX32ri
+    0U,	// RORX64mi
+    2U,	// RORX64ri
+    0U,	// ROUNDPDm
+    2U,	// ROUNDPDr
+    0U,	// ROUNDPSm
+    2U,	// ROUNDPSr
+    8U,	// ROUNDSDm
+    10U,	// ROUNDSDr
+    10U,	// ROUNDSDr_Int
+    8U,	// ROUNDSSm
+    10U,	// ROUNDSSr
+    10U,	// ROUNDSSr_Int
+    0U,	// RSM
+    0U,	// RSQRTPSm
+    0U,	// RSQRTPSm_Int
+    0U,	// RSQRTPSr
+    0U,	// RSQRTPSr_Int
+    0U,	// RSQRTSSm
+    0U,	// RSQRTSSm_Int
+    0U,	// RSQRTSSr
+    0U,	// RSQRTSSr_Int
+    0U,	// SAHF
+    0U,	// SAR16m1
+    0U,	// SAR16mCL
+    0U,	// SAR16mi
+    0U,	// SAR16r1
+    0U,	// SAR16rCL
+    0U,	// SAR16ri
+    0U,	// SAR32m1
+    0U,	// SAR32mCL
+    0U,	// SAR32mi
+    0U,	// SAR32r1
+    0U,	// SAR32rCL
+    0U,	// SAR32ri
+    0U,	// SAR64m1
+    0U,	// SAR64mCL
+    0U,	// SAR64mi
+    0U,	// SAR64r1
+    0U,	// SAR64rCL
+    0U,	// SAR64ri
+    0U,	// SAR8m1
+    0U,	// SAR8mCL
+    0U,	// SAR8mi
+    0U,	// SAR8r1
+    0U,	// SAR8rCL
+    0U,	// SAR8ri
+    0U,	// SARX32rm
+    2U,	// SARX32rr
+    0U,	// SARX64rm
+    2U,	// SARX64rr
+    0U,	// SBB16i16
+    0U,	// SBB16mi
+    0U,	// SBB16mi8
+    0U,	// SBB16mr
+    0U,	// SBB16ri
+    0U,	// SBB16ri8
+    0U,	// SBB16rm
+    0U,	// SBB16rr
+    0U,	// SBB16rr_REV
+    0U,	// SBB32i32
+    0U,	// SBB32mi
+    0U,	// SBB32mi8
+    0U,	// SBB32mr
+    0U,	// SBB32ri
+    0U,	// SBB32ri8
+    0U,	// SBB32rm
+    0U,	// SBB32rr
+    0U,	// SBB32rr_REV
+    0U,	// SBB64i32
+    0U,	// SBB64mi32
+    0U,	// SBB64mi8
+    0U,	// SBB64mr
+    0U,	// SBB64ri32
+    0U,	// SBB64ri8
+    0U,	// SBB64rm
+    0U,	// SBB64rr
+    0U,	// SBB64rr_REV
+    0U,	// SBB8i8
+    0U,	// SBB8mi
+    0U,	// SBB8mr
+    0U,	// SBB8ri
+    0U,	// SBB8rm
+    0U,	// SBB8rr
+    0U,	// SBB8rr_REV
+    0U,	// SCAS16
+    0U,	// SCAS32
+    0U,	// SCAS64
+    0U,	// SCAS8
+    0U,	// SEG_ALLOCA_32
+    0U,	// SEG_ALLOCA_64
+    0U,	// SETAEm
+    0U,	// SETAEr
+    0U,	// SETAm
+    0U,	// SETAr
+    0U,	// SETBEm
+    0U,	// SETBEr
+    0U,	// SETB_C16r
+    0U,	// SETB_C32r
+    0U,	// SETB_C64r
+    0U,	// SETB_C8r
+    0U,	// SETBm
+    0U,	// SETBr
+    0U,	// SETEm
+    0U,	// SETEr
+    0U,	// SETGEm
+    0U,	// SETGEr
+    0U,	// SETGm
+    0U,	// SETGr
+    0U,	// SETLEm
+    0U,	// SETLEr
+    0U,	// SETLm
+    0U,	// SETLr
+    0U,	// SETNEm
+    0U,	// SETNEr
+    0U,	// SETNOm
+    0U,	// SETNOr
+    0U,	// SETNPm
+    0U,	// SETNPr
+    0U,	// SETNSm
+    0U,	// SETNSr
+    0U,	// SETOm
+    0U,	// SETOr
+    0U,	// SETPm
+    0U,	// SETPr
+    0U,	// SETSm
+    0U,	// SETSr
+    0U,	// SFENCE
+    0U,	// SGDT16m
+    0U,	// SGDTm
+    0U,	// SHA1MSG1rm
+    0U,	// SHA1MSG1rr
+    0U,	// SHA1MSG2rm
+    0U,	// SHA1MSG2rr
+    0U,	// SHA1NEXTErm
+    0U,	// SHA1NEXTErr
+    8U,	// SHA1RNDS4rmi
+    10U,	// SHA1RNDS4rri
+    0U,	// SHA256MSG1rm
+    0U,	// SHA256MSG1rr
+    0U,	// SHA256MSG2rm
+    0U,	// SHA256MSG2rr
+    0U,	// SHA256RNDS2rm
+    0U,	// SHA256RNDS2rr
+    0U,	// SHL16m1
+    0U,	// SHL16mCL
+    0U,	// SHL16mi
+    0U,	// SHL16r1
+    0U,	// SHL16rCL
+    0U,	// SHL16ri
+    0U,	// SHL32m1
+    0U,	// SHL32mCL
+    0U,	// SHL32mi
+    0U,	// SHL32r1
+    0U,	// SHL32rCL
+    0U,	// SHL32ri
+    0U,	// SHL64m1
+    0U,	// SHL64mCL
+    0U,	// SHL64mi
+    0U,	// SHL64r1
+    0U,	// SHL64rCL
+    0U,	// SHL64ri
+    0U,	// SHL8m1
+    0U,	// SHL8mCL
+    0U,	// SHL8mi
+    0U,	// SHL8r1
+    0U,	// SHL8rCL
+    0U,	// SHL8ri
+    0U,	// SHLD16mrCL
+    0U,	// SHLD16mri8
+    0U,	// SHLD16rrCL
+    10U,	// SHLD16rri8
+    0U,	// SHLD32mrCL
+    0U,	// SHLD32mri8
+    0U,	// SHLD32rrCL
+    10U,	// SHLD32rri8
+    0U,	// SHLD64mrCL
+    0U,	// SHLD64mri8
+    0U,	// SHLD64rrCL
+    10U,	// SHLD64rri8
+    0U,	// SHLX32rm
+    2U,	// SHLX32rr
+    0U,	// SHLX64rm
+    2U,	// SHLX64rr
+    0U,	// SHR16m1
+    0U,	// SHR16mCL
+    0U,	// SHR16mi
+    0U,	// SHR16r1
+    0U,	// SHR16rCL
+    0U,	// SHR16ri
+    0U,	// SHR32m1
+    0U,	// SHR32mCL
+    0U,	// SHR32mi
+    0U,	// SHR32r1
+    0U,	// SHR32rCL
+    0U,	// SHR32ri
+    0U,	// SHR64m1
+    0U,	// SHR64mCL
+    0U,	// SHR64mi
+    0U,	// SHR64r1
+    0U,	// SHR64rCL
+    0U,	// SHR64ri
+    0U,	// SHR8m1
+    0U,	// SHR8mCL
+    0U,	// SHR8mi
+    0U,	// SHR8r1
+    0U,	// SHR8rCL
+    0U,	// SHR8ri
+    0U,	// SHRD16mrCL
+    0U,	// SHRD16mri8
+    0U,	// SHRD16rrCL
+    10U,	// SHRD16rri8
+    0U,	// SHRD32mrCL
+    0U,	// SHRD32mri8
+    0U,	// SHRD32rrCL
+    10U,	// SHRD32rri8
+    0U,	// SHRD64mrCL
+    0U,	// SHRD64mri8
+    0U,	// SHRD64rrCL
+    10U,	// SHRD64rri8
+    0U,	// SHRX32rm
+    2U,	// SHRX32rr
+    0U,	// SHRX64rm
+    2U,	// SHRX64rr
+    8U,	// SHUFPDrmi
+    10U,	// SHUFPDrri
+    8U,	// SHUFPSrmi
+    10U,	// SHUFPSrri
+    0U,	// SIDT16m
+    0U,	// SIDTm
+    0U,	// SIN_F
+    0U,	// SIN_Fp32
+    0U,	// SIN_Fp64
+    0U,	// SIN_Fp80
+    0U,	// SKINIT
+    0U,	// SLDT16m
+    0U,	// SLDT16r
+    0U,	// SLDT32r
+    0U,	// SLDT64m
+    0U,	// SLDT64r
+    0U,	// SMSW16m
+    0U,	// SMSW16r
+    0U,	// SMSW32r
+    0U,	// SMSW64r
+    0U,	// SQRTPDm
+    0U,	// SQRTPDr
+    0U,	// SQRTPSm
+    0U,	// SQRTPSr
+    0U,	// SQRTSDm
+    0U,	// SQRTSDm_Int
+    0U,	// SQRTSDr
+    0U,	// SQRTSDr_Int
+    0U,	// SQRTSSm
+    0U,	// SQRTSSm_Int
+    0U,	// SQRTSSr
+    0U,	// SQRTSSr_Int
+    0U,	// SQRT_F
+    0U,	// SQRT_Fp32
+    0U,	// SQRT_Fp64
+    0U,	// SQRT_Fp80
+    0U,	// SS_PREFIX
+    0U,	// STAC
+    0U,	// STC
+    0U,	// STD
+    0U,	// STGI
+    0U,	// STI
+    0U,	// STMXCSR
+    0U,	// STOSB
+    0U,	// STOSD
+    0U,	// STOSQ
+    0U,	// STOSW
+    0U,	// STR16r
+    0U,	// STR32r
+    0U,	// STR64r
+    0U,	// STRm
+    0U,	// ST_F32m
+    0U,	// ST_F64m
+    0U,	// ST_FP32m
+    0U,	// ST_FP64m
+    0U,	// ST_FP80m
+    0U,	// ST_FPrr
+    0U,	// ST_Fp32m
+    0U,	// ST_Fp64m
+    0U,	// ST_Fp64m32
+    0U,	// ST_Fp80m32
+    0U,	// ST_Fp80m64
+    0U,	// ST_FpP32m
+    0U,	// ST_FpP64m
+    0U,	// ST_FpP64m32
+    0U,	// ST_FpP80m
+    0U,	// ST_FpP80m32
+    0U,	// ST_FpP80m64
+    0U,	// ST_Frr
+    0U,	// SUB16i16
+    0U,	// SUB16mi
+    0U,	// SUB16mi8
+    0U,	// SUB16mr
+    0U,	// SUB16ri
+    0U,	// SUB16ri8
+    0U,	// SUB16rm
+    0U,	// SUB16rr
+    0U,	// SUB16rr_REV
+    0U,	// SUB32i32
+    0U,	// SUB32mi
+    0U,	// SUB32mi8
+    0U,	// SUB32mr
+    0U,	// SUB32ri
+    0U,	// SUB32ri8
+    0U,	// SUB32rm
+    0U,	// SUB32rr
+    0U,	// SUB32rr_REV
+    0U,	// SUB64i32
+    0U,	// SUB64mi32
+    0U,	// SUB64mi8
+    0U,	// SUB64mr
+    0U,	// SUB64ri32
+    0U,	// SUB64ri8
+    0U,	// SUB64rm
+    0U,	// SUB64rr
+    0U,	// SUB64rr_REV
+    0U,	// SUB8i8
+    0U,	// SUB8mi
+    0U,	// SUB8mr
+    0U,	// SUB8ri
+    0U,	// SUB8rm
+    0U,	// SUB8rr
+    0U,	// SUB8rr_REV
+    0U,	// SUBPDrm
+    0U,	// SUBPDrr
+    0U,	// SUBPSrm
+    0U,	// SUBPSrr
+    0U,	// SUBR_F32m
+    0U,	// SUBR_F64m
+    0U,	// SUBR_FI16m
+    0U,	// SUBR_FI32m
+    0U,	// SUBR_FPrST0
+    0U,	// SUBR_FST0r
+    0U,	// SUBR_Fp32m
+    0U,	// SUBR_Fp64m
+    0U,	// SUBR_Fp64m32
+    0U,	// SUBR_Fp80m32
+    0U,	// SUBR_Fp80m64
+    0U,	// SUBR_FpI16m32
+    0U,	// SUBR_FpI16m64
+    0U,	// SUBR_FpI16m80
+    0U,	// SUBR_FpI32m32
+    0U,	// SUBR_FpI32m64
+    0U,	// SUBR_FpI32m80
+    0U,	// SUBR_FrST0
+    0U,	// SUBSDrm
+    0U,	// SUBSDrm_Int
+    0U,	// SUBSDrr
+    0U,	// SUBSDrr_Int
+    0U,	// SUBSSrm
+    0U,	// SUBSSrm_Int
+    0U,	// SUBSSrr
+    0U,	// SUBSSrr_Int
+    0U,	// SUB_F32m
+    0U,	// SUB_F64m
+    0U,	// SUB_FI16m
+    0U,	// SUB_FI32m
+    0U,	// SUB_FPrST0
+    0U,	// SUB_FST0r
+    0U,	// SUB_Fp32
+    0U,	// SUB_Fp32m
+    0U,	// SUB_Fp64
+    0U,	// SUB_Fp64m
+    0U,	// SUB_Fp64m32
+    0U,	// SUB_Fp80
+    0U,	// SUB_Fp80m32
+    0U,	// SUB_Fp80m64
+    0U,	// SUB_FpI16m32
+    0U,	// SUB_FpI16m64
+    0U,	// SUB_FpI16m80
+    0U,	// SUB_FpI32m32
+    0U,	// SUB_FpI32m64
+    0U,	// SUB_FpI32m80
+    0U,	// SUB_FrST0
+    0U,	// SWAPGS
+    0U,	// SYSCALL
+    0U,	// SYSENTER
+    0U,	// SYSEXIT
+    0U,	// SYSEXIT64
+    0U,	// SYSRET
+    0U,	// SYSRET64
+    0U,	// TAILJMPd
+    0U,	// TAILJMPd64
+    0U,	// TAILJMPm
+    0U,	// TAILJMPm64
+    0U,	// TAILJMPr
+    0U,	// TAILJMPr64
+    0U,	// TCRETURNdi
+    0U,	// TCRETURNdi64
+    0U,	// TCRETURNmi
+    0U,	// TCRETURNmi64
+    0U,	// TCRETURNri
+    0U,	// TCRETURNri64
+    0U,	// TEST16i16
+    0U,	// TEST16mi
+    0U,	// TEST16ri
+    0U,	// TEST16rm
+    0U,	// TEST16rr
+    0U,	// TEST32i32
+    0U,	// TEST32mi
+    0U,	// TEST32ri
+    0U,	// TEST32rm
+    0U,	// TEST32rr
+    0U,	// TEST64i32
+    0U,	// TEST64mi32
+    0U,	// TEST64ri32
+    0U,	// TEST64rm
+    0U,	// TEST64rr
+    0U,	// TEST8i8
+    0U,	// TEST8mi
+    0U,	// TEST8ri
+    0U,	// TEST8ri_NOREX
+    0U,	// TEST8rm
+    0U,	// TEST8rr
+    0U,	// TLSCall_32
+    0U,	// TLSCall_64
+    0U,	// TLS_addr32
+    0U,	// TLS_addr64
+    0U,	// TLS_base_addr32
+    0U,	// TLS_base_addr64
+    0U,	// TRAP
+    0U,	// TST_F
+    0U,	// TST_Fp32
+    0U,	// TST_Fp64
+    0U,	// TST_Fp80
+    0U,	// TZCNT16rm
+    0U,	// TZCNT16rr
+    0U,	// TZCNT32rm
+    0U,	// TZCNT32rr
+    0U,	// TZCNT64rm
+    0U,	// TZCNT64rr
+    0U,	// UCOMISDrm
+    0U,	// UCOMISDrr
+    0U,	// UCOMISSrm
+    0U,	// UCOMISSrr
+    0U,	// UCOM_FIPr
+    0U,	// UCOM_FIr
+    0U,	// UCOM_FPPr
+    0U,	// UCOM_FPr
+    0U,	// UCOM_FpIr32
+    0U,	// UCOM_FpIr64
+    0U,	// UCOM_FpIr80
+    0U,	// UCOM_Fpr32
+    0U,	// UCOM_Fpr64
+    0U,	// UCOM_Fpr80
+    0U,	// UCOM_Fr
+    0U,	// UD2B
+    0U,	// UNPCKHPDrm
+    0U,	// UNPCKHPDrr
+    0U,	// UNPCKHPSrm
+    0U,	// UNPCKHPSrr
+    0U,	// UNPCKLPDrm
+    0U,	// UNPCKLPDrr
+    0U,	// UNPCKLPSrm
+    0U,	// UNPCKLPSrr
+    64U,	// VAARG_64
+    18U,	// VADDPDYrm
+    2U,	// VADDPDYrr
+    20U,	// VADDPDZrm
+    142U,	// VADDPDZrmb
+    2U,	// VADDPDZrr
+    22U,	// VADDPDrm
+    2U,	// VADDPDrr
+    18U,	// VADDPSYrm
+    2U,	// VADDPSYrr
+    20U,	// VADDPSZrm
+    208U,	// VADDPSZrmb
+    2U,	// VADDPSZrr
+    22U,	// VADDPSrm
+    2U,	// VADDPSrr
+    14U,	// VADDSDZrm
+    2U,	// VADDSDZrr
+    14U,	// VADDSDrm
+    14U,	// VADDSDrm_Int
+    2U,	// VADDSDrr
+    2U,	// VADDSDrr_Int
+    16U,	// VADDSSZrm
+    2U,	// VADDSSZrr
+    16U,	// VADDSSrm
+    16U,	// VADDSSrm_Int
+    2U,	// VADDSSrr
+    2U,	// VADDSSrr_Int
+    18U,	// VADDSUBPDYrm
+    2U,	// VADDSUBPDYrr
+    22U,	// VADDSUBPDrm
+    2U,	// VADDSUBPDrr
+    18U,	// VADDSUBPSYrm
+    2U,	// VADDSUBPSYrr
+    22U,	// VADDSUBPSrm
+    2U,	// VADDSUBPSrr
+    24U,	// VAESDECLASTrm
+    2U,	// VAESDECLASTrr
+    24U,	// VAESDECrm
+    2U,	// VAESDECrr
+    24U,	// VAESENCLASTrm
+    2U,	// VAESENCLASTrr
+    24U,	// VAESENCrm
+    2U,	// VAESENCrr
+    0U,	// VAESIMCrm
+    0U,	// VAESIMCrr
+    0U,	// VAESKEYGENASSIST128rm
+    2U,	// VAESKEYGENASSIST128rr
+    4186U,	// VALIGNDrmi
+    4418U,	// VALIGNDrri
+    4186U,	// VALIGNQrmi
+    4418U,	// VALIGNQrri
+    18U,	// VANDNPDYrm
+    2U,	// VANDNPDYrr
+    22U,	// VANDNPDrm
+    2U,	// VANDNPDrr
+    18U,	// VANDNPSYrm
+    2U,	// VANDNPSYrr
+    22U,	// VANDNPSrm
+    2U,	// VANDNPSrr
+    18U,	// VANDPDYrm
+    2U,	// VANDPDYrr
+    22U,	// VANDPDrm
+    2U,	// VANDPDrr
+    18U,	// VANDPSYrm
+    2U,	// VANDPSYrr
+    22U,	// VANDPSrm
+    2U,	// VANDPSrr
+    2U,	// VASTART_SAVE_XMM_REGS
+    578U,	// VBLENDMPDZrm
+    4419U,	// VBLENDMPDZrr
+    578U,	// VBLENDMPSZrm
+    4419U,	// VBLENDMPSZrr
+    4178U,	// VBLENDPDYrmi
+    4418U,	// VBLENDPDYrri
+    4182U,	// VBLENDPDrmi
+    4418U,	// VBLENDPDrri
+    4178U,	// VBLENDPSYrmi
+    4418U,	// VBLENDPSYrri
+    4182U,	// VBLENDPSrmi
+    4418U,	// VBLENDPSrri
+    4178U,	// VBLENDVPDYrm
+    4418U,	// VBLENDVPDYrr
+    4182U,	// VBLENDVPDrm
+    4418U,	// VBLENDVPDrr
+    4178U,	// VBLENDVPSYrm
+    4418U,	// VBLENDVPSYrr
+    4182U,	// VBLENDVPSrm
+    4418U,	// VBLENDVPSrr
+    0U,	// VBROADCASTF128
+    0U,	// VBROADCASTI128
+    0U,	// VBROADCASTSDYrm
+    0U,	// VBROADCASTSDYrr
+    0U,	// VBROADCASTSDZrm
+    0U,	// VBROADCASTSDZrr
+    0U,	// VBROADCASTSSYrm
+    0U,	// VBROADCASTSSYrr
+    0U,	// VBROADCASTSSZrm
+    0U,	// VBROADCASTSSZrr
+    0U,	// VBROADCASTSSrm
+    0U,	// VBROADCASTSSrr
+    18U,	// VCMPPDYrmi
+    4178U,	// VCMPPDYrmi_alt
+    2U,	// VCMPPDYrri
+    4418U,	// VCMPPDYrri_alt
+    20U,	// VCMPPDZrmi
+    4180U,	// VCMPPDZrmi_alt
+    2U,	// VCMPPDZrri
+    4418U,	// VCMPPDZrri_alt
+    22U,	// VCMPPDrmi
+    4182U,	// VCMPPDrmi_alt
+    2U,	// VCMPPDrri
+    4418U,	// VCMPPDrri_alt
+    18U,	// VCMPPSYrmi
+    4178U,	// VCMPPSYrmi_alt
+    2U,	// VCMPPSYrri
+    4418U,	// VCMPPSYrri_alt
+    20U,	// VCMPPSZrmi
+    4180U,	// VCMPPSZrmi_alt
+    2U,	// VCMPPSZrri
+    4418U,	// VCMPPSZrri_alt
+    22U,	// VCMPPSrmi
+    4182U,	// VCMPPSrmi_alt
+    2U,	// VCMPPSrri
+    4418U,	// VCMPPSrri_alt
+    14U,	// VCMPSDrm
+    4174U,	// VCMPSDrm_alt
+    2U,	// VCMPSDrr
+    4418U,	// VCMPSDrr_alt
+    16U,	// VCMPSSrm
+    4176U,	// VCMPSSrm_alt
+    2U,	// VCMPSSrr
+    4418U,	// VCMPSSrr_alt
+    0U,	// VCOMISDZrm
+    0U,	// VCOMISDZrr
+    0U,	// VCOMISDrm
+    0U,	// VCOMISDrr
+    0U,	// VCOMISSZrm
+    0U,	// VCOMISSZrr
+    0U,	// VCOMISSrm
+    0U,	// VCOMISSrr
+    0U,	// VCVTDQ2PDYrm
+    0U,	// VCVTDQ2PDYrr
+    0U,	// VCVTDQ2PDZrm
+    0U,	// VCVTDQ2PDZrr
+    0U,	// VCVTDQ2PDrm
+    0U,	// VCVTDQ2PDrr
+    0U,	// VCVTDQ2PSYrm
+    0U,	// VCVTDQ2PSYrr
+    0U,	// VCVTDQ2PSZrm
+    0U,	// VCVTDQ2PSZrr
+    0U,	// VCVTDQ2PSrm
+    0U,	// VCVTDQ2PSrr
+    0U,	// VCVTPD2DQXrm
+    0U,	// VCVTPD2DQYrm
+    0U,	// VCVTPD2DQYrr
+    0U,	// VCVTPD2DQrr
+    0U,	// VCVTPD2PSXrm
+    0U,	// VCVTPD2PSYrm
+    0U,	// VCVTPD2PSYrr
+    0U,	// VCVTPD2PSZrm
+    0U,	// VCVTPD2PSZrr
+    0U,	// VCVTPD2PSrr
+    0U,	// VCVTPH2PSYrm
+    0U,	// VCVTPH2PSYrr
+    0U,	// VCVTPH2PSrm
+    0U,	// VCVTPH2PSrr
+    0U,	// VCVTPS2DQYrm
+    0U,	// VCVTPS2DQYrr
+    0U,	// VCVTPS2DQZrm
+    0U,	// VCVTPS2DQZrr
+    0U,	// VCVTPS2DQrm
+    0U,	// VCVTPS2DQrr
+    0U,	// VCVTPS2PDYrm
+    0U,	// VCVTPS2PDYrr
+    0U,	// VCVTPS2PDZrm
+    0U,	// VCVTPS2PDZrr
+    0U,	// VCVTPS2PDrm
+    0U,	// VCVTPS2PDrr
+    0U,	// VCVTPS2PHYmr
+    2U,	// VCVTPS2PHYrr
+    0U,	// VCVTPS2PHmr
+    2U,	// VCVTPS2PHrr
+    0U,	// VCVTSD2SI64rm
+    0U,	// VCVTSD2SI64rr
+    0U,	// VCVTSD2SIrm
+    0U,	// VCVTSD2SIrr
+    14U,	// VCVTSD2SSZrm
+    2U,	// VCVTSD2SSZrr
+    14U,	// VCVTSD2SSrm
+    2U,	// VCVTSD2SSrr
+    6U,	// VCVTSI2SD64Zrm
+    2U,	// VCVTSI2SD64Zrr
+    6U,	// VCVTSI2SD64rm
+    2U,	// VCVTSI2SD64rr
+    4U,	// VCVTSI2SDZrm
+    2U,	// VCVTSI2SDZrr
+    4U,	// VCVTSI2SDrm
+    2U,	// VCVTSI2SDrr
+    6U,	// VCVTSI2SS64Zrm
+    2U,	// VCVTSI2SS64Zrr
+    6U,	// VCVTSI2SS64rm
+    2U,	// VCVTSI2SS64rr
+    4U,	// VCVTSI2SSZrm
+    2U,	// VCVTSI2SSZrr
+    4U,	// VCVTSI2SSrm
+    2U,	// VCVTSI2SSrr
+    16U,	// VCVTSS2SDZrm
+    2U,	// VCVTSS2SDZrr
+    16U,	// VCVTSS2SDrm
+    2U,	// VCVTSS2SDrr
+    0U,	// VCVTSS2SI64rm
+    0U,	// VCVTSS2SI64rr
+    0U,	// VCVTSS2SIrm
+    0U,	// VCVTSS2SIrr
+    0U,	// VCVTTPD2DQXrm
+    0U,	// VCVTTPD2DQYrm
+    0U,	// VCVTTPD2DQYrr
+    0U,	// VCVTTPD2DQZrm
+    0U,	// VCVTTPD2DQZrr
+    0U,	// VCVTTPD2DQrr
+    0U,	// VCVTTPD2UDQZrm
+    0U,	// VCVTTPD2UDQZrr
+    0U,	// VCVTTPS2DQYrm
+    0U,	// VCVTTPS2DQYrr
+    0U,	// VCVTTPS2DQZrm
+    0U,	// VCVTTPS2DQZrr
+    0U,	// VCVTTPS2DQrm
+    0U,	// VCVTTPS2DQrr
+    0U,	// VCVTTPS2UDQZrm
+    0U,	// VCVTTPS2UDQZrr
+    0U,	// VCVTTSD2SI64rm
+    0U,	// VCVTTSD2SI64rr
+    0U,	// VCVTTSD2SIrm
+    0U,	// VCVTTSD2SIrr
+    0U,	// VCVTTSS2SI64rm
+    0U,	// VCVTTSS2SI64rr
+    0U,	// VCVTTSS2SIrm
+    0U,	// VCVTTSS2SIrr
+    0U,	// VCVTUDQ2PDZrm
+    0U,	// VCVTUDQ2PDZrr
+    0U,	// VCVTUDQ2PSZrm
+    0U,	// VCVTUDQ2PSZrr
+    18U,	// VDIVPDYrm
+    2U,	// VDIVPDYrr
+    20U,	// VDIVPDZrm
+    142U,	// VDIVPDZrmb
+    2U,	// VDIVPDZrr
+    22U,	// VDIVPDrm
+    2U,	// VDIVPDrr
+    18U,	// VDIVPSYrm
+    2U,	// VDIVPSYrr
+    20U,	// VDIVPSZrm
+    208U,	// VDIVPSZrmb
+    2U,	// VDIVPSZrr
+    22U,	// VDIVPSrm
+    2U,	// VDIVPSrr
+    14U,	// VDIVSDZrm
+    2U,	// VDIVSDZrr
+    14U,	// VDIVSDrm
+    14U,	// VDIVSDrm_Int
+    2U,	// VDIVSDrr
+    2U,	// VDIVSDrr_Int
+    16U,	// VDIVSSZrm
+    2U,	// VDIVSSZrr
+    16U,	// VDIVSSrm
+    16U,	// VDIVSSrm_Int
+    2U,	// VDIVSSrr
+    2U,	// VDIVSSrr_Int
+    4182U,	// VDPPDrmi
+    4418U,	// VDPPDrri
+    4188U,	// VDPPSYrmi
+    4418U,	// VDPPSYrri
+    4182U,	// VDPPSrmi
+    4418U,	// VDPPSrri
+    0U,	// VERRm
+    0U,	// VERRr
+    0U,	// VERWm
+    0U,	// VERWr
+    0U,	// VEXTRACTF128mr
+    2U,	// VEXTRACTF128rr
+    0U,	// VEXTRACTF32x4mr
+    2U,	// VEXTRACTF32x4rr
+    0U,	// VEXTRACTF64x4mr
+    2U,	// VEXTRACTF64x4rr
+    0U,	// VEXTRACTI128mr
+    2U,	// VEXTRACTI128rr
+    0U,	// VEXTRACTI32x4mr
+    2U,	// VEXTRACTI32x4rr
+    0U,	// VEXTRACTI64x4mr
+    2U,	// VEXTRACTI64x4rr
+    0U,	// VEXTRACTPSmr
+    2U,	// VEXTRACTPSrr
+    2U,	// VEXTRACTPSrr64
+    0U,	// VEXTRACTPSzmr
+    2U,	// VEXTRACTPSzrr
+    30U,	// VFMADD132PDZm
+    160U,	// VFMADD132PDZmb
+    30U,	// VFMADD132PSZm
+    226U,	// VFMADD132PSZmb
+    30U,	// VFMADD213PDZm
+    160U,	// VFMADD213PDZmb
+    10U,	// VFMADD213PDZr
+    30U,	// VFMADD213PSZm
+    226U,	// VFMADD213PSZmb
+    10U,	// VFMADD213PSZr
+    4182U,	// VFMADDPD4mr
+    4178U,	// VFMADDPD4mrY
+    4930U,	// VFMADDPD4rm
+    5186U,	// VFMADDPD4rmY
+    4418U,	// VFMADDPD4rr
+    4418U,	// VFMADDPD4rrY
+    4418U,	// VFMADDPD4rrY_REV
+    4418U,	// VFMADDPD4rr_REV
+    36U,	// VFMADDPDr132m
+    38U,	// VFMADDPDr132mY
+    10U,	// VFMADDPDr132r
+    10U,	// VFMADDPDr132rY
+    36U,	// VFMADDPDr213m
+    38U,	// VFMADDPDr213mY
+    10U,	// VFMADDPDr213r
+    10U,	// VFMADDPDr213rY
+    36U,	// VFMADDPDr231m
+    38U,	// VFMADDPDr231mY
+    10U,	// VFMADDPDr231r
+    10U,	// VFMADDPDr231rY
+    4182U,	// VFMADDPS4mr
+    4178U,	// VFMADDPS4mrY
+    4930U,	// VFMADDPS4rm
+    5186U,	// VFMADDPS4rmY
+    4418U,	// VFMADDPS4rr
+    4418U,	// VFMADDPS4rrY
+    4418U,	// VFMADDPS4rrY_REV
+    4418U,	// VFMADDPS4rr_REV
+    36U,	// VFMADDPSr132m
+    38U,	// VFMADDPSr132mY
+    10U,	// VFMADDPSr132r
+    10U,	// VFMADDPSr132rY
+    36U,	// VFMADDPSr213m
+    38U,	// VFMADDPSr213mY
+    10U,	// VFMADDPSr213r
+    10U,	// VFMADDPSr213rY
+    36U,	// VFMADDPSr231m
+    38U,	// VFMADDPSr231mY
+    10U,	// VFMADDPSr231r
+    10U,	// VFMADDPSr231rY
+    4174U,	// VFMADDSD4mr
+    4174U,	// VFMADDSD4mr_Int
+    1346U,	// VFMADDSD4rm
+    1346U,	// VFMADDSD4rm_Int
+    4418U,	// VFMADDSD4rr
+    4418U,	// VFMADDSD4rr_Int
+    4418U,	// VFMADDSD4rr_REV
+    36U,	// VFMADDSDZm
+    10U,	// VFMADDSDZr
+    32U,	// VFMADDSDr132m
+    10U,	// VFMADDSDr132r
+    32U,	// VFMADDSDr213m
+    32U,	// VFMADDSDr213m_Int
+    10U,	// VFMADDSDr213r
+    10U,	// VFMADDSDr213r_Int
+    32U,	// VFMADDSDr231m
+    10U,	// VFMADDSDr231r
+    4176U,	// VFMADDSS4mr
+    4176U,	// VFMADDSS4mr_Int
+    1602U,	// VFMADDSS4rm
+    1602U,	// VFMADDSS4rm_Int
+    4418U,	// VFMADDSS4rr
+    4418U,	// VFMADDSS4rr_Int
+    4418U,	// VFMADDSS4rr_REV
+    36U,	// VFMADDSSZm
+    10U,	// VFMADDSSZr
+    34U,	// VFMADDSSr132m
+    10U,	// VFMADDSSr132r
+    34U,	// VFMADDSSr213m
+    34U,	// VFMADDSSr213m_Int
+    10U,	// VFMADDSSr213r
+    10U,	// VFMADDSSr213r_Int
+    34U,	// VFMADDSSr231m
+    10U,	// VFMADDSSr231r
+    30U,	// VFMADDSUB132PDZm
+    160U,	// VFMADDSUB132PDZmb
+    30U,	// VFMADDSUB132PSZm
+    226U,	// VFMADDSUB132PSZmb
+    30U,	// VFMADDSUB213PDZm
+    160U,	// VFMADDSUB213PDZmb
+    10U,	// VFMADDSUB213PDZr
+    30U,	// VFMADDSUB213PSZm
+    226U,	// VFMADDSUB213PSZmb
+    10U,	// VFMADDSUB213PSZr
+    4182U,	// VFMADDSUBPD4mr
+    4178U,	// VFMADDSUBPD4mrY
+    4930U,	// VFMADDSUBPD4rm
+    5186U,	// VFMADDSUBPD4rmY
+    4418U,	// VFMADDSUBPD4rr
+    4418U,	// VFMADDSUBPD4rrY
+    4418U,	// VFMADDSUBPD4rrY_REV
+    4418U,	// VFMADDSUBPD4rr_REV
+    36U,	// VFMADDSUBPDr132m
+    38U,	// VFMADDSUBPDr132mY
+    10U,	// VFMADDSUBPDr132r
+    10U,	// VFMADDSUBPDr132rY
+    36U,	// VFMADDSUBPDr213m
+    38U,	// VFMADDSUBPDr213mY
+    10U,	// VFMADDSUBPDr213r
+    10U,	// VFMADDSUBPDr213rY
+    36U,	// VFMADDSUBPDr231m
+    38U,	// VFMADDSUBPDr231mY
+    10U,	// VFMADDSUBPDr231r
+    10U,	// VFMADDSUBPDr231rY
+    4182U,	// VFMADDSUBPS4mr
+    4178U,	// VFMADDSUBPS4mrY
+    4930U,	// VFMADDSUBPS4rm
+    5186U,	// VFMADDSUBPS4rmY
+    4418U,	// VFMADDSUBPS4rr
+    4418U,	// VFMADDSUBPS4rrY
+    4418U,	// VFMADDSUBPS4rrY_REV
+    4418U,	// VFMADDSUBPS4rr_REV
+    36U,	// VFMADDSUBPSr132m
+    38U,	// VFMADDSUBPSr132mY
+    10U,	// VFMADDSUBPSr132r
+    10U,	// VFMADDSUBPSr132rY
+    36U,	// VFMADDSUBPSr213m
+    38U,	// VFMADDSUBPSr213mY
+    10U,	// VFMADDSUBPSr213r
+    10U,	// VFMADDSUBPSr213rY
+    36U,	// VFMADDSUBPSr231m
+    38U,	// VFMADDSUBPSr231mY
+    10U,	// VFMADDSUBPSr231r
+    10U,	// VFMADDSUBPSr231rY
+    30U,	// VFMSUB132PDZm
+    160U,	// VFMSUB132PDZmb
+    30U,	// VFMSUB132PSZm
+    226U,	// VFMSUB132PSZmb
+    30U,	// VFMSUB213PDZm
+    160U,	// VFMSUB213PDZmb
+    10U,	// VFMSUB213PDZr
+    30U,	// VFMSUB213PSZm
+    226U,	// VFMSUB213PSZmb
+    10U,	// VFMSUB213PSZr
+    30U,	// VFMSUBADD132PDZm
+    160U,	// VFMSUBADD132PDZmb
+    30U,	// VFMSUBADD132PSZm
+    226U,	// VFMSUBADD132PSZmb
+    30U,	// VFMSUBADD213PDZm
+    160U,	// VFMSUBADD213PDZmb
+    10U,	// VFMSUBADD213PDZr
+    30U,	// VFMSUBADD213PSZm
+    226U,	// VFMSUBADD213PSZmb
+    10U,	// VFMSUBADD213PSZr
+    4182U,	// VFMSUBADDPD4mr
+    4178U,	// VFMSUBADDPD4mrY
+    4930U,	// VFMSUBADDPD4rm
+    5186U,	// VFMSUBADDPD4rmY
+    4418U,	// VFMSUBADDPD4rr
+    4418U,	// VFMSUBADDPD4rrY
+    4418U,	// VFMSUBADDPD4rrY_REV
+    4418U,	// VFMSUBADDPD4rr_REV
+    36U,	// VFMSUBADDPDr132m
+    38U,	// VFMSUBADDPDr132mY
+    10U,	// VFMSUBADDPDr132r
+    10U,	// VFMSUBADDPDr132rY
+    36U,	// VFMSUBADDPDr213m
+    38U,	// VFMSUBADDPDr213mY
+    10U,	// VFMSUBADDPDr213r
+    10U,	// VFMSUBADDPDr213rY
+    36U,	// VFMSUBADDPDr231m
+    38U,	// VFMSUBADDPDr231mY
+    10U,	// VFMSUBADDPDr231r
+    10U,	// VFMSUBADDPDr231rY
+    4182U,	// VFMSUBADDPS4mr
+    4178U,	// VFMSUBADDPS4mrY
+    4930U,	// VFMSUBADDPS4rm
+    5186U,	// VFMSUBADDPS4rmY
+    4418U,	// VFMSUBADDPS4rr
+    4418U,	// VFMSUBADDPS4rrY
+    4418U,	// VFMSUBADDPS4rrY_REV
+    4418U,	// VFMSUBADDPS4rr_REV
+    36U,	// VFMSUBADDPSr132m
+    38U,	// VFMSUBADDPSr132mY
+    10U,	// VFMSUBADDPSr132r
+    10U,	// VFMSUBADDPSr132rY
+    36U,	// VFMSUBADDPSr213m
+    38U,	// VFMSUBADDPSr213mY
+    10U,	// VFMSUBADDPSr213r
+    10U,	// VFMSUBADDPSr213rY
+    36U,	// VFMSUBADDPSr231m
+    38U,	// VFMSUBADDPSr231mY
+    10U,	// VFMSUBADDPSr231r
+    10U,	// VFMSUBADDPSr231rY
+    4182U,	// VFMSUBPD4mr
+    4178U,	// VFMSUBPD4mrY
+    4930U,	// VFMSUBPD4rm
+    5186U,	// VFMSUBPD4rmY
+    4418U,	// VFMSUBPD4rr
+    4418U,	// VFMSUBPD4rrY
+    4418U,	// VFMSUBPD4rrY_REV
+    4418U,	// VFMSUBPD4rr_REV
+    36U,	// VFMSUBPDr132m
+    38U,	// VFMSUBPDr132mY
+    10U,	// VFMSUBPDr132r
+    10U,	// VFMSUBPDr132rY
+    36U,	// VFMSUBPDr213m
+    38U,	// VFMSUBPDr213mY
+    10U,	// VFMSUBPDr213r
+    10U,	// VFMSUBPDr213rY
+    36U,	// VFMSUBPDr231m
+    38U,	// VFMSUBPDr231mY
+    10U,	// VFMSUBPDr231r
+    10U,	// VFMSUBPDr231rY
+    4182U,	// VFMSUBPS4mr
+    4178U,	// VFMSUBPS4mrY
+    4930U,	// VFMSUBPS4rm
+    5186U,	// VFMSUBPS4rmY
+    4418U,	// VFMSUBPS4rr
+    4418U,	// VFMSUBPS4rrY
+    4418U,	// VFMSUBPS4rrY_REV
+    4418U,	// VFMSUBPS4rr_REV
+    36U,	// VFMSUBPSr132m
+    38U,	// VFMSUBPSr132mY
+    10U,	// VFMSUBPSr132r
+    10U,	// VFMSUBPSr132rY
+    36U,	// VFMSUBPSr213m
+    38U,	// VFMSUBPSr213mY
+    10U,	// VFMSUBPSr213r
+    10U,	// VFMSUBPSr213rY
+    36U,	// VFMSUBPSr231m
+    38U,	// VFMSUBPSr231mY
+    10U,	// VFMSUBPSr231r
+    10U,	// VFMSUBPSr231rY
+    4174U,	// VFMSUBSD4mr
+    4174U,	// VFMSUBSD4mr_Int
+    1346U,	// VFMSUBSD4rm
+    1346U,	// VFMSUBSD4rm_Int
+    4418U,	// VFMSUBSD4rr
+    4418U,	// VFMSUBSD4rr_Int
+    4418U,	// VFMSUBSD4rr_REV
+    36U,	// VFMSUBSDZm
+    10U,	// VFMSUBSDZr
+    32U,	// VFMSUBSDr132m
+    10U,	// VFMSUBSDr132r
+    32U,	// VFMSUBSDr213m
+    32U,	// VFMSUBSDr213m_Int
+    10U,	// VFMSUBSDr213r
+    10U,	// VFMSUBSDr213r_Int
+    32U,	// VFMSUBSDr231m
+    10U,	// VFMSUBSDr231r
+    4176U,	// VFMSUBSS4mr
+    4176U,	// VFMSUBSS4mr_Int
+    1602U,	// VFMSUBSS4rm
+    1602U,	// VFMSUBSS4rm_Int
+    4418U,	// VFMSUBSS4rr
+    4418U,	// VFMSUBSS4rr_Int
+    4418U,	// VFMSUBSS4rr_REV
+    36U,	// VFMSUBSSZm
+    10U,	// VFMSUBSSZr
+    34U,	// VFMSUBSSr132m
+    10U,	// VFMSUBSSr132r
+    34U,	// VFMSUBSSr213m
+    34U,	// VFMSUBSSr213m_Int
+    10U,	// VFMSUBSSr213r
+    10U,	// VFMSUBSSr213r_Int
+    34U,	// VFMSUBSSr231m
+    10U,	// VFMSUBSSr231r
+    30U,	// VFNMADD132PDZm
+    160U,	// VFNMADD132PDZmb
+    30U,	// VFNMADD132PSZm
+    226U,	// VFNMADD132PSZmb
+    30U,	// VFNMADD213PDZm
+    160U,	// VFNMADD213PDZmb
+    10U,	// VFNMADD213PDZr
+    30U,	// VFNMADD213PSZm
+    226U,	// VFNMADD213PSZmb
+    10U,	// VFNMADD213PSZr
+    4182U,	// VFNMADDPD4mr
+    4178U,	// VFNMADDPD4mrY
+    4930U,	// VFNMADDPD4rm
+    5186U,	// VFNMADDPD4rmY
+    4418U,	// VFNMADDPD4rr
+    4418U,	// VFNMADDPD4rrY
+    4418U,	// VFNMADDPD4rrY_REV
+    4418U,	// VFNMADDPD4rr_REV
+    36U,	// VFNMADDPDr132m
+    38U,	// VFNMADDPDr132mY
+    10U,	// VFNMADDPDr132r
+    10U,	// VFNMADDPDr132rY
+    36U,	// VFNMADDPDr213m
+    38U,	// VFNMADDPDr213mY
+    10U,	// VFNMADDPDr213r
+    10U,	// VFNMADDPDr213rY
+    36U,	// VFNMADDPDr231m
+    38U,	// VFNMADDPDr231mY
+    10U,	// VFNMADDPDr231r
+    10U,	// VFNMADDPDr231rY
+    4182U,	// VFNMADDPS4mr
+    4178U,	// VFNMADDPS4mrY
+    4930U,	// VFNMADDPS4rm
+    5186U,	// VFNMADDPS4rmY
+    4418U,	// VFNMADDPS4rr
+    4418U,	// VFNMADDPS4rrY
+    4418U,	// VFNMADDPS4rrY_REV
+    4418U,	// VFNMADDPS4rr_REV
+    36U,	// VFNMADDPSr132m
+    38U,	// VFNMADDPSr132mY
+    10U,	// VFNMADDPSr132r
+    10U,	// VFNMADDPSr132rY
+    36U,	// VFNMADDPSr213m
+    38U,	// VFNMADDPSr213mY
+    10U,	// VFNMADDPSr213r
+    10U,	// VFNMADDPSr213rY
+    36U,	// VFNMADDPSr231m
+    38U,	// VFNMADDPSr231mY
+    10U,	// VFNMADDPSr231r
+    10U,	// VFNMADDPSr231rY
+    4174U,	// VFNMADDSD4mr
+    4174U,	// VFNMADDSD4mr_Int
+    1346U,	// VFNMADDSD4rm
+    1346U,	// VFNMADDSD4rm_Int
+    4418U,	// VFNMADDSD4rr
+    4418U,	// VFNMADDSD4rr_Int
+    4418U,	// VFNMADDSD4rr_REV
+    36U,	// VFNMADDSDZm
+    10U,	// VFNMADDSDZr
+    32U,	// VFNMADDSDr132m
+    10U,	// VFNMADDSDr132r
+    32U,	// VFNMADDSDr213m
+    32U,	// VFNMADDSDr213m_Int
+    10U,	// VFNMADDSDr213r
+    10U,	// VFNMADDSDr213r_Int
+    32U,	// VFNMADDSDr231m
+    10U,	// VFNMADDSDr231r
+    4176U,	// VFNMADDSS4mr
+    4176U,	// VFNMADDSS4mr_Int
+    1602U,	// VFNMADDSS4rm
+    1602U,	// VFNMADDSS4rm_Int
+    4418U,	// VFNMADDSS4rr
+    4418U,	// VFNMADDSS4rr_Int
+    4418U,	// VFNMADDSS4rr_REV
+    36U,	// VFNMADDSSZm
+    10U,	// VFNMADDSSZr
+    34U,	// VFNMADDSSr132m
+    10U,	// VFNMADDSSr132r
+    34U,	// VFNMADDSSr213m
+    34U,	// VFNMADDSSr213m_Int
+    10U,	// VFNMADDSSr213r
+    10U,	// VFNMADDSSr213r_Int
+    34U,	// VFNMADDSSr231m
+    10U,	// VFNMADDSSr231r
+    30U,	// VFNMSUB132PDZm
+    160U,	// VFNMSUB132PDZmb
+    30U,	// VFNMSUB132PSZm
+    226U,	// VFNMSUB132PSZmb
+    30U,	// VFNMSUB213PDZm
+    160U,	// VFNMSUB213PDZmb
+    10U,	// VFNMSUB213PDZr
+    30U,	// VFNMSUB213PSZm
+    226U,	// VFNMSUB213PSZmb
+    10U,	// VFNMSUB213PSZr
+    4182U,	// VFNMSUBPD4mr
+    4178U,	// VFNMSUBPD4mrY
+    4930U,	// VFNMSUBPD4rm
+    5186U,	// VFNMSUBPD4rmY
+    4418U,	// VFNMSUBPD4rr
+    4418U,	// VFNMSUBPD4rrY
+    4418U,	// VFNMSUBPD4rrY_REV
+    4418U,	// VFNMSUBPD4rr_REV
+    36U,	// VFNMSUBPDr132m
+    38U,	// VFNMSUBPDr132mY
+    10U,	// VFNMSUBPDr132r
+    10U,	// VFNMSUBPDr132rY
+    36U,	// VFNMSUBPDr213m
+    38U,	// VFNMSUBPDr213mY
+    10U,	// VFNMSUBPDr213r
+    10U,	// VFNMSUBPDr213rY
+    36U,	// VFNMSUBPDr231m
+    38U,	// VFNMSUBPDr231mY
+    10U,	// VFNMSUBPDr231r
+    10U,	// VFNMSUBPDr231rY
+    4182U,	// VFNMSUBPS4mr
+    4178U,	// VFNMSUBPS4mrY
+    4930U,	// VFNMSUBPS4rm
+    5186U,	// VFNMSUBPS4rmY
+    4418U,	// VFNMSUBPS4rr
+    4418U,	// VFNMSUBPS4rrY
+    4418U,	// VFNMSUBPS4rrY_REV
+    4418U,	// VFNMSUBPS4rr_REV
+    36U,	// VFNMSUBPSr132m
+    38U,	// VFNMSUBPSr132mY
+    10U,	// VFNMSUBPSr132r
+    10U,	// VFNMSUBPSr132rY
+    36U,	// VFNMSUBPSr213m
+    38U,	// VFNMSUBPSr213mY
+    10U,	// VFNMSUBPSr213r
+    10U,	// VFNMSUBPSr213rY
+    36U,	// VFNMSUBPSr231m
+    38U,	// VFNMSUBPSr231mY
+    10U,	// VFNMSUBPSr231r
+    10U,	// VFNMSUBPSr231rY
+    4174U,	// VFNMSUBSD4mr
+    4174U,	// VFNMSUBSD4mr_Int
+    1346U,	// VFNMSUBSD4rm
+    1346U,	// VFNMSUBSD4rm_Int
+    4418U,	// VFNMSUBSD4rr
+    4418U,	// VFNMSUBSD4rr_Int
+    4418U,	// VFNMSUBSD4rr_REV
+    36U,	// VFNMSUBSDZm
+    10U,	// VFNMSUBSDZr
+    32U,	// VFNMSUBSDr132m
+    10U,	// VFNMSUBSDr132r
+    32U,	// VFNMSUBSDr213m
+    32U,	// VFNMSUBSDr213m_Int
+    10U,	// VFNMSUBSDr213r
+    10U,	// VFNMSUBSDr213r_Int
+    32U,	// VFNMSUBSDr231m
+    10U,	// VFNMSUBSDr231r
+    4176U,	// VFNMSUBSS4mr
+    4176U,	// VFNMSUBSS4mr_Int
+    1602U,	// VFNMSUBSS4rm
+    1602U,	// VFNMSUBSS4rm_Int
+    4418U,	// VFNMSUBSS4rr
+    4418U,	// VFNMSUBSS4rr_Int
+    4418U,	// VFNMSUBSS4rr_REV
+    36U,	// VFNMSUBSSZm
+    10U,	// VFNMSUBSSZr
+    34U,	// VFNMSUBSSr132m
+    10U,	// VFNMSUBSSr132r
+    34U,	// VFNMSUBSSr213m
+    34U,	// VFNMSUBSSr213m_Int
+    10U,	// VFNMSUBSSr213r
+    10U,	// VFNMSUBSSr213r_Int
+    34U,	// VFNMSUBSSr231m
+    10U,	// VFNMSUBSSr231r
+    0U,	// VFRCZPDrm
+    0U,	// VFRCZPDrmY
+    0U,	// VFRCZPDrr
+    0U,	// VFRCZPDrrY
+    0U,	// VFRCZPSrm
+    0U,	// VFRCZPSrmY
+    0U,	// VFRCZPSrr
+    0U,	// VFRCZPSrrY
+    0U,	// VFRCZSDrm
+    0U,	// VFRCZSDrr
+    0U,	// VFRCZSSrm
+    0U,	// VFRCZSSrr
+    22U,	// VFsANDNPDrm
+    2U,	// VFsANDNPDrr
+    22U,	// VFsANDNPSrm
+    2U,	// VFsANDNPSrr
+    22U,	// VFsANDPDrm
+    2U,	// VFsANDPDrr
+    22U,	// VFsANDPSrm
+    2U,	// VFsANDPSrr
+    22U,	// VFsORPDrm
+    2U,	// VFsORPDrr
+    22U,	// VFsORPSrm
+    2U,	// VFsORPSrr
+    22U,	// VFsXORPDrm
+    2U,	// VFsXORPDrr
+    22U,	// VFsXORPSrm
+    2U,	// VFsXORPSrr
+    0U,	// VGATHERDPDYrm
+    1U,	// VGATHERDPDZrm
+    0U,	// VGATHERDPDrm
+    0U,	// VGATHERDPSYrm
+    1U,	// VGATHERDPSZrm
+    0U,	// VGATHERDPSrm
+    0U,	// VGATHERQPDYrm
+    1U,	// VGATHERQPDZrm
+    0U,	// VGATHERQPDrm
+    0U,	// VGATHERQPSYrm
+    1U,	// VGATHERQPSZrm
+    0U,	// VGATHERQPSrm
+    18U,	// VHADDPDYrm
+    2U,	// VHADDPDYrr
+    22U,	// VHADDPDrm
+    2U,	// VHADDPDrr
+    18U,	// VHADDPSYrm
+    2U,	// VHADDPSYrr
+    22U,	// VHADDPSrm
+    2U,	// VHADDPSrr
+    18U,	// VHSUBPDYrm
+    2U,	// VHSUBPDYrr
+    22U,	// VHSUBPDrm
+    2U,	// VHSUBPDrr
+    18U,	// VHSUBPSYrm
+    2U,	// VHSUBPSYrr
+    22U,	// VHSUBPSrm
+    2U,	// VHSUBPSrr
+    4182U,	// VINSERTF128rm
+    4418U,	// VINSERTF128rr
+    4182U,	// VINSERTF32x4rm
+    4418U,	// VINSERTF32x4rr
+    4188U,	// VINSERTF64x4rm
+    4418U,	// VINSERTF64x4rr
+    4184U,	// VINSERTI128rm
+    4418U,	// VINSERTI128rr
+    4184U,	// VINSERTI32x4rm
+    4418U,	// VINSERTI32x4rr
+    4188U,	// VINSERTI64x4rm
+    4418U,	// VINSERTI64x4rr
+    4176U,	// VINSERTPSrm
+    4418U,	// VINSERTPSrr
+    4176U,	// VINSERTPSzrm
+    4418U,	// VINSERTPSzrr
+    0U,	// VLDDQUYrm
+    0U,	// VLDDQUrm
+    0U,	// VLDMXCSR
+    0U,	// VMASKMOVDQU
+    0U,	// VMASKMOVDQU64
+    0U,	// VMASKMOVPDYmr
+    18U,	// VMASKMOVPDYrm
+    0U,	// VMASKMOVPDmr
+    22U,	// VMASKMOVPDrm
+    0U,	// VMASKMOVPSYmr
+    18U,	// VMASKMOVPSYrm
+    0U,	// VMASKMOVPSmr
+    22U,	// VMASKMOVPSrm
+    18U,	// VMAXCPDYrm
+    2U,	// VMAXCPDYrr
+    22U,	// VMAXCPDrm
+    2U,	// VMAXCPDrr
+    18U,	// VMAXCPSYrm
+    2U,	// VMAXCPSYrr
+    22U,	// VMAXCPSrm
+    2U,	// VMAXCPSrr
+    14U,	// VMAXCSDrm
+    2U,	// VMAXCSDrr
+    16U,	// VMAXCSSrm
+    2U,	// VMAXCSSrr
+    18U,	// VMAXPDYrm
+    2U,	// VMAXPDYrr
+    20U,	// VMAXPDZrm
+    142U,	// VMAXPDZrmb
+    2U,	// VMAXPDZrr
+    22U,	// VMAXPDrm
+    2U,	// VMAXPDrr
+    18U,	// VMAXPSYrm
+    2U,	// VMAXPSYrr
+    20U,	// VMAXPSZrm
+    208U,	// VMAXPSZrmb
+    2U,	// VMAXPSZrr
+    22U,	// VMAXPSrm
+    2U,	// VMAXPSrr
+    14U,	// VMAXSDZrm
+    2U,	// VMAXSDZrr
+    14U,	// VMAXSDrm
+    14U,	// VMAXSDrm_Int
+    2U,	// VMAXSDrr
+    2U,	// VMAXSDrr_Int
+    16U,	// VMAXSSZrm
+    2U,	// VMAXSSZrr
+    16U,	// VMAXSSrm
+    16U,	// VMAXSSrm_Int
+    2U,	// VMAXSSrr
+    2U,	// VMAXSSrr_Int
+    0U,	// VMCALL
+    0U,	// VMCLEARm
+    0U,	// VMFUNC
+    18U,	// VMINCPDYrm
+    2U,	// VMINCPDYrr
+    22U,	// VMINCPDrm
+    2U,	// VMINCPDrr
+    18U,	// VMINCPSYrm
+    2U,	// VMINCPSYrr
+    22U,	// VMINCPSrm
+    2U,	// VMINCPSrr
+    14U,	// VMINCSDrm
+    2U,	// VMINCSDrr
+    16U,	// VMINCSSrm
+    2U,	// VMINCSSrr
+    18U,	// VMINPDYrm
+    2U,	// VMINPDYrr
+    20U,	// VMINPDZrm
+    142U,	// VMINPDZrmb
+    2U,	// VMINPDZrr
+    22U,	// VMINPDrm
+    2U,	// VMINPDrr
+    18U,	// VMINPSYrm
+    2U,	// VMINPSYrr
+    20U,	// VMINPSZrm
+    208U,	// VMINPSZrmb
+    2U,	// VMINPSZrr
+    22U,	// VMINPSrm
+    2U,	// VMINPSrr
+    14U,	// VMINSDZrm
+    2U,	// VMINSDZrr
+    14U,	// VMINSDrm
+    14U,	// VMINSDrm_Int
+    2U,	// VMINSDrr
+    2U,	// VMINSDrr_Int
+    16U,	// VMINSSZrm
+    2U,	// VMINSSZrr
+    16U,	// VMINSSrm
+    16U,	// VMINSSrm_Int
+    2U,	// VMINSSrr
+    2U,	// VMINSSrr_Int
+    0U,	// VMLAUNCH
+    0U,	// VMLOAD32
+    0U,	// VMLOAD64
+    0U,	// VMMCALL
+    0U,	// VMOV64toPQIZrr
+    0U,	// VMOV64toPQIrr
+    0U,	// VMOV64toSDZrr
+    0U,	// VMOV64toSDrm
+    0U,	// VMOV64toSDrr
+    0U,	// VMOVAPDYmr
+    0U,	// VMOVAPDYrm
+    0U,	// VMOVAPDYrr
+    0U,	// VMOVAPDYrr_REV
+    0U,	// VMOVAPDZmr
+    0U,	// VMOVAPDZrm
+    31U,	// VMOVAPDZrmk
+    0U,	// VMOVAPDZrr
+    11U,	// VMOVAPDZrrk
+    0U,	// VMOVAPDmr
+    0U,	// VMOVAPDrm
+    0U,	// VMOVAPDrr
+    0U,	// VMOVAPDrr_REV
+    0U,	// VMOVAPSYmr
+    0U,	// VMOVAPSYrm
+    0U,	// VMOVAPSYrr
+    0U,	// VMOVAPSYrr_REV
+    0U,	// VMOVAPSZmr
+    0U,	// VMOVAPSZrm
+    31U,	// VMOVAPSZrmk
+    0U,	// VMOVAPSZrr
+    11U,	// VMOVAPSZrrk
+    0U,	// VMOVAPSmr
+    0U,	// VMOVAPSrm
+    0U,	// VMOVAPSrr
+    0U,	// VMOVAPSrr_REV
+    0U,	// VMOVDDUPYrm
+    0U,	// VMOVDDUPYrr
+    0U,	// VMOVDDUPZrm
+    0U,	// VMOVDDUPZrr
+    0U,	// VMOVDDUPrm
+    0U,	// VMOVDDUPrr
+    0U,	// VMOVDI2PDIZrm
+    0U,	// VMOVDI2PDIZrr
+    0U,	// VMOVDI2PDIrm
+    0U,	// VMOVDI2PDIrr
+    0U,	// VMOVDI2SSZrm
+    0U,	// VMOVDI2SSZrr
+    0U,	// VMOVDI2SSrm
+    0U,	// VMOVDI2SSrr
+    0U,	// VMOVDQA32mr
+    0U,	// VMOVDQA32rm
+    0U,	// VMOVDQA32rr
+    0U,	// VMOVDQA64mr
+    0U,	// VMOVDQA64rm
+    0U,	// VMOVDQA64rr
+    0U,	// VMOVDQAYmr
+    0U,	// VMOVDQAYrm
+    0U,	// VMOVDQAYrr
+    0U,	// VMOVDQAYrr_REV
+    0U,	// VMOVDQAmr
+    0U,	// VMOVDQArm
+    0U,	// VMOVDQArr
+    0U,	// VMOVDQArr_REV
+    0U,	// VMOVDQU32rm
+    41U,	// VMOVDQU32rmk
+    0U,	// VMOVDQU32rr
+    11U,	// VMOVDQU32rrk
+    0U,	// VMOVDQU64rm
+    41U,	// VMOVDQU64rmk
+    0U,	// VMOVDQU64rr
+    11U,	// VMOVDQU64rrk
+    0U,	// VMOVDQUYmr
+    0U,	// VMOVDQUYrm
+    0U,	// VMOVDQUYrr
+    0U,	// VMOVDQUYrr_REV
+    0U,	// VMOVDQUmr
+    0U,	// VMOVDQUrm
+    0U,	// VMOVDQUrr
+    0U,	// VMOVDQUrr_REV
+    2U,	// VMOVHLPSZrr
+    2U,	// VMOVHLPSrr
+    0U,	// VMOVHPDmr
+    14U,	// VMOVHPDrm
+    0U,	// VMOVHPSmr
+    14U,	// VMOVHPSrm
+    2U,	// VMOVLHPSZrr
+    2U,	// VMOVLHPSrr
+    0U,	// VMOVLPDmr
+    14U,	// VMOVLPDrm
+    0U,	// VMOVLPSmr
+    14U,	// VMOVLPSrm
+    0U,	// VMOVLQ128mr
+    0U,	// VMOVMSKPDYr64r
+    0U,	// VMOVMSKPDYrr32
+    0U,	// VMOVMSKPDYrr64
+    0U,	// VMOVMSKPDr64r
+    0U,	// VMOVMSKPDrr32
+    0U,	// VMOVMSKPDrr64
+    0U,	// VMOVMSKPSYr64r
+    0U,	// VMOVMSKPSYrr32
+    0U,	// VMOVMSKPSYrr64
+    0U,	// VMOVMSKPSr64r
+    0U,	// VMOVMSKPSrr32
+    0U,	// VMOVMSKPSrr64
+    0U,	// VMOVNTDQAYrm
+    0U,	// VMOVNTDQArm
+    0U,	// VMOVNTDQYmr
+    0U,	// VMOVNTDQmr
+    0U,	// VMOVNTPDYmr
+    0U,	// VMOVNTPDmr
+    0U,	// VMOVNTPSYmr
+    0U,	// VMOVNTPSmr
+    0U,	// VMOVPDI2DIZmr
+    0U,	// VMOVPDI2DIZrr
+    0U,	// VMOVPDI2DImr
+    0U,	// VMOVPDI2DIrr
+    0U,	// VMOVPQI2QImr
+    0U,	// VMOVPQIto64Zmr
+    0U,	// VMOVPQIto64Zrr
+    0U,	// VMOVPQIto64rr
+    0U,	// VMOVQI2PQIZrm
+    0U,	// VMOVQI2PQIrm
+    0U,	// VMOVQd64rr
+    0U,	// VMOVQd64rr_alt
+    0U,	// VMOVQs64rr
+    0U,	// VMOVQxrxr
+    0U,	// VMOVSDZmr
+    0U,	// VMOVSDZrm
+    2U,	// VMOVSDZrr
+    2U,	// VMOVSDZrr_REV
+    0U,	// VMOVSDmr
+    0U,	// VMOVSDrm
+    2U,	// VMOVSDrr
+    2U,	// VMOVSDrr_REV
+    0U,	// VMOVSDto64Zmr
+    0U,	// VMOVSDto64Zrr
+    0U,	// VMOVSDto64mr
+    0U,	// VMOVSDto64rr
+    0U,	// VMOVSHDUPYrm
+    0U,	// VMOVSHDUPYrr
+    0U,	// VMOVSHDUPrm
+    0U,	// VMOVSHDUPrr
+    0U,	// VMOVSLDUPYrm
+    0U,	// VMOVSLDUPYrr
+    0U,	// VMOVSLDUPrm
+    0U,	// VMOVSLDUPrr
+    0U,	// VMOVSS2DIZmr
+    0U,	// VMOVSS2DIZrr
+    0U,	// VMOVSS2DImr
+    0U,	// VMOVSS2DIrr
+    0U,	// VMOVSSZmr
+    0U,	// VMOVSSZrm
+    2U,	// VMOVSSZrr
+    2U,	// VMOVSSZrr_REV
+    0U,	// VMOVSSmr
+    0U,	// VMOVSSrm
+    2U,	// VMOVSSrr
+    2U,	// VMOVSSrr_REV
+    0U,	// VMOVUPDYmr
+    0U,	// VMOVUPDYrm
+    0U,	// VMOVUPDYrr
+    0U,	// VMOVUPDYrr_REV
+    0U,	// VMOVUPDZmr
+    0U,	// VMOVUPDZrm
+    31U,	// VMOVUPDZrmk
+    0U,	// VMOVUPDZrr
+    11U,	// VMOVUPDZrrk
+    0U,	// VMOVUPDmr
+    0U,	// VMOVUPDrm
+    0U,	// VMOVUPDrr
+    0U,	// VMOVUPDrr_REV
+    0U,	// VMOVUPSYmr
+    0U,	// VMOVUPSYrm
+    0U,	// VMOVUPSYrr
+    0U,	// VMOVUPSYrr_REV
+    0U,	// VMOVUPSZmr
+    0U,	// VMOVUPSZrm
+    31U,	// VMOVUPSZrmk
+    0U,	// VMOVUPSZrr
+    11U,	// VMOVUPSZrrk
+    0U,	// VMOVUPSmr
+    0U,	// VMOVUPSrm
+    0U,	// VMOVUPSrr
+    0U,	// VMOVUPSrr_REV
+    0U,	// VMOVZDI2PDIrm
+    0U,	// VMOVZDI2PDIrr
+    0U,	// VMOVZPQILo2PQIZrm
+    0U,	// VMOVZPQILo2PQIZrr
+    0U,	// VMOVZPQILo2PQIrm
+    0U,	// VMOVZPQILo2PQIrr
+    0U,	// VMOVZQI2PQIrm
+    0U,	// VMOVZQI2PQIrr
+    4188U,	// VMPSADBWYrmi
+    4418U,	// VMPSADBWYrri
+    4184U,	// VMPSADBWrmi
+    4418U,	// VMPSADBWrri
+    0U,	// VMPTRLDm
+    0U,	// VMPTRSTm
+    0U,	// VMREAD32rm
+    0U,	// VMREAD32rr
+    0U,	// VMREAD64rm
+    0U,	// VMREAD64rr
+    0U,	// VMRESUME
+    0U,	// VMRUN32
+    0U,	// VMRUN64
+    0U,	// VMSAVE32
+    0U,	// VMSAVE64
+    18U,	// VMULPDYrm
+    2U,	// VMULPDYrr
+    20U,	// VMULPDZrm
+    142U,	// VMULPDZrmb
+    2U,	// VMULPDZrr
+    22U,	// VMULPDrm
+    2U,	// VMULPDrr
+    18U,	// VMULPSYrm
+    2U,	// VMULPSYrr
+    20U,	// VMULPSZrm
+    208U,	// VMULPSZrmb
+    2U,	// VMULPSZrr
+    22U,	// VMULPSrm
+    2U,	// VMULPSrr
+    14U,	// VMULSDZrm
+    2U,	// VMULSDZrr
+    14U,	// VMULSDrm
+    14U,	// VMULSDrm_Int
+    2U,	// VMULSDrr
+    2U,	// VMULSDrr_Int
+    16U,	// VMULSSZrm
+    2U,	// VMULSSZrr
+    16U,	// VMULSSrm
+    16U,	// VMULSSrm_Int
+    2U,	// VMULSSrr
+    2U,	// VMULSSrr_Int
+    0U,	// VMWRITE32rm
+    0U,	// VMWRITE32rr
+    0U,	// VMWRITE64rm
+    0U,	// VMWRITE64rr
+    0U,	// VMXOFF
+    0U,	// VMXON
+    18U,	// VORPDYrm
+    2U,	// VORPDYrr
+    22U,	// VORPDrm
+    2U,	// VORPDrr
+    18U,	// VORPSYrm
+    2U,	// VORPSYrr
+    22U,	// VORPSrm
+    2U,	// VORPSrr
+    0U,	// VPABSBrm128
+    0U,	// VPABSBrm256
+    0U,	// VPABSBrr128
+    0U,	// VPABSBrr256
+    0U,	// VPABSDrm
+    0U,	// VPABSDrm128
+    0U,	// VPABSDrm256
+    0U,	// VPABSDrr
+    0U,	// VPABSDrr128
+    0U,	// VPABSDrr256
+    0U,	// VPABSQrm
+    0U,	// VPABSQrr
+    0U,	// VPABSWrm128
+    0U,	// VPABSWrm256
+    0U,	// VPABSWrr128
+    0U,	// VPABSWrr256
+    28U,	// VPACKSSDWYrm
+    2U,	// VPACKSSDWYrr
+    24U,	// VPACKSSDWrm
+    2U,	// VPACKSSDWrr
+    28U,	// VPACKSSWBYrm
+    2U,	// VPACKSSWBYrr
+    24U,	// VPACKSSWBrm
+    2U,	// VPACKSSWBrr
+    28U,	// VPACKUSDWYrm
+    2U,	// VPACKUSDWYrr
+    24U,	// VPACKUSDWrm
+    2U,	// VPACKUSDWrr
+    28U,	// VPACKUSWBYrm
+    2U,	// VPACKUSWBYrr
+    24U,	// VPACKUSWBrm
+    2U,	// VPACKUSWBrr
+    28U,	// VPADDBYrm
+    2U,	// VPADDBYrr
+    24U,	// VPADDBrm
+    2U,	// VPADDBrr
+    28U,	// VPADDDYrm
+    2U,	// VPADDDYrr
+    26U,	// VPADDDZrm
+    196U,	// VPADDDZrmb
+    2U,	// VPADDDZrr
+    24U,	// VPADDDrm
+    2U,	// VPADDDrr
+    28U,	// VPADDQYrm
+    2U,	// VPADDQYrr
+    26U,	// VPADDQZrm
+    134U,	// VPADDQZrmb
+    2U,	// VPADDQZrr
+    24U,	// VPADDQrm
+    2U,	// VPADDQrr
+    28U,	// VPADDSBYrm
+    2U,	// VPADDSBYrr
+    24U,	// VPADDSBrm
+    2U,	// VPADDSBrr
+    28U,	// VPADDSWYrm
+    2U,	// VPADDSWYrr
+    24U,	// VPADDSWrm
+    2U,	// VPADDSWrr
+    28U,	// VPADDUSBYrm
+    2U,	// VPADDUSBYrr
+    24U,	// VPADDUSBrm
+    2U,	// VPADDUSBrr
+    28U,	// VPADDUSWYrm
+    2U,	// VPADDUSWYrr
+    24U,	// VPADDUSWrm
+    2U,	// VPADDUSWrr
+    28U,	// VPADDWYrm
+    2U,	// VPADDWYrr
+    24U,	// VPADDWrm
+    2U,	// VPADDWrr
+    4184U,	// VPALIGNR128rm
+    4418U,	// VPALIGNR128rr
+    4188U,	// VPALIGNR256rm
+    4418U,	// VPALIGNR256rr
+    26U,	// VPANDDZrm
+    196U,	// VPANDDZrmb
+    2U,	// VPANDDZrr
+    26U,	// VPANDNDZrm
+    196U,	// VPANDNDZrmb
+    2U,	// VPANDNDZrr
+    26U,	// VPANDNQZrm
+    134U,	// VPANDNQZrmb
+    2U,	// VPANDNQZrr
+    28U,	// VPANDNYrm
+    2U,	// VPANDNYrr
+    24U,	// VPANDNrm
+    2U,	// VPANDNrr
+    26U,	// VPANDQZrm
+    134U,	// VPANDQZrmb
+    2U,	// VPANDQZrr
+    28U,	// VPANDYrm
+    2U,	// VPANDYrr
+    24U,	// VPANDrm
+    2U,	// VPANDrr
+    28U,	// VPAVGBYrm
+    2U,	// VPAVGBYrr
+    24U,	// VPAVGBrm
+    2U,	// VPAVGBrr
+    28U,	// VPAVGWYrm
+    2U,	// VPAVGWYrr
+    24U,	// VPAVGWrm
+    2U,	// VPAVGWrr
+    4188U,	// VPBLENDDYrmi
+    4418U,	// VPBLENDDYrri
+    4184U,	// VPBLENDDrmi
+    4418U,	// VPBLENDDrri
+    578U,	// VPBLENDMDZrm
+    4419U,	// VPBLENDMDZrr
+    578U,	// VPBLENDMQZrm
+    4419U,	// VPBLENDMQZrr
+    4188U,	// VPBLENDVBYrm
+    4418U,	// VPBLENDVBYrr
+    4184U,	// VPBLENDVBrm
+    4418U,	// VPBLENDVBrr
+    4188U,	// VPBLENDWYrmi
+    4418U,	// VPBLENDWYrri
+    4184U,	// VPBLENDWrmi
+    4418U,	// VPBLENDWrri
+    0U,	// VPBROADCASTBYrm
+    0U,	// VPBROADCASTBYrr
+    0U,	// VPBROADCASTBrm
+    0U,	// VPBROADCASTBrr
+    0U,	// VPBROADCASTDYrm
+    0U,	// VPBROADCASTDYrr
+    5U,	// VPBROADCASTDZkrm
+    3U,	// VPBROADCASTDZkrr
+    0U,	// VPBROADCASTDZrm
+    0U,	// VPBROADCASTDZrr
+    3U,	// VPBROADCASTDrZkrr
+    0U,	// VPBROADCASTDrZrr
+    0U,	// VPBROADCASTDrm
+    0U,	// VPBROADCASTDrr
+    0U,	// VPBROADCASTMB2Qrr
+    0U,	// VPBROADCASTMW2Drr
+    0U,	// VPBROADCASTQYrm
+    0U,	// VPBROADCASTQYrr
+    7U,	// VPBROADCASTQZkrm
+    3U,	// VPBROADCASTQZkrr
+    0U,	// VPBROADCASTQZrm
+    0U,	// VPBROADCASTQZrr
+    3U,	// VPBROADCASTQrZkrr
+    0U,	// VPBROADCASTQrZrr
+    0U,	// VPBROADCASTQrm
+    0U,	// VPBROADCASTQrr
+    0U,	// VPBROADCASTWYrm
+    0U,	// VPBROADCASTWYrr
+    0U,	// VPBROADCASTWrm
+    0U,	// VPBROADCASTWrr
+    4184U,	// VPCLMULQDQrm
+    4418U,	// VPCLMULQDQrr
+    4184U,	// VPCMOVmr
+    4178U,	// VPCMOVmrY
+    1858U,	// VPCMOVrm
+    2114U,	// VPCMOVrmY
+    4418U,	// VPCMOVrr
+    4418U,	// VPCMOVrrY
+    0U,	// VPCMPDZrmi
+    4186U,	// VPCMPDZrmi_alt
+    0U,	// VPCMPDZrri
+    4418U,	// VPCMPDZrri_alt
+    28U,	// VPCMPEQBYrm
+    2U,	// VPCMPEQBYrr
+    24U,	// VPCMPEQBrm
+    2U,	// VPCMPEQBrr
+    28U,	// VPCMPEQDYrm
+    2U,	// VPCMPEQDYrr
+    26U,	// VPCMPEQDZrm
+    2U,	// VPCMPEQDZrr
+    24U,	// VPCMPEQDrm
+    2U,	// VPCMPEQDrr
+    28U,	// VPCMPEQQYrm
+    2U,	// VPCMPEQQYrr
+    26U,	// VPCMPEQQZrm
+    2U,	// VPCMPEQQZrr
+    24U,	// VPCMPEQQrm
+    2U,	// VPCMPEQQrr
+    28U,	// VPCMPEQWYrm
+    2U,	// VPCMPEQWYrr
+    24U,	// VPCMPEQWrm
+    2U,	// VPCMPEQWrr
+    0U,	// VPCMPESTRIMEM
+    0U,	// VPCMPESTRIREG
+    0U,	// VPCMPESTRIrm
+    2U,	// VPCMPESTRIrr
+    0U,	// VPCMPESTRM128MEM
+    0U,	// VPCMPESTRM128REG
+    0U,	// VPCMPESTRM128rm
+    2U,	// VPCMPESTRM128rr
+    28U,	// VPCMPGTBYrm
+    2U,	// VPCMPGTBYrr
+    24U,	// VPCMPGTBrm
+    2U,	// VPCMPGTBrr
+    28U,	// VPCMPGTDYrm
+    2U,	// VPCMPGTDYrr
+    26U,	// VPCMPGTDZrm
+    2U,	// VPCMPGTDZrr
+    24U,	// VPCMPGTDrm
+    2U,	// VPCMPGTDrr
+    28U,	// VPCMPGTQYrm
+    2U,	// VPCMPGTQYrr
+    26U,	// VPCMPGTQZrm
+    2U,	// VPCMPGTQZrr
+    24U,	// VPCMPGTQrm
+    2U,	// VPCMPGTQrr
+    28U,	// VPCMPGTWYrm
+    2U,	// VPCMPGTWYrr
+    24U,	// VPCMPGTWrm
+    2U,	// VPCMPGTWrr
+    0U,	// VPCMPISTRIMEM
+    0U,	// VPCMPISTRIREG
+    0U,	// VPCMPISTRIrm
+    2U,	// VPCMPISTRIrr
+    0U,	// VPCMPISTRM128MEM
+    0U,	// VPCMPISTRM128REG
+    0U,	// VPCMPISTRM128rm
+    2U,	// VPCMPISTRM128rr
+    0U,	// VPCMPQZrmi
+    4186U,	// VPCMPQZrmi_alt
+    0U,	// VPCMPQZrri
+    4418U,	// VPCMPQZrri_alt
+    0U,	// VPCMPUDZrmi
+    4186U,	// VPCMPUDZrmi_alt
+    0U,	// VPCMPUDZrri
+    4418U,	// VPCMPUDZrri_alt
+    0U,	// VPCMPUQZrmi
+    4186U,	// VPCMPUQZrmi_alt
+    0U,	// VPCMPUQZrri
+    4418U,	// VPCMPUQZrri_alt
+    4184U,	// VPCOMBmi
+    4418U,	// VPCOMBri
+    4184U,	// VPCOMDmi
+    4418U,	// VPCOMDri
+    4184U,	// VPCOMQmi
+    4418U,	// VPCOMQri
+    4184U,	// VPCOMUBmi
+    4418U,	// VPCOMUBri
+    4184U,	// VPCOMUDmi
+    4418U,	// VPCOMUDri
+    4184U,	// VPCOMUQmi
+    4418U,	// VPCOMUQri
+    4184U,	// VPCOMUWmi
+    4418U,	// VPCOMUWri
+    4184U,	// VPCOMWmi
+    4418U,	// VPCOMWri
+    4178U,	// VPERM2F128rm
+    4418U,	// VPERM2F128rr
+    4178U,	// VPERM2I128rm
+    4418U,	// VPERM2I128rr
+    28U,	// VPERMDYrm
+    2U,	// VPERMDYrr
+    26U,	// VPERMDZrm
+    2U,	// VPERMDZrr
+    40U,	// VPERMI2Drm
+    10U,	// VPERMI2Drr
+    40U,	// VPERMI2PDrm
+    10U,	// VPERMI2PDrr
+    40U,	// VPERMI2PSrm
+    10U,	// VPERMI2PSrr
+    40U,	// VPERMI2Qrm
+    10U,	// VPERMI2Qrr
+    86U,	// VPERMIL2PDmr
+    82U,	// VPERMIL2PDmrY
+    834U,	// VPERMIL2PDrm
+    1090U,	// VPERMIL2PDrmY
+    8514U,	// VPERMIL2PDrr
+    8514U,	// VPERMIL2PDrrY
+    86U,	// VPERMIL2PSmr
+    82U,	// VPERMIL2PSmrY
+    834U,	// VPERMIL2PSrm
+    1090U,	// VPERMIL2PSrmY
+    8514U,	// VPERMIL2PSrr
+    8514U,	// VPERMIL2PSrrY
+    0U,	// VPERMILPDYmi
+    2U,	// VPERMILPDYri
+    28U,	// VPERMILPDYrm
+    2U,	// VPERMILPDYrr
+    0U,	// VPERMILPDZmi
+    2U,	// VPERMILPDZri
+    0U,	// VPERMILPDmi
+    2U,	// VPERMILPDri
+    24U,	// VPERMILPDrm
+    2U,	// VPERMILPDrr
+    0U,	// VPERMILPSYmi
+    2U,	// VPERMILPSYri
+    28U,	// VPERMILPSYrm
+    2U,	// VPERMILPSYrr
+    0U,	// VPERMILPSZmi
+    2U,	// VPERMILPSZri
+    0U,	// VPERMILPSmi
+    2U,	// VPERMILPSri
+    24U,	// VPERMILPSrm
+    2U,	// VPERMILPSrr
+    0U,	// VPERMPDYmi
+    2U,	// VPERMPDYri
+    0U,	// VPERMPDZmi
+    2U,	// VPERMPDZri
+    20U,	// VPERMPDZrm
+    2U,	// VPERMPDZrr
+    28U,	// VPERMPSYrm
+    2U,	// VPERMPSYrr
+    20U,	// VPERMPSZrm
+    2U,	// VPERMPSZrr
+    0U,	// VPERMQYmi
+    2U,	// VPERMQYri
+    0U,	// VPERMQZmi
+    2U,	// VPERMQZri
+    26U,	// VPERMQZrm
+    2U,	// VPERMQZrr
+    0U,	// VPEXTRBmr
+    2U,	// VPEXTRBrr
+    2U,	// VPEXTRBrr64
+    0U,	// VPEXTRDmr
+    2U,	// VPEXTRDrr
+    0U,	// VPEXTRQmr
+    2U,	// VPEXTRQrr
+    0U,	// VPEXTRWmr
+    2U,	// VPEXTRWri
+    0U,	// VPGATHERDDYrm
+    1U,	// VPGATHERDDZrm
+    0U,	// VPGATHERDDrm
+    0U,	// VPGATHERDQYrm
+    1U,	// VPGATHERDQZrm
+    0U,	// VPGATHERDQrm
+    0U,	// VPGATHERQDYrm
+    1U,	// VPGATHERQDZrm
+    0U,	// VPGATHERQDrm
+    0U,	// VPGATHERQQYrm
+    1U,	// VPGATHERQQZrm
+    0U,	// VPGATHERQQrm
+    0U,	// VPHADDBDrm
+    0U,	// VPHADDBDrr
+    0U,	// VPHADDBQrm
+    0U,	// VPHADDBQrr
+    0U,	// VPHADDBWrm
+    0U,	// VPHADDBWrr
+    0U,	// VPHADDDQrm
+    0U,	// VPHADDDQrr
+    28U,	// VPHADDDYrm
+    2U,	// VPHADDDYrr
+    24U,	// VPHADDDrm
+    2U,	// VPHADDDrr
+    24U,	// VPHADDSWrm128
+    28U,	// VPHADDSWrm256
+    2U,	// VPHADDSWrr128
+    2U,	// VPHADDSWrr256
+    0U,	// VPHADDUBDrm
+    0U,	// VPHADDUBDrr
+    0U,	// VPHADDUBQrm
+    0U,	// VPHADDUBQrr
+    0U,	// VPHADDUBWrm
+    0U,	// VPHADDUBWrr
+    0U,	// VPHADDUDQrm
+    0U,	// VPHADDUDQrr
+    0U,	// VPHADDUWDrm
+    0U,	// VPHADDUWDrr
+    0U,	// VPHADDUWQrm
+    0U,	// VPHADDUWQrr
+    0U,	// VPHADDWDrm
+    0U,	// VPHADDWDrr
+    0U,	// VPHADDWQrm
+    0U,	// VPHADDWQrr
+    28U,	// VPHADDWYrm
+    2U,	// VPHADDWYrr
+    24U,	// VPHADDWrm
+    2U,	// VPHADDWrr
+    0U,	// VPHMINPOSUWrm128
+    0U,	// VPHMINPOSUWrr128
+    0U,	// VPHSUBBWrm
+    0U,	// VPHSUBBWrr
+    0U,	// VPHSUBDQrm
+    0U,	// VPHSUBDQrr
+    28U,	// VPHSUBDYrm
+    2U,	// VPHSUBDYrr
+    24U,	// VPHSUBDrm
+    2U,	// VPHSUBDrr
+    24U,	// VPHSUBSWrm128
+    28U,	// VPHSUBSWrm256
+    2U,	// VPHSUBSWrr128
+    2U,	// VPHSUBSWrr256
+    0U,	// VPHSUBWDrm
+    0U,	// VPHSUBWDrr
+    28U,	// VPHSUBWYrm
+    2U,	// VPHSUBWYrr
+    24U,	// VPHSUBWrm
+    2U,	// VPHSUBWrr
+    42U,	// VPINSRBrm
+    4418U,	// VPINSRBrr
+    4164U,	// VPINSRDrm
+    4418U,	// VPINSRDrr
+    4166U,	// VPINSRQrm
+    4418U,	// VPINSRQrr
+    44U,	// VPINSRWrmi
+    4418U,	// VPINSRWrr64i
+    4418U,	// VPINSRWrri
+    4184U,	// VPMACSDDrm
+    4418U,	// VPMACSDDrr
+    4184U,	// VPMACSDQHrm
+    4418U,	// VPMACSDQHrr
+    4184U,	// VPMACSDQLrm
+    4418U,	// VPMACSDQLrr
+    4184U,	// VPMACSSDDrm
+    4418U,	// VPMACSSDDrr
+    4184U,	// VPMACSSDQHrm
+    4418U,	// VPMACSSDQHrr
+    4184U,	// VPMACSSDQLrm
+    4418U,	// VPMACSSDQLrr
+    4184U,	// VPMACSSWDrm
+    4418U,	// VPMACSSWDrr
+    4184U,	// VPMACSSWWrm
+    4418U,	// VPMACSSWWrr
+    4184U,	// VPMACSWDrm
+    4418U,	// VPMACSWDrr
+    4184U,	// VPMACSWWrm
+    4418U,	// VPMACSWWrr
+    4184U,	// VPMADCSSWDrm
+    4418U,	// VPMADCSSWDrr
+    4184U,	// VPMADCSWDrm
+    4418U,	// VPMADCSWDrr
+    24U,	// VPMADDUBSWrm128
+    28U,	// VPMADDUBSWrm256
+    2U,	// VPMADDUBSWrr128
+    2U,	// VPMADDUBSWrr256
+    28U,	// VPMADDWDYrm
+    2U,	// VPMADDWDYrr
+    24U,	// VPMADDWDrm
+    2U,	// VPMADDWDrr
+    0U,	// VPMASKMOVDYmr
+    28U,	// VPMASKMOVDYrm
+    0U,	// VPMASKMOVDmr
+    24U,	// VPMASKMOVDrm
+    0U,	// VPMASKMOVQYmr
+    28U,	// VPMASKMOVQYrm
+    0U,	// VPMASKMOVQmr
+    24U,	// VPMASKMOVQrm
+    28U,	// VPMAXSBYrm
+    2U,	// VPMAXSBYrr
+    24U,	// VPMAXSBrm
+    2U,	// VPMAXSBrr
+    28U,	// VPMAXSDYrm
+    2U,	// VPMAXSDYrr
+    24U,	// VPMAXSDrm
+    2U,	// VPMAXSDrr
+    28U,	// VPMAXSWYrm
+    2U,	// VPMAXSWYrr
+    24U,	// VPMAXSWrm
+    2U,	// VPMAXSWrr
+    28U,	// VPMAXUBYrm
+    2U,	// VPMAXUBYrr
+    24U,	// VPMAXUBrm
+    2U,	// VPMAXUBrr
+    28U,	// VPMAXUDYrm
+    2U,	// VPMAXUDYrr
+    24U,	// VPMAXUDrm
+    2U,	// VPMAXUDrr
+    28U,	// VPMAXUWYrm
+    2U,	// VPMAXUWYrr
+    24U,	// VPMAXUWrm
+    2U,	// VPMAXUWrr
+    28U,	// VPMINSBYrm
+    2U,	// VPMINSBYrr
+    24U,	// VPMINSBrm
+    2U,	// VPMINSBrr
+    28U,	// VPMINSDYrm
+    2U,	// VPMINSDYrr
+    24U,	// VPMINSDrm
+    2U,	// VPMINSDrr
+    28U,	// VPMINSWYrm
+    2U,	// VPMINSWYrr
+    24U,	// VPMINSWrm
+    2U,	// VPMINSWrr
+    28U,	// VPMINUBYrm
+    2U,	// VPMINUBYrr
+    24U,	// VPMINUBrm
+    2U,	// VPMINUBrr
+    28U,	// VPMINUDYrm
+    2U,	// VPMINUDYrr
+    24U,	// VPMINUDrm
+    2U,	// VPMINUDrr
+    28U,	// VPMINUWYrm
+    2U,	// VPMINUWYrr
+    24U,	// VPMINUWrm
+    2U,	// VPMINUWrr
+    3U,	// VPMOVDBkrr
+    0U,	// VPMOVDBmr
+    0U,	// VPMOVDBrr
+    3U,	// VPMOVDWkrr
+    0U,	// VPMOVDWmr
+    0U,	// VPMOVDWrr
+    0U,	// VPMOVMSKBYr64r
+    0U,	// VPMOVMSKBYrr
+    0U,	// VPMOVMSKBr64r
+    0U,	// VPMOVMSKBrr
+    3U,	// VPMOVQBkrr
+    0U,	// VPMOVQBmr
+    0U,	// VPMOVQBrr
+    3U,	// VPMOVQDkrr
+    0U,	// VPMOVQDmr
+    0U,	// VPMOVQDrr
+    3U,	// VPMOVQWkrr
+    0U,	// VPMOVQWmr
+    0U,	// VPMOVQWrr
+    3U,	// VPMOVSDBkrr
+    0U,	// VPMOVSDBmr
+    0U,	// VPMOVSDBrr
+    3U,	// VPMOVSDWkrr
+    0U,	// VPMOVSDWmr
+    0U,	// VPMOVSDWrr
+    3U,	// VPMOVSQBkrr
+    0U,	// VPMOVSQBmr
+    0U,	// VPMOVSQBrr
+    3U,	// VPMOVSQDkrr
+    0U,	// VPMOVSQDmr
+    0U,	// VPMOVSQDrr
+    3U,	// VPMOVSQWkrr
+    0U,	// VPMOVSQWmr
+    0U,	// VPMOVSQWrr
+    0U,	// VPMOVSXBDYrm
+    0U,	// VPMOVSXBDYrr
+    0U,	// VPMOVSXBDZrm
+    0U,	// VPMOVSXBDZrr
+    0U,	// VPMOVSXBDrm
+    0U,	// VPMOVSXBDrr
+    0U,	// VPMOVSXBQYrm
+    0U,	// VPMOVSXBQYrr
+    0U,	// VPMOVSXBQZrm
+    0U,	// VPMOVSXBQZrr
+    0U,	// VPMOVSXBQrm
+    0U,	// VPMOVSXBQrr
+    0U,	// VPMOVSXBWYrm
+    0U,	// VPMOVSXBWYrr
+    0U,	// VPMOVSXBWrm
+    0U,	// VPMOVSXBWrr
+    0U,	// VPMOVSXDQYrm
+    0U,	// VPMOVSXDQYrr
+    0U,	// VPMOVSXDQZrm
+    0U,	// VPMOVSXDQZrr
+    0U,	// VPMOVSXDQrm
+    0U,	// VPMOVSXDQrr
+    0U,	// VPMOVSXWDYrm
+    0U,	// VPMOVSXWDYrr
+    0U,	// VPMOVSXWDZrm
+    0U,	// VPMOVSXWDZrr
+    0U,	// VPMOVSXWDrm
+    0U,	// VPMOVSXWDrr
+    0U,	// VPMOVSXWQYrm
+    0U,	// VPMOVSXWQYrr
+    0U,	// VPMOVSXWQZrm
+    0U,	// VPMOVSXWQZrr
+    0U,	// VPMOVSXWQrm
+    0U,	// VPMOVSXWQrr
+    3U,	// VPMOVUSDBkrr
+    0U,	// VPMOVUSDBmr
+    0U,	// VPMOVUSDBrr
+    3U,	// VPMOVUSDWkrr
+    0U,	// VPMOVUSDWmr
+    0U,	// VPMOVUSDWrr
+    3U,	// VPMOVUSQBkrr
+    0U,	// VPMOVUSQBmr
+    0U,	// VPMOVUSQBrr
+    3U,	// VPMOVUSQDkrr
+    0U,	// VPMOVUSQDmr
+    0U,	// VPMOVUSQDrr
+    3U,	// VPMOVUSQWkrr
+    0U,	// VPMOVUSQWmr
+    0U,	// VPMOVUSQWrr
+    0U,	// VPMOVZXBDYrm
+    0U,	// VPMOVZXBDYrr
+    0U,	// VPMOVZXBDZrm
+    0U,	// VPMOVZXBDZrr
+    0U,	// VPMOVZXBDrm
+    0U,	// VPMOVZXBDrr
+    0U,	// VPMOVZXBQYrm
+    0U,	// VPMOVZXBQYrr
+    0U,	// VPMOVZXBQZrm
+    0U,	// VPMOVZXBQZrr
+    0U,	// VPMOVZXBQrm
+    0U,	// VPMOVZXBQrr
+    0U,	// VPMOVZXBWYrm
+    0U,	// VPMOVZXBWYrr
+    0U,	// VPMOVZXBWrm
+    0U,	// VPMOVZXBWrr
+    0U,	// VPMOVZXDQYrm
+    0U,	// VPMOVZXDQYrr
+    0U,	// VPMOVZXDQZrm
+    0U,	// VPMOVZXDQZrr
+    0U,	// VPMOVZXDQrm
+    0U,	// VPMOVZXDQrr
+    0U,	// VPMOVZXWDYrm
+    0U,	// VPMOVZXWDYrr
+    0U,	// VPMOVZXWDZrm
+    0U,	// VPMOVZXWDZrr
+    0U,	// VPMOVZXWDrm
+    0U,	// VPMOVZXWDrr
+    0U,	// VPMOVZXWQYrm
+    0U,	// VPMOVZXWQYrr
+    0U,	// VPMOVZXWQZrm
+    0U,	// VPMOVZXWQZrr
+    0U,	// VPMOVZXWQrm
+    0U,	// VPMOVZXWQrr
+    28U,	// VPMULDQYrm
+    2U,	// VPMULDQYrr
+    26U,	// VPMULDQZrm
+    2U,	// VPMULDQZrr
+    24U,	// VPMULDQrm
+    2U,	// VPMULDQrr
+    24U,	// VPMULHRSWrm128
+    28U,	// VPMULHRSWrm256
+    2U,	// VPMULHRSWrr128
+    2U,	// VPMULHRSWrr256
+    28U,	// VPMULHUWYrm
+    2U,	// VPMULHUWYrr
+    24U,	// VPMULHUWrm
+    2U,	// VPMULHUWrr
+    28U,	// VPMULHWYrm
+    2U,	// VPMULHWYrr
+    24U,	// VPMULHWrm
+    2U,	// VPMULHWrr
+    28U,	// VPMULLDYrm
+    2U,	// VPMULLDYrr
+    26U,	// VPMULLDZrm
+    196U,	// VPMULLDZrmb
+    2U,	// VPMULLDZrr
+    24U,	// VPMULLDrm
+    2U,	// VPMULLDrr
+    28U,	// VPMULLWYrm
+    2U,	// VPMULLWYrr
+    24U,	// VPMULLWrm
+    2U,	// VPMULLWrr
+    28U,	// VPMULUDQYrm
+    2U,	// VPMULUDQYrr
+    26U,	// VPMULUDQZrm
+    2U,	// VPMULUDQZrr
+    24U,	// VPMULUDQrm
+    2U,	// VPMULUDQrr
+    26U,	// VPORDZrm
+    196U,	// VPORDZrmb
+    2U,	// VPORDZrr
+    26U,	// VPORQZrm
+    134U,	// VPORQZrmb
+    2U,	// VPORQZrr
+    28U,	// VPORYrm
+    2U,	// VPORYrr
+    24U,	// VPORrm
+    2U,	// VPORrr
+    4184U,	// VPPERMmr
+    1858U,	// VPPERMrm
+    4418U,	// VPPERMrr
+    0U,	// VPROTBmi
+    0U,	// VPROTBmr
+    2U,	// VPROTBri
+    24U,	// VPROTBrm
+    2U,	// VPROTBrr
+    0U,	// VPROTDmi
+    0U,	// VPROTDmr
+    2U,	// VPROTDri
+    24U,	// VPROTDrm
+    2U,	// VPROTDrr
+    0U,	// VPROTQmi
+    0U,	// VPROTQmr
+    2U,	// VPROTQri
+    24U,	// VPROTQrm
+    2U,	// VPROTQrr
+    0U,	// VPROTWmi
+    0U,	// VPROTWmr
+    2U,	// VPROTWri
+    24U,	// VPROTWrm
+    2U,	// VPROTWrr
+    28U,	// VPSADBWYrm
+    2U,	// VPSADBWYrr
+    24U,	// VPSADBWrm
+    2U,	// VPSADBWrr
+    0U,	// VPSCATTERDDZmr
+    0U,	// VPSCATTERDQZmr
+    0U,	// VPSCATTERQDZmr
+    0U,	// VPSCATTERQQZmr
+    0U,	// VPSHABmr
+    24U,	// VPSHABrm
+    2U,	// VPSHABrr
+    0U,	// VPSHADmr
+    24U,	// VPSHADrm
+    2U,	// VPSHADrr
+    0U,	// VPSHAQmr
+    24U,	// VPSHAQrm
+    2U,	// VPSHAQrr
+    0U,	// VPSHAWmr
+    24U,	// VPSHAWrm
+    2U,	// VPSHAWrr
+    0U,	// VPSHLBmr
+    24U,	// VPSHLBrm
+    2U,	// VPSHLBrr
+    0U,	// VPSHLDmr
+    24U,	// VPSHLDrm
+    2U,	// VPSHLDrr
+    0U,	// VPSHLQmr
+    24U,	// VPSHLQrm
+    2U,	// VPSHLQrr
+    0U,	// VPSHLWmr
+    24U,	// VPSHLWrm
+    2U,	// VPSHLWrr
+    28U,	// VPSHUFBYrm
+    2U,	// VPSHUFBYrr
+    24U,	// VPSHUFBrm
+    2U,	// VPSHUFBrr
+    0U,	// VPSHUFDYmi
+    2U,	// VPSHUFDYri
+    0U,	// VPSHUFDZmi
+    2U,	// VPSHUFDZri
+    0U,	// VPSHUFDmi
+    2U,	// VPSHUFDri
+    0U,	// VPSHUFHWYmi
+    2U,	// VPSHUFHWYri
+    0U,	// VPSHUFHWmi
+    2U,	// VPSHUFHWri
+    0U,	// VPSHUFLWYmi
+    2U,	// VPSHUFLWYri
+    0U,	// VPSHUFLWmi
+    2U,	// VPSHUFLWri
+    28U,	// VPSIGNBYrm
+    2U,	// VPSIGNBYrr
+    24U,	// VPSIGNBrm
+    2U,	// VPSIGNBrr
+    28U,	// VPSIGNDYrm
+    2U,	// VPSIGNDYrr
+    24U,	// VPSIGNDrm
+    2U,	// VPSIGNDrr
+    28U,	// VPSIGNWYrm
+    2U,	// VPSIGNWYrr
+    24U,	// VPSIGNWrm
+    2U,	// VPSIGNWrr
+    2U,	// VPSLLDQYri
+    2U,	// VPSLLDQri
+    2U,	// VPSLLDYri
+    24U,	// VPSLLDYrm
+    2U,	// VPSLLDYrr
+    0U,	// VPSLLDZmi
+    4187U,	// VPSLLDZmik
+    2U,	// VPSLLDZri
+    4419U,	// VPSLLDZrik
+    24U,	// VPSLLDZrm
+    1859U,	// VPSLLDZrmk
+    2U,	// VPSLLDZrr
+    4419U,	// VPSLLDZrrk
+    2U,	// VPSLLDri
+    24U,	// VPSLLDrm
+    2U,	// VPSLLDrr
+    2U,	// VPSLLQYri
+    24U,	// VPSLLQYrm
+    2U,	// VPSLLQYrr
+    0U,	// VPSLLQZmi
+    4187U,	// VPSLLQZmik
+    2U,	// VPSLLQZri
+    4419U,	// VPSLLQZrik
+    24U,	// VPSLLQZrm
+    1859U,	// VPSLLQZrmk
+    2U,	// VPSLLQZrr
+    4419U,	// VPSLLQZrrk
+    2U,	// VPSLLQri
+    24U,	// VPSLLQrm
+    2U,	// VPSLLQrr
+    28U,	// VPSLLVDYrm
+    2U,	// VPSLLVDYrr
+    26U,	// VPSLLVDZrm
+    2U,	// VPSLLVDZrr
+    24U,	// VPSLLVDrm
+    2U,	// VPSLLVDrr
+    28U,	// VPSLLVQYrm
+    2U,	// VPSLLVQYrr
+    26U,	// VPSLLVQZrm
+    2U,	// VPSLLVQZrr
+    24U,	// VPSLLVQrm
+    2U,	// VPSLLVQrr
+    2U,	// VPSLLWYri
+    24U,	// VPSLLWYrm
+    2U,	// VPSLLWYrr
+    2U,	// VPSLLWri
+    24U,	// VPSLLWrm
+    2U,	// VPSLLWrr
+    2U,	// VPSRADYri
+    24U,	// VPSRADYrm
+    2U,	// VPSRADYrr
+    0U,	// VPSRADZmi
+    4187U,	// VPSRADZmik
+    2U,	// VPSRADZri
+    4419U,	// VPSRADZrik
+    24U,	// VPSRADZrm
+    1859U,	// VPSRADZrmk
+    2U,	// VPSRADZrr
+    4419U,	// VPSRADZrrk
+    2U,	// VPSRADri
+    24U,	// VPSRADrm
+    2U,	// VPSRADrr
+    0U,	// VPSRAQZmi
+    4187U,	// VPSRAQZmik
+    2U,	// VPSRAQZri
+    4419U,	// VPSRAQZrik
+    24U,	// VPSRAQZrm
+    1859U,	// VPSRAQZrmk
+    2U,	// VPSRAQZrr
+    4419U,	// VPSRAQZrrk
+    28U,	// VPSRAVDYrm
+    2U,	// VPSRAVDYrr
+    26U,	// VPSRAVDZrm
+    2U,	// VPSRAVDZrr
+    24U,	// VPSRAVDrm
+    2U,	// VPSRAVDrr
+    26U,	// VPSRAVQZrm
+    2U,	// VPSRAVQZrr
+    2U,	// VPSRAWYri
+    24U,	// VPSRAWYrm
+    2U,	// VPSRAWYrr
+    2U,	// VPSRAWri
+    24U,	// VPSRAWrm
+    2U,	// VPSRAWrr
+    2U,	// VPSRLDQYri
+    2U,	// VPSRLDQri
+    2U,	// VPSRLDYri
+    24U,	// VPSRLDYrm
+    2U,	// VPSRLDYrr
+    0U,	// VPSRLDZmi
+    4187U,	// VPSRLDZmik
+    2U,	// VPSRLDZri
+    4419U,	// VPSRLDZrik
+    24U,	// VPSRLDZrm
+    1859U,	// VPSRLDZrmk
+    2U,	// VPSRLDZrr
+    4419U,	// VPSRLDZrrk
+    2U,	// VPSRLDri
+    24U,	// VPSRLDrm
+    2U,	// VPSRLDrr
+    2U,	// VPSRLQYri
+    24U,	// VPSRLQYrm
+    2U,	// VPSRLQYrr
+    0U,	// VPSRLQZmi
+    4187U,	// VPSRLQZmik
+    2U,	// VPSRLQZri
+    4419U,	// VPSRLQZrik
+    24U,	// VPSRLQZrm
+    1859U,	// VPSRLQZrmk
+    2U,	// VPSRLQZrr
+    4419U,	// VPSRLQZrrk
+    2U,	// VPSRLQri
+    24U,	// VPSRLQrm
+    2U,	// VPSRLQrr
+    28U,	// VPSRLVDYrm
+    2U,	// VPSRLVDYrr
+    26U,	// VPSRLVDZrm
+    2U,	// VPSRLVDZrr
+    24U,	// VPSRLVDrm
+    2U,	// VPSRLVDrr
+    28U,	// VPSRLVQYrm
+    2U,	// VPSRLVQYrr
+    26U,	// VPSRLVQZrm
+    2U,	// VPSRLVQZrr
+    24U,	// VPSRLVQrm
+    2U,	// VPSRLVQrr
+    2U,	// VPSRLWYri
+    24U,	// VPSRLWYrm
+    2U,	// VPSRLWYrr
+    2U,	// VPSRLWri
+    24U,	// VPSRLWrm
+    2U,	// VPSRLWrr
+    28U,	// VPSUBBYrm
+    2U,	// VPSUBBYrr
+    24U,	// VPSUBBrm
+    2U,	// VPSUBBrr
+    28U,	// VPSUBDYrm
+    2U,	// VPSUBDYrr
+    26U,	// VPSUBDZrm
+    196U,	// VPSUBDZrmb
+    2U,	// VPSUBDZrr
+    24U,	// VPSUBDrm
+    2U,	// VPSUBDrr
+    28U,	// VPSUBQYrm
+    2U,	// VPSUBQYrr
+    26U,	// VPSUBQZrm
+    134U,	// VPSUBQZrmb
+    2U,	// VPSUBQZrr
+    24U,	// VPSUBQrm
+    2U,	// VPSUBQrr
+    28U,	// VPSUBSBYrm
+    2U,	// VPSUBSBYrr
+    24U,	// VPSUBSBrm
+    2U,	// VPSUBSBrr
+    28U,	// VPSUBSWYrm
+    2U,	// VPSUBSWYrr
+    24U,	// VPSUBSWrm
+    2U,	// VPSUBSWrr
+    28U,	// VPSUBUSBYrm
+    2U,	// VPSUBUSBYrr
+    24U,	// VPSUBUSBrm
+    2U,	// VPSUBUSBrr
+    28U,	// VPSUBUSWYrm
+    2U,	// VPSUBUSWYrr
+    24U,	// VPSUBUSWrm
+    2U,	// VPSUBUSWrr
+    28U,	// VPSUBWYrm
+    2U,	// VPSUBWYrr
+    24U,	// VPSUBWrm
+    2U,	// VPSUBWrr
+    20U,	// VPTESTMDZrm
+    2U,	// VPTESTMDZrr
+    20U,	// VPTESTMQZrm
+    2U,	// VPTESTMQZrr
+    0U,	// VPTESTYrm
+    0U,	// VPTESTYrr
+    0U,	// VPTESTrm
+    0U,	// VPTESTrr
+    28U,	// VPUNPCKHBWYrm
+    2U,	// VPUNPCKHBWYrr
+    24U,	// VPUNPCKHBWrm
+    2U,	// VPUNPCKHBWrr
+    28U,	// VPUNPCKHDQYrm
+    2U,	// VPUNPCKHDQYrr
+    26U,	// VPUNPCKHDQZrm
+    2U,	// VPUNPCKHDQZrr
+    24U,	// VPUNPCKHDQrm
+    2U,	// VPUNPCKHDQrr
+    28U,	// VPUNPCKHQDQYrm
+    2U,	// VPUNPCKHQDQYrr
+    26U,	// VPUNPCKHQDQZrm
+    2U,	// VPUNPCKHQDQZrr
+    24U,	// VPUNPCKHQDQrm
+    2U,	// VPUNPCKHQDQrr
+    28U,	// VPUNPCKHWDYrm
+    2U,	// VPUNPCKHWDYrr
+    24U,	// VPUNPCKHWDrm
+    2U,	// VPUNPCKHWDrr
+    28U,	// VPUNPCKLBWYrm
+    2U,	// VPUNPCKLBWYrr
+    24U,	// VPUNPCKLBWrm
+    2U,	// VPUNPCKLBWrr
+    28U,	// VPUNPCKLDQYrm
+    2U,	// VPUNPCKLDQYrr
+    26U,	// VPUNPCKLDQZrm
+    2U,	// VPUNPCKLDQZrr
+    24U,	// VPUNPCKLDQrm
+    2U,	// VPUNPCKLDQrr
+    28U,	// VPUNPCKLQDQYrm
+    2U,	// VPUNPCKLQDQYrr
+    26U,	// VPUNPCKLQDQZrm
+    2U,	// VPUNPCKLQDQZrr
+    24U,	// VPUNPCKLQDQrm
+    2U,	// VPUNPCKLQDQrr
+    28U,	// VPUNPCKLWDYrm
+    2U,	// VPUNPCKLWDYrr
+    24U,	// VPUNPCKLWDrm
+    2U,	// VPUNPCKLWDrr
+    26U,	// VPXORDZrm
+    196U,	// VPXORDZrmb
+    2U,	// VPXORDZrr
+    26U,	// VPXORQZrm
+    134U,	// VPXORQZrmb
+    2U,	// VPXORQZrr
+    28U,	// VPXORYrm
+    2U,	// VPXORYrr
+    24U,	// VPXORrm
+    2U,	// VPXORrr
+    0U,	// VRCP14PDZm
+    0U,	// VRCP14PDZm_Int
+    0U,	// VRCP14PDZr
+    0U,	// VRCP14PDZr_Int
+    0U,	// VRCP14PSZm
+    0U,	// VRCP14PSZm_Int
+    0U,	// VRCP14PSZr
+    0U,	// VRCP14PSZr_Int
+    14U,	// VRCP14SDZm
+    14U,	// VRCP14SDZm_Int
+    2U,	// VRCP14SDZr
+    16U,	// VRCP14SSZm
+    16U,	// VRCP14SSZm_Int
+    2U,	// VRCP14SSZr
+    0U,	// VRCPPSYm
+    0U,	// VRCPPSYm_Int
+    0U,	// VRCPPSYr
+    0U,	// VRCPPSYr_Int
+    0U,	// VRCPPSm
+    0U,	// VRCPPSm_Int
+    0U,	// VRCPPSr
+    0U,	// VRCPPSr_Int
+    16U,	// VRCPSSm
+    16U,	// VRCPSSm_Int
+    2U,	// VRCPSSr
+    4174U,	// VRNDSCALESDm
+    4418U,	// VRNDSCALESDr
+    4418U,	// VRNDSCALESDr_Int
+    4176U,	// VRNDSCALESSm
+    4418U,	// VRNDSCALESSr
+    4418U,	// VRNDSCALESSr_Int
+    0U,	// VRNDSCALEZPDm
+    2U,	// VRNDSCALEZPDr
+    0U,	// VRNDSCALEZPSm
+    2U,	// VRNDSCALEZPSr
+    0U,	// VROUNDPDm
+    2U,	// VROUNDPDr
+    0U,	// VROUNDPSm
+    2U,	// VROUNDPSr
+    4174U,	// VROUNDSDm
+    4418U,	// VROUNDSDr
+    4418U,	// VROUNDSDr_Int
+    4176U,	// VROUNDSSm
+    4418U,	// VROUNDSSr
+    4418U,	// VROUNDSSr_Int
+    0U,	// VROUNDYPDm
+    2U,	// VROUNDYPDr
+    0U,	// VROUNDYPSm
+    2U,	// VROUNDYPSr
+    0U,	// VRSQRT14PDZm
+    0U,	// VRSQRT14PDZm_Int
+    0U,	// VRSQRT14PDZr
+    0U,	// VRSQRT14PDZr_Int
+    0U,	// VRSQRT14PSZm
+    0U,	// VRSQRT14PSZm_Int
+    0U,	// VRSQRT14PSZr
+    0U,	// VRSQRT14PSZr_Int
+    14U,	// VRSQRT14SDZm
+    14U,	// VRSQRT14SDZm_Int
+    2U,	// VRSQRT14SDZr
+    16U,	// VRSQRT14SSZm
+    16U,	// VRSQRT14SSZm_Int
+    2U,	// VRSQRT14SSZr
+    0U,	// VRSQRTPSYm
+    0U,	// VRSQRTPSYm_Int
+    0U,	// VRSQRTPSYr
+    0U,	// VRSQRTPSYr_Int
+    0U,	// VRSQRTPSm
+    0U,	// VRSQRTPSm_Int
+    0U,	// VRSQRTPSr
+    0U,	// VRSQRTPSr_Int
+    16U,	// VRSQRTSSm
+    16U,	// VRSQRTSSm_Int
+    2U,	// VRSQRTSSr
+    0U,	// VSCATTERDPDZmr
+    0U,	// VSCATTERDPSZmr
+    0U,	// VSCATTERQPDZmr
+    0U,	// VSCATTERQPSZmr
+    0U,	// VSHUFPDYrmi
+    4418U,	// VSHUFPDYrri
+    4180U,	// VSHUFPDZrmi
+    4418U,	// VSHUFPDZrri
+    4182U,	// VSHUFPDrmi
+    4418U,	// VSHUFPDrri
+    4178U,	// VSHUFPSYrmi
+    4418U,	// VSHUFPSYrri
+    4180U,	// VSHUFPSZrmi
+    4418U,	// VSHUFPSZrri
+    4182U,	// VSHUFPSrmi
+    4418U,	// VSHUFPSrri
+    0U,	// VSQRTPDYm
+    0U,	// VSQRTPDYr
+    0U,	// VSQRTPDZm_Int
+    0U,	// VSQRTPDZr_Int
+    0U,	// VSQRTPDZrm
+    0U,	// VSQRTPDZrr
+    0U,	// VSQRTPDm
+    0U,	// VSQRTPDr
+    0U,	// VSQRTPSYm
+    0U,	// VSQRTPSYr
+    0U,	// VSQRTPSZm_Int
+    0U,	// VSQRTPSZr_Int
+    0U,	// VSQRTPSZrm
+    0U,	// VSQRTPSZrr
+    0U,	// VSQRTPSm
+    0U,	// VSQRTPSr
+    14U,	// VSQRTSDZm
+    14U,	// VSQRTSDZm_Int
+    2U,	// VSQRTSDZr
+    2U,	// VSQRTSDZr_Int
+    14U,	// VSQRTSDm
+    14U,	// VSQRTSDm_Int
+    2U,	// VSQRTSDr
+    16U,	// VSQRTSSZm
+    16U,	// VSQRTSSZm_Int
+    2U,	// VSQRTSSZr
+    2U,	// VSQRTSSZr_Int
+    16U,	// VSQRTSSm
+    16U,	// VSQRTSSm_Int
+    2U,	// VSQRTSSr
+    0U,	// VSTMXCSR
+    18U,	// VSUBPDYrm
+    2U,	// VSUBPDYrr
+    20U,	// VSUBPDZrm
+    142U,	// VSUBPDZrmb
+    2U,	// VSUBPDZrr
+    22U,	// VSUBPDrm
+    2U,	// VSUBPDrr
+    18U,	// VSUBPSYrm
+    2U,	// VSUBPSYrr
+    20U,	// VSUBPSZrm
+    208U,	// VSUBPSZrmb
+    2U,	// VSUBPSZrr
+    22U,	// VSUBPSrm
+    2U,	// VSUBPSrr
+    14U,	// VSUBSDZrm
+    2U,	// VSUBSDZrr
+    14U,	// VSUBSDrm
+    14U,	// VSUBSDrm_Int
+    2U,	// VSUBSDrr
+    2U,	// VSUBSDrr_Int
+    16U,	// VSUBSSZrm
+    2U,	// VSUBSSZrr
+    16U,	// VSUBSSrm
+    16U,	// VSUBSSrm_Int
+    2U,	// VSUBSSrr
+    2U,	// VSUBSSrr_Int
+    0U,	// VTESTPDYrm
+    0U,	// VTESTPDYrr
+    0U,	// VTESTPDrm
+    0U,	// VTESTPDrr
+    0U,	// VTESTPSYrm
+    0U,	// VTESTPSYrr
+    0U,	// VTESTPSrm
+    0U,	// VTESTPSrr
+    0U,	// VUCOMISDZrm
+    0U,	// VUCOMISDZrr
+    0U,	// VUCOMISDrm
+    0U,	// VUCOMISDrr
+    0U,	// VUCOMISSZrm
+    0U,	// VUCOMISSZrr
+    0U,	// VUCOMISSrm
+    0U,	// VUCOMISSrr
+    18U,	// VUNPCKHPDYrm
+    2U,	// VUNPCKHPDYrr
+    20U,	// VUNPCKHPDZrm
+    2U,	// VUNPCKHPDZrr
+    22U,	// VUNPCKHPDrm
+    2U,	// VUNPCKHPDrr
+    18U,	// VUNPCKHPSYrm
+    2U,	// VUNPCKHPSYrr
+    20U,	// VUNPCKHPSZrm
+    2U,	// VUNPCKHPSZrr
+    22U,	// VUNPCKHPSrm
+    2U,	// VUNPCKHPSrr
+    18U,	// VUNPCKLPDYrm
+    2U,	// VUNPCKLPDYrr
+    20U,	// VUNPCKLPDZrm
+    2U,	// VUNPCKLPDZrr
+    22U,	// VUNPCKLPDrm
+    2U,	// VUNPCKLPDrr
+    18U,	// VUNPCKLPSYrm
+    2U,	// VUNPCKLPSYrr
+    20U,	// VUNPCKLPSZrm
+    2U,	// VUNPCKLPSZrr
+    22U,	// VUNPCKLPSrm
+    2U,	// VUNPCKLPSrr
+    18U,	// VXORPDYrm
+    2U,	// VXORPDYrr
+    22U,	// VXORPDrm
+    2U,	// VXORPDrr
+    18U,	// VXORPSYrm
+    2U,	// VXORPSYrr
+    22U,	// VXORPSrm
+    2U,	// VXORPSrr
+    0U,	// VZEROALL
+    0U,	// VZEROUPPER
+    0U,	// V_SET0
+    0U,	// V_SETALLONES
+    0U,	// W64ALLOCA
+    0U,	// WAIT
+    0U,	// WBINVD
+    0U,	// WIN_ALLOCA
+    0U,	// WIN_FTOL_32
+    0U,	// WIN_FTOL_64
+    0U,	// WRFSBASE
+    0U,	// WRFSBASE64
+    0U,	// WRGSBASE
+    0U,	// WRGSBASE64
+    0U,	// WRMSR
+    0U,	// XABORT
+    0U,	// XACQUIRE_PREFIX
+    0U,	// XADD16rm
+    0U,	// XADD16rr
+    0U,	// XADD32rm
+    0U,	// XADD32rr
+    0U,	// XADD64rm
+    0U,	// XADD64rr
+    0U,	// XADD8rm
+    0U,	// XADD8rr
+    0U,	// XBEGIN
+    0U,	// XBEGIN_4
+    0U,	// XCHG16ar
+    0U,	// XCHG16rm
+    0U,	// XCHG16rr
+    0U,	// XCHG32ar
+    0U,	// XCHG32ar64
+    0U,	// XCHG32rm
+    0U,	// XCHG32rr
+    0U,	// XCHG64ar
+    0U,	// XCHG64rm
+    0U,	// XCHG64rr
+    0U,	// XCHG8rm
+    0U,	// XCHG8rr
+    0U,	// XCH_F
+    0U,	// XCRYPTCBC
+    0U,	// XCRYPTCFB
+    0U,	// XCRYPTCTR
+    0U,	// XCRYPTECB
+    0U,	// XCRYPTOFB
+    0U,	// XEND
+    0U,	// XGETBV
+    0U,	// XLAT
+    0U,	// XOR16i16
+    0U,	// XOR16mi
+    0U,	// XOR16mi8
+    0U,	// XOR16mr
+    0U,	// XOR16ri
+    0U,	// XOR16ri8
+    0U,	// XOR16rm
+    0U,	// XOR16rr
+    0U,	// XOR16rr_REV
+    0U,	// XOR32i32
+    0U,	// XOR32mi
+    0U,	// XOR32mi8
+    0U,	// XOR32mr
+    0U,	// XOR32ri
+    0U,	// XOR32ri8
+    0U,	// XOR32rm
+    0U,	// XOR32rr
+    0U,	// XOR32rr_REV
+    0U,	// XOR64i32
+    0U,	// XOR64mi32
+    0U,	// XOR64mi8
+    0U,	// XOR64mr
+    0U,	// XOR64ri32
+    0U,	// XOR64ri8
+    0U,	// XOR64rm
+    0U,	// XOR64rr
+    0U,	// XOR64rr_REV
+    0U,	// XOR8i8
+    0U,	// XOR8mi
+    0U,	// XOR8mr
+    0U,	// XOR8ri
+    0U,	// XOR8rm
+    0U,	// XOR8rr
+    0U,	// XOR8rr_REV
+    0U,	// XORPDrm
+    0U,	// XORPDrr
+    0U,	// XORPSrm
+    0U,	// XORPSrr
+    0U,	// XRELEASE_PREFIX
+    0U,	// XRSTOR
+    0U,	// XRSTOR64
+    0U,	// XSAVE
+    0U,	// XSAVE64
+    0U,	// XSAVEOPT
+    0U,	// XSAVEOPT64
+    0U,	// XSETBV
+    0U,	// XSHA1
+    0U,	// XSHA256
+    0U,	// XSTORE
+    0U,	// XTEST
+    0U
+  };
+
+  const char AsmStrs[] = {
+  /* 0 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 12 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 22 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 34 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 46 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 56 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 66 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 77 */ 'c', 'r', 'c', '3', '2', 9, 0,
+  /* 84 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
+  /* 95 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 105 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 117 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 130 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 142 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 152 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 163 */ 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
+  /* 172 */ 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
+  /* 182 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
+  /* 194 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
+  /* 205 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 216 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 231 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 245 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 260 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 274 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 289 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 303 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 318 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 332 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 344 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 358 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 371 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 387 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 399 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 413 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 426 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 442 */ 'l', 'e', 'a', 9, 0,
+  /* 447 */ 'j', 'a', 9, 0,
+  /* 451 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 462 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 471 */ 's', 'e', 't', 'a', 9, 0,
+  /* 477 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 490 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
+  /* 497 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 509 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 520 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 528 */ 's', 'b', 'b', 9, 0,
+  /* 533 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 541 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 549 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 9, 0,
+  /* 560 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 9, 0,
+  /* 570 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 9, 0,
+  /* 579 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 588 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 596 */ 'j', 'b', 9, 0,
+  /* 600 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 611 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 619 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 627 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 636 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 646 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 9, 0,
+  /* 657 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 9, 0,
+  /* 667 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 9, 0,
+  /* 676 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 685 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 694 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 702 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 711 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 720 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 729 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 739 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 749 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 758 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 767 */ 's', 'e', 't', 'b', 9, 0,
+  /* 773 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 783 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 791 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 805 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 814 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 823 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 830 */ 'f', 'i', 's', 'u', 'b', 9, 0,
+  /* 837 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 846 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 857 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 864 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 875 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 886 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 893 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 901 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 910 */ 'a', 'd', 'c', 9, 0,
+  /* 915 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 924 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 933 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 942 */ 'i', 'n', 'c', 9, 0,
+  /* 947 */ 'b', 't', 'c', 9, 0,
+  /* 952 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 9, 0,
+  /* 962 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 9, 0,
+  /* 979 */ 'a', 'a', 'd', 9, 0,
+  /* 984 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
+  /* 992 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 1000 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 1008 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 1018 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 1029 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 1038 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 1046 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 1057 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 1068 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 1075 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
+  /* 1082 */ 'x', 'a', 'd', 'd', 9, 0,
+  /* 1088 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 1097 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 1105 */ 'v', 'p', 'a', 'n', 'd', 'd', 9, 0,
+  /* 1113 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 1123 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 1135 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 9, 0,
+  /* 1148 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 1158 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 1169 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
+  /* 1177 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 1184 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 1193 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 1200 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 1209 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 1218 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 1224 */ 'f', 'l', 'd', 9, 0,
+  /* 1229 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 1237 */ 'f', 'i', 'l', 'd', 9, 0,
+  /* 1243 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 1251 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 1260 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 1268 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 1277 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 9, 0,
+  /* 1288 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 1296 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 1304 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 9, 0,
+  /* 1314 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 1321 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
+  /* 1329 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 9, 0,
+  /* 1338 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 9, 0,
+  /* 1347 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 1356 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 1363 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1379 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1392 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1406 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1422 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1435 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1449 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1465 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1478 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1492 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1508 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1521 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1535 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 9, 0,
+  /* 1546 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 1556 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 1568 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 1579 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 1591 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 1602 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1618 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1631 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1645 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1661 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1674 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1688 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 9, 0,
+  /* 1698 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 9, 0,
+  /* 1710 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 1719 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 1727 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1740 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1751 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1760 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1770 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1781 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1789 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1802 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1811 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1821 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1832 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1840 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 1848 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 1858 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 1868 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 1880 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 1893 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 9, 0,
+  /* 1906 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 1915 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 1926 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 1935 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 1946 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 1957 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 1968 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 1976 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 1985 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 1993 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 9, 0,
+  /* 2004 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 2013 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 2022 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 2030 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 2037 */ 'v', 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 2046 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 2058 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 2071 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 2078 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 2086 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 2096 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 2105 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 2114 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 2123 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 2134 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 2142 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 2154 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 2162 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 2171 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 2181 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 2193 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 9, 0,
+  /* 2206 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 9, 0,
+  /* 2217 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 9, 0,
+  /* 2227 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 9, 0,
+  /* 2236 */ 's', 'h', 'r', 'd', 9, 0,
+  /* 2242 */ 'v', 'p', 'o', 'r', 'd', 9, 0,
+  /* 2249 */ 'v', 'p', 'x', 'o', 'r', 'd', 9, 0,
+  /* 2257 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 2266 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 2275 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2288 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2302 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2315 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2329 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2342 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2356 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2369 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2383 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 2394 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 2405 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2418 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2432 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2445 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2459 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 9, 0,
+  /* 2469 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 9, 0,
+  /* 2481 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 2489 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 2499 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 2510 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 2518 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 2528 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 2539 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 2547 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 2557 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 9, 0,
+  /* 2570 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 2580 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 2589 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 2597 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 2606 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 2614 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 2622 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 2631 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 2640 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 2654 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 2662 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 2670 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 2679 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 2687 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 2696 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 2706 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 2714 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 2728 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 2737 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 2746 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 2755 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 2764 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 2773 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 2782 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 2791 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 2803 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 2810 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 2820 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 2830 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 2840 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 2852 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 2864 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 2874 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 2885 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 2896 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 2908 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 2919 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 2930 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 2941 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
+  /* 2949 */ 'j', 'a', 'e', 9, 0,
+  /* 2954 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 2961 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
+  /* 2969 */ 'j', 'b', 'e', 9, 0,
+  /* 2974 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 2981 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 2989 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 2996 */ 'j', 'g', 'e', 9, 0,
+  /* 3001 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 3010 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 3017 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
+  /* 3025 */ 'j', 'e', 9, 0,
+  /* 3029 */ 'j', 'l', 'e', 9, 0,
+  /* 3034 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 3041 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
+  /* 3049 */ 'j', 'n', 'e', 9, 0,
+  /* 3054 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 3062 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 3069 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 3077 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 3084 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3094 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3104 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3114 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3124 */ 's', 'e', 't', 'e', 9, 0,
+  /* 3130 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
+  /* 3139 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 3150 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 3158 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 3166 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 3173 */ 'b', 's', 'f', 9, 0,
+  /* 3178 */ 'r', 'e', 't', 'f', 9, 0,
+  /* 3184 */ 'n', 'e', 'g', 9, 0,
+  /* 3189 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
+  /* 3198 */ 'j', 'g', 9, 0,
+  /* 3202 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 3210 */ 's', 'e', 't', 'g', 9, 0,
+  /* 3216 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
+  /* 3223 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 3233 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 3239 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 3250 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 3261 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 3273 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 3282 */ 'p', 'u', 's', 'h', 9, 0,
+  /* 3288 */ 'b', 'z', 'h', 'i', 9, 0,
+  /* 3294 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 3301 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 3309 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 3320 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 3330 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 3341 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 3351 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 3359 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 3368 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 3380 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 3392 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 3404 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 3415 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 3427 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 3438 */ 'b', 'l', 's', 'i', 9, 0,
+  /* 3444 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
+  /* 3452 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
+  /* 3460 */ 'r', 'c', 'l', 9, 0,
+  /* 3465 */ 's', 'h', 'l', 9, 0,
+  /* 3470 */ 'j', 'l', 9, 0,
+  /* 3474 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 3481 */ 'r', 'o', 'l', 9, 0,
+  /* 3486 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 3492 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 3503 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 3515 */ 'l', 's', 'l', 9, 0,
+  /* 3520 */ 's', 'e', 't', 'l', 9, 0,
+  /* 3526 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 3533 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 3540 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 3547 */ 'a', 'a', 'm', 9, 0,
+  /* 3552 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 3558 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 3565 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 3572 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 3580 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 3592 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 3604 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 3612 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 3620 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 3627 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 3634 */ 'j', 'o', 9, 0,
+  /* 3638 */ 'j', 'n', 'o', 9, 0,
+  /* 3643 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 3650 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 3658 */ 's', 'e', 't', 'o', 9, 0,
+  /* 3664 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 3671 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 3678 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 3685 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 3692 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 3699 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 3705 */ 'j', 'p', 9, 0,
+  /* 3709 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 3716 */ 'c', 'm', 'p', 9, 0,
+  /* 3721 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 3727 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 3734 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 3742 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 3750 */ 'j', 'n', 'p', 9, 0,
+  /* 3755 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 3762 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 3770 */ 'n', 'o', 'p', 9, 0,
+  /* 3775 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 3781 */ 'p', 'o', 'p', 9, 0,
+  /* 3786 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 3794 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 3802 */ 's', 'e', 't', 'p', 9, 0,
+  /* 3808 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 3815 */ 'f', 's', 't', 'p', 9, 0,
+  /* 3821 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 3828 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 3836 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 3846 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 3857 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 3868 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 3884 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 3891 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 3898 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 9, 0,
+  /* 3915 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 9, 0,
+  /* 3925 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 3934 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 3942 */ 'v', 'p', 's', 'r', 'a', 'q', 9, 0,
+  /* 3950 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 3960 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 3971 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 3979 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 3990 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 4001 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 4013 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 4024 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 4033 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 4045 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 4056 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 4066 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 4074 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 4084 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 4096 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 4108 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 4117 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 4126 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 4135 */ 'v', 'p', 'a', 'n', 'd', 'q', 9, 0,
+  /* 4143 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 4156 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 4169 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 4181 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 4193 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 9, 0,
+  /* 4206 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 4216 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 9, 0,
+  /* 4229 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 9, 0,
+  /* 4242 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 4253 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 4263 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 4274 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 4285 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 4294 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
+  /* 4303 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 4311 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 4319 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 4327 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 9, 0,
+  /* 4338 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 4346 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 4354 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 9, 0,
+  /* 4364 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 9, 0,
+  /* 4373 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 9, 0,
+  /* 4382 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 4390 */ 'j', 'm', 'p', 'q', 9, 0,
+  /* 4396 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 4406 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 4418 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 9, 0,
+  /* 4431 */ 'v', 'p', 'o', 'r', 'q', 9, 0,
+  /* 4438 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
+  /* 4448 */ 'v', 'p', 'x', 'o', 'r', 'q', 9, 0,
+  /* 4456 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 4465 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 4474 */ 'v', 'p', 'a', 'b', 's', 'q', 9, 0,
+  /* 4482 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 4492 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 4500 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 4508 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 4517 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 4531 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 4540 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 4549 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 9, 0,
+  /* 4558 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 4567 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 4576 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 4588 */ 'v', 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 4596 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 4606 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 4617 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 4628 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 4639 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 4648 */ 'l', 'a', 'r', 9, 0,
+  /* 4653 */ 's', 'a', 'r', 9, 0,
+  /* 4658 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 4666 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 4674 */ 'r', 'c', 'r', 9, 0,
+  /* 4679 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 4686 */ 's', 'h', 'r', 9, 0,
+  /* 4691 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 4701 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 4707 */ 'r', 'o', 'r', 9, 0,
+  /* 4712 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 4720 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 4729 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 4736 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 4742 */ 'b', 's', 'r', 9, 0,
+  /* 4747 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 4757 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 4767 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 4773 */ 'b', 't', 'r', 9, 0,
+  /* 4778 */ 'l', 't', 'r', 9, 0,
+  /* 4783 */ 's', 't', 'r', 9, 0,
+  /* 4788 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 4795 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 4802 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 4810 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 4818 */ 'l', 'd', 's', 9, 0,
+  /* 4823 */ 'l', 'e', 's', 9, 0,
+  /* 4828 */ 'l', 'f', 's', 9, 0,
+  /* 4833 */ 'l', 'g', 's', 9, 0,
+  /* 4838 */ 'j', 's', 9, 0,
+  /* 4842 */ 'j', 'n', 's', 9, 0,
+  /* 4847 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 4854 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 4862 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 4878 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 4891 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 4905 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 4921 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 4934 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 4948 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 4964 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 4977 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 4991 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5007 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5020 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5034 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 5045 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 5056 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 9, 0,
+  /* 5067 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 5077 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 5089 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 5100 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 5112 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5128 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5141 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5155 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5171 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5184 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5198 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 9, 0,
+  /* 5208 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 9, 0,
+  /* 5220 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 5229 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5242 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5253 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5262 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5272 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5283 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5291 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5304 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5313 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5323 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5334 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5342 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 5350 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 5360 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 5370 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 5382 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 5395 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 9, 0,
+  /* 5408 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 5417 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 5428 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 5438 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 5447 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 5458 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 5468 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 5479 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 5490 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 5498 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 5507 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 9, 0,
+  /* 5518 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 5527 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 5536 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 5544 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 5552 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 5559 */ 'v', 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 5568 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 5580 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 5593 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 5600 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 5608 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 5620 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 5630 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 5641 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 5651 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 5660 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 5669 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 5678 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 5689 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 5697 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 5709 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 5717 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 5726 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 5739 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 5753 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 5766 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 5780 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 5793 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 5807 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 5820 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 5834 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 5845 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 5856 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 5869 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 5883 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 5896 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 5910 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 9, 0,
+  /* 5920 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 9, 0,
+  /* 5932 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 5942 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 5953 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 5961 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 5971 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 5982 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 5990 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 6000 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 9, 0,
+  /* 6013 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 6023 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 6032 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 6040 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 6048 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 6056 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 6064 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 6073 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 6083 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 6092 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 6106 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 6114 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 6122 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 6130 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 6139 */ 'b', 't', 's', 9, 0,
+  /* 6144 */ 's', 'e', 't', 's', 9, 0,
+  /* 6150 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 6157 */ 'b', 't', 9, 0,
+  /* 6161 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 6167 */ 's', 'g', 'd', 't', 9, 0,
+  /* 6173 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 6179 */ 's', 'i', 'd', 't', 9, 0,
+  /* 6185 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 6191 */ 's', 'l', 'd', 't', 9, 0,
+  /* 6197 */ 'r', 'e', 't', 9, 0,
+  /* 6202 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 6211 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 6219 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 6226 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 6233 */ 'i', 'n', 't', 9, 0,
+  /* 6238 */ 'n', 'o', 't', 9, 0,
+  /* 6243 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 6251 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 6261 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 6269 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 6278 */ 'v', 's', 'q', 'r', 't', 9, 0,
+  /* 6285 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 6298 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 6311 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 6319 */ 'f', 's', 't', 9, 0,
+  /* 6324 */ 'f', 'i', 's', 't', 9, 0,
+  /* 6330 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 6348 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 6357 */ 'o', 'u', 't', 9, 0,
+  /* 6362 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 6368 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 6376 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 6389 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 6398 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 6404 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 6411 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 6419 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 6428 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 6436 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 6444 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 6452 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 6462 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 6472 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 6481 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 6491 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 6503 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 9, 0,
+  /* 6513 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 6525 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 6536 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 6545 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 6553 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 6564 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 6575 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 6582 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 6590 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 6599 */ 'k', 'a', 'd', 'd', 'w', 9, 0,
+  /* 6606 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 6614 */ 'k', 'a', 'n', 'd', 'w', 9, 0,
+  /* 6621 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 6631 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 6642 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 6653 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 9, 0,
+  /* 6664 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 9, 0,
+  /* 6674 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 9, 0,
+  /* 6683 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 6690 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 6698 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 6706 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 6717 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 6727 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 6736 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 6743 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 6753 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 6761 */ 'c', 'a', 'l', 'l', 'w', 9, 0,
+  /* 6768 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 6776 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 6785 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 6793 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 9, 0,
+  /* 6803 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 6811 */ 'k', 'a', 'n', 'd', 'n', 'w', 9, 0,
+  /* 6819 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 6828 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 6838 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 9, 0,
+  /* 6849 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 9, 0,
+  /* 6859 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 9, 0,
+  /* 6868 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 6874 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 6883 */ 'k', 'o', 'r', 'w', 9, 0,
+  /* 6889 */ 'k', 'x', 'n', 'o', 'r', 'w', 9, 0,
+  /* 6897 */ 'k', 'x', 'o', 'r', 'w', 9, 0,
+  /* 6904 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 6913 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 9, 0,
+  /* 6923 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 6932 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 6940 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 6952 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 6962 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 6971 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 6981 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 6990 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 6996 */ 's', 'm', 's', 'w', 9, 0,
+  /* 7002 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 7011 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 7022 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 7030 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 7040 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 7050 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 7059 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 7069 */ 'k', 'n', 'o', 't', 'w', 9, 0,
+  /* 7076 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 7084 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 7098 */ 'k', 't', 'e', 's', 't', 'w', 9, 0,
+  /* 7106 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 9, 0,
+  /* 7116 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 7126 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 7135 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 7144 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 7157 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 7166 */ 'k', 'm', 'o', 'v', 'w', 9, 0,
+  /* 7173 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 7183 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 7194 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 7201 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 7207 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 7213 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 7219 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 7225 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 7238 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 7250 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 7256 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 7262 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 7268 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 7280 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 7287 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 7294 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 7301 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 7307 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 7314 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7330 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7345 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7362 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7378 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7394 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7409 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7425 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7440 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 7449 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 7458 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 7467 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 7476 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 7485 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 7493 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 7502 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 7511 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 7523 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 7533 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 7542 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 7551 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 7560 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 7569 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 7578 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 7587 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 7597 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 7605 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 7614 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 7623 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 7635 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 7645 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 7654 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7664 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7674 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7684 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7694 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7704 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7715 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7724 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7734 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7744 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7757 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7768 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7778 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7788 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7798 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7808 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7818 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7828 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7839 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7849 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7859 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7872 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 7883 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 7894 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 7917 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 7941 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 7961 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 7981 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8001 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8022 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8043 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8064 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8086 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8107 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8129 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8151 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8171 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8192 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8213 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8235 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8254 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8274 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8294 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8314 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8333 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8353 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8373 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8392 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8410 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8429 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8448 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8468 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8487 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8507 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8527 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8547 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8567 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8587 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8606 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8626 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8646 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8665 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8683 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8702 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8721 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8741 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8760 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8780 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8799 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8819 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8837 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8856 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8875 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8895 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8913 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8932 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8950 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8969 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8987 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9004 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9022 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9040 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9059 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9080 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9101 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 9107 */ 'f', 'l', 'd', '1', 0,
+  /* 9112 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 9119 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 9125 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 9133 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 9152 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 9170 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 9183 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 9196 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 9214 */ 'u', 'd', '2', 0,
+  /* 9218 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 9225 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 9232 */ 'i', 'n', 't', '3', 0,
+  /* 9237 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 9256 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 9274 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 9287 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 9300 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 9318 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 9324 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 9331 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 9339 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 9352 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 9359 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 9369 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 9378 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 9396 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 9412 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 9424 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 9439 */ 'a', 'a', 'a', 0,
+  /* 9443 */ 'd', 'a', 'a', 0,
+  /* 9447 */ 'p', 'u', 's', 'h', 'a', 0,
+  /* 9453 */ 'p', 'o', 'p', 'a', 0,
+  /* 9458 */ 'u', 'd', '2', 'b', 0,
+  /* 9463 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 9473 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 9483 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 9493 */ 's', 'c', 'a', 's', 'b', 0,
+  /* 9499 */ 'l', 'o', 'd', 's', 'b', 0,
+  /* 9505 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 9515 */ 'c', 'm', 'p', 's', 'b', 0,
+  /* 9521 */ 'o', 'u', 't', 's', 'b', 0,
+  /* 9527 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 9537 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 9543 */ 'c', 'l', 'a', 'c', 0,
+  /* 9548 */ 's', 't', 'a', 'c', 0,
+  /* 9553 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 9563 */ 'c', 'l', 'c', 0,
+  /* 9567 */ 'c', 'm', 'c', 0,
+  /* 9571 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 9577 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 9584 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 9590 */ 's', 't', 'c', 0,
+  /* 9594 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 9601 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 9607 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 9613 */ 'c', 'l', 'd', 0,
+  /* 9617 */ 'x', 'e', 'n', 'd', 0,
+  /* 9622 */ 's', 'c', 'a', 's', 'd', 0,
+  /* 9628 */ 'l', 'o', 'd', 's', 'd', 0,
+  /* 9634 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 9644 */ 'c', 'm', 'p', 's', 'd', 0,
+  /* 9650 */ 'o', 'u', 't', 's', 'd', 0,
+  /* 9656 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 9666 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 9672 */ 's', 't', 'd', 0,
+  /* 9676 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 9683 */ 'c', 'w', 'd', 0,
+  /* 9687 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 9694 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 9701 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 9708 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 9715 */ 'c', 'w', 'd', 'e', 0,
+  /* 9720 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 9727 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 9736 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 9742 */ 'c', 'd', 'q', 'e', 0,
+  /* 9747 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 9756 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 9763 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 9772 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 9778 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 9784 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 9791 */ 'l', 'a', 'h', 'f', 0,
+  /* 9796 */ 's', 'a', 'h', 'f', 0,
+  /* 9801 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 9807 */ 'p', 'o', 'p', 'f', 0,
+  /* 9812 */ 'r', 'e', 't', 'f', 0,
+  /* 9817 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 9826 */ 'c', 'l', 'g', 'i', 0,
+  /* 9831 */ 's', 't', 'g', 'i', 0,
+  /* 9836 */ 'c', 'l', 'i', 0,
+  /* 9840 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 9846 */ 's', 't', 'i', 0,
+  /* 9850 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 9865 */ 'l', 'o', 'c', 'k', 0,
+  /* 9870 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 9881 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 9889 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 9896 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 9904 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 9913 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 9921 */ 'f', 'x', 'a', 'm', 0,
+  /* 9926 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 9932 */ 'r', 's', 'm', 0,
+  /* 9936 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 9943 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 9949 */ 'f', 's', 'i', 'n', 0,
+  /* 9954 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 9981 */ 'c', 'q', 'o', 0,
+  /* 9985 */ 'i', 'n', 't', 'o', 0,
+  /* 9990 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 9997 */ 'r', 'e', 'p', 0,
+  /* 10001 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 10007 */ 'v', 'c', 'm', 'p', 0,
+  /* 10012 */ 'f', 'n', 'o', 'p', 0,
+  /* 10017 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 10024 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 10032 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 10040 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 10048 */ 'c', 'd', 'q', 0,
+  /* 10052 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 10059 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 10065 */ 's', 'c', 'a', 's', 'q', 0,
+  /* 10071 */ 'l', 'o', 'd', 's', 'q', 0,
+  /* 10077 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 10087 */ 'c', 'm', 'p', 's', 'q', 0,
+  /* 10093 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 10103 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 10109 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 10120 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 10129 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 10137 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 10143 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 10149 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 10159 */ 'a', 'a', 's', 0,
+  /* 10163 */ 'd', 'a', 's', 0,
+  /* 10167 */ 'f', 'a', 'b', 's', 0,
+  /* 10172 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 10180 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 10188 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 10195 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 10203 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 10210 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 10218 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 10225 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 10233 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 10240 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 10247 */ 'f', 'c', 'h', 's', 0,
+  /* 10252 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 10297 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 10303 */ 'i', 'n', 's', 0,
+  /* 10307 */ 'f', 'c', 'o', 's', 0,
+  /* 10312 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 10320 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 10328 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 10335 */ 'c', 'l', 't', 's', 0,
+  /* 10340 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 10347 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 10355 */ 'i', 'r', 'e', 't', 0,
+  /* 10360 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 10367 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 10373 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 10380 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 10388 */ 'h', 'l', 't', 0,
+  /* 10392 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 10400 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 10406 */ 'x', 't', 'e', 's', 't', 0,
+  /* 10412 */ 'f', 't', 's', 't', 0,
+  /* 10417 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 10424 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 10431 */ 'c', 'b', 'w', 0,
+  /* 10435 */ 's', 'c', 'a', 's', 'w', 0,
+  /* 10441 */ 'l', 'o', 'd', 's', 'w', 0,
+  /* 10447 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 10457 */ 'c', 'm', 'p', 's', 'w', 0,
+  /* 10463 */ 'o', 'u', 't', 's', 'w', 0,
+  /* 10469 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 10479 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 10485 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 10495 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 10506 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 10517 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 10528 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 10538 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 10549 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 10561 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 10572 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 10583 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 10593 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 10610 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 10627 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 10637 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 10647 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 10658 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 10665 */ 'f', 'l', 'd', 'z', 0,
+  };
+
+  // Emit the opcode for the instruction.
+  uint64_t Bits1 = OpInfo[MCInst_getOpcode(MI)];
+  uint64_t Bits2 = OpInfo2[MCInst_getOpcode(MI)];
+  uint64_t Bits = (Bits2 << 32) | Bits1;
+  //assert(Bits != 0 && "Cannot print this instruction.");
+  SStream_concat(O, AsmStrs+(Bits & 16383)-1);
+
+
+  //	printf("F0: %lu\n", (Bits >> 14) & 63);
+  // Fragment 0 encoded into 5 bits for 25 unique commands.
+  switch ((Bits >> 14) & 63) {
+  default:   // unreachable.
+  case 0:
+    // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, AAA, AAS, ABS_F, ACQU...
+    return;
+    break;
+  case 1:
+    // AAD8i8, AAM8i8, ADC16i16, ADC16rr_REV, ADC32i32, ADC32rr_REV, ADC64i32...
+    printOperand(MI, 0, O); 
+    break;
+  case 2:
+    // ADC16mi, ADC16mi8, ADC16mr, ADD16mi, ADD16mi8, ADD16mr, ADD_FI16m, AND...
+    printi16mem(MI, 0, O); 
+    break;
+  case 3:
+    // ADC16ri, ADC16ri8, ADC16rm, ADC16rr, ADC32ri, ADC32ri8, ADC32rm, ADC32...
+    printOperand(MI, 1, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 4:
+    // ADC32mi, ADC32mi8, ADC32mr, ADD32mi, ADD32mi8, ADD32mr, ADD_FI32m, AND...
+    printi32mem(MI, 0, O); 
+    break;
+  case 5:
+    // ADC64mi32, ADC64mi8, ADC64mr, ADD64mi32, ADD64mi8, ADD64mr, AND64mi32,...
+    printi64mem(MI, 0, O); 
+    break;
+  case 6:
+    // ADC8mi, ADC8mr, ADD8mi, ADD8mr, AND8mi, AND8mr, CLFLUSH, CMP8mi, CMP8m...
+    printi8mem(MI, 0, O); 
+    break;
+  case 7:
+    // ADD_F32m, DIVR_F32m, DIV_F32m, EXTRACTPSmr, FBLDm, FBSTPm, FCOM32m, FC...
+    printf32mem(MI, 0, O); 
+    break;
+  case 8:
+    // ADD_F64m, DIVR_F64m, DIV_F64m, FCOM64m, FCOMP64m, LD_F64m, MOVHPDmr, M...
+    printf64mem(MI, 0, O); 
+    break;
+  case 9:
+    // CALL64pcrel32, CALLpcrel16, CALLpcrel32, EH_SjLj_Setup, JAE_1, JAE_4, ...
+    printPCRelImm(MI, 0, O); 
+    break;
+  case 10:
+    // CMPPDrmi, CMPPSrmi, CMPSDrm, CMPSSrm, Int_CMPSDrm, Int_CMPSSrm
+    printSSECC(MI, 7, O); 
+    break;
+  case 11:
+    // CMPPDrri, CMPPSrri, CMPSDrr, CMPSSrr, Int_CMPSDrr, Int_CMPSSrr
+    printSSECC(MI, 3, O); 
+    break;
+  case 12:
+    // CMPXCHG16B, LCMPXCHG16B, MOVDQAmr, MOVDQUmr, VEXTRACTI128mr, VEXTRACTI...
+    printi128mem(MI, 0, O); 
+    break;
+  case 13:
+    // FARCALL16m, FARCALL32m, FARCALL64, FARJMP16m, FARJMP32m, FARJMP64, FXR...
+    printopaquemem(MI, 0, O); 
+    return;
+    break;
+  case 14:
+    // Int_VCMPSDrm, Int_VCMPSSrm, VCMPPDYrmi, VCMPPDZrmi, VCMPPDrmi, VCMPPSY...
+    printAVXCC(MI, 7, O); 
+    break;
+  case 15:
+    // Int_VCMPSDrr, Int_VCMPSSrr, VCMPPDYrri, VCMPPDZrri, VCMPPDrri, VCMPPSY...
+    printAVXCC(MI, 3, O); 
+    break;
+  case 16:
+    // LD_F80m, ST_FP80m
+    printf80mem(MI, 0, O); 
+    return;
+    break;
+  case 17:
+    // LXADD16, XCHG16rm
+    printi16mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    return;
+    break;
+  case 18:
+    // LXADD32, XCHG32rm
+    printi32mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    return;
+    break;
+  case 19:
+    // LXADD64, XCHG64rm
+    printi64mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    return;
+    break;
+  case 20:
+    // LXADD8, XCHG8rm
+    printi8mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    return;
+    break;
+  case 21:
+    // MOV16ao16, MOV16o16a, MOV64ao16, MOV64o16a
+    printMemOffs16(MI, 0, O); 
+    break;
+  case 22:
+    // MOV32ao32, MOV32o32a, MOV64ao32, MOV64o32a
+    printMemOffs32(MI, 0, O); 
+    break;
+  case 23:
+    // MOV64ao64, MOV64o64a
+    printMemOffs64(MI, 0, O); 
+    break;
+  case 24:
+    // MOV64ao8, MOV64o8a, MOV8ao8, MOV8o8a
+    printMemOffs8(MI, 0, O); 
+    break;
+  case 25:
+    // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
+    printf128mem(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 5, O); 
+    break;
+  case 26:
+    // VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr, VMOVAPDYmr, VMOVAPSYmr,...
+    printf256mem(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 5, O); 
+    break;
+  case 27:
+    // VEXTRACTI64x4mr, VMOVDQAYmr, VMOVDQUYmr, VPMASKMOVDYmr, VPMASKMOVQYmr,...
+    printi256mem(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 5, O); 
+    break;
+  case 28:
+    // VMOVAPDZmr, VMOVAPSZmr, VMOVUPDZmr, VMOVUPSZmr
+    printf512mem(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 5, O); 
+    return;
+    break;
+  case 29:
+    // VMOVDQA32mr, VMOVDQA64mr
+    printi512mem(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 5, O); 
+    return;
+    break;
+  case 30:
+    // VPSCATTERDDZmr, VSCATTERDPSZmr
+    printi32mem(MI, 1, O); 
+    SStream_concat(O, " {"); 
+    printOperand(MI, 6, O); 
+    SStream_concat(O, "}, "); 
+    printOperand(MI, 7, O); 
+    return;
+    break;
+  case 31:
+    // VPSCATTERDQZmr, VPSCATTERQDZmr, VPSCATTERQQZmr, VSCATTERDPDZmr, VSCATT...
+    printi64mem(MI, 1, O); 
+    SStream_concat(O, " {"); 
+    printOperand(MI, 6, O); 
+    SStream_concat(O, "}, "); 
+    printOperand(MI, 7, O); 
+    return;
+    break;
+  case 32:
+    // XCHG16rr, XCHG32rr, XCHG64rr, XCHG8rr
+    printOperand(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    return;
+    break;
+  }
+
+
+  //	printf("F1: %lu\n", (Bits >> 20) & 31);
+  // Fragment 1 encoded into 5 bits for 23 unique commands.
+  switch ((Bits >> 20) & 31) {
+  default:   // unreachable.
+  case 0:
+    // AAD8i8, AAM8i8, ADC16i16, ADC32i32, ADC64i32, ADC8i8, ADD16i16, ADD32i...
+    return;
+    break;
+  case 1:
+    // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
+    SStream_concat(O, ", "); 
+    break;
+  case 2:
+    // ADC16ri, ADC16ri8, ADC16rr, ADC32ri, ADC32ri8, ADC32rr, ADC64ri32, ADC...
+    printOperand(MI, 2, O); 
+    break;
+  case 3:
+    // ADC16rm, ADD16rm, AND16rm, CRC32r32m16, OR16rm, SBB16rm, SUB16rm, XOR1...
+    printi16mem(MI, 2, O); 
+    return;
+    break;
+  case 4:
+    // ADC32rm, ADD32rm, AND32rm, CRC32r32m32, OR32rm, SBB32rm, SUB32rm, XOR3...
+    printi32mem(MI, 2, O); 
+    return;
+    break;
+  case 5:
+    // ADC64rm, ADD64rm, AND64rm, CRC32r64m64, OR64rm, SBB64rm, SUB64rm, XOR6...
+    printi64mem(MI, 2, O); 
+    return;
+    break;
+  case 6:
+    // ADC8rm, ADD8rm, AND8rm, CRC32r32m8, CRC32r64m8, OR8rm, SBB8rm, SUB8rm,...
+    printi8mem(MI, 2, O); 
+    return;
+    break;
+  case 7:
+    // ADD_FrST0, DIVR_FrST0, DIV_FrST0, MUL_FrST0, SUBR_FrST0, SUB_FrST0
+    SStream_concat(O, ", st(0)"); 
+    return;
+    break;
+  case 8:
+    // CMPPDrmi, CMPPDrri, VCMPPDYrmi, VCMPPDYrri, VCMPPDZrmi, VCMPPDZrri, VC...
+    SStream_concat(O, "pd\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 9:
+    // CMPPSrmi, CMPPSrri, VCMPPSYrmi, VCMPPSYrri, VCMPPSZrmi, VCMPPSZrri, VC...
+    SStream_concat(O, "ps\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 10:
+    // CMPSDrm, CMPSDrr, Int_CMPSDrm, Int_CMPSDrr, Int_VCMPSDrm, Int_VCMPSDrr...
+    SStream_concat(O, "sd\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 11:
+    // CMPSSrm, CMPSSrr, Int_CMPSSrm, Int_CMPSSrr, Int_VCMPSSrm, Int_VCMPSSrr...
+    SStream_concat(O, "ss\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 12:
+    // MOV16ao16, MOV64ao16, OUT16ir
+    SStream_concat(O, ", ax"); 
+    return;
+    break;
+  case 13:
+    // MOV32ao32, MOV64ao32, OUT32ir
+    SStream_concat(O, ", eax"); 
+    return;
+    break;
+  case 14:
+    // MOV64ao64
+    SStream_concat(O, ", rax"); 
+    return;
+    break;
+  case 15:
+    // MOV64ao8, MOV8ao8, OUT8ir
+    SStream_concat(O, ", al"); 
+    return;
+    break;
+  case 16:
+    // RCL16mCL, RCL16rCL, RCL32mCL, RCL32rCL, RCL64mCL, RCL64rCL, RCL8mCL, R...
+    SStream_concat(O, ", cl"); 
+    return;
+    break;
+  case 17:
+    // TAILJMPd, TAILJMPd64, TAILJMPm, TAILJMPm64, TAILJMPr64
+    SStream_concat(O, "  # TAILCALL"); 
+    return;
+    break;
+  case 18:
+    // VBLENDMPDZrr, VBLENDMPSZrr, VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZr...
+    SStream_concat(O, " {"); 
+    break;
+  case 19:
+    // VPCMPDZrmi, VPCMPDZrri
+    SStream_concat(O, "d\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 20:
+    // VPCMPQZrmi, VPCMPQZrri
+    SStream_concat(O, "q\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 21:
+    // VPCMPUDZrmi, VPCMPUDZrri
+    SStream_concat(O, "ud\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    SStream_concat(O, ", "); 
+    break;
+  case 22:
+    // VPCMPUQZrmi, VPCMPUQZrri
+    SStream_concat(O, "uq\t"); 
+    printOperand(MI, 0, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 1, O); 
+    SStream_concat(O, ", "); 
+    break;
+  }
+
+
+  //	printf("F2: %lu\n", (Bits >> 25) & 31);
+  // Fragment 2 encoded into 5 bits for 32 unique commands.
+  switch ((Bits >> 25) & 31) {
+  default:   // unreachable.
+  case 0:
+    // ADC16mi, ADC16mi8, ADC16mr, ADC32mi, ADC32mi8, ADC32mr, ADC64mi32, ADC...
+    printOperand(MI, 5, O); 
+    break;
+  case 1:
+    // ADC16ri, ADC16ri8, ADC16rr, ADC32ri, ADC32ri8, ADC32rr, ADC64ri32, ADC...
+    return;
+    break;
+  case 2:
+    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADD16rr_REV, ADD32r...
+    printOperand(MI, 2, O); 
+    break;
+  case 3:
+    // ADCX32rm, ADOX32rm, BEXTR32rm, BLSI32rm, BLSMSK32rm, BLSR32rm, BOUNDS3...
+    printi32mem(MI, 1, O); 
+    break;
+  case 4:
+    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, AESIMCrr, AESKEYGENASSIST128rr...
+    printOperand(MI, 1, O); 
+    break;
+  case 5:
+    // ADCX64rm, ADOX64rm, BEXTR64rm, BLSI64rm, BLSMSK64rm, BLSR64rm, BSF64rm...
+    printi64mem(MI, 1, O); 
+    break;
+  case 6:
+    // ADDPDrm, ADDPSrm, ADDSUBPDrm, ADDSUBPSrm, ANDNPDrm, ANDNPSrm, ANDPDrm,...
+    printf128mem(MI, 2, O); 
+    break;
+  case 7:
+    // ADDSDrm, ADDSDrm_Int, CMPSDrm, CMPSDrm_alt, DIVSDrm, DIVSDrm_Int, Int_...
+    printf64mem(MI, 2, O); 
+    break;
+  case 8:
+    // ADDSSrm, ADDSSrm_Int, CMPSSrm, CMPSSrm_alt, DIVSSrm, DIVSSrm_Int, INSE...
+    printf32mem(MI, 2, O); 
+    break;
+  case 9:
+    // AESDECLASTrm, AESDECrm, AESENCLASTrm, AESENCrm, MPSADBWrmi, PACKSSDWrm...
+    printi128mem(MI, 2, O); 
+    break;
+  case 10:
+    // AESIMCrm, AESKEYGENASSIST128rm, CVTDQ2PSrm, INVEPT32, INVEPT64, INVPCI...
+    printi128mem(MI, 1, O); 
+    break;
+  case 11:
+    // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, IMUL16rmi, IMUL16rmi8, KMOVWkm,...
+    printi16mem(MI, 1, O); 
+    break;
+  case 12:
+    // CMOVA16rm, CMOVAE16rm, CMOVB16rm, CMOVBE16rm, CMOVE16rm, CMOVG16rm, CM...
+    printi16mem(MI, 2, O); 
+    break;
+  case 13:
+    // CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMOVG32rm, CM...
+    printi32mem(MI, 2, O); 
+    break;
+  case 14:
+    // CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMOVG64rm, CM...
+    printi64mem(MI, 2, O); 
+    break;
+  case 15:
+    // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
+    printi8mem(MI, 1, O); 
+    break;
+  case 16:
+    // COMISDrm, COMISSrm, CVTPD2DQrm, CVTPD2PSrm, CVTPS2DQrm, CVTTPD2DQrm, C...
+    printf128mem(MI, 1, O); 
+    break;
+  case 17:
+    // CVTPS2PDrm, CVTSD2SI64rm, CVTSD2SIrm, CVTSD2SSrm, CVTTSD2SI64rm, CVTTS...
+    printf64mem(MI, 1, O); 
+    return;
+    break;
+  case 18:
+    // CVTSS2SDrm, CVTSS2SI64rm, CVTSS2SIrm, CVTTSS2SI64rm, CVTTSS2SIrm, Int_...
+    printf32mem(MI, 1, O); 
+    return;
+    break;
+  case 19:
+    // EXTRQI, INSERTQI
+    SStream_concat(O, ", "); 
+    printOperand(MI, 3, O); 
+    break;
+  case 20:
+    // LDS16rm, LDS32rm, LES16rm, LES32rm, LFS16rm, LFS32rm, LFS64rm, LGS16rm...
+    printopaquemem(MI, 1, O); 
+    return;
+    break;
+  case 21:
+    // PINSRBrm
+    printi8mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 7, O); 
+    return;
+    break;
+  case 22:
+    // VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQAYrm, VMOVDQUYrm, VMOVNTD...
+    printi256mem(MI, 1, O); 
+    break;
+  case 23:
+    // VCVTDQ2PSZrm, VMOVDQA32rm, VMOVDQA64rm, VMOVDQU32rm, VMOVDQU64rm, VPAB...
+    printi512mem(MI, 1, O); 
+    break;
+  case 24:
+    // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPS2DQYrm, VCVTPS2PDZrm, VCVTTPD2DQYrm,...
+    printf256mem(MI, 1, O); 
+    break;
+  case 25:
+    // VCVTPD2PSZrm, VCVTPS2DQZrm, VCVTTPD2DQZrm, VCVTTPD2UDQZrm, VCVTTPS2DQZ...
+    printf512mem(MI, 1, O); 
+    break;
+  case 26:
+    // VCVTPS2PHYmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VEXTRACTF64x4mr, VEXTRA...
+    printOperand(MI, 6, O); 
+    return;
+    break;
+  case 27:
+    // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
+    printi64mem(MI, 3, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 8, O); 
+    return;
+    break;
+  case 28:
+    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
+    printOperand(MI, 3, O); 
+    SStream_concat(O, "}, "); 
+    break;
+  case 29:
+    // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
+    printi32mem(MI, 3, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 8, O); 
+    return;
+    break;
+  case 30:
+    // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
+    printi512mem(MI, 2, O); 
+    return;
+    break;
+  case 31:
+    // VSHUFPDYrmi
+    printf256mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printf256mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 7, O); 
+    return;
+    break;
+  }
+
+
+  //	printf("F3: %lu\n", (Bits >> 30) & 7);
+  // Fragment 3 encoded into 3 bits for 8 unique commands.
+  switch ((Bits >> 30) & 7) {
+  default:   // unreachable.
+  case 0:
+    // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
+    return;
+    break;
+  case 1:
+    // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
+    SStream_concat(O, ", "); 
+    break;
+  case 2:
+    // MOV8mr_NOREX, MOV8rm_NOREX, MOV8rr_NOREX
+    SStream_concat(O, "  # NOREX"); 
+    return;
+    break;
+  case 3:
+    // SHLD16mrCL, SHLD16rrCL, SHLD32mrCL, SHLD32rrCL, SHLD64mrCL, SHLD64rrCL...
+    SStream_concat(O, ", cl"); 
+    return;
+    break;
+  case 4:
+    // VBLENDMPDZrr, VBLENDMPSZrr, VMOVAPDZrmk, VMOVAPDZrrk, VMOVAPSZrmk, VMO...
+    SStream_concat(O, "}, "); 
+    break;
+  case 5:
+    // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
+    printi64mem(MI, 4, O); 
+    return;
+    break;
+  case 6:
+    // VGATHERDPSZrm, VPGATHERDDZrm
+    printi32mem(MI, 4, O); 
+    return;
+    break;
+  case 7:
+    // VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBROADCASTDrZkrr, VPBROADCASTQZkr...
+    SStream_concat(O, "} {z}, "); 
+    break;
+  }
+
+
+  //	printf("F4: %lu\n", (Bits >> 33) & 31);
+  // Fragment 4 encoded into 5 bits for 23 unique commands.
+  switch ((Bits >> 33) & 31) {
+  default:   // unreachable.
+  case 0:
+    // AESKEYGENASSIST128rm, BEXTR32rm, BEXTR64rm, BZHI32rm, BZHI64rm, EXTRAC...
+    printOperand(MI, 6, O); 
+    break;
+  case 1:
+    // AESKEYGENASSIST128rr, ANDN32rr, ANDN64rr, BEXTR32rr, BEXTR64rr, BZHI32...
+    printOperand(MI, 2, O); 
+    break;
+  case 2:
+    // ANDN32rm, Int_VCVTSI2SDrm, Int_VCVTSI2SSrm, MULX32rm, PDEP32rm, PEXT32...
+    printi32mem(MI, 2, O); 
+    break;
+  case 3:
+    // ANDN64rm, Int_VCVTSI2SD64rm, Int_VCVTSI2SS64rm, MULX64rm, PDEP64rm, PE...
+    printi64mem(MI, 2, O); 
+    break;
+  case 4:
+    // BLENDPDrmi, BLENDPSrmi, CMPPDrmi_alt, CMPPSrmi_alt, CMPSDrm_alt, CMPSS...
+    printOperand(MI, 7, O); 
+    return;
+    break;
+  case 5:
+    // BLENDPDrri, BLENDPSrri, CMPPDrri_alt, CMPPSrri_alt, CMPSDrr_alt, CMPSS...
+    printOperand(MI, 3, O); 
+    return;
+    break;
+  case 6:
+    // INSERTQI
+    printOperand(MI, 4, O); 
+    return;
+    break;
+  case 7:
+    // Int_CVTSD2SSrm, Int_VCMPSDrm, Int_VCVTSD2SSrm, VADDPDZrmb, VADDSDZrm, ...
+    printf64mem(MI, 2, O); 
+    break;
+  case 8:
+    // Int_VCMPSSrm, Int_VCVTSS2SDrm, VADDPSZrmb, VADDSSZrm, VADDSSrm, VADDSS...
+    printf32mem(MI, 2, O); 
+    break;
+  case 9:
+    // VADDPDYrm, VADDPSYrm, VADDSUBPDYrm, VADDSUBPSYrm, VANDNPDYrm, VANDNPSY...
+    printf256mem(MI, 2, O); 
+    break;
+  case 10:
+    // VADDPDZrm, VADDPSZrm, VCMPPDZrmi, VCMPPDZrmi_alt, VCMPPSZrmi, VCMPPSZr...
+    printf512mem(MI, 2, O); 
+    break;
+  case 11:
+    // VADDPDrm, VADDPSrm, VADDSUBPDrm, VADDSUBPSrm, VANDNPDrm, VANDNPSrm, VA...
+    printf128mem(MI, 2, O); 
+    break;
+  case 12:
+    // VAESDECLASTrm, VAESDECrm, VAESENCLASTrm, VAESENCrm, VINSERTI128rm, VIN...
+    printi128mem(MI, 2, O); 
+    break;
+  case 13:
+    // VALIGNDrmi, VALIGNQrmi, VPADDDZrm, VPADDQZrm, VPANDDZrm, VPANDNDZrm, V...
+    printi512mem(MI, 2, O); 
+    break;
+  case 14:
+    // VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMPSADBWYrmi, VPACKSSDWYrm,...
+    printi256mem(MI, 2, O); 
+    break;
+  case 15:
+    // VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZm, VFMADD213PSZm, VFMADDSUB1...
+    printf512mem(MI, 3, O); 
+    return;
+    break;
+  case 16:
+    // VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSDr132m, VFMADDSDr213m, VFMADDSD...
+    printf64mem(MI, 3, O); 
+    break;
+  case 17:
+    // VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSSr132m, VFMADDSSr213m, VFMADDSS...
+    printf32mem(MI, 3, O); 
+    break;
+  case 18:
+    // VFMADDPDr132m, VFMADDPDr213m, VFMADDPDr231m, VFMADDPSr132m, VFMADDPSr2...
+    printf128mem(MI, 3, O); 
+    return;
+    break;
+  case 19:
+    // VFMADDPDr132mY, VFMADDPDr213mY, VFMADDPDr231mY, VFMADDPSr132mY, VFMADD...
+    printf256mem(MI, 3, O); 
+    return;
+    break;
+  case 20:
+    // VMOVDQU32rmk, VMOVDQU64rmk, VPERMI2Drm, VPERMI2PDrm, VPERMI2PSrm, VPER...
+    printi512mem(MI, 3, O); 
+    return;
+    break;
+  case 21:
+    // VPINSRBrm
+    printi8mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 7, O); 
+    return;
+    break;
+  case 22:
+    // VPINSRWrmi
+    printi16mem(MI, 2, O); 
+    SStream_concat(O, ", "); 
+    printOperand(MI, 7, O); 
+    return;
+    break;
+  }
+
+
+  //	printf("F5: %lu\n", (Bits >> 38) & 3);
+  // Fragment 5 encoded into 2 bits for 4 unique commands.
+  switch ((Bits >> 38) & 3) {
+  default:   // unreachable.
+  case 0:
+    // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
+    return;
+    break;
+  case 1:
+    // VAARG_64, VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrm...
+    SStream_concat(O, ", "); 
+    break;
+  case 2:
+    // VADDPDZrmb, VDIVPDZrmb, VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSUB132PD...
+    SStream_concat(O, "{1to8}"); 
+    return;
+    break;
+  case 3:
+    // VADDPSZrmb, VDIVPSZrmb, VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSUB132PS...
+    SStream_concat(O, "{1to16}"); 
+    return;
+    break;
+  }
+
+
+  //	printf("F6: %lu\n", (Bits >> 40) & 15);
+  // Fragment 6 encoded into 4 bits for 9 unique commands.
+  switch ((Bits >> 40) & 15) {
+  default:   // unreachable.
+  case 0:
+    // VAARG_64, VALIGNDrmi, VALIGNQrmi, VBLENDPDYrmi, VBLENDPDrmi, VBLENDPSY...
+    printOperand(MI, 7, O); 
+    break;
+  case 1:
+    // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPSZrr, VBLENDPDYrri, VBLE...
+    printOperand(MI, 3, O); 
+    break;
+  case 2:
+    // VBLENDMPDZrm, VBLENDMPSZrm, VPBLENDMDZrm, VPBLENDMQZrm
+    printf512mem(MI, 3, O); 
+    return;
+    break;
+  case 3:
+    // VFMADDPD4rm, VFMADDPS4rm, VFMADDSUBPD4rm, VFMADDSUBPS4rm, VFMSUBADDPD4...
+    printf128mem(MI, 3, O); 
+    break;
+  case 4:
+    // VFMADDPD4rmY, VFMADDPS4rmY, VFMADDSUBPD4rmY, VFMADDSUBPS4rmY, VFMSUBAD...
+    printf256mem(MI, 3, O); 
+    break;
+  case 5:
+    // VFMADDSD4rm, VFMADDSD4rm_Int, VFMSUBSD4rm, VFMSUBSD4rm_Int, VFNMADDSD4...
+    printf64mem(MI, 3, O); 
+    return;
+    break;
+  case 6:
+    // VFMADDSS4rm, VFMADDSS4rm_Int, VFMSUBSS4rm, VFMSUBSS4rm_Int, VFNMADDSS4...
+    printf32mem(MI, 3, O); 
+    return;
+    break;
+  case 7:
+    // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
+    printi128mem(MI, 3, O); 
+    return;
+    break;
+  case 8:
+    // VPCMOVrmY
+    printi256mem(MI, 3, O); 
+    return;
+    break;
+  }
+
+
+  //	printf("F7: %lu\n", (Bits >> 44) & 1);
+  // Fragment 7 encoded into 1 bits for 2 unique commands.
+  if ((Bits >> 44) & 1) {
+    // VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrr, VBLENDMP...
+    return;
+  } else {
+    // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
+    SStream_concat(O, ", "); 
+  }
+
+
+  //	printf("F8: %lu\n", (Bits >> 45) & 1);
+  // Fragment 8 encoded into 1 bits for 2 unique commands.
+  if ((Bits >> 45) & 1) {
+    // VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL2PSrr, VPERMIL2PSrrY
+    printOperand(MI, 4, O); 
+    return;
+  } else {
+    // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
+    printOperand(MI, 8, O); 
+    return;
+  }
+}
+
+
+/// getRegisterName - This method is automatically generated by tblgen
+/// from the register set description.  This returns the assembler name
+/// for the specified register.
+static const char *getRegisterName(unsigned RegNo)
+{
+  //assert(RegNo && RegNo < 233 && "Invalid register number!");
+
+  static const char AsmStrs[] = {
+  /* 0 */ 's', 't', '(', '0', ')', 0,
+  /* 6 */ 's', 't', '(', '1', ')', 0,
+  /* 12 */ 's', 't', '(', '2', ')', 0,
+  /* 18 */ 's', 't', '(', '3', ')', 0,
+  /* 24 */ 's', 't', '(', '4', ')', 0,
+  /* 30 */ 's', 't', '(', '5', ')', 0,
+  /* 36 */ 's', 't', '(', '6', ')', 0,
+  /* 42 */ 's', 't', '(', '7', ')', 0,
+  /* 48 */ 'x', 'm', 'm', '1', '0', 0,
+  /* 54 */ 'y', 'm', 'm', '1', '0', 0,
+  /* 60 */ 'z', 'm', 'm', '1', '0', 0,
+  /* 66 */ 'c', 'r', '1', '0', 0,
+  /* 71 */ 'x', 'm', 'm', '2', '0', 0,
+  /* 77 */ 'y', 'm', 'm', '2', '0', 0,
+  /* 83 */ 'z', 'm', 'm', '2', '0', 0,
+  /* 89 */ 'x', 'm', 'm', '3', '0', 0,
+  /* 95 */ 'y', 'm', 'm', '3', '0', 0,
+  /* 101 */ 'z', 'm', 'm', '3', '0', 0,
+  /* 107 */ 'k', '0', 0,
+  /* 110 */ 'x', 'm', 'm', '0', 0,
+  /* 115 */ 'y', 'm', 'm', '0', 0,
+  /* 120 */ 'z', 'm', 'm', '0', 0,
+  /* 125 */ 'f', 'p', '0', 0,
+  /* 129 */ 'c', 'r', '0', 0,
+  /* 133 */ 'd', 'r', '0', 0,
+  /* 137 */ 'x', 'm', 'm', '1', '1', 0,
+  /* 143 */ 'y', 'm', 'm', '1', '1', 0,
+  /* 149 */ 'z', 'm', 'm', '1', '1', 0,
+  /* 155 */ 'c', 'r', '1', '1', 0,
+  /* 160 */ 'x', 'm', 'm', '2', '1', 0,
+  /* 166 */ 'y', 'm', 'm', '2', '1', 0,
+  /* 172 */ 'z', 'm', 'm', '2', '1', 0,
+  /* 178 */ 'x', 'm', 'm', '3', '1', 0,
+  /* 184 */ 'y', 'm', 'm', '3', '1', 0,
+  /* 190 */ 'z', 'm', 'm', '3', '1', 0,
+  /* 196 */ 'k', '1', 0,
+  /* 199 */ 'x', 'm', 'm', '1', 0,
+  /* 204 */ 'y', 'm', 'm', '1', 0,
+  /* 209 */ 'z', 'm', 'm', '1', 0,
+  /* 214 */ 'f', 'p', '1', 0,
+  /* 218 */ 'c', 'r', '1', 0,
+  /* 222 */ 'd', 'r', '1', 0,
+  /* 226 */ 'x', 'm', 'm', '1', '2', 0,
+  /* 232 */ 'y', 'm', 'm', '1', '2', 0,
+  /* 238 */ 'z', 'm', 'm', '1', '2', 0,
+  /* 244 */ 'c', 'r', '1', '2', 0,
+  /* 249 */ 'x', 'm', 'm', '2', '2', 0,
+  /* 255 */ 'y', 'm', 'm', '2', '2', 0,
+  /* 261 */ 'z', 'm', 'm', '2', '2', 0,
+  /* 267 */ 'k', '2', 0,
+  /* 270 */ 'x', 'm', 'm', '2', 0,
+  /* 275 */ 'y', 'm', 'm', '2', 0,
+  /* 280 */ 'z', 'm', 'm', '2', 0,
+  /* 285 */ 'f', 'p', '2', 0,
+  /* 289 */ 'c', 'r', '2', 0,
+  /* 293 */ 'd', 'r', '2', 0,
+  /* 297 */ 'x', 'm', 'm', '1', '3', 0,
+  /* 303 */ 'y', 'm', 'm', '1', '3', 0,
+  /* 309 */ 'z', 'm', 'm', '1', '3', 0,
+  /* 315 */ 'c', 'r', '1', '3', 0,
+  /* 320 */ 'x', 'm', 'm', '2', '3', 0,
+  /* 326 */ 'y', 'm', 'm', '2', '3', 0,
+  /* 332 */ 'z', 'm', 'm', '2', '3', 0,
+  /* 338 */ 'k', '3', 0,
+  /* 341 */ 'x', 'm', 'm', '3', 0,
+  /* 346 */ 'y', 'm', 'm', '3', 0,
+  /* 351 */ 'z', 'm', 'm', '3', 0,
+  /* 356 */ 'f', 'p', '3', 0,
+  /* 360 */ 'c', 'r', '3', 0,
+  /* 364 */ 'd', 'r', '3', 0,
+  /* 368 */ 'x', 'm', 'm', '1', '4', 0,
+  /* 374 */ 'y', 'm', 'm', '1', '4', 0,
+  /* 380 */ 'z', 'm', 'm', '1', '4', 0,
+  /* 386 */ 'c', 'r', '1', '4', 0,
+  /* 391 */ 'x', 'm', 'm', '2', '4', 0,
+  /* 397 */ 'y', 'm', 'm', '2', '4', 0,
+  /* 403 */ 'z', 'm', 'm', '2', '4', 0,
+  /* 409 */ 'k', '4', 0,
+  /* 412 */ 'x', 'm', 'm', '4', 0,
+  /* 417 */ 'y', 'm', 'm', '4', 0,
+  /* 422 */ 'z', 'm', 'm', '4', 0,
+  /* 427 */ 'f', 'p', '4', 0,
+  /* 431 */ 'c', 'r', '4', 0,
+  /* 435 */ 'd', 'r', '4', 0,
+  /* 439 */ 'x', 'm', 'm', '1', '5', 0,
+  /* 445 */ 'y', 'm', 'm', '1', '5', 0,
+  /* 451 */ 'z', 'm', 'm', '1', '5', 0,
+  /* 457 */ 'c', 'r', '1', '5', 0,
+  /* 462 */ 'x', 'm', 'm', '2', '5', 0,
+  /* 468 */ 'y', 'm', 'm', '2', '5', 0,
+  /* 474 */ 'z', 'm', 'm', '2', '5', 0,
+  /* 480 */ 'k', '5', 0,
+  /* 483 */ 'x', 'm', 'm', '5', 0,
+  /* 488 */ 'y', 'm', 'm', '5', 0,
+  /* 493 */ 'z', 'm', 'm', '5', 0,
+  /* 498 */ 'f', 'p', '5', 0,
+  /* 502 */ 'c', 'r', '5', 0,
+  /* 506 */ 'd', 'r', '5', 0,
+  /* 510 */ 'x', 'm', 'm', '1', '6', 0,
+  /* 516 */ 'y', 'm', 'm', '1', '6', 0,
+  /* 522 */ 'z', 'm', 'm', '1', '6', 0,
+  /* 528 */ 'x', 'm', 'm', '2', '6', 0,
+  /* 534 */ 'y', 'm', 'm', '2', '6', 0,
+  /* 540 */ 'z', 'm', 'm', '2', '6', 0,
+  /* 546 */ 'k', '6', 0,
+  /* 549 */ 'x', 'm', 'm', '6', 0,
+  /* 554 */ 'y', 'm', 'm', '6', 0,
+  /* 559 */ 'z', 'm', 'm', '6', 0,
+  /* 564 */ 'f', 'p', '6', 0,
+  /* 568 */ 'c', 'r', '6', 0,
+  /* 572 */ 'd', 'r', '6', 0,
+  /* 576 */ 'x', 'm', 'm', '1', '7', 0,
+  /* 582 */ 'y', 'm', 'm', '1', '7', 0,
+  /* 588 */ 'z', 'm', 'm', '1', '7', 0,
+  /* 594 */ 'x', 'm', 'm', '2', '7', 0,
+  /* 600 */ 'y', 'm', 'm', '2', '7', 0,
+  /* 606 */ 'z', 'm', 'm', '2', '7', 0,
+  /* 612 */ 'k', '7', 0,
+  /* 615 */ 'x', 'm', 'm', '7', 0,
+  /* 620 */ 'y', 'm', 'm', '7', 0,
+  /* 625 */ 'z', 'm', 'm', '7', 0,
+  /* 630 */ 'c', 'r', '7', 0,
+  /* 634 */ 'd', 'r', '7', 0,
+  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 674 */ 'x', 'm', 'm', '8', 0,
+  /* 679 */ 'y', 'm', 'm', '8', 0,
+  /* 684 */ 'z', 'm', 'm', '8', 0,
+  /* 689 */ 'c', 'r', '8', 0,
+  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 729 */ 'x', 'm', 'm', '9', 0,
+  /* 734 */ 'y', 'm', 'm', '9', 0,
+  /* 739 */ 'z', 'm', 'm', '9', 0,
+  /* 744 */ 'c', 'r', '9', 0,
+  /* 748 */ 'r', '1', '0', 'b', 0,
+  /* 753 */ 'r', '1', '1', 'b', 0,
+  /* 758 */ 'r', '1', '2', 'b', 0,
+  /* 763 */ 'r', '1', '3', 'b', 0,
+  /* 768 */ 'r', '1', '4', 'b', 0,
+  /* 773 */ 'r', '1', '5', 'b', 0,
+  /* 778 */ 'r', '8', 'b', 0,
+  /* 782 */ 'r', '9', 'b', 0,
+  /* 786 */ 'r', '1', '0', 'd', 0,
+  /* 791 */ 'r', '1', '1', 'd', 0,
+  /* 796 */ 'r', '1', '2', 'd', 0,
+  /* 801 */ 'r', '1', '3', 'd', 0,
+  /* 806 */ 'r', '1', '4', 'd', 0,
+  /* 811 */ 'r', '1', '5', 'd', 0,
+  /* 816 */ 'r', '8', 'd', 0,
+  /* 820 */ 'r', '9', 'd', 0,
+  /* 824 */ 'a', 'h', 0,
+  /* 827 */ 'b', 'h', 0,
+  /* 830 */ 'c', 'h', 0,
+  /* 833 */ 'd', 'h', 0,
+  /* 836 */ 'e', 'd', 'i', 0,
+  /* 840 */ 'r', 'd', 'i', 0,
+  /* 844 */ 'e', 's', 'i', 0,
+  /* 848 */ 'r', 's', 'i', 0,
+  /* 852 */ 'a', 'l', 0,
+  /* 855 */ 'b', 'l', 0,
+  /* 858 */ 'c', 'l', 0,
+  /* 861 */ 'd', 'l', 0,
+  /* 864 */ 'd', 'i', 'l', 0,
+  /* 868 */ 's', 'i', 'l', 0,
+  /* 872 */ 'b', 'p', 'l', 0,
+  /* 876 */ 's', 'p', 'l', 0,
+  /* 880 */ 'e', 'b', 'p', 0,
+  /* 884 */ 'r', 'b', 'p', 0,
+  /* 888 */ 'e', 'i', 'p', 0,
+  /* 892 */ 'r', 'i', 'p', 0,
+  /* 896 */ 'e', 's', 'p', 0,
+  /* 900 */ 'r', 's', 'p', 0,
+  /* 904 */ 'c', 's', 0,
+  /* 907 */ 'd', 's', 0,
+  /* 910 */ 'e', 's', 0,
+  /* 913 */ 'f', 's', 0,
+  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 922 */ 's', 's', 0,
+  /* 925 */ 'r', '1', '0', 'w', 0,
+  /* 930 */ 'r', '1', '1', 'w', 0,
+  /* 935 */ 'r', '1', '2', 'w', 0,
+  /* 940 */ 'r', '1', '3', 'w', 0,
+  /* 945 */ 'r', '1', '4', 'w', 0,
+  /* 950 */ 'r', '1', '5', 'w', 0,
+  /* 955 */ 'r', '8', 'w', 0,
+  /* 959 */ 'r', '9', 'w', 0,
+  /* 963 */ 'f', 'p', 's', 'w', 0,
+  /* 968 */ 'e', 'a', 'x', 0,
+  /* 972 */ 'r', 'a', 'x', 0,
+  /* 976 */ 'e', 'b', 'x', 0,
+  /* 980 */ 'r', 'b', 'x', 0,
+  /* 984 */ 'e', 'c', 'x', 0,
+  /* 988 */ 'r', 'c', 'x', 0,
+  /* 992 */ 'e', 'd', 'x', 0,
+  /* 996 */ 'r', 'd', 'x', 0,
+  /* 1000 */ 'e', 'i', 'z', 0,
+  /* 1004 */ 'r', 'i', 'z', 0,
+  };
+
+  static const uint32_t RegAsmOffset[] = {
+    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
+    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
+    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
+    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
+    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
+    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
+    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
+    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
+    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
+    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
+    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
+    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
+    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
+    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
+    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
+    955, 959, 925, 930, 935, 940, 945, 950, 
+  };
+
+  //assert (*(AsmStrs+RegAsmOffset[RegNo-1]) &&
+  //       "Invalid alt name index for register!");
+  //int i;
+  //for (i = 0; i < sizeof(RegAsmOffset)/4; i++)
+  //	  printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
+  //printf("*************************\n");
+  return AsmStrs+RegAsmOffset[RegNo-1];
+}
+
+#ifdef PRINT_ALIAS_INSTR
+#undef PRINT_ALIAS_INSTR
+
+static bool printAliasInstr(MCInst *MI, SStream *OS)
+{
+  char *AsmString;
+
+  switch (MCInst_getOpcode(MI)) {
+  default: return false;
+  case X86_AAD8i8:
+    if (MCInst_getNumOperands(MI) == 1 &&
+		MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10) {
+      // (AAD8i8 10)
+	  AsmString = "aad";
+      break;
+    }
+    return false;
+  case X86_AAM8i8:
+    if (MCInst_getNumOperands(MI) == 1 &&
+		MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10) {
+      // (AAM8i8 10)
+	  AsmString = "aam";
+      break;
+    }
+    return false;
+  case X86_XSTORE:
+    if (MCInst_getNumOperands(MI) == 0) {
+      // (XSTORE)
+	  AsmString = "xstorerng";
+      break;
+    }
+    return false;
+  }
+
+  char *tmp = strdup(AsmString), *AsmMnem, *AsmOps;
+  AsmMnem = tmp;
+  AsmOps = strchr(tmp, ' ');
+  if (AsmOps) {
+      *AsmOps = '\0';
+      AsmOps += 1;
+  }
+
+  SStream_concat(OS, "%s", AsmMnem);
+  if (AsmOps) {
+      SStream_concat(OS, "\t");
+
+      char *c;
+      for (c = AsmOps; *c; c++) {
+        if (*c == '$') {
+            c += 1;
+            printOperand(MI, *c - 1, OS);
+        } else {
+            SStream_concat(OS, "%c", *c);
+        }
+      }
+  }
+
+  free(tmp);
+
+  return true;
+}
+
+#endif // PRINT_ALIAS_INSTR