x86: update core engine
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
index c2cb8af..a5f4bc8 100644
--- a/arch/X86/X86GenAsmWriter1.inc
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -25,25 +25,27 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    9360U,	// DBG_VALUE
+    9709U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    9353U,	// BUNDLE
-    9425U,	// LIFETIME_START
-    9340U,	// LIFETIME_END
-    9440U,	// AAA
-    17364U,	// AAD8i8
-    19932U,	// AAM8i8
-    10160U,	// AAS
-    10168U,	// ABS_F
+    9702U,	// BUNDLE
+    9774U,	// LIFETIME_START
+    9689U,	// LIFETIME_END
+    0U,	// STACKMAP
+    0U,	// PATCHPOINT
+    9789U,	// AAA
+    17386U,	// AAD8i8
+    20111U,	// AAM8i8
+    10509U,	// AAS
+    10517U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    9060U,	// ACQUIRE_MOV16rm
-    9060U,	// ACQUIRE_MOV32rm
-    9060U,	// ACQUIRE_MOV64rm
-    9060U,	// ACQUIRE_MOV8rm
-    23945U,	// ADC16i16
+    9409U,	// ACQUIRE_MOV16rm
+    9409U,	// ACQUIRE_MOV32rm
+    9409U,	// ACQUIRE_MOV64rm
+    9409U,	// ACQUIRE_MOV8rm
+    24233U,	// ADC16i16
     1082255U,	// ADC16mi
     1082255U,	// ADC16mi8
     1082255U,	// ADC16mr
@@ -52,7 +54,7 @@
     3195791U,	// ADC16rm
     35701647U,	// ADC16rr
     68174735U,	// ADC16rr_REV
-    24059U,	// ADC32i32
+    24347U,	// ADC32i32
     1115023U,	// ADC32mi
     1115023U,	// ADC32mi8
     1115023U,	// ADC32mr
@@ -61,7 +63,7 @@
     4244367U,	// ADC32rm
     35701647U,	// ADC32rr
     68174735U,	// ADC32rr_REV
-    24183U,	// ADC64i32
+    24471U,	// ADC64i32
     1131407U,	// ADC64mi32
     1131407U,	// ADC64mi8
     1131407U,	// ADC64mr
@@ -70,82 +72,82 @@
     5292943U,	// ADC64rm
     35701647U,	// ADC64rr
     68174735U,	// ADC64rr_REV
-    23843U,	// ADC8i8
+    24131U,	// ADC8i8
     1147791U,	// ADC8mi
     1147791U,	// ADC8mr
     35701647U,	// ADC8ri
     6341519U,	// ADC8rm
     35701647U,	// ADC8rr
     68174735U,	// ADC8rr_REV
-    101735458U,	// ADCX32rm
-    135289890U,	// ADCX32rr
-    168844322U,	// ADCX64rm
-    135289890U,	// ADCX64rr
-    23954U,	// ADD16i16
-    1082415U,	// ADD16mi
-    1082415U,	// ADD16mi8
-    1082415U,	// ADD16mr
-    35701807U,	// ADD16ri
-    35701807U,	// ADD16ri8
+    101735746U,	// ADCX32rm
+    135290178U,	// ADCX32rr
+    168844610U,	// ADCX64rm
+    135290178U,	// ADCX64rr
+    24242U,	// ADD16i16
+    1082437U,	// ADD16mi
+    1082437U,	// ADD16mi8
+    1082437U,	// ADD16mr
+    35701829U,	// ADD16ri
+    35701829U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    3195951U,	// ADD16rm
-    35701807U,	// ADD16rr
+    3195973U,	// ADD16rm
+    35701829U,	// ADD16rr
     0U,	// ADD16rr_DB
-    68174895U,	// ADD16rr_REV
-    24069U,	// ADD32i32
-    1115183U,	// ADD32mi
-    1115183U,	// ADD32mi8
-    1115183U,	// ADD32mr
-    35701807U,	// ADD32ri
-    35701807U,	// ADD32ri8
+    68174917U,	// ADD16rr_REV
+    24357U,	// ADD32i32
+    1115205U,	// ADD32mi
+    1115205U,	// ADD32mi8
+    1115205U,	// ADD32mr
+    35701829U,	// ADD32ri
+    35701829U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    4244527U,	// ADD32rm
-    35701807U,	// ADD32rr
+    4244549U,	// ADD32rm
+    35701829U,	// ADD32rr
     0U,	// ADD32rr_DB
-    68174895U,	// ADD32rr_REV
-    24193U,	// ADD64i32
-    1131567U,	// ADD64mi32
-    1131567U,	// ADD64mi8
-    1131567U,	// ADD64mr
-    35701807U,	// ADD64ri32
+    68174917U,	// ADD32rr_REV
+    24481U,	// ADD64i32
+    1131589U,	// ADD64mi32
+    1131589U,	// ADD64mi8
+    1131589U,	// ADD64mr
+    35701829U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    35701807U,	// ADD64ri8
+    35701829U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    5293103U,	// ADD64rm
-    35701807U,	// ADD64rr
+    5293125U,	// ADD64rm
+    35701829U,	// ADD64rr
     0U,	// ADD64rr_DB
-    68174895U,	// ADD64rr_REV
-    23852U,	// ADD8i8
-    1147951U,	// ADD8mi
-    1147951U,	// ADD8mr
-    35701807U,	// ADD8ri
-    6341679U,	// ADD8rm
-    35701807U,	// ADD8rr
-    68174895U,	// ADD8rr_REV
-    202393348U,	// ADDPDrm
-    68175620U,	// ADDPDrr
-    202396850U,	// ADDPSrm
-    68179122U,	// ADDPSrr
-    235948506U,	// ADDSDrm
-    235948506U,	// ADDSDrm_Int
-    68176346U,	// ADDSDrr
-    68176346U,	// ADDSDrr_Int
-    269506381U,	// ADDSSrm
-    269506381U,	// ADDSSrm_Int
-    68179789U,	// ADDSSrr
-    68179789U,	// ADDSSrr_Int
-    202393283U,	// ADDSUBPDrm
-    68175555U,	// ADDSUBPDrr
-    202396785U,	// ADDSUBPSrm
-    68179057U,	// ADDSUBPSrr
-    115758U,	// ADD_F32m
-    132142U,	// ADD_F64m
-    33844U,	// ADD_FI16m
-    66612U,	// ADD_FI32m
-    20077U,	// ADD_FPrST0
-    17454U,	// ADD_FST0r
+    68174917U,	// ADD64rr_REV
+    24140U,	// ADD8i8
+    1147973U,	// ADD8mi
+    1147973U,	// ADD8mr
+    35701829U,	// ADD8ri
+    6341701U,	// ADD8rm
+    35701829U,	// ADD8rr
+    68174917U,	// ADD8rr_REV
+    202393392U,	// ADDPDrm
+    68175664U,	// ADDPDrr
+    202397105U,	// ADDPSrm
+    68179377U,	// ADDPSrr
+    235948583U,	// ADDSDrm
+    235948583U,	// ADDSDrm_Int
+    68176423U,	// ADDSDrr
+    68176423U,	// ADDSDrr_Int
+    269506669U,	// ADDSSrm
+    269506669U,	// ADDSSrm_Int
+    68180077U,	// ADDSSrr
+    68180077U,	// ADDSSrr_Int
+    202393327U,	// ADDSUBPDrm
+    68175599U,	// ADDSUBPDrr
+    202397040U,	// ADDSUBPSrm
+    68179312U,	// ADDSUBPSrr
+    115780U,	// ADD_F32m
+    132164U,	// ADD_F64m
+    33866U,	// ADD_FI16m
+    66634U,	// ADD_FI32m
+    20256U,	// ADD_FPrST0
+    17476U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -160,455 +162,490 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    7357486U,	// ADD_FrST0
-    9379U,	// ADJCALLSTACKDOWN32
-    9379U,	// ADJCALLSTACKDOWN64
-    9397U,	// ADJCALLSTACKUP32
-    9397U,	// ADJCALLSTACKUP64
-    101735476U,	// ADOX32rm
-    135289908U,	// ADOX32rr
-    168844340U,	// ADOX64rm
-    135289908U,	// ADOX64rr
-    303061135U,	// AESDECLASTrm
-    68180111U,	// AESDECLASTrr
+    7357508U,	// ADD_FrST0
+    9728U,	// ADJCALLSTACKDOWN32
+    9728U,	// ADJCALLSTACKDOWN64
+    9746U,	// ADJCALLSTACKUP32
+    9746U,	// ADJCALLSTACKUP64
+    101735764U,	// ADOX32rm
+    135290196U,	// ADOX32rr
+    168844628U,	// ADOX64rm
+    135290196U,	// ADOX64rr
+    303061423U,	// AESDECLASTrm
+    68180399U,	// AESDECLASTrr
     303055765U,	// AESDECrm
     68174741U,	// AESDECrr
-    303061148U,	// AESENCLASTrm
-    68180124U,	// AESENCLASTrr
-    303055783U,	// AESENCrm
-    68174759U,	// AESENCrr
-    336610206U,	// AESIMCrm
-    135283614U,	// AESIMCrr
-    1410357436U,	// AESKEYGENASSIST128rm
-    1209030844U,	// AESKEYGENASSIST128rr
-    23963U,	// AND16i16
-    1082661U,	// AND16mi
-    1082661U,	// AND16mi8
-    1082661U,	// AND16mr
-    35702053U,	// AND16ri
-    35702053U,	// AND16ri8
-    3196197U,	// AND16rm
-    35702053U,	// AND16rr
-    68175141U,	// AND16rr_REV
-    24079U,	// AND32i32
-    1115429U,	// AND32mi
-    1115429U,	// AND32mi8
-    1115429U,	// AND32mr
-    35702053U,	// AND32ri
-    35702053U,	// AND32ri8
-    4244773U,	// AND32rm
-    35702053U,	// AND32rr
-    68175141U,	// AND32rr_REV
-    24203U,	// AND64i32
-    1131813U,	// AND64mi32
-    1131813U,	// AND64mi8
-    1131813U,	// AND64mr
-    35702053U,	// AND64ri32
-    35702053U,	// AND64ri8
-    5293349U,	// AND64rm
-    35702053U,	// AND64rr
-    68175141U,	// AND64rr_REV
-    23861U,	// AND8i8
-    1148197U,	// AND8mi
-    1148197U,	// AND8mr
-    35702053U,	// AND8ri
-    6341925U,	// AND8rm
-    35702053U,	// AND8rr
-    68175141U,	// AND8rr_REV
-    1209028119U,	// ANDN32rm
-    1209028119U,	// ANDN32rr
-    1209028119U,	// ANDN64rm
-    1209028119U,	// ANDN64rr
-    202393567U,	// ANDNPDrm
-    68175839U,	// ANDNPDrr
-    202397081U,	// ANDNPSrm
-    68179353U,	// ANDNPSrr
-    202393394U,	// ANDPDrm
-    68175666U,	// ANDPDrr
-    202396896U,	// ANDPSrm
-    68179168U,	// ANDPSrr
-    1084831U,	// ARPL16mr
-    135286175U,	// ARPL16rr
-    8023U,	// ATOMADD6432
-    8742U,	// ATOMAND16
-    8236U,	// ATOMAND32
-    8469U,	// ATOMAND64
-    8044U,	// ATOMAND6432
-    8896U,	// ATOMAND8
-    8857U,	// ATOMMAX16
-    8430U,	// ATOMMAX32
-    8703U,	// ATOMMAX64
-    8193U,	// ATOMMAX6432
-    9023U,	// ATOMMAX8
-    8781U,	// ATOMMIN16
-    8315U,	// ATOMMIN32
-    8588U,	// ATOMMIN64
-    8087U,	// ATOMMIN6432
-    8933U,	// ATOMMIN8
-    8761U,	// ATOMNAND16
-    8255U,	// ATOMNAND32
-    8488U,	// ATOMNAND64
-    8065U,	// ATOMNAND6432
-    8914U,	// ATOMNAND8
-    8820U,	// ATOMOR16
-    8393U,	// ATOMOR32
-    8666U,	// ATOMOR64
-    8152U,	// ATOMOR6432
-    8988U,	// ATOMOR8
-    8002U,	// ATOMSUB6432
-    8130U,	// ATOMSWAP6432
-    8876U,	// ATOMUMAX16
-    8449U,	// ATOMUMAX32
-    8722U,	// ATOMUMAX64
-    8214U,	// ATOMUMAX6432
-    9041U,	// ATOMUMAX8
-    8800U,	// ATOMUMIN16
-    8334U,	// ATOMUMIN32
-    8607U,	// ATOMUMIN64
-    8108U,	// ATOMUMIN6432
-    8951U,	// ATOMUMIN8
-    8838U,	// ATOMXOR16
-    8411U,	// ATOMXOR32
-    8684U,	// ATOMXOR64
-    8172U,	// ATOMXOR6432
-    9005U,	// ATOMXOR8
+    303061436U,	// AESENCLASTrm
+    68180412U,	// AESENCLASTrr
+    303055805U,	// AESENCrm
+    68174781U,	// AESENCrr
+    336610228U,	// AESIMCrm
+    135283636U,	// AESIMCrr
+    1410357724U,	// AESKEYGENASSIST128rm
+    1209031132U,	// AESKEYGENASSIST128rr
+    24251U,	// AND16i16
+    1082683U,	// AND16mi
+    1082683U,	// AND16mi8
+    1082683U,	// AND16mr
+    35702075U,	// AND16ri
+    35702075U,	// AND16ri8
+    3196219U,	// AND16rm
+    35702075U,	// AND16rr
+    68175163U,	// AND16rr_REV
+    24367U,	// AND32i32
+    1115451U,	// AND32mi
+    1115451U,	// AND32mi8
+    1115451U,	// AND32mr
+    35702075U,	// AND32ri
+    35702075U,	// AND32ri8
+    4244795U,	// AND32rm
+    35702075U,	// AND32rr
+    68175163U,	// AND32rr_REV
+    24491U,	// AND64i32
+    1131835U,	// AND64mi32
+    1131835U,	// AND64mi8
+    1131835U,	// AND64mr
+    35702075U,	// AND64ri32
+    35702075U,	// AND64ri8
+    5293371U,	// AND64rm
+    35702075U,	// AND64rr
+    68175163U,	// AND64rr_REV
+    24149U,	// AND8i8
+    1148219U,	// AND8mi
+    1148219U,	// AND8mr
+    35702075U,	// AND8ri
+    6341947U,	// AND8rm
+    35702075U,	// AND8rr
+    68175163U,	// AND8rr_REV
+    1209028298U,	// ANDN32rm
+    1209028298U,	// ANDN32rr
+    1209028298U,	// ANDN64rm
+    1209028298U,	// ANDN64rr
+    202393611U,	// ANDNPDrm
+    68175883U,	// ANDNPDrr
+    202397336U,	// ANDNPSrm
+    68179608U,	// ANDNPSrr
+    202393438U,	// ANDPDrm
+    68175710U,	// ANDPDrr
+    202397151U,	// ANDPSrm
+    68179423U,	// ANDPSrr
+    1085010U,	// ARPL16mr
+    135286354U,	// ARPL16rr
+    8311U,	// ATOMADD6432
+    9091U,	// ATOMAND16
+    8524U,	// ATOMAND32
+    8778U,	// ATOMAND64
+    8332U,	// ATOMAND6432
+    9245U,	// ATOMAND8
+    9206U,	// ATOMMAX16
+    8739U,	// ATOMMAX32
+    9052U,	// ATOMMAX64
+    8481U,	// ATOMMAX6432
+    9372U,	// ATOMMAX8
+    9130U,	// ATOMMIN16
+    8624U,	// ATOMMIN32
+    8937U,	// ATOMMIN64
+    8375U,	// ATOMMIN6432
+    9282U,	// ATOMMIN8
+    9110U,	// ATOMNAND16
+    8543U,	// ATOMNAND32
+    8797U,	// ATOMNAND64
+    8353U,	// ATOMNAND6432
+    9263U,	// ATOMNAND8
+    9169U,	// ATOMOR16
+    8702U,	// ATOMOR32
+    9015U,	// ATOMOR64
+    8440U,	// ATOMOR6432
+    9337U,	// ATOMOR8
+    8290U,	// ATOMSUB6432
+    8418U,	// ATOMSWAP6432
+    9225U,	// ATOMUMAX16
+    8758U,	// ATOMUMAX32
+    9071U,	// ATOMUMAX64
+    8502U,	// ATOMUMAX6432
+    9390U,	// ATOMUMAX8
+    9149U,	// ATOMUMIN16
+    8643U,	// ATOMUMIN32
+    8956U,	// ATOMUMIN64
+    8396U,	// ATOMUMIN6432
+    9300U,	// ATOMUMIN8
+    9187U,	// ATOMXOR16
+    8720U,	// ATOMXOR32
+    9033U,	// ATOMXOR64
+    8460U,	// ATOMXOR6432
+    9354U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    1175474869U,	// BEXTR32rm
-    1209029301U,	// BEXTR32rr
-    1242583733U,	// BEXTR64rm
-    1209029301U,	// BEXTR64rr
-    1276135226U,	// BLENDPDrmi
-    1141917498U,	// BLENDPDrri
-    1276138728U,	// BLENDPSrmi
-    1141921000U,	// BLENDPSrri
-    202393677U,	// BLENDVPDrm0
-    68175949U,	// BLENDVPDrr0
-    202397232U,	// BLENDVPSrm0
-    68179504U,	// BLENDVPSrr0
-    101731695U,	// BLSI32rm
-    135286127U,	// BLSI32rr
-    168840559U,	// BLSI64rm
-    135286127U,	// BLSI64rr
-    101731709U,	// BLSMSK32rm
-    135286141U,	// BLSMSK32rr
-    168840573U,	// BLSMSK64rm
-    135286141U,	// BLSMSK64rr
-    101733024U,	// BLSR32rm
-    135287456U,	// BLSR32rr
-    168841888U,	// BLSR64rm
-    135287456U,	// BLSR64rr
-    370165069U,	// BOUNDS16rm
-    101729613U,	// BOUNDS32rm
-    370166886U,	// BSF16rm
-    135285862U,	// BSF16rr
-    101731430U,	// BSF32rm
-    135285862U,	// BSF32rr
-    168840294U,	// BSF64rm
-    135285862U,	// BSF64rr
-    370168455U,	// BSR16rm
-    135287431U,	// BSR16rr
-    101732999U,	// BSR32rm
-    135287431U,	// BSR32rr
-    168841863U,	// BSR64rm
-    135287431U,	// BSR64rr
-    20056U,	// BSWAP32r
-    20056U,	// BSWAP64r
-    1087502U,	// BT16mi8
-    1087502U,	// BT16mr
-    135288846U,	// BT16ri8
-    135288846U,	// BT16rr
-    1120270U,	// BT32mi8
-    1120270U,	// BT32mr
-    135288846U,	// BT32ri8
-    135288846U,	// BT32rr
-    1136654U,	// BT64mi8
-    1136654U,	// BT64mr
-    135288846U,	// BT64ri8
-    135288846U,	// BT64rr
-    1082292U,	// BTC16mi8
-    1082292U,	// BTC16mr
-    135283636U,	// BTC16ri8
-    135283636U,	// BTC16rr
-    1115060U,	// BTC32mi8
-    1115060U,	// BTC32mr
-    135283636U,	// BTC32ri8
-    135283636U,	// BTC32rr
-    1131444U,	// BTC64mi8
-    1131444U,	// BTC64mr
-    135283636U,	// BTC64ri8
-    135283636U,	// BTC64rr
-    1086118U,	// BTR16mi8
-    1086118U,	// BTR16mr
-    135287462U,	// BTR16ri8
-    135287462U,	// BTR16rr
-    1118886U,	// BTR32mi8
-    1118886U,	// BTR32mr
-    135287462U,	// BTR32ri8
-    135287462U,	// BTR32rr
-    1135270U,	// BTR64mi8
-    1135270U,	// BTR64mr
-    135287462U,	// BTR64ri8
-    135287462U,	// BTR64rr
-    1087484U,	// BTS16mi8
-    1087484U,	// BTS16mr
-    135288828U,	// BTS16ri8
-    135288828U,	// BTS16rr
-    1120252U,	// BTS32mi8
-    1120252U,	// BTS32mr
-    135288828U,	// BTS32ri8
-    135288828U,	// BTS32rr
-    1136636U,	// BTS64mi8
-    1136636U,	// BTS64mr
-    135288828U,	// BTS64ri8
-    135288828U,	// BTS64rr
-    1175473369U,	// BZHI32rm
-    1209027801U,	// BZHI32rr
-    1242582233U,	// BZHI64rm
-    1209027801U,	// BZHI64rr
-    69012U,	// CALL32m
-    19860U,	// CALL32r
-    85396U,	// CALL64m
-    150932U,	// CALL64pcrel32
-    19860U,	// CALL64r
-    154218U,	// CALLpcrel16
-    150932U,	// CALLpcrel32
-    10432U,	// CBW
-    10049U,	// CDQ
-    9743U,	// CDQE
-    10248U,	// CHS_F
+    1175475096U,	// BEXTR32rm
+    1209029528U,	// BEXTR32rr
+    1242583960U,	// BEXTR64rm
+    1209029528U,	// BEXTR64rr
+    1175475096U,	// BEXTRI32mi
+    1209029528U,	// BEXTRI32ri
+    1242583960U,	// BEXTRI64mi
+    1209029528U,	// BEXTRI64ri
+    101731899U,	// BLCFILL32rm
+    135286331U,	// BLCFILL32rr
+    168840763U,	// BLCFILL64rm
+    135286331U,	// BLCFILL64rr
+    101731635U,	// BLCI32rm
+    135286067U,	// BLCI32rr
+    168840499U,	// BLCI64rm
+    135286067U,	// BLCI64rr
+    101729181U,	// BLCIC32rm
+    135283613U,	// BLCIC32rr
+    168838045U,	// BLCIC64rm
+    135283613U,	// BLCIC64rr
+    101731855U,	// BLCMSK32rm
+    135286287U,	// BLCMSK32rr
+    168840719U,	// BLCMSK64rm
+    135286287U,	// BLCMSK64rr
+    101733302U,	// BLCS32rm
+    135287734U,	// BLCS32rr
+    168842166U,	// BLCS64rm
+    135287734U,	// BLCS64rr
+    1276135270U,	// BLENDPDrmi
+    1141917542U,	// BLENDPDrri
+    1276138983U,	// BLENDPSrmi
+    1141921255U,	// BLENDPSrri
+    202393720U,	// BLENDVPDrm0
+    68175992U,	// BLENDVPDrr0
+    202397486U,	// BLENDVPSrm0
+    68179758U,	// BLENDVPSrr0
+    101731908U,	// BLSFILL32rm
+    135286340U,	// BLSFILL32rr
+    168840772U,	// BLSFILL64rm
+    135286340U,	// BLSFILL64rr
+    101731791U,	// BLSI32rm
+    135286223U,	// BLSI32rr
+    168840655U,	// BLSI64rm
+    135286223U,	// BLSI64rr
+    101729188U,	// BLSIC32rm
+    135283620U,	// BLSIC32rr
+    168838052U,	// BLSIC64rm
+    135283620U,	// BLSIC64rr
+    101731863U,	// BLSMSK32rm
+    135286295U,	// BLSMSK32rr
+    168840727U,	// BLSMSK64rm
+    135286295U,	// BLSMSK64rr
+    101733251U,	// BLSR32rm
+    135287683U,	// BLSR32rr
+    168842115U,	// BLSR64rm
+    135287683U,	// BLSR64rr
+    370165091U,	// BOUNDS16rm
+    101729635U,	// BOUNDS32rm
+    370166976U,	// BSF16rm
+    135285952U,	// BSF16rr
+    101731520U,	// BSF32rm
+    135285952U,	// BSF32rr
+    168840384U,	// BSF64rm
+    135285952U,	// BSF64rr
+    370168682U,	// BSR16rm
+    135287658U,	// BSR16rr
+    101733226U,	// BSR32rm
+    135287658U,	// BSR32rr
+    168842090U,	// BSR64rm
+    135287658U,	// BSR64rr
+    20235U,	// BSWAP32r
+    20235U,	// BSWAP64r
+    1087790U,	// BT16mi8
+    1087790U,	// BT16mr
+    135289134U,	// BT16ri8
+    135289134U,	// BT16rr
+    1120558U,	// BT32mi8
+    1120558U,	// BT32mr
+    135289134U,	// BT32ri8
+    135289134U,	// BT32rr
+    1136942U,	// BT64mi8
+    1136942U,	// BT64mr
+    135289134U,	// BT64ri8
+    135289134U,	// BT64rr
+    1082314U,	// BTC16mi8
+    1082314U,	// BTC16mr
+    135283658U,	// BTC16ri8
+    135283658U,	// BTC16rr
+    1115082U,	// BTC32mi8
+    1115082U,	// BTC32mr
+    135283658U,	// BTC32ri8
+    135283658U,	// BTC32rr
+    1131466U,	// BTC64mi8
+    1131466U,	// BTC64mr
+    135283658U,	// BTC64ri8
+    135283658U,	// BTC64rr
+    1086345U,	// BTR16mi8
+    1086345U,	// BTR16mr
+    135287689U,	// BTR16ri8
+    135287689U,	// BTR16rr
+    1119113U,	// BTR32mi8
+    1119113U,	// BTR32mr
+    135287689U,	// BTR32ri8
+    135287689U,	// BTR32rr
+    1135497U,	// BTR64mi8
+    1135497U,	// BTR64mr
+    135287689U,	// BTR64ri8
+    135287689U,	// BTR64rr
+    1087772U,	// BTS16mi8
+    1087772U,	// BTS16mr
+    135289116U,	// BTS16ri8
+    135289116U,	// BTS16rr
+    1120540U,	// BTS32mi8
+    1120540U,	// BTS32mr
+    135289116U,	// BTS32ri8
+    135289116U,	// BTS32rr
+    1136924U,	// BTS64mi8
+    1136924U,	// BTS64mr
+    135289116U,	// BTS64ri8
+    135289116U,	// BTS64rr
+    1175473465U,	// BZHI32rm
+    1209027897U,	// BZHI32rr
+    1242582329U,	// BZHI64rm
+    1209027897U,	// BZHI64rr
+    69173U,	// CALL32m
+    20021U,	// CALL32r
+    85557U,	// CALL64m
+    151093U,	// CALL64pcrel32
+    20021U,	// CALL64r
+    154506U,	// CALLpcrel16
+    151093U,	// CALLpcrel32
+    10781U,	// CBW
+    10398U,	// CDQ
+    10092U,	// CDQE
+    10597U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    9544U,	// CLAC
-    9564U,	// CLC
-    9614U,	// CLD
-    101578U,	// CLFLUSH
-    9827U,	// CLGI
-    9837U,	// CLI
-    10336U,	// CLTS
-    9568U,	// CMC
+    9893U,	// CLAC
+    9913U,	// CLC
+    9963U,	// CLD
+    101668U,	// CLFLUSH
+    10176U,	// CLGI
+    10186U,	// CLI
+    10685U,	// CLTS
+    9917U,	// CMC
     403718635U,	// CMOVA16rm
     68174315U,	// CMOVA16rr
     437273067U,	// CMOVA32rm
     68174315U,	// CMOVA32rr
     470827499U,	// CMOVA64rm
     68174315U,	// CMOVA64rr
-    403721106U,	// CMOVAE16rm
-    68176786U,	// CMOVAE16rr
-    437275538U,	// CMOVAE32rm
-    68176786U,	// CMOVAE32rr
-    470829970U,	// CMOVAE64rm
-    68176786U,	// CMOVAE64rr
+    403721196U,	// CMOVAE16rm
+    68176876U,	// CMOVAE16rr
+    437275628U,	// CMOVAE32rm
+    68176876U,	// CMOVAE32rr
+    470830060U,	// CMOVAE64rm
+    68176876U,	// CMOVAE64rr
     403719002U,	// CMOVB16rm
     68174682U,	// CMOVB16rr
     437273434U,	// CMOVB32rm
     68174682U,	// CMOVB32rr
     470827866U,	// CMOVB64rm
     68174682U,	// CMOVB64rr
-    403721126U,	// CMOVBE16rm
-    68176806U,	// CMOVBE16rr
-    437275558U,	// CMOVBE32rm
-    68176806U,	// CMOVBE32rr
-    470829990U,	// CMOVBE64rm
-    68176806U,	// CMOVBE64rr
-    23747U,	// CMOVBE_F
+    403721216U,	// CMOVBE16rm
+    68176896U,	// CMOVBE16rr
+    437275648U,	// CMOVBE32rm
+    68176896U,	// CMOVBE32rr
+    470830080U,	// CMOVBE64rm
+    68176896U,	// CMOVBE64rr
+    24035U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    23715U,	// CMOVB_F
+    24003U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    403721311U,	// CMOVE16rm
-    68176991U,	// CMOVE16rr
-    437275743U,	// CMOVE32rm
-    68176991U,	// CMOVE32rr
-    470830175U,	// CMOVE64rm
-    68176991U,	// CMOVE64rr
-    23779U,	// CMOVE_F
+    403721401U,	// CMOVE16rm
+    68177081U,	// CMOVE16rr
+    437275833U,	// CMOVE32rm
+    68177081U,	// CMOVE32rr
+    470830265U,	// CMOVE64rm
+    68177081U,	// CMOVE64rr
+    24067U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    403721361U,	// CMOVG16rm
-    68177041U,	// CMOVG16rr
-    437275793U,	// CMOVG32rm
-    68177041U,	// CMOVG32rr
-    470830225U,	// CMOVG64rm
-    68177041U,	// CMOVG64rr
-    403721162U,	// CMOVGE16rm
-    68176842U,	// CMOVGE16rr
-    437275594U,	// CMOVGE32rm
-    68176842U,	// CMOVGE32rr
-    470830026U,	// CMOVGE64rm
-    68176842U,	// CMOVGE64rr
-    403721685U,	// CMOVL16rm
-    68177365U,	// CMOVL16rr
-    437276117U,	// CMOVL32rm
-    68177365U,	// CMOVL32rr
-    470830549U,	// CMOVL64rm
-    68177365U,	// CMOVL64rr
-    403721186U,	// CMOVLE16rm
-    68176866U,	// CMOVLE16rr
-    437275618U,	// CMOVLE32rm
-    68176866U,	// CMOVLE32rr
-    470830050U,	// CMOVLE64rm
-    68176866U,	// CMOVLE64rr
-    23730U,	// CMOVNBE_F
+    403721451U,	// CMOVG16rm
+    68177131U,	// CMOVG16rr
+    437275883U,	// CMOVG32rm
+    68177131U,	// CMOVG32rr
+    470830315U,	// CMOVG64rm
+    68177131U,	// CMOVG64rr
+    403721252U,	// CMOVGE16rm
+    68176932U,	// CMOVGE16rr
+    437275684U,	// CMOVGE32rm
+    68176932U,	// CMOVGE32rr
+    470830116U,	// CMOVGE64rm
+    68176932U,	// CMOVGE64rr
+    403721864U,	// CMOVL16rm
+    68177544U,	// CMOVL16rr
+    437276296U,	// CMOVL32rm
+    68177544U,	// CMOVL32rr
+    470830728U,	// CMOVL64rm
+    68177544U,	// CMOVL64rr
+    403721276U,	// CMOVLE16rm
+    68176956U,	// CMOVLE16rr
+    437275708U,	// CMOVLE32rm
+    68176956U,	// CMOVLE32rr
+    470830140U,	// CMOVLE64rm
+    68176956U,	// CMOVLE64rr
+    24018U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    23699U,	// CMOVNB_F
+    23987U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    403721214U,	// CMOVNE16rm
-    68176894U,	// CMOVNE16rr
-    437275646U,	// CMOVNE32rm
-    68176894U,	// CMOVNE32rr
-    470830078U,	// CMOVNE64rm
-    68176894U,	// CMOVNE64rr
-    23763U,	// CMOVNE_F
+    403721304U,	// CMOVNE16rm
+    68176984U,	// CMOVNE16rr
+    437275736U,	// CMOVNE32rm
+    68176984U,	// CMOVNE32rr
+    470830168U,	// CMOVNE64rm
+    68176984U,	// CMOVNE64rr
+    24051U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    403721795U,	// CMOVNO16rm
-    68177475U,	// CMOVNO16rr
-    437276227U,	// CMOVNO32rm
-    68177475U,	// CMOVNO32rr
-    470830659U,	// CMOVNO64rm
-    68177475U,	// CMOVNO64rr
-    403721907U,	// CMOVNP16rm
-    68177587U,	// CMOVNP16rr
-    437276339U,	// CMOVNP32rm
-    68177587U,	// CMOVNP32rr
-    470830771U,	// CMOVNP64rm
-    68177587U,	// CMOVNP64rr
-    23794U,	// CMOVNP_F
+    403721974U,	// CMOVNO16rm
+    68177654U,	// CMOVNO16rr
+    437276406U,	// CMOVNO32rm
+    68177654U,	// CMOVNO32rr
+    470830838U,	// CMOVNO64rm
+    68177654U,	// CMOVNO64rr
+    403722086U,	// CMOVNP16rm
+    68177766U,	// CMOVNP16rr
+    437276518U,	// CMOVNP32rm
+    68177766U,	// CMOVNP32rr
+    470830950U,	// CMOVNP64rm
+    68177766U,	// CMOVNP64rr
+    24082U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    403722999U,	// CMOVNS16rm
-    68178679U,	// CMOVNS16rr
-    437277431U,	// CMOVNS32rm
-    68178679U,	// CMOVNS32rr
-    470831863U,	// CMOVNS64rm
-    68178679U,	// CMOVNS64rr
-    403721809U,	// CMOVO16rm
-    68177489U,	// CMOVO16rr
-    437276241U,	// CMOVO32rm
-    68177489U,	// CMOVO32rr
-    470830673U,	// CMOVO64rm
-    68177489U,	// CMOVO64rr
-    403722036U,	// CMOVP16rm
-    68177716U,	// CMOVP16rr
-    437276468U,	// CMOVP32rm
-    68177716U,	// CMOVP32rr
-    470830900U,	// CMOVP64rm
-    68177716U,	// CMOVP64rr
-    23810U,	// CMOVP_F
+    403723232U,	// CMOVNS16rm
+    68178912U,	// CMOVNS16rr
+    437277664U,	// CMOVNS32rm
+    68178912U,	// CMOVNS32rr
+    470832096U,	// CMOVNS64rm
+    68178912U,	// CMOVNS64rr
+    403721988U,	// CMOVO16rm
+    68177668U,	// CMOVO16rr
+    437276420U,	// CMOVO32rm
+    68177668U,	// CMOVO32rr
+    470830852U,	// CMOVO64rm
+    68177668U,	// CMOVO64rr
+    403722215U,	// CMOVP16rm
+    68177895U,	// CMOVP16rr
+    437276647U,	// CMOVP32rm
+    68177895U,	// CMOVP32rr
+    470831079U,	// CMOVP64rm
+    68177895U,	// CMOVP64rr
+    24098U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    403724295U,	// CMOVS16rm
-    68179975U,	// CMOVS16rr
-    437278727U,	// CMOVS32rm
-    68179975U,	// CMOVS32rr
-    470833159U,	// CMOVS64rm
-    68179975U,	// CMOVS64rr
-    8374U,	// CMOV_FR32
-    8647U,	// CMOV_FR64
-    7962U,	// CMOV_GR16
-    7942U,	// CMOV_GR32
-    8970U,	// CMOV_GR8
-    8354U,	// CMOV_RFP32
-    8627U,	// CMOV_RFP64
-    7982U,	// CMOV_RFP80
-    8508U,	// CMOV_V2F64
-    8548U,	// CMOV_V2I64
-    8275U,	// CMOV_V4F32
-    8528U,	// CMOV_V4F64
-    8568U,	// CMOV_V4I64
-    8295U,	// CMOV_V8F32
-    23990U,	// CMP16i16
-    1085061U,	// CMP16mi
-    1085061U,	// CMP16mi8
-    1085061U,	// CMP16mr
-    135286405U,	// CMP16ri
-    135286405U,	// CMP16ri8
-    370167429U,	// CMP16rm
-    135286405U,	// CMP16rr
-    135286405U,	// CMP16rr_REV
-    24109U,	// CMP32i32
-    1117829U,	// CMP32mi
-    1117829U,	// CMP32mi8
-    1117829U,	// CMP32mr
-    135286405U,	// CMP32ri
-    135286405U,	// CMP32ri8
-    101731973U,	// CMP32rm
-    135286405U,	// CMP32rr
-    135286405U,	// CMP32rr_REV
-    24224U,	// CMP64i32
-    1134213U,	// CMP64mi32
-    1134213U,	// CMP64mi8
-    1134213U,	// CMP64mr
-    135286405U,	// CMP64ri32
-    135286405U,	// CMP64ri8
-    168840837U,	// CMP64rm
-    135286405U,	// CMP64rr
-    135286405U,	// CMP64rr_REV
-    23878U,	// CMP8i8
-    1150597U,	// CMP8mi
-    1150597U,	// CMP8mr
-    135286405U,	// CMP8ri
-    504385157U,	// CMP8rm
-    135286405U,	// CMP8rr
-    135286405U,	// CMP8rr_REV
-    209889044U,	// CMPPDrmi
-    1276135416U,	// CMPPDrmi_alt
-    75687700U,	// CMPPDrri
-    1141917688U,	// CMPPDrri_alt
-    210937620U,	// CMPPSrmi
-    1276138938U,	// CMPPSrmi_alt
-    76736276U,	// CMPPSrri
-    1141921210U,	// CMPPSrri_alt
-    10458U,	// CMPS16
-    9645U,	// CMPS32
-    10088U,	// CMPS64
-    9516U,	// CMPS8
-    245540628U,	// CMPSDrm
-    1309690424U,	// CMPSDrm_alt
-    77784852U,	// CMPSDrr
-    1141918264U,	// CMPSDrr_alt
-    280143636U,	// CMPSSrm
-    1343248298U,	// CMPSSrm_alt
-    78833428U,	// CMPSSrr
-    1141921706U,	// CMPSSrr_alt
+    403724583U,	// CMOVS16rm
+    68180263U,	// CMOVS16rr
+    437279015U,	// CMOVS32rm
+    68180263U,	// CMOVS32rr
+    470833447U,	// CMOVS64rm
+    68180263U,	// CMOVS64rr
+    8683U,	// CMOV_FR32
+    8996U,	// CMOV_FR64
+    8250U,	// CMOV_GR16
+    8230U,	// CMOV_GR32
+    9319U,	// CMOV_GR8
+    8663U,	// CMOV_RFP32
+    8976U,	// CMOV_RFP64
+    8270U,	// CMOV_RFP80
+    8583U,	// CMOV_V16F32
+    8817U,	// CMOV_V2F64
+    8877U,	// CMOV_V2I64
+    8563U,	// CMOV_V4F32
+    8837U,	// CMOV_V4F64
+    8897U,	// CMOV_V4I64
+    8604U,	// CMOV_V8F32
+    8857U,	// CMOV_V8F64
+    8917U,	// CMOV_V8I64
+    24278U,	// CMP16i16
+    1085240U,	// CMP16mi
+    1085240U,	// CMP16mi8
+    1085240U,	// CMP16mr
+    135286584U,	// CMP16ri
+    135286584U,	// CMP16ri8
+    370167608U,	// CMP16rm
+    135286584U,	// CMP16rr
+    135286584U,	// CMP16rr_REV
+    24397U,	// CMP32i32
+    1118008U,	// CMP32mi
+    1118008U,	// CMP32mi8
+    1118008U,	// CMP32mr
+    135286584U,	// CMP32ri
+    135286584U,	// CMP32ri8
+    101732152U,	// CMP32rm
+    135286584U,	// CMP32rr
+    135286584U,	// CMP32rr_REV
+    24512U,	// CMP64i32
+    1134392U,	// CMP64mi32
+    1134392U,	// CMP64mi8
+    1134392U,	// CMP64mr
+    135286584U,	// CMP64ri32
+    135286584U,	// CMP64ri8
+    168841016U,	// CMP64rm
+    135286584U,	// CMP64rr
+    135286584U,	// CMP64rr_REV
+    24166U,	// CMP8i8
+    1150776U,	// CMP8mi
+    1150776U,	// CMP8mr
+    135286584U,	// CMP8ri
+    504385336U,	// CMP8rm
+    135286584U,	// CMP8rr
+    135286584U,	// CMP8rr_REV
+    209889393U,	// CMPPDrmi
+    1276135459U,	// CMPPDrmi_alt
+    75688049U,	// CMPPDrri
+    1141917731U,	// CMPPDrri_alt
+    210937969U,	// CMPPSrmi
+    1276139192U,	// CMPPSrmi_alt
+    76736625U,	// CMPPSrri
+    1141921464U,	// CMPPSrri_alt
+    10807U,	// CMPS16
+    9994U,	// CMPS32
+    10437U,	// CMPS64
+    9865U,	// CMPS8
+    245540977U,	// CMPSDrm
+    1309690501U,	// CMPSDrm_alt
+    77785201U,	// CMPSDrr
+    1141918341U,	// CMPSDrr_alt
+    280143985U,	// CMPSSrm
+    1343248586U,	// CMPSSrm_alt
+    78833777U,	// CMPSSrr
+    1141921994U,	// CMPSSrr_alt
     197106U,	// CMPXCHG16B
-    1084534U,	// CMPXCHG16rm
-    135285878U,	// CMPXCHG16rr
-    1117302U,	// CMPXCHG32rm
-    135285878U,	// CMPXCHG32rr
-    1133686U,	// CMPXCHG64rm
-    135285878U,	// CMPXCHG64rr
+    1084624U,	// CMPXCHG16rm
+    135285968U,	// CMPXCHG16rr
+    1117392U,	// CMPXCHG32rm
+    135285968U,	// CMPXCHG32rr
+    1133776U,	// CMPXCHG64rm
+    135285968U,	// CMPXCHG64rr
     82430U,	// CMPXCHG8B
-    1150070U,	// CMPXCHG8rm
-    135285878U,	// CMPXCHG8rr
-    537938445U,	// COMISDrm
-    135285261U,	// COMISDrr
-    537941888U,	// COMISSrm
-    135288704U,	// COMISSrr
-    20112U,	// COMP_FST0r
-    19736U,	// COM_FIPr
-    19679U,	// COM_FIr
-    19937U,	// COM_FST0r
-    10308U,	// COS_F
+    1150160U,	// CMPXCHG8rm
+    135285968U,	// CMPXCHG8rr
+    537938522U,	// COMISDrm
+    135285338U,	// COMISDrr
+    537942176U,	// COMISSrm
+    135288992U,	// COMISSrr
+    20291U,	// COMP_FST0r
+    19832U,	// COM_FIPr
+    19775U,	// COM_FIr
+    20116U,	// COM_FST0r
+    10657U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    9608U,	// CPUID
-    9982U,	// CQO
+    9957U,	// CPUID
+    10331U,	// CQO
     3194958U,	// CRC32r32m16
     4243534U,	// CRC32r32m32
     6340686U,	// CRC32r32m8
@@ -619,58 +656,60 @@
     6340686U,	// CRC32r64m8
     35700814U,	// CRC32r64r64
     35700814U,	// CRC32r64r8
-    10178U,	// CS_PREFIX
-    168838690U,	// CVTDQ2PDrm
-    135284258U,	// CVTDQ2PDrr
-    336614371U,	// CVTDQ2PSrm
-    135287779U,	// CVTDQ2PSrr
-    537939887U,	// CVTPD2DQrm
-    135286703U,	// CVTPD2DQrr
-    537940908U,	// CVTPD2PSrm
-    135287724U,	// CVTPD2PSrr
-    537939919U,	// CVTPS2DQrm
-    135286735U,	// CVTPS2DQrr
-    571491897U,	// CVTPS2PDrm
-    135284281U,	// CVTPS2PDrr
-    571493710U,	// CVTSD2SI64rm
-    135286094U,	// CVTSD2SI64rr
-    571493710U,	// CVTSD2SIrm
-    135286094U,	// CVTSD2SIrr
-    571496140U,	// CVTSD2SSrm
-    135288524U,	// CVTSD2SSrr
-    168839505U,	// CVTSI2SD64rm
-    135285073U,	// CVTSI2SD64rr
-    101730641U,	// CVTSI2SDrm
-    135285073U,	// CVTSI2SDrr
-    168842967U,	// CVTSI2SS64rm
-    135288535U,	// CVTSI2SS64rr
-    101734103U,	// CVTSI2SSrm
-    135288535U,	// CVTSI2SSrr
-    605047132U,	// CVTSS2SDrm
-    135285084U,	// CVTSS2SDrr
-    605048165U,	// CVTSS2SI64rm
-    135286117U,	// CVTSS2SI64rr
-    605048165U,	// CVTSS2SIrm
-    135286117U,	// CVTSS2SIrr
-    537939875U,	// CVTTPD2DQrm
-    135286691U,	// CVTTPD2DQrr
-    537939907U,	// CVTTPS2DQrm
-    135286723U,	// CVTTPS2DQrr
-    571493698U,	// CVTTSD2SI64rm
-    135286082U,	// CVTTSD2SI64rr
-    571493698U,	// CVTTSD2SIrm
-    135286082U,	// CVTTSD2SIrr
-    605048153U,	// CVTTSS2SI64rm
-    135286105U,	// CVTTSS2SI64rr
-    605048153U,	// CVTTSS2SIrm
-    135286105U,	// CVTTSS2SIrr
-    9684U,	// CWD
-    9716U,	// CWDE
-    9444U,	// DAA
-    10164U,	// DAS
-    9325U,	// DATA16_PREFIX
+    10527U,	// CS_PREFIX
+    168838712U,	// CVTDQ2PDrm
+    135284280U,	// CVTDQ2PDrr
+    336614604U,	// CVTDQ2PSrm
+    135288012U,	// CVTDQ2PSrr
+    537940066U,	// CVTPD2DQrm
+    135286882U,	// CVTPD2DQrr
+    537941141U,	// CVTPD2PSrm
+    135287957U,	// CVTPD2PSrr
+    537940098U,	// CVTPS2DQrm
+    135286914U,	// CVTPS2DQrr
+    571491919U,	// CVTPS2PDrm
+    135284303U,	// CVTPS2PDrr
+    571493806U,	// CVTSD2SI64rm
+    135286190U,	// CVTSD2SI64rr
+    571493806U,	// CVTSD2SIrm
+    135286190U,	// CVTSD2SIrr
+    571496394U,	// CVTSD2SSrm
+    135288778U,	// CVTSD2SSrr
+    168839548U,	// CVTSI2SD64rm
+    135285116U,	// CVTSI2SD64rr
+    101730684U,	// CVTSI2SDrm
+    135285116U,	// CVTSI2SDrr
+    168843221U,	// CVTSI2SS64rm
+    135288789U,	// CVTSI2SS64rr
+    101734357U,	// CVTSI2SSrm
+    135288789U,	// CVTSI2SSrr
+    605047187U,	// CVTSS2SDrm
+    135285139U,	// CVTSS2SDrr
+    605048261U,	// CVTSS2SI64rm
+    135286213U,	// CVTSS2SI64rr
+    605048261U,	// CVTSS2SIrm
+    135286213U,	// CVTSS2SIrr
+    537940054U,	// CVTTPD2DQrm
+    135286870U,	// CVTTPD2DQrr
+    537940086U,	// CVTTPS2DQrm
+    135286902U,	// CVTTPS2DQrr
+    571493794U,	// CVTTSD2SI64rm
+    135286178U,	// CVTTSD2SI64rr
+    571493794U,	// CVTTSD2SIrm
+    135286178U,	// CVTTSD2SIrr
+    605048249U,	// CVTTSS2SI64rm
+    135286201U,	// CVTTSS2SI64rr
+    605048249U,	// CVTTSS2SIrm
+    135286201U,	// CVTTSS2SIrr
+    10033U,	// CWD
+    10065U,	// CWDE
+    9793U,	// DAA
+    10513U,	// DAS
+    9674U,	// DATA16_PREFIX
     33688U,	// DEC16m
     17304U,	// DEC16r
+    17304U,	// DEC32_16r
+    17304U,	// DEC32_32r
     66456U,	// DEC32m
     17304U,	// DEC32r
     33688U,	// DEC64_16m
@@ -681,24 +720,24 @@
     17304U,	// DEC64r
     99224U,	// DEC8m
     17304U,	// DEC8r
-    39168U,	// DIV16m
-    22784U,	// DIV16r
-    71936U,	// DIV32m
-    22784U,	// DIV32r
-    88320U,	// DIV64m
-    22784U,	// DIV64r
-    104704U,	// DIV8m
-    22784U,	// DIV8r
-    202393688U,	// DIVPDrm
-    68175960U,	// DIVPDrr
-    202397243U,	// DIVPSrm
-    68179515U,	// DIVPSrr
-    119484U,	// DIVR_F32m
-    135868U,	// DIVR_F64m
-    37571U,	// DIVR_FI16m
-    70339U,	// DIVR_FI32m
-    20179U,	// DIVR_FPrST0
-    21180U,	// DIVR_FST0r
+    39456U,	// DIV16m
+    23072U,	// DIV16r
+    72224U,	// DIV32m
+    23072U,	// DIV32r
+    88608U,	// DIV64m
+    23072U,	// DIV64r
+    104992U,	// DIV8m
+    23072U,	// DIV8r
+    202393731U,	// DIVPDrm
+    68176003U,	// DIVPDrr
+    202397497U,	// DIVPSrm
+    68179769U,	// DIVPSrr
+    119711U,	// DIVR_F32m
+    136095U,	// DIVR_F64m
+    37798U,	// DIVR_FI16m
+    70566U,	// DIVR_FI32m
+    20358U,	// DIVR_FPrST0
+    21407U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -710,21 +749,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    7361212U,	// DIVR_FrST0
-    235948640U,	// DIVSDrm
-    235948640U,	// DIVSDrm_Int
-    68176480U,	// DIVSDrr
-    68176480U,	// DIVSDrr_Int
-    269506524U,	// DIVSSrm
-    269506524U,	// DIVSSrm_Int
-    68179932U,	// DIVSSrr
-    68179932U,	// DIVSSrr_Int
-    121087U,	// DIV_F32m
-    137471U,	// DIV_F64m
-    39173U,	// DIV_FI16m
-    71941U,	// DIV_FI32m
-    20269U,	// DIV_FPrST0
-    22783U,	// DIV_FST0r
+    7361439U,	// DIVR_FrST0
+    235948717U,	// DIVSDrm
+    235948717U,	// DIVSDrm_Int
+    68176557U,	// DIVSDrr
+    68176557U,	// DIVSDrr_Int
+    269506812U,	// DIVSSrm
+    269506812U,	// DIVSSrm_Int
+    68180220U,	// DIVSSrr
+    68180220U,	// DIVSSrr_Int
+    121375U,	// DIV_F32m
+    137759U,	// DIV_F64m
+    39461U,	// DIV_FI16m
+    72229U,	// DIV_FI32m
+    20448U,	// DIV_FPrST0
+    23071U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -739,64 +778,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    7362815U,	// DIV_FrST0
-    1276135408U,	// DPPDrmi
-    1141917680U,	// DPPDrri
-    1276138930U,	// DPPSrmi
-    1141921202U,	// DPPSrri
-    10186U,	// DS_PREFIX
-    24279U,	// EH_RETURN
-    24279U,	// EH_RETURN64
-    9134U,	// EH_SjLj_LongJmp32
-    9238U,	// EH_SjLj_LongJmp64
-    9153U,	// EH_SjLj_SetJmp32
-    9257U,	// EH_SjLj_SetJmp64
-    151325U,	// EH_SjLj_Setup
-    135287368U,	// ENTER
-    10201U,	// ES_PREFIX
-    1074910698U,	// EXTRACTPSmr
-    1209030122U,	// EXTRACTPSrr
-    35705204U,	// EXTRQ
-    639684980U,	// EXTRQI
-    9120U,	// F2XM1
-    135286163U,	// FARCALL16i
-    216467U,	// FARCALL16m
-    135286163U,	// FARCALL32i
-    216467U,	// FARCALL32m
-    216467U,	// FARCALL64
-    135286410U,	// FARJMP16i
-    216714U,	// FARJMP16m
-    135286410U,	// FARJMP32i
-    216714U,	// FARJMP32m
-    216714U,	// FARJMP64
-    115907U,	// FBLDm
-    118497U,	// FBSTPm
-    118241U,	// FCOM32m
-    134625U,	// FCOM64m
-    118416U,	// FCOMP32m
-    134800U,	// FCOMP64m
-    10018U,	// FCOMPP
-    10033U,	// FDECSTP
-    10298U,	// FEMMS
-    19374U,	// FFREE
-    36327U,	// FICOM16m
-    69095U,	// FICOM32m
-    36503U,	// FICOMP16m
-    69271U,	// FICOMP32m
-    10041U,	// FINCSTP
-    39344U,	// FLDCW16m
-    121100U,	// FLDENVm
-    9688U,	// FLDL2E
-    10341U,	// FLDL2T
-    9219U,	// FLDLG2
-    9226U,	// FLDLN2
-    9841U,	// FLDPI
-    10659U,	// FNCLEX
-    10374U,	// FNINIT
-    10013U,	// FNOP
-    39351U,	// FNSTCW16m
-    10486U,	// FNSTSW16r
-    121711U,	// FNSTSWm
+    7363103U,	// DIV_FrST0
+    1276135452U,	// DPPDrmi
+    1141917724U,	// DPPDrri
+    1276139185U,	// DPPSrmi
+    1141921457U,	// DPPSrri
+    10535U,	// DS_PREFIX
+    24567U,	// EH_RETURN
+    24567U,	// EH_RETURN64
+    9483U,	// EH_SjLj_LongJmp32
+    9587U,	// EH_SjLj_LongJmp64
+    9502U,	// EH_SjLj_SetJmp32
+    9606U,	// EH_SjLj_SetJmp64
+    151504U,	// EH_SjLj_Setup
+    135287595U,	// ENTER
+    10550U,	// ES_PREFIX
+    1074910952U,	// EXTRACTPSmr
+    1209030376U,	// EXTRACTPSrr
+    35705383U,	// EXTRQ
+    639685159U,	// EXTRQI
+    9469U,	// F2XM1
+    135286324U,	// FARCALL16i
+    216628U,	// FARCALL16m
+    135286324U,	// FARCALL32i
+    216628U,	// FARCALL32m
+    216628U,	// FARCALL64
+    135286589U,	// FARJMP16i
+    216893U,	// FARJMP16m
+    135286589U,	// FARJMP32i
+    216893U,	// FARJMP32m
+    216893U,	// FARJMP64
+    115929U,	// FBLDm
+    118676U,	// FBSTPm
+    118420U,	// FCOM32m
+    134804U,	// FCOM64m
+    118595U,	// FCOMP32m
+    134979U,	// FCOMP64m
+    10367U,	// FCOMPP
+    10382U,	// FDECSTP
+    10647U,	// FEMMS
+    19464U,	// FFREE
+    36506U,	// FICOM16m
+    69274U,	// FICOM32m
+    36682U,	// FICOMP16m
+    69450U,	// FICOMP32m
+    10390U,	// FINCSTP
+    39632U,	// FLDCW16m
+    121388U,	// FLDENVm
+    10037U,	// FLDL2E
+    10690U,	// FLDL2T
+    9568U,	// FLDLG2
+    9575U,	// FLDLN2
+    10190U,	// FLDPI
+    11008U,	// FNCLEX
+    10723U,	// FNINIT
+    10362U,	// FNOP
+    39639U,	// FNSTCW16m
+    10835U,	// FNSTSW16r
+    121999U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -806,73 +845,69 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    9937U,	// FPATAN
-    9927U,	// FPREM
-    9113U,	// FPREM1
-    9944U,	// FPTAN
-    10393U,	// FRNDINT
-    119401U,	// FRSTORm
-    117839U,	// FSAVEm
-    9721U,	// FSCALE
-    10313U,	// FSINCOS
-    121108U,	// FSTENVm
-    10216U,	// FS_PREFIX
-    9922U,	// FXAM
-    217713U,	// FXRSTOR
-    217431U,	// FXRSTOR64
-    216151U,	// FXSAVE
-    217287U,	// FXSAVE64
-    10348U,	// FXTRACT
-    10480U,	// FYL2X
-    9126U,	// FYL2XP1
+    10286U,	// FPATAN
+    10276U,	// FPREM
+    9462U,	// FPREM1
+    10293U,	// FPTAN
+    10742U,	// FRNDINT
+    119628U,	// FRSTORm
+    117929U,	// FSAVEm
+    10070U,	// FSCALE
+    10662U,	// FSINCOS
+    121396U,	// FSTENVm
+    10565U,	// FS_PREFIX
+    10271U,	// FXAM
+    217940U,	// FXRSTOR
+    217610U,	// FXRSTOR64
+    216241U,	// FXSAVE
+    217466U,	// FXSAVE64
+    10697U,	// FXTRACT
+    10829U,	// FYL2X
+    9475U,	// FYL2XP1
     0U,	// FpPOP_RETVAL
-    202393567U,	// FsANDNPDrm
-    68175839U,	// FsANDNPDrr
-    202397081U,	// FsANDNPSrm
-    68179353U,	// FsANDNPSrr
-    202393394U,	// FsANDPDrm
-    68175666U,	// FsANDPDrr
-    202396896U,	// FsANDPSrm
-    68179168U,	// FsANDPSrr
+    202393611U,	// FsANDNPDrm
+    68175883U,	// FsANDNPDrr
+    202397336U,	// FsANDNPSrm
+    68179608U,	// FsANDNPSrr
+    202393438U,	// FsANDPDrm
+    68175710U,	// FsANDPDrr
+    202397151U,	// FsANDPSrm
+    68179423U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    537937584U,	// FsMOVAPDrm
-    135284400U,	// FsMOVAPDrr
-    537941094U,	// FsMOVAPSrm
-    135287910U,	// FsMOVAPSrr
-    202393625U,	// FsORPDrm
-    68175897U,	// FsORPDrr
-    202397147U,	// FsORPSrm
-    68179419U,	// FsORPSrr
-    537937583U,	// FsVMOVAPDrm
-    135284399U,	// FsVMOVAPDrr
-    537941093U,	// FsVMOVAPSrm
-    135287909U,	// FsVMOVAPSrr
-    202393632U,	// FsXORPDrm
-    68175904U,	// FsXORPDrr
-    202397154U,	// FsXORPSrm
-    68179426U,	// FsXORPSrr
-    10231U,	// GS_PREFIX
-    202393356U,	// HADDPDrm
-    68175628U,	// HADDPDrr
-    202396858U,	// HADDPSrm
-    68179130U,	// HADDPSrr
-    10389U,	// HLT
-    202393305U,	// HSUBPDrm
-    68175577U,	// HSUBPDrr
-    202396807U,	// HSUBPSrm
-    68179079U,	// HSUBPSrr
-    39174U,	// IDIV16m
-    22790U,	// IDIV16r
-    71942U,	// IDIV32m
-    22790U,	// IDIV32r
-    88326U,	// IDIV64m
-    22790U,	// IDIV64r
-    104710U,	// IDIV8m
-    22790U,	// IDIV8r
-    34006U,	// ILD_F16m
-    66774U,	// ILD_F32m
-    83158U,	// ILD_F64m
+    537937628U,	// FsMOVAPDrm
+    537941349U,	// FsMOVAPSrm
+    202393668U,	// FsORPDrm
+    68175940U,	// FsORPDrr
+    202397401U,	// FsORPSrm
+    68179673U,	// FsORPSrr
+    537937627U,	// FsVMOVAPDrm
+    537941348U,	// FsVMOVAPSrm
+    202393675U,	// FsXORPDrm
+    68175947U,	// FsXORPDrr
+    202397408U,	// FsXORPSrm
+    68179680U,	// FsXORPSrr
+    10580U,	// GS_PREFIX
+    202393400U,	// HADDPDrm
+    68175672U,	// HADDPDrr
+    202397113U,	// HADDPSrm
+    68179385U,	// HADDPSrr
+    10738U,	// HLT
+    202393349U,	// HSUBPDrm
+    68175621U,	// HSUBPDrr
+    202397062U,	// HSUBPSrm
+    68179334U,	// HSUBPSrr
+    39462U,	// IDIV16m
+    23078U,	// IDIV16r
+    72230U,	// IDIV32m
+    23078U,	// IDIV32r
+    88614U,	// IDIV64m
+    23078U,	// IDIV64r
+    104998U,	// IDIV8m
+    23078U,	// IDIV8r
+    34028U,	// ILD_F16m
+    66796U,	// ILD_F32m
+    83180U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -882,76 +917,78 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    36303U,	// IMUL16m
-    19919U,	// IMUL16r
-    403721679U,	// IMUL16rm
-    1443909071U,	// IMUL16rmi
-    1443909071U,	// IMUL16rmi8
-    68177359U,	// IMUL16rr
-    1209028047U,	// IMUL16rri
-    1209028047U,	// IMUL16rri8
-    69071U,	// IMUL32m
-    19919U,	// IMUL32r
-    437276111U,	// IMUL32rm
-    1175473615U,	// IMUL32rmi
-    1175473615U,	// IMUL32rmi8
-    68177359U,	// IMUL32rr
-    1209028047U,	// IMUL32rri
-    1209028047U,	// IMUL32rri8
-    85455U,	// IMUL64m
-    19919U,	// IMUL64r
-    470830543U,	// IMUL64rm
-    1242582479U,	// IMUL64rmi32
-    1242582479U,	// IMUL64rmi8
-    68177359U,	// IMUL64rr
-    1209028047U,	// IMUL64rri32
-    1209028047U,	// IMUL64rri8
-    101839U,	// IMUL8m
-    19919U,	// IMUL8r
-    10304U,	// IN16
-    23982U,	// IN16ri
-    10638U,	// IN16rr
-    10304U,	// IN32
-    24100U,	// IN32ri
-    10648U,	// IN32rr
-    10304U,	// IN8
-    23870U,	// IN8ri
-    10628U,	// IN8rr
-    33711U,	// INC16m
-    17327U,	// INC16r
-    66479U,	// INC32m
-    17327U,	// INC32r
-    33711U,	// INC64_16m
-    17327U,	// INC64_16r
-    66479U,	// INC64_32m
-    17327U,	// INC64_32r
-    82863U,	// INC64m
-    17327U,	// INC64r
-    99247U,	// INC8m
-    17327U,	// INC8r
-    1343247872U,	// INSERTPSrm
-    1141921280U,	// INSERTPSrr
-    35705245U,	// INSERTQ
-    1713426845U,	// INSERTQI
-    22618U,	// INT
-    9233U,	// INT3
-    9986U,	// INTO
-    9679U,	// INVD
-    336615524U,	// INVEPT32
-    336615524U,	// INVEPT64
-    101507U,	// INVLPG
-    10594U,	// INVLPGA32
-    10611U,	// INVLPGA64
-    336610481U,	// INVPCID32
-    336610481U,	// INVPCID64
-    336610490U,	// INVVPID32
-    336610490U,	// INVVPID64
-    10356U,	// IRET16
-    9667U,	// IRET32
-    10104U,	// IRET64
-    36597U,	// ISTT_FP16m
-    69365U,	// ISTT_FP32m
-    85749U,	// ISTT_FP64m
+    36482U,	// IMUL16m
+    20098U,	// IMUL16r
+    403721858U,	// IMUL16rm
+    1443909250U,	// IMUL16rmi
+    1443909250U,	// IMUL16rmi8
+    68177538U,	// IMUL16rr
+    1209028226U,	// IMUL16rri
+    1209028226U,	// IMUL16rri8
+    69250U,	// IMUL32m
+    20098U,	// IMUL32r
+    437276290U,	// IMUL32rm
+    1175473794U,	// IMUL32rmi
+    1175473794U,	// IMUL32rmi8
+    68177538U,	// IMUL32rr
+    1209028226U,	// IMUL32rri
+    1209028226U,	// IMUL32rri8
+    85634U,	// IMUL64m
+    20098U,	// IMUL64r
+    470830722U,	// IMUL64rm
+    1242582658U,	// IMUL64rmi32
+    1242582658U,	// IMUL64rmi8
+    68177538U,	// IMUL64rr
+    1209028226U,	// IMUL64rri32
+    1209028226U,	// IMUL64rri8
+    102018U,	// IMUL8m
+    20098U,	// IMUL8r
+    10653U,	// IN16
+    24270U,	// IN16ri
+    10987U,	// IN16rr
+    10653U,	// IN32
+    24388U,	// IN32ri
+    10997U,	// IN32rr
+    10653U,	// IN8
+    24158U,	// IN8ri
+    10977U,	// IN8rr
+    33733U,	// INC16m
+    17349U,	// INC16r
+    17349U,	// INC32_16r
+    17349U,	// INC32_32r
+    66501U,	// INC32m
+    17349U,	// INC32r
+    33733U,	// INC64_16m
+    17349U,	// INC64_16r
+    66501U,	// INC64_32m
+    17349U,	// INC64_32r
+    82885U,	// INC64m
+    17349U,	// INC64r
+    99269U,	// INC8m
+    17349U,	// INC8r
+    1343248126U,	// INSERTPSrm
+    1141921534U,	// INSERTPSrr
+    35705455U,	// INSERTQ
+    1713427055U,	// INSERTQI
+    22906U,	// INT
+    9582U,	// INT3
+    10335U,	// INTO
+    10028U,	// INVD
+    336615812U,	// INVEPT32
+    336615812U,	// INVEPT64
+    101597U,	// INVLPG
+    10943U,	// INVLPGA32
+    10960U,	// INVLPGA64
+    336610503U,	// INVPCID32
+    336610503U,	// INVPCID64
+    336610512U,	// INVVPID32
+    336610512U,	// INVVPID64
+    10705U,	// IRET16
+    10016U,	// IRET32
+    10453U,	// IRET64
+    36776U,	// ISTT_FP16m
+    69544U,	// ISTT_FP32m
+    85928U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -961,11 +998,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    39093U,	// IST_F16m
-    71861U,	// IST_F32m
-    36590U,	// IST_FP16m
-    69358U,	// IST_FP32m
-    85742U,	// IST_FP64m
+    39381U,	// IST_F16m
+    72149U,	// IST_F32m
+    36769U,	// IST_FP16m
+    69537U,	// IST_FP32m
+    85921U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -975,165 +1012,197 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    245540628U,	// Int_CMPSDrm
-    77784852U,	// Int_CMPSDrr
-    280143636U,	// Int_CMPSSrm
-    78833428U,	// Int_CMPSSrr
-    537938445U,	// Int_COMISDrm
-    135285261U,	// Int_COMISDrr
-    537941888U,	// Int_COMISSrm
-    135288704U,	// Int_COMISSrr
-    1209030348U,	// Int_CVTSD2SSrm
-    1209030348U,	// Int_CVTSD2SSrr
-    470829393U,	// Int_CVTSI2SD64rm
-    68176209U,	// Int_CVTSI2SD64rr
-    437274961U,	// Int_CVTSI2SDrm
-    68176209U,	// Int_CVTSI2SDrr
-    470832855U,	// Int_CVTSI2SS64rm
-    68179671U,	// Int_CVTSI2SS64rr
-    437278423U,	// Int_CVTSI2SSrm
-    68179671U,	// Int_CVTSI2SSrr
-    269502812U,	// Int_CVTSS2SDrm
-    68176220U,	// Int_CVTSS2SDrr
-    571493698U,	// Int_CVTTSD2SI64rm
-    135286082U,	// Int_CVTTSD2SI64rr
-    571493698U,	// Int_CVTTSD2SIrm
-    135286082U,	// Int_CVTTSD2SIrr
-    605048153U,	// Int_CVTTSS2SI64rm
-    135286105U,	// Int_CVTTSS2SI64rr
-    605048153U,	// Int_CVTTSS2SIrm
-    135286105U,	// Int_CVTTSS2SIrr
-    9413U,	// Int_MemBarrier
-    537938444U,	// Int_UCOMISDrm
-    135285260U,	// Int_UCOMISDrr
-    537941887U,	// Int_UCOMISSrm
-    135288703U,	// Int_UCOMISSrr
-    1218684696U,	// Int_VCMPSDrm
-    1218701080U,	// Int_VCMPSDrr
-    1219733272U,	// Int_VCMPSSrm
-    1219749656U,	// Int_VCMPSSrr
-    537938453U,	// Int_VCOMISDZrm
-    135285269U,	// Int_VCOMISDZrr
-    537938453U,	// Int_VCOMISDrm
-    135285269U,	// Int_VCOMISDrr
-    537941896U,	// Int_VCOMISSZrm
-    135288712U,	// Int_VCOMISSZrr
-    537941896U,	// Int_VCOMISSrm
-    135288712U,	// Int_VCOMISSrr
-    1209030347U,	// Int_VCVTSD2SSrm
-    1209030347U,	// Int_VCVTSD2SSrr
-    1209026896U,	// Int_VCVTSI2SD64rm
-    1209026896U,	// Int_VCVTSI2SD64rr
-    1209026896U,	// Int_VCVTSI2SDrm
-    1209026896U,	// Int_VCVTSI2SDrr
-    1209030358U,	// Int_VCVTSI2SS64rm
-    1209030358U,	// Int_VCVTSI2SS64rr
-    1209030358U,	// Int_VCVTSI2SSrm
-    1209030358U,	// Int_VCVTSI2SSrr
-    1209026907U,	// Int_VCVTSS2SDrm
-    1209026907U,	// Int_VCVTSS2SDrr
-    571493697U,	// Int_VCVTTSD2SI64rm
-    135286081U,	// Int_VCVTTSD2SI64rr
-    571493697U,	// Int_VCVTTSD2SIrm
-    135286081U,	// Int_VCVTTSD2SIrr
-    605048152U,	// Int_VCVTTSS2SI64rm
-    135286104U,	// Int_VCVTTSS2SI64rr
-    605048152U,	// Int_VCVTTSS2SIrm
-    135286104U,	// Int_VCVTTSS2SIrr
-    537938443U,	// Int_VUCOMISDZrm
-    135285259U,	// Int_VUCOMISDZrr
-    537938443U,	// Int_VUCOMISDrm
-    135285259U,	// Int_VUCOMISDrr
-    537941886U,	// Int_VUCOMISSZrm
-    135288702U,	// Int_VUCOMISSZrr
-    537941886U,	// Int_VUCOMISSrm
-    135288702U,	// Int_VUCOMISSrr
-    150406U,	// JAE_1
-    150406U,	// JAE_4
+    245540977U,	// Int_CMPSDrm
+    77785201U,	// Int_CMPSDrr
+    280143985U,	// Int_CMPSSrm
+    78833777U,	// Int_CMPSSrr
+    537938522U,	// Int_COMISDrm
+    135285338U,	// Int_COMISDrr
+    537942176U,	// Int_COMISSrm
+    135288992U,	// Int_COMISSrr
+    235952074U,	// Int_CVTSD2SSrm
+    68179914U,	// Int_CVTSD2SSrr
+    470829436U,	// Int_CVTSI2SD64rm
+    68176252U,	// Int_CVTSI2SD64rr
+    437275004U,	// Int_CVTSI2SDrm
+    68176252U,	// Int_CVTSI2SDrr
+    470833109U,	// Int_CVTSI2SS64rm
+    68179925U,	// Int_CVTSI2SS64rr
+    437278677U,	// Int_CVTSI2SSrm
+    68179925U,	// Int_CVTSI2SSrr
+    269502867U,	// Int_CVTSS2SDrm
+    68176275U,	// Int_CVTSS2SDrr
+    571493794U,	// Int_CVTTSD2SI64rm
+    135286178U,	// Int_CVTTSD2SI64rr
+    571493794U,	// Int_CVTTSD2SIrm
+    135286178U,	// Int_CVTTSD2SIrr
+    605048249U,	// Int_CVTTSS2SI64rm
+    135286201U,	// Int_CVTTSS2SI64rr
+    605048249U,	// Int_CVTTSS2SIrm
+    135286201U,	// Int_CVTTSS2SIrr
+    9762U,	// Int_MemBarrier
+    537938521U,	// Int_UCOMISDrm
+    135285337U,	// Int_UCOMISDrr
+    537942175U,	// Int_UCOMISSrm
+    135288991U,	// Int_UCOMISSrr
+    1218685045U,	// Int_VCMPSDrm
+    1218701429U,	// Int_VCMPSDrr
+    1219733621U,	// Int_VCMPSSrm
+    1219750005U,	// Int_VCMPSSrr
+    537938530U,	// Int_VCOMISDZrm
+    135285346U,	// Int_VCOMISDZrr
+    537938530U,	// Int_VCOMISDrm
+    135285346U,	// Int_VCOMISDrr
+    537942184U,	// Int_VCOMISSZrm
+    135289000U,	// Int_VCOMISSZrr
+    537942184U,	// Int_VCOMISSrm
+    135289000U,	// Int_VCOMISSrr
+    1209030601U,	// Int_VCVTSD2SSrm
+    1209030601U,	// Int_VCVTSD2SSrr
+    1209026939U,	// Int_VCVTSI2SD64Zrm
+    1209026939U,	// Int_VCVTSI2SD64Zrr
+    1209026939U,	// Int_VCVTSI2SD64rm
+    1209026939U,	// Int_VCVTSI2SD64rr
+    1209026939U,	// Int_VCVTSI2SDZrm
+    1209026939U,	// Int_VCVTSI2SDZrr
+    1209026939U,	// Int_VCVTSI2SDrm
+    1209026939U,	// Int_VCVTSI2SDrr
+    1209030612U,	// Int_VCVTSI2SS64Zrm
+    1209030612U,	// Int_VCVTSI2SS64Zrr
+    1209030612U,	// Int_VCVTSI2SS64rm
+    1209030612U,	// Int_VCVTSI2SS64rr
+    1209030612U,	// Int_VCVTSI2SSZrm
+    1209030612U,	// Int_VCVTSI2SSZrr
+    1209030612U,	// Int_VCVTSI2SSrm
+    1209030612U,	// Int_VCVTSI2SSrr
+    1209026962U,	// Int_VCVTSS2SDrm
+    1209026962U,	// Int_VCVTSS2SDrr
+    571493793U,	// Int_VCVTTSD2SI64Zrm
+    135286177U,	// Int_VCVTTSD2SI64Zrr
+    571493793U,	// Int_VCVTTSD2SI64rm
+    135286177U,	// Int_VCVTTSD2SI64rr
+    571493793U,	// Int_VCVTTSD2SIZrm
+    135286177U,	// Int_VCVTTSD2SIZrr
+    571493793U,	// Int_VCVTTSD2SIrm
+    135286177U,	// Int_VCVTTSD2SIrr
+    571493845U,	// Int_VCVTTSD2USI64Zrm
+    135286229U,	// Int_VCVTTSD2USI64Zrr
+    571493845U,	// Int_VCVTTSD2USIZrm
+    135286229U,	// Int_VCVTTSD2USIZrr
+    605048248U,	// Int_VCVTTSS2SI64Zrm
+    135286200U,	// Int_VCVTTSS2SI64Zrr
+    605048248U,	// Int_VCVTTSS2SI64rm
+    135286200U,	// Int_VCVTTSS2SI64rr
+    605048248U,	// Int_VCVTTSS2SIZrm
+    135286200U,	// Int_VCVTTSS2SIZrr
+    605048248U,	// Int_VCVTTSS2SIrm
+    135286200U,	// Int_VCVTTSS2SIrr
+    605048302U,	// Int_VCVTTSS2USI64Zrm
+    135286254U,	// Int_VCVTTSS2USI64Zrr
+    605048248U,	// Int_VCVTTSS2USIZrm
+    135286200U,	// Int_VCVTTSS2USIZrr
+    1209026950U,	// Int_VCVTUSI2SD64Zrm
+    1209026950U,	// Int_VCVTUSI2SD64Zrr
+    1209026950U,	// Int_VCVTUSI2SDZrm
+    1209026950U,	// Int_VCVTUSI2SDZrr
+    1209030623U,	// Int_VCVTUSI2SS64Zrm
+    1209030623U,	// Int_VCVTUSI2SS64Zrr
+    1209030623U,	// Int_VCVTUSI2SSZrm
+    1209030623U,	// Int_VCVTUSI2SSZrr
+    537938520U,	// Int_VUCOMISDZrm
+    135285336U,	// Int_VUCOMISDZrr
+    537938520U,	// Int_VUCOMISDrm
+    135285336U,	// Int_VUCOMISDrr
+    537942174U,	// Int_VUCOMISSZrm
+    135288990U,	// Int_VUCOMISSZrr
+    537942174U,	// Int_VUCOMISSrm
+    135288990U,	// Int_VUCOMISSrr
+    150496U,	// JAE_1
+    150496U,	// JAE_4
     147904U,	// JA_1
     147904U,	// JA_4
-    150426U,	// JBE_1
-    150426U,	// JBE_4
+    150516U,	// JBE_1
+    150516U,	// JBE_4
     148053U,	// JB_1
     148053U,	// JB_4
-    154758U,	// JCXZ
-    154751U,	// JECXZ_32
-    154751U,	// JECXZ_64
-    150482U,	// JE_1
-    150482U,	// JE_4
-    150453U,	// JGE_1
-    150453U,	// JGE_4
-    150655U,	// JG_1
-    150655U,	// JG_4
-    150486U,	// JLE_1
-    150486U,	// JLE_4
-    150927U,	// JL_1
-    150927U,	// JL_4
-    69259U,	// JMP32m
-    20107U,	// JMP32r
-    85643U,	// JMP64m
-    151847U,	// JMP64pcrel32
-    20107U,	// JMP64r
-    151179U,	// JMP_1
-    151179U,	// JMP_4
-    150506U,	// JNE_1
-    150506U,	// JNE_4
-    151095U,	// JNO_1
-    151095U,	// JNO_4
-    151207U,	// JNP_1
-    151207U,	// JNP_4
-    152299U,	// JNS_1
-    152299U,	// JNS_4
-    151091U,	// JO_1
-    151091U,	// JO_4
-    151162U,	// JP_1
-    151162U,	// JP_4
-    154764U,	// JRCXZ
-    152295U,	// JS_1
-    152295U,	// JS_4
-    1209031112U,	// KADDWrr
-    1209031324U,	// KANDNWrr
-    1209031127U,	// KANDWrr
-    135289855U,	// KMOVWkk
-    370170879U,	// KMOVWkm
-    135289855U,	// KMOVWkr
-    1088511U,	// KMOVWmk
-    135289855U,	// KMOVWrk
-    135289758U,	// KNOTWrr
-    135289795U,	// KORTESTWrr
-    1209031396U,	// KORWrr
+    155046U,	// JCXZ
+    155039U,	// JECXZ_32
+    155039U,	// JECXZ_64
+    150572U,	// JE_1
+    150572U,	// JE_4
+    150543U,	// JGE_1
+    150543U,	// JGE_4
+    150745U,	// JG_1
+    150745U,	// JG_4
+    150576U,	// JLE_1
+    150576U,	// JLE_4
+    151088U,	// JL_1
+    151088U,	// JL_4
+    69438U,	// JMP32m
+    20286U,	// JMP32r
+    85822U,	// JMP64m
+    152026U,	// JMP64pcrel32
+    20286U,	// JMP64r
+    151358U,	// JMP_1
+    151358U,	// JMP_4
+    150596U,	// JNE_1
+    150596U,	// JNE_4
+    151274U,	// JNO_1
+    151274U,	// JNO_4
+    151386U,	// JNP_1
+    151386U,	// JNP_4
+    152532U,	// JNS_1
+    152532U,	// JNS_4
+    151270U,	// JO_1
+    151270U,	// JO_4
+    151341U,	// JP_1
+    151341U,	// JP_4
+    155052U,	// JRCXZ
+    152528U,	// JS_1
+    152528U,	// JS_4
+    1209031400U,	// KADDWrr
+    1209031612U,	// KANDNWrr
+    1209031415U,	// KANDWrr
+    135290143U,	// KMOVWkk
+    370171167U,	// KMOVWkm
+    135290143U,	// KMOVWkr
+    1088799U,	// KMOVWmk
+    135290143U,	// KMOVWrk
+    135290046U,	// KNOTWrr
+    135290083U,	// KORTESTWrr
+    1209031684U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    1209031306U,	// KSHIFTLWri
-    1209031426U,	// KSHIFTRWri
-    135289787U,	// KTESTWrr
-    1209031016U,	// KUNPCKBWrr
-    1209031402U,	// KXNORWrr
-    1209031410U,	// KXORWrr
-    9792U,	// LAHF
-    370168361U,	// LAR16rm
-    135287337U,	// LAR16rr
-    370168361U,	// LAR32rm
-    135287337U,	// LAR32rr
-    370168361U,	// LAR64rm
-    135287337U,	// LAR64rr
-    1084534U,	// LCMPXCHG16
+    1209031594U,	// KSHIFTLWri
+    1209031714U,	// KSHIFTRWri
+    135290075U,	// KTESTWrr
+    1209031304U,	// KUNPCKBWrr
+    1209031690U,	// KXNORWrr
+    1209031698U,	// KXORWrr
+    10141U,	// LAHF
+    370168588U,	// LAR16rm
+    135287564U,	// LAR16rr
+    370168588U,	// LAR32rm
+    135287564U,	// LAR32rr
+    370168588U,	// LAR64rm
+    135287564U,	// LAR64rr
+    1084624U,	// LCMPXCHG16
     197106U,	// LCMPXCHG16B
-    1117302U,	// LCMPXCHG32
-    1133686U,	// LCMPXCHG64
-    1150070U,	// LCMPXCHG8
+    1117392U,	// LCMPXCHG32
+    1133776U,	// LCMPXCHG64
+    1150160U,	// LCMPXCHG8
     82430U,	// LCMPXCHG8B
-    336615650U,	// LDDQUrm
-    70285U,	// LDMXCSR
-    672158419U,	// LDS16rm
-    672158419U,	// LDS32rm
-    10666U,	// LD_F0
-    9108U,	// LD_F1
-    115913U,	// LD_F32m
-    132297U,	// LD_F64m
-    263369U,	// LD_F80m
+    336615938U,	// LDDQUrm
+    70512U,	// LDMXCSR
+    672158652U,	// LDS16rm
+    672158652U,	// LDS32rm
+    11015U,	// LD_F0
+    9457U,	// LD_F1
+    115935U,	// LD_F32m
+    132319U,	// LD_F64m
+    263391U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1146,72 +1215,72 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    17609U,	// LD_Frr
+    17631U,	// LD_Frr
     101728699U,	// LEA16r
     101728699U,	// LEA32r
     101728699U,	// LEA64_32r
     168837563U,	// LEA64r
-    9779U,	// LEAVE
-    9779U,	// LEAVE64
-    672158424U,	// LES16rm
-    672158424U,	// LES32rm
-    9695U,	// LFENCE
-    672158429U,	// LFS16rm
-    672158429U,	// LFS32rm
-    672158429U,	// LFS64rm
-    219154U,	// LGDT16m
-    219154U,	// LGDTm
-    672158434U,	// LGS16rm
-    672158434U,	// LGS32rm
-    672158434U,	// LGS64rm
-    219166U,	// LIDT16m
-    219166U,	// LIDTm
-    38954U,	// LLDT16m
-    22570U,	// LLDT16r
-    39759U,	// LMSW16m
-    23375U,	// LMSW16r
-    1082415U,	// LOCK_ADD16mi
-    1082415U,	// LOCK_ADD16mi8
-    1082415U,	// LOCK_ADD16mr
-    1115183U,	// LOCK_ADD32mi
-    1115183U,	// LOCK_ADD32mi8
-    1115183U,	// LOCK_ADD32mr
-    1131567U,	// LOCK_ADD64mi32
-    1131567U,	// LOCK_ADD64mi8
-    1131567U,	// LOCK_ADD64mr
-    1147951U,	// LOCK_ADD8mi
-    1147951U,	// LOCK_ADD8mr
-    1082661U,	// LOCK_AND16mi
-    1082661U,	// LOCK_AND16mi8
-    1082661U,	// LOCK_AND16mr
-    1115429U,	// LOCK_AND32mi
-    1115429U,	// LOCK_AND32mi8
-    1115429U,	// LOCK_AND32mr
-    1131813U,	// LOCK_AND64mi32
-    1131813U,	// LOCK_AND64mi8
-    1131813U,	// LOCK_AND64mr
-    1148197U,	// LOCK_AND8mi
-    1148197U,	// LOCK_AND8mr
+    10128U,	// LEAVE
+    10128U,	// LEAVE64
+    672158657U,	// LES16rm
+    672158657U,	// LES32rm
+    10044U,	// LFENCE
+    672158662U,	// LFS16rm
+    672158662U,	// LFS32rm
+    672158662U,	// LFS64rm
+    219442U,	// LGDT16m
+    219442U,	// LGDTm
+    672158667U,	// LGS16rm
+    672158667U,	// LGS32rm
+    672158667U,	// LGS64rm
+    219454U,	// LIDT16m
+    219454U,	// LIDTm
+    39242U,	// LLDT16m
+    22858U,	// LLDT16r
+    40047U,	// LMSW16m
+    23663U,	// LMSW16r
+    1082437U,	// LOCK_ADD16mi
+    1082437U,	// LOCK_ADD16mi8
+    1082437U,	// LOCK_ADD16mr
+    1115205U,	// LOCK_ADD32mi
+    1115205U,	// LOCK_ADD32mi8
+    1115205U,	// LOCK_ADD32mr
+    1131589U,	// LOCK_ADD64mi32
+    1131589U,	// LOCK_ADD64mi8
+    1131589U,	// LOCK_ADD64mr
+    1147973U,	// LOCK_ADD8mi
+    1147973U,	// LOCK_ADD8mr
+    1082683U,	// LOCK_AND16mi
+    1082683U,	// LOCK_AND16mi8
+    1082683U,	// LOCK_AND16mr
+    1115451U,	// LOCK_AND32mi
+    1115451U,	// LOCK_AND32mi8
+    1115451U,	// LOCK_AND32mr
+    1131835U,	// LOCK_AND64mi32
+    1131835U,	// LOCK_AND64mi8
+    1131835U,	// LOCK_AND64mr
+    1148219U,	// LOCK_AND8mi
+    1148219U,	// LOCK_AND8mr
     33688U,	// LOCK_DEC16m
     66456U,	// LOCK_DEC32m
     82840U,	// LOCK_DEC64m
     99224U,	// LOCK_DEC8m
-    33711U,	// LOCK_INC16m
-    66479U,	// LOCK_INC32m
-    82863U,	// LOCK_INC64m
-    99247U,	// LOCK_INC8m
-    1086048U,	// LOCK_OR16mi
-    1086048U,	// LOCK_OR16mi8
-    1086048U,	// LOCK_OR16mr
-    1118816U,	// LOCK_OR32mi
-    1118816U,	// LOCK_OR32mi8
-    1118816U,	// LOCK_OR32mr
-    1135200U,	// LOCK_OR64mi32
-    1135200U,	// LOCK_OR64mi8
-    1135200U,	// LOCK_OR64mr
-    1151584U,	// LOCK_OR8mi
-    1151584U,	// LOCK_OR8mr
-    9866U,	// LOCK_PREFIX
+    33733U,	// LOCK_INC16m
+    66501U,	// LOCK_INC32m
+    82885U,	// LOCK_INC64m
+    99269U,	// LOCK_INC8m
+    1086275U,	// LOCK_OR16mi
+    1086275U,	// LOCK_OR16mi8
+    1086275U,	// LOCK_OR16mr
+    1119043U,	// LOCK_OR32mi
+    1119043U,	// LOCK_OR32mi8
+    1119043U,	// LOCK_OR32mr
+    1135427U,	// LOCK_OR64mi32
+    1135427U,	// LOCK_OR64mi8
+    1135427U,	// LOCK_OR64mr
+    1151811U,	// LOCK_OR8mi
+    1151811U,	// LOCK_OR8mr
+    10215U,	// LOCK_PREFIX
     1082170U,	// LOCK_SUB16mi
     1082170U,	// LOCK_SUB16mi8
     1082170U,	// LOCK_SUB16mr
@@ -1223,501 +1292,493 @@
     1131322U,	// LOCK_SUB64mr
     1147706U,	// LOCK_SUB8mi
     1147706U,	// LOCK_SUB8mr
-    1086076U,	// LOCK_XOR16mi
-    1086076U,	// LOCK_XOR16mi8
-    1086076U,	// LOCK_XOR16mr
-    1118844U,	// LOCK_XOR32mi
-    1118844U,	// LOCK_XOR32mi8
-    1118844U,	// LOCK_XOR32mr
-    1135228U,	// LOCK_XOR64mi32
-    1135228U,	// LOCK_XOR64mi8
-    1135228U,	// LOCK_XOR64mr
-    1151612U,	// LOCK_XOR8mi
-    1151612U,	// LOCK_XOR8mr
-    9500U,	// LODSB
-    9629U,	// LODSD
-    10072U,	// LODSQ
-    10442U,	// LODSW
-    151232U,	// LOOP
-    150534U,	// LOOPE
-    150511U,	// LOOPNE
-    19563U,	// LRETI
-    19563U,	// LRETIW
-    9813U,	// LRETL
-    9813U,	// LRETQ
-    9813U,	// LRETW
-    370167228U,	// LSL16rm
-    135286204U,	// LSL16rr
-    101731772U,	// LSL32rm
-    135286204U,	// LSL32rr
-    168840636U,	// LSL64rm
-    135286204U,	// LSL64rr
-    672159636U,	// LSS16rm
-    672159636U,	// LSS32rm
-    672159636U,	// LSS64rm
-    37547U,	// LTRm
-    21163U,	// LTRr
-    279611U,	// LXADD16
-    295995U,	// LXADD32
-    312379U,	// LXADD64
-    328763U,	// LXADD8
-    370169932U,	// LZCNT16rm
-    135288908U,	// LZCNT16rr
-    101734476U,	// LZCNT32rm
-    135288908U,	// LZCNT32rr
-    168843340U,	// LZCNT64rm
-    135288908U,	// LZCNT64rr
-    135289066U,	// MASKMOVDQU
-    135289066U,	// MASKMOVDQU64
-    202393708U,	// MAXCPDrm
-    68175980U,	// MAXCPDrr
-    202397263U,	// MAXCPSrm
-    68179535U,	// MAXCPSrr
-    235948657U,	// MAXCSDrm
-    68176497U,	// MAXCSDrr
-    269506540U,	// MAXCSSrm
-    68179948U,	// MAXCSSrr
-    202393708U,	// MAXPDrm
-    68175980U,	// MAXPDrr
-    202397263U,	// MAXPSrm
-    68179535U,	// MAXPSrr
-    235948657U,	// MAXSDrm
-    235948657U,	// MAXSDrm_Int
-    68176497U,	// MAXSDrr
-    68176497U,	// MAXSDrr_Int
-    269506540U,	// MAXSSrm
-    269506540U,	// MAXSSrm_Int
-    68179948U,	// MAXSSrr
-    68179948U,	// MAXSSrr_Int
-    9702U,	// MFENCE
-    202393576U,	// MINCPDrm
-    68175848U,	// MINCPDrr
-    202397090U,	// MINCPSrm
-    68179362U,	// MINCPSrr
-    235948584U,	// MINCSDrm
-    68176424U,	// MINCSDrr
-    269506458U,	// MINCSSrm
-    68179866U,	// MINCSSrr
-    202393576U,	// MINPDrm
-    68175848U,	// MINPDrr
-    202397090U,	// MINPSrm
-    68179362U,	// MINPSrr
-    235948584U,	// MINSDrm
-    235948584U,	// MINSDrm_Int
-    68176424U,	// MINSDrr
-    68176424U,	// MINSDrr_Int
-    269506458U,	// MINSSrm
-    269506458U,	// MINSSrm_Int
-    68179866U,	// MINSSrr
-    68179866U,	// MINSSrr_Int
-    537939193U,	// MMX_CVTPD2PIirm
-    135286009U,	// MMX_CVTPD2PIirr
-    168838667U,	// MMX_CVTPI2PDirm
-    135284235U,	// MMX_CVTPI2PDirr
-    470832076U,	// MMX_CVTPI2PSirm
-    68178892U,	// MMX_CVTPI2PSirr
-    571493646U,	// MMX_CVTPS2PIirm
-    135286030U,	// MMX_CVTPS2PIirr
-    537939182U,	// MMX_CVTTPD2PIirm
-    135285998U,	// MMX_CVTTPD2PIirr
-    571493635U,	// MMX_CVTTPS2PIirm
-    135286019U,	// MMX_CVTTPS2PIirr
-    10299U,	// MMX_EMMS
-    135287267U,	// MMX_MASKMOVQ
-    135287267U,	// MMX_MASKMOVQ64
-    135285486U,	// MMX_MOVD64from64rr
-    135285486U,	// MMX_MOVD64grr
-    1116910U,	// MMX_MOVD64mr
-    101731054U,	// MMX_MOVD64rm
-    135285486U,	// MMX_MOVD64rr
-    135285486U,	// MMX_MOVD64rrv164
-    135285486U,	// MMX_MOVD64to64rr
-    135286614U,	// MMX_MOVDQ2Qrr
-    135286614U,	// MMX_MOVFR642Qrr
-    1134989U,	// MMX_MOVNTQmr
-    135286713U,	// MMX_MOVQ2DQrr
-    135286713U,	// MMX_MOVQ2FR64rr
-    1135079U,	// MMX_MOVQ64mr
-    168841703U,	// MMX_MOVQ64rm
-    135287271U,	// MMX_MOVQ64rr
-    101731054U,	// MMX_MOVZDI2PDIrm
-    135285486U,	// MMX_MOVZDI2PDIrr
+    1086303U,	// LOCK_XOR16mi
+    1086303U,	// LOCK_XOR16mi8
+    1086303U,	// LOCK_XOR16mr
+    1119071U,	// LOCK_XOR32mi
+    1119071U,	// LOCK_XOR32mi8
+    1119071U,	// LOCK_XOR32mr
+    1135455U,	// LOCK_XOR64mi32
+    1135455U,	// LOCK_XOR64mi8
+    1135455U,	// LOCK_XOR64mr
+    1151839U,	// LOCK_XOR8mi
+    1151839U,	// LOCK_XOR8mr
+    9849U,	// LODSB
+    9978U,	// LODSD
+    10421U,	// LODSQ
+    10791U,	// LODSW
+    151411U,	// LOOP
+    150624U,	// LOOPE
+    150601U,	// LOOPNE
+    19653U,	// LRETI
+    19653U,	// LRETIW
+    10162U,	// LRETL
+    10162U,	// LRETQ
+    10162U,	// LRETW
+    370167407U,	// LSL16rm
+    135286383U,	// LSL16rr
+    101731951U,	// LSL32rm
+    135286383U,	// LSL32rr
+    168840815U,	// LSL64rm
+    135286383U,	// LSL64rr
+    672159924U,	// LSS16rm
+    672159924U,	// LSS32rm
+    672159924U,	// LSS64rm
+    37774U,	// LTRm
+    21390U,	// LTRr
+    279633U,	// LXADD16
+    296017U,	// LXADD32
+    312401U,	// LXADD64
+    328785U,	// LXADD8
+    370170220U,	// LZCNT16rm
+    135289196U,	// LZCNT16rr
+    101734764U,	// LZCNT32rm
+    135289196U,	// LZCNT32rr
+    168843628U,	// LZCNT64rm
+    135289196U,	// LZCNT64rr
+    135289354U,	// MASKMOVDQU
+    135289354U,	// MASKMOVDQU64
+    202393751U,	// MAXCPDrm
+    68176023U,	// MAXCPDrr
+    202397517U,	// MAXCPSrm
+    68179789U,	// MAXCPSrr
+    235948734U,	// MAXCSDrm
+    68176574U,	// MAXCSDrr
+    269506828U,	// MAXCSSrm
+    68180236U,	// MAXCSSrr
+    202393751U,	// MAXPDrm
+    68176023U,	// MAXPDrr
+    202397517U,	// MAXPSrm
+    68179789U,	// MAXPSrr
+    235948734U,	// MAXSDrm
+    235948734U,	// MAXSDrm_Int
+    68176574U,	// MAXSDrr
+    68176574U,	// MAXSDrr_Int
+    269506828U,	// MAXSSrm
+    269506828U,	// MAXSSrm_Int
+    68180236U,	// MAXSSrr
+    68180236U,	// MAXSSrr_Int
+    10051U,	// MFENCE
+    202393620U,	// MINCPDrm
+    68175892U,	// MINCPDrr
+    202397345U,	// MINCPSrm
+    68179617U,	// MINCPSrr
+    235948661U,	// MINCSDrm
+    68176501U,	// MINCSDrr
+    269506746U,	// MINCSSrm
+    68180154U,	// MINCSSrr
+    202393620U,	// MINPDrm
+    68175892U,	// MINPDrr
+    202397345U,	// MINPSrm
+    68179617U,	// MINPSrr
+    235948661U,	// MINSDrm
+    235948661U,	// MINSDrm_Int
+    68176501U,	// MINSDrr
+    68176501U,	// MINSDrr_Int
+    269506746U,	// MINSSrm
+    269506746U,	// MINSSrm_Int
+    68180154U,	// MINSSrr
+    68180154U,	// MINSSrr_Int
+    537939289U,	// MMX_CVTPD2PIirm
+    135286105U,	// MMX_CVTPD2PIirr
+    168838689U,	// MMX_CVTPI2PDirm
+    135284257U,	// MMX_CVTPI2PDirr
+    470832309U,	// MMX_CVTPI2PSirm
+    68179125U,	// MMX_CVTPI2PSirr
+    571493742U,	// MMX_CVTPS2PIirm
+    135286126U,	// MMX_CVTPS2PIirr
+    537939278U,	// MMX_CVTTPD2PIirm
+    135286094U,	// MMX_CVTTPD2PIirr
+    571493731U,	// MMX_CVTTPS2PIirm
+    135286115U,	// MMX_CVTTPS2PIirr
+    10648U,	// MMX_EMMS
+    135287495U,	// MMX_MASKMOVQ
+    135287495U,	// MMX_MASKMOVQ64
+    135285576U,	// MMX_MOVD64from64rr
+    135285576U,	// MMX_MOVD64grr
+    1117000U,	// MMX_MOVD64mr
+    101731144U,	// MMX_MOVD64rm
+    135285576U,	// MMX_MOVD64rr
+    135285576U,	// MMX_MOVD64to64rr
+    135286793U,	// MMX_MOVDQ2Qrr
+    135286793U,	// MMX_MOVFR642Qrr
+    1135199U,	// MMX_MOVNTQmr
+    135286892U,	// MMX_MOVQ2DQrr
+    135286892U,	// MMX_MOVQ2FR64rr
+    1135307U,	// MMX_MOVQ64mr
+    168841931U,	// MMX_MOVQ64rm
+    135287499U,	// MMX_MOVQ64rr
     168837816U,	// MMX_PABSBrm64
     135283384U,	// MMX_PABSBrr64
-    168839603U,	// MMX_PABSDrm64
-    135285171U,	// MMX_PABSDrr64
-    168844054U,	// MMX_PABSWrm64
-    135289622U,	// MMX_PABSWrr64
-    470833641U,	// MMX_PACKSSDWirm
-    68180457U,	// MMX_PACKSSDWirr
+    168839680U,	// MMX_PABSDrm64
+    135285248U,	// MMX_PABSDrr64
+    168844342U,	// MMX_PABSWrm64
+    135289910U,	// MMX_PABSWrr64
+    470833929U,	// MMX_PACKSSDWirm
+    68180745U,	// MMX_PACKSSDWirr
     470827874U,	// MMX_PACKSSWBirm
     68174690U,	// MMX_PACKSSWBirr
     470827885U,	// MMX_PACKUSWBirm
     68174701U,	// MMX_PACKUSWBirr
     470827551U,	// MMX_PADDBirm
     68174367U,	// MMX_PADDBirr
-    470828107U,	// MMX_PADDDirm
-    68174923U,	// MMX_PADDDirr
-    470831076U,	// MMX_PADDQirm
-    68177892U,	// MMX_PADDQirr
+    470828129U,	// MMX_PADDDirm
+    68174945U,	// MMX_PADDDirr
+    470831255U,	// MMX_PADDQirm
+    68178071U,	// MMX_PADDQirr
     470827721U,	// MMX_PADDSBirm
     68174537U,	// MMX_PADDSBirr
-    470833991U,	// MMX_PADDSWirm
-    68180807U,	// MMX_PADDSWirr
+    470834279U,	// MMX_PADDSWirm
+    68181095U,	// MMX_PADDSWirr
     470827749U,	// MMX_PADDUSBirm
     68174565U,	// MMX_PADDUSBirr
-    470834050U,	// MMX_PADDUSWirm
-    68180866U,	// MMX_PADDUSWirr
-    470833616U,	// MMX_PADDWirm
-    68180432U,	// MMX_PADDWirr
-    1544573525U,	// MMX_PALIGNR64irm
-    1141920341U,	// MMX_PALIGNR64irr
-    470830614U,	// MMX_PANDNirm
-    68177430U,	// MMX_PANDNirr
-    470828324U,	// MMX_PANDirm
-    68175140U,	// MMX_PANDirr
+    470834338U,	// MMX_PADDUSWirm
+    68181154U,	// MMX_PADDUSWirr
+    470833904U,	// MMX_PADDWirm
+    68180720U,	// MMX_PADDWirr
+    1544573752U,	// MMX_PALIGNR64irm
+    1141920568U,	// MMX_PALIGNR64irr
+    470830793U,	// MMX_PANDNirm
+    68177609U,	// MMX_PANDNirr
+    470828346U,	// MMX_PANDirm
+    68175162U,	// MMX_PANDirr
     470827598U,	// MMX_PAVGBirm
     68174414U,	// MMX_PAVGBirr
-    470833708U,	// MMX_PAVGWirm
-    68180524U,	// MMX_PAVGWirr
+    470833996U,	// MMX_PAVGWirm
+    68180812U,	// MMX_PAVGWirr
     470827646U,	// MMX_PCMPEQBirm
     68174462U,	// MMX_PCMPEQBirr
-    470829181U,	// MMX_PCMPEQDirm
-    68175997U,	// MMX_PCMPEQDirr
-    470833838U,	// MMX_PCMPEQWirm
-    68180654U,	// MMX_PCMPEQWirr
+    470829224U,	// MMX_PCMPEQDirm
+    68176040U,	// MMX_PCMPEQDirr
+    470834126U,	// MMX_PCMPEQWirm
+    68180942U,	// MMX_PCMPEQWirr
     470827783U,	// MMX_PCMPGTBirm
     68174599U,	// MMX_PCMPGTBirr
-    470829706U,	// MMX_PCMPGTDirm
-    68176522U,	// MMX_PCMPGTDirr
-    470834069U,	// MMX_PCMPGTWirm
-    68180885U,	// MMX_PCMPGTWirr
-    1209031437U,	// MMX_PEXTRWirri
-    470833981U,	// MMX_PHADDSWrm64
-    68180797U,	// MMX_PHADDSWrr64
-    470833600U,	// MMX_PHADDWrm64
-    68180416U,	// MMX_PHADDWrr64
-    470828098U,	// MMX_PHADDrm64
-    68174914U,	// MMX_PHADDrr64
-    470828039U,	// MMX_PHSUBDrm64
-    68174855U,	// MMX_PHSUBDrr64
-    470833962U,	// MMX_PHSUBSWrm64
-    68180778U,	// MMX_PHSUBSWrr64
-    470833546U,	// MMX_PHSUBWrm64
-    68180362U,	// MMX_PHSUBWrr64
-    1477466874U,	// MMX_PINSRWirmi
-    1141922554U,	// MMX_PINSRWirri
-    470833950U,	// MMX_PMADDUBSWrm64
-    68180766U,	// MMX_PMADDUBSWrr64
-    470829840U,	// MMX_PMADDWDirm
-    68176656U,	// MMX_PMADDWDirr
-    470834060U,	// MMX_PMAXSWirm
-    68180876U,	// MMX_PMAXSWirr
+    470829796U,	// MMX_PCMPGTDirm
+    68176612U,	// MMX_PCMPGTDirr
+    470834357U,	// MMX_PCMPGTWirm
+    68181173U,	// MMX_PCMPGTWirr
+    1209031725U,	// MMX_PEXTRWirri
+    470834269U,	// MMX_PHADDSWrm64
+    68181085U,	// MMX_PHADDSWrr64
+    470833888U,	// MMX_PHADDWrm64
+    68180704U,	// MMX_PHADDWrr64
+    470828120U,	// MMX_PHADDrm64
+    68174936U,	// MMX_PHADDrr64
+    470828061U,	// MMX_PHSUBDrm64
+    68174877U,	// MMX_PHSUBDrr64
+    470834250U,	// MMX_PHSUBSWrm64
+    68181066U,	// MMX_PHSUBSWrr64
+    470833834U,	// MMX_PHSUBWrm64
+    68180650U,	// MMX_PHSUBWrr64
+    1477467162U,	// MMX_PINSRWirmi
+    1141922842U,	// MMX_PINSRWirri
+    470834238U,	// MMX_PMADDUBSWrm64
+    68181054U,	// MMX_PMADDUBSWrr64
+    470829930U,	// MMX_PMADDWDirm
+    68176746U,	// MMX_PMADDWDirr
+    470834348U,	// MMX_PMAXSWirm
+    68181164U,	// MMX_PMAXSWirr
     470827847U,	// MMX_PMAXUBirm
     68174663U,	// MMX_PMAXUBirr
-    470834012U,	// MMX_PMINSWirm
-    68180828U,	// MMX_PMINSWirr
+    470834300U,	// MMX_PMINSWirm
+    68181116U,	// MMX_PMINSWirr
     470827824U,	// MMX_PMINUBirm
     68174640U,	// MMX_PMINUBirr
     135283290U,	// MMX_PMOVMSKBrr
-    470834021U,	// MMX_PMULHRSWrm64
-    68180837U,	// MMX_PMULHRSWrr64
-    470834126U,	// MMX_PMULHUWirm
-    68180942U,	// MMX_PMULHUWirr
-    470833737U,	// MMX_PMULHWirm
-    68180553U,	// MMX_PMULHWirr
-    470833786U,	// MMX_PMULLWirm
-    68180602U,	// MMX_PMULLWirr
-    470831263U,	// MMX_PMULUDQirm
-    68178079U,	// MMX_PMULUDQirr
-    470831711U,	// MMX_PORirm
-    68178527U,	// MMX_PORirr
-    470833473U,	// MMX_PSADBWirm
-    68180289U,	// MMX_PSADBWirr
+    470834309U,	// MMX_PMULHRSWrm64
+    68181125U,	// MMX_PMULHRSWrr64
+    470834414U,	// MMX_PMULHUWirm
+    68181230U,	// MMX_PMULHUWirr
+    470834025U,	// MMX_PMULHWirm
+    68180841U,	// MMX_PMULHWirr
+    470834074U,	// MMX_PMULLWirm
+    68180890U,	// MMX_PMULLWirr
+    470831442U,	// MMX_PMULUDQirm
+    68178258U,	// MMX_PMULUDQirr
+    470831938U,	// MMX_PORirm
+    68178754U,	// MMX_PORirr
+    470833761U,	// MMX_PSADBWirm
+    68180577U,	// MMX_PSADBWirr
     470827589U,	// MMX_PSHUFBrm64
     68174405U,	// MMX_PSHUFBrr64
-    1242585635U,	// MMX_PSHUFWmi
-    1209031203U,	// MMX_PSHUFWri
+    1242585923U,	// MMX_PSHUFWmi
+    1209031491U,	// MMX_PSHUFWri
     470827637U,	// MMX_PSIGNBrm64
     68174453U,	// MMX_PSIGNBrr64
-    470828357U,	// MMX_PSIGNDrm64
-    68175173U,	// MMX_PSIGNDrr64
-    470833829U,	// MMX_PSIGNWrm64
-    68180645U,	// MMX_PSIGNWrr64
-    68175069U,	// MMX_PSLLDri
-    470828253U,	// MMX_PSLLDrm
-    68175069U,	// MMX_PSLLDrr
-    68178137U,	// MMX_PSLLQri
-    470831321U,	// MMX_PSLLQrm
-    68178137U,	// MMX_PSLLQrr
-    68180594U,	// MMX_PSLLWri
-    470833778U,	// MMX_PSLLWrm
-    68180594U,	// MMX_PSLLWrr
-    68174826U,	// MMX_PSRADri
-    470828010U,	// MMX_PSRADrm
-    68174826U,	// MMX_PSRADrr
-    68180270U,	// MMX_PSRAWri
-    470833454U,	// MMX_PSRAWrm
-    68180270U,	// MMX_PSRAWrr
-    68175086U,	// MMX_PSRLDri
-    470828270U,	// MMX_PSRLDrm
-    68175086U,	// MMX_PSRLDrr
-    68178145U,	// MMX_PSRLQri
-    470831329U,	// MMX_PSRLQrm
-    68178145U,	// MMX_PSRLQrr
-    68180611U,	// MMX_PSRLWri
-    470833795U,	// MMX_PSRLWrm
-    68180611U,	// MMX_PSRLWrr
+    470828379U,	// MMX_PSIGNDrm64
+    68175195U,	// MMX_PSIGNDrr64
+    470834117U,	// MMX_PSIGNWrm64
+    68180933U,	// MMX_PSIGNWrr64
+    68175091U,	// MMX_PSLLDri
+    470828275U,	// MMX_PSLLDrm
+    68175091U,	// MMX_PSLLDrr
+    68178316U,	// MMX_PSLLQri
+    470831500U,	// MMX_PSLLQrm
+    68178316U,	// MMX_PSLLQrr
+    68180882U,	// MMX_PSLLWri
+    470834066U,	// MMX_PSLLWrm
+    68180882U,	// MMX_PSLLWrr
+    68174848U,	// MMX_PSRADri
+    470828032U,	// MMX_PSRADrm
+    68174848U,	// MMX_PSRADrr
+    68180558U,	// MMX_PSRAWri
+    470833742U,	// MMX_PSRAWrm
+    68180558U,	// MMX_PSRAWrr
+    68175108U,	// MMX_PSRLDri
+    470828292U,	// MMX_PSRLDrm
+    68175108U,	// MMX_PSRLDrr
+    68178324U,	// MMX_PSRLQri
+    470831508U,	// MMX_PSRLQrm
+    68178324U,	// MMX_PSRLQrr
+    68180899U,	// MMX_PSRLWri
+    470834083U,	// MMX_PSRLWrm
+    68180899U,	// MMX_PSRLWrr
     470827543U,	// MMX_PSUBBirm
     68174359U,	// MMX_PSUBBirr
-    470828048U,	// MMX_PSUBDirm
-    68174864U,	// MMX_PSUBDirr
-    470830981U,	// MMX_PSUBQirm
-    68177797U,	// MMX_PSUBQirr
+    470828070U,	// MMX_PSUBDirm
+    68174886U,	// MMX_PSUBDirr
+    470831160U,	// MMX_PSUBQirm
+    68177976U,	// MMX_PSUBQirr
     470827712U,	// MMX_PSUBSBirm
     68174528U,	// MMX_PSUBSBirr
-    470833972U,	// MMX_PSUBSWirm
-    68180788U,	// MMX_PSUBSWirr
+    470834260U,	// MMX_PSUBSWirm
+    68181076U,	// MMX_PSUBSWirr
     470827739U,	// MMX_PSUBUSBirm
     68174555U,	// MMX_PSUBUSBirr
-    470834040U,	// MMX_PSUBUSWirm
-    68180856U,	// MMX_PSUBUSWirr
-    470833555U,	// MMX_PSUBWirm
-    68180371U,	// MMX_PSUBWirr
-    470833501U,	// MMX_PUNPCKHBWirm
-    68180317U,	// MMX_PUNPCKHBWirr
-    470831094U,	// MMX_PUNPCKHDQirm
-    68177910U,	// MMX_PUNPCKHDQirr
-    470829850U,	// MMX_PUNPCKHWDirm
-    68176666U,	// MMX_PUNPCKHWDirr
-    470833523U,	// MMX_PUNPCKLBWirm
-    68180339U,	// MMX_PUNPCKLBWirr
-    470831106U,	// MMX_PUNPCKLDQirm
-    68177922U,	// MMX_PUNPCKLDQirr
-    470829862U,	// MMX_PUNPCKLWDirm
-    68176678U,	// MMX_PUNPCKLWDirr
-    470831739U,	// MMX_PXORirm
-    68178555U,	// MMX_PXORirr
+    470834328U,	// MMX_PSUBUSWirm
+    68181144U,	// MMX_PSUBUSWirr
+    470833843U,	// MMX_PSUBWirm
+    68180659U,	// MMX_PSUBWirr
+    470833789U,	// MMX_PUNPCKHBWirm
+    68180605U,	// MMX_PUNPCKHBWirr
+    470831273U,	// MMX_PUNPCKHDQirm
+    68178089U,	// MMX_PUNPCKHDQirr
+    470829940U,	// MMX_PUNPCKHWDirm
+    68176756U,	// MMX_PUNPCKHWDirr
+    470833811U,	// MMX_PUNPCKLBWirm
+    68180627U,	// MMX_PUNPCKLBWirr
+    470831285U,	// MMX_PUNPCKLDQirm
+    68178101U,	// MMX_PUNPCKLDQirr
+    470829952U,	// MMX_PUNPCKLWDirm
+    68176768U,	// MMX_PUNPCKLWDirr
+    470831966U,	// MMX_PXORirm
+    68178782U,	// MMX_PXORirr
     0U,	// MONITOR
-    10130U,	// MONITORrrr
-    9914U,	// MONTMUL
+    10479U,	// MONITORrrr
+    10263U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    12933408U,	// MOV16ao16
-    1087776U,	// MOV16mi
-    1087776U,	// MOV16mr
-    1087776U,	// MOV16ms
-    351710U,	// MOV16o16a
-    135289120U,	// MOV16ri
-    370170144U,	// MOV16rm
-    135289120U,	// MOV16rr
-    135289120U,	// MOV16rr_REV
-    135289120U,	// MOV16rs
-    370170144U,	// MOV16sm
-    135289120U,	// MOV16sr
-    13998368U,	// MOV32ao32
-    135289120U,	// MOV32cr
-    135289120U,	// MOV32dr
-    1120544U,	// MOV32mi
-    1120544U,	// MOV32mr
-    1120544U,	// MOV32ms
-    368217U,	// MOV32o32a
+    12933696U,	// MOV16ao16
+    1088064U,	// MOV16mi
+    1088064U,	// MOV16mr
+    1088064U,	// MOV16ms
+    351998U,	// MOV16o16a
+    135289408U,	// MOV16ri
+    370170432U,	// MOV16rm
+    135289408U,	// MOV16rr
+    135289408U,	// MOV16rr_REV
+    135289408U,	// MOV16rs
+    370170432U,	// MOV16sm
+    135289408U,	// MOV16sr
+    13998656U,	// MOV32ao32
+    135289408U,	// MOV32cr
+    135289408U,	// MOV32dr
+    1120832U,	// MOV32mi
+    1120832U,	// MOV32mr
+    1120832U,	// MOV32ms
+    368505U,	// MOV32o32a
     0U,	// MOV32r0
-    135289120U,	// MOV32rc
-    135289120U,	// MOV32rd
-    135289120U,	// MOV32ri
+    135289408U,	// MOV32rc
+    135289408U,	// MOV32rd
+    135289408U,	// MOV32ri
     0U,	// MOV32ri64
-    101734688U,	// MOV32rm
-    135289120U,	// MOV32rr
-    135289120U,	// MOV32rr_REV
-    135289120U,	// MOV32rs
-    101734688U,	// MOV32sm
-    135289120U,	// MOV32sr
-    12931787U,	// MOV64ao16
-    13996747U,	// MOV64ao32
-    15061707U,	// MOV64ao64
-    16126667U,	// MOV64ao8
-    135289120U,	// MOV64cr
-    135289120U,	// MOV64dr
-    1136928U,	// MOV64mi32
-    1136928U,	// MOV64mr
-    1136928U,	// MOV64ms
-    351688U,	// MOV64o16a
-    368193U,	// MOV64o32a
-    384692U,	// MOV64o64a
-    400728U,	// MOV64o8a
-    135289120U,	// MOV64rc
-    135289120U,	// MOV64rd
-    135287499U,	// MOV64ri
-    135289120U,	// MOV64ri32
-    168843552U,	// MOV64rm
-    135289120U,	// MOV64rr
-    135289120U,	// MOV64rr_REV
-    135289120U,	// MOV64rs
-    168843552U,	// MOV64sm
-    135289120U,	// MOV64sr
-    135287271U,	// MOV64toPQIrr
-    168841703U,	// MOV64toSDrm
-    135287271U,	// MOV64toSDrr
-    16128288U,	// MOV8ao8
-    1153312U,	// MOV8mi
-    1153312U,	// MOV8mr
-    2148636960U,	// MOV8mr_NOREX
-    400750U,	// MOV8o8a
-    135289120U,	// MOV8ri
-    504387872U,	// MOV8rm
-    2651871520U,	// MOV8rm_NOREX
-    135289120U,	// MOV8rr
-    2282772768U,	// MOV8rr_NOREX
-    135289120U,	// MOV8rr_REV
-    411312U,	// MOVAPDmr
-    537937584U,	// MOVAPDrm
-    135284400U,	// MOVAPDrr
-    135284400U,	// MOVAPDrr_REV
-    414822U,	// MOVAPSmr
-    537941094U,	// MOVAPSrm
-    135287910U,	// MOVAPSrr
-    135287910U,	// MOVAPSrr_REV
-    1084327U,	// MOVBE16mr
-    370166695U,	// MOVBE16rm
-    1117095U,	// MOVBE32mr
-    101731239U,	// MOVBE32rm
-    1133479U,	// MOVBE64mr
-    168840103U,	// MOVBE64rm
-    571494142U,	// MOVDDUPrm
-    135286526U,	// MOVDDUPrr
-    101731054U,	// MOVDI2PDIrm
-    135285486U,	// MOVDI2PDIrr
-    101731054U,	// MOVDI2SSrm
-    135285486U,	// MOVDI2SSrr
+    101734976U,	// MOV32rm
+    135289408U,	// MOV32rr
+    135289408U,	// MOV32rr_REV
+    135289408U,	// MOV32rs
+    101734976U,	// MOV32sm
+    135289408U,	// MOV32sr
+    12932014U,	// MOV64ao16
+    13996974U,	// MOV64ao32
+    15061934U,	// MOV64ao64
+    16126894U,	// MOV64ao8
+    135289408U,	// MOV64cr
+    135289408U,	// MOV64dr
+    1137216U,	// MOV64mi32
+    1137216U,	// MOV64mr
+    1137216U,	// MOV64ms
+    351976U,	// MOV64o16a
+    368481U,	// MOV64o32a
+    384980U,	// MOV64o64a
+    401016U,	// MOV64o8a
+    135289408U,	// MOV64rc
+    135289408U,	// MOV64rd
+    135287726U,	// MOV64ri
+    135289408U,	// MOV64ri32
+    168843840U,	// MOV64rm
+    135289408U,	// MOV64rr
+    135289408U,	// MOV64rr_REV
+    135289408U,	// MOV64rs
+    168843840U,	// MOV64sm
+    135289408U,	// MOV64sr
+    135287499U,	// MOV64toPQIrr
+    168841931U,	// MOV64toSDrm
+    135287499U,	// MOV64toSDrr
+    16128576U,	// MOV8ao8
+    1153600U,	// MOV8mi
+    1153600U,	// MOV8mr
+    2148637248U,	// MOV8mr_NOREX
+    401038U,	// MOV8o8a
+    135289408U,	// MOV8ri
+    504388160U,	// MOV8rm
+    2651871808U,	// MOV8rm_NOREX
+    135289408U,	// MOV8rr
+    2282773056U,	// MOV8rr_NOREX
+    135289408U,	// MOV8rr_REV
+    411356U,	// MOVAPDmr
+    537937628U,	// MOVAPDrm
+    135284444U,	// MOVAPDrr
+    135284444U,	// MOVAPDrr_REV
+    415077U,	// MOVAPSmr
+    537941349U,	// MOVAPSrm
+    135288165U,	// MOVAPSrr
+    135288165U,	// MOVAPSrr_REV
+    1084417U,	// MOVBE16mr
+    370166785U,	// MOVBE16rm
+    1117185U,	// MOVBE32mr
+    101731329U,	// MOVBE32rm
+    1133569U,	// MOVBE64mr
+    168840193U,	// MOVBE64rm
+    571494321U,	// MOVDDUPrm
+    135286705U,	// MOVDDUPrr
+    101731144U,	// MOVDI2PDIrm
+    135285576U,	// MOVDI2PDIrr
+    101731144U,	// MOVDI2SSrm
+    135285576U,	// MOVDI2SSrr
     1245648U,	// MOVDQAmr
     336609744U,	// MOVDQArm
     135283152U,	// MOVDQArr
     135283152U,	// MOVDQArr_REV
-    1251566U,	// MOVDQUmr
-    336615662U,	// MOVDQUrm
-    135289070U,	// MOVDQUrr
-    135289070U,	// MOVDQUrr_REV
-    68179284U,	// MOVHLPSrr
-    1181576U,	// MOVHPDmr
-    235947912U,	// MOVHPDrm
-    1185088U,	// MOVHPSmr
-    235951424U,	// MOVHPSrm
-    68179254U,	// MOVLHPSrr
-    1181626U,	// MOVLPDmr
-    235947962U,	// MOVLPDrm
-    1185148U,	// MOVLPSmr
-    235951484U,	// MOVLPSrm
-    1135079U,	// MOVLQ128mr
-    135284625U,	// MOVMSKPDrr32
-    135284625U,	// MOVMSKPDrr64
-    135288137U,	// MOVMSKPSrr32
-    135288137U,	// MOVMSKPSrr64
+    1251854U,	// MOVDQUmr
+    336615950U,	// MOVDQUrm
+    135289358U,	// MOVDQUrr
+    135289358U,	// MOVDQUrr_REV
+    68179539U,	// MOVHLPSrr
+    1181620U,	// MOVHPDmr
+    235947956U,	// MOVHPDrm
+    1185343U,	// MOVHPSmr
+    235951679U,	// MOVHPSrm
+    68179509U,	// MOVLHPSrr
+    1181670U,	// MOVLPDmr
+    235948006U,	// MOVLPDrm
+    1185403U,	// MOVLPSmr
+    235951739U,	// MOVLPSrm
+    1135307U,	// MOVLQ128mr
+    135284669U,	// MOVMSKPDrr
+    135288392U,	// MOVMSKPSrr
     336609733U,	// MOVNTDQArm
-    413808U,	// MOVNTDQmr
-    1133941U,	// MOVNTI_64mr
-    1117557U,	// MOVNTImr
-    411688U,	// MOVNTPDmr
-    415222U,	// MOVNTPSmr
-    1182271U,	// MOVNTSD
-    1169329U,	// MOVNTSS
+    413987U,	// MOVNTDQmr
+    1134087U,	// MOVNTI_64mr
+    1117703U,	// MOVNTImr
+    411731U,	// MOVNTPDmr
+    415476U,	// MOVNTPSmr
+    1182348U,	// MOVNTSD
+    1169617U,	// MOVNTSS
     0U,	// MOVPC32r
-    1116910U,	// MOVPDI2DImr
-    135285486U,	// MOVPDI2DIrr
-    1135079U,	// MOVPQI2QImr
-    135287271U,	// MOVPQIto64rr
-    168841703U,	// MOVQI2PQIrm
-    135287271U,	// MOVQxrxr
-    9532U,	// MOVSB
-    9661U,	// MOVSD
-    1182312U,	// MOVSDmr
-    571492968U,	// MOVSDrm
-    68176488U,	// MOVSDrr
-    68176488U,	// MOVSDrr_REV
-    1135079U,	// MOVSDto64mr
-    135287271U,	// MOVSDto64rr
-    537939720U,	// MOVSHDUPrm
-    135286536U,	// MOVSHDUPrr
-    537939731U,	// MOVSLDUPrm
-    135286547U,	// MOVSLDUPrr
-    10098U,	// MOVSQ
-    1116910U,	// MOVSS2DImr
-    135285486U,	// MOVSS2DIrr
-    1169380U,	// MOVSSmr
-    605050852U,	// MOVSSrm
-    68179940U,	// MOVSSrr
-    68179940U,	// MOVSSrr_REV
-    10474U,	// MOVSW
-    504388721U,	// MOVSX16rm8
-    135289969U,	// MOVSX16rr8
-    370170993U,	// MOVSX32rm16
-    504388721U,	// MOVSX32rm8
-    135289969U,	// MOVSX32rr16
-    135289969U,	// MOVSX32rr8
-    370170993U,	// MOVSX64rm16
-    101731198U,	// MOVSX64rm32
-    504388721U,	// MOVSX64rm8
-    135289969U,	// MOVSX64rr16
-    135285630U,	// MOVSX64rr32
-    135289969U,	// MOVSX64rr8
-    411716U,	// MOVUPDmr
-    537937988U,	// MOVUPDrm
-    135284804U,	// MOVUPDrr
-    135284804U,	// MOVUPDrr_REV
-    415271U,	// MOVUPSmr
-    537941543U,	// MOVUPSrm
-    135288359U,	// MOVUPSrr
-    135288359U,	// MOVUPSrr_REV
-    101731054U,	// MOVZDI2PDIrm
-    135285486U,	// MOVZDI2PDIrr
-    336613863U,	// MOVZPQILo2PQIrm
-    135287271U,	// MOVZPQILo2PQIrr
-    168841703U,	// MOVZQI2PQIrm
-    135287271U,	// MOVZQI2PQIrr
-    504388728U,	// MOVZX16rm8
-    135289976U,	// MOVZX16rr8
-    504388728U,	// MOVZX32_NOREXrm8
-    135289976U,	// MOVZX32_NOREXrr8
-    370171000U,	// MOVZX32rm16
-    504388728U,	// MOVZX32rm8
-    135289976U,	// MOVZX32rr16
-    135289976U,	// MOVZX32rr8
-    370171000U,	// MOVZX64rm16_Q
-    504388728U,	// MOVZX64rm8_Q
-    135289976U,	// MOVZX64rr16_Q
-    135289976U,	// MOVZX64rr8_Q
-    1376803136U,	// MPSADBWrmi
-    1141922112U,	// MPSADBWrri
-    36297U,	// MUL16m
-    19913U,	// MUL16r
-    69065U,	// MUL32m
-    19913U,	// MUL32r
-    85449U,	// MUL64m
-    19913U,	// MUL64r
-    101833U,	// MUL8m
-    19913U,	// MUL8r
-    202393522U,	// MULPDrm
-    68175794U,	// MULPDrr
-    202397044U,	// MULPSrm
-    68179316U,	// MULPSrr
-    235948575U,	// MULSDrm
-    235948575U,	// MULSDrm_Int
-    68176415U,	// MULSDrr
-    68176415U,	// MULSDrr_Int
-    269506450U,	// MULSSrm
-    269506450U,	// MULSSrm_Int
-    68179858U,	// MULSSrr
-    68179858U,	// MULSSrr_Int
-    1209031726U,	// MULX32rm
-    1209031726U,	// MULX32rr
-    1209031726U,	// MULX64rm
-    1209031726U,	// MULX64rr
-    118216U,	// MUL_F32m
-    134600U,	// MUL_F64m
-    36302U,	// MUL_FI16m
-    69070U,	// MUL_FI32m
-    20094U,	// MUL_FPrST0
-    19912U,	// MUL_FST0r
+    1117000U,	// MOVPDI2DImr
+    135285576U,	// MOVPDI2DIrr
+    1135307U,	// MOVPQI2QImr
+    135287499U,	// MOVPQIto64rr
+    168841931U,	// MOVQI2PQIrm
+    9881U,	// MOVSB
+    10010U,	// MOVSD
+    1182389U,	// MOVSDmr
+    571493045U,	// MOVSDrm
+    68176565U,	// MOVSDrr
+    68176565U,	// MOVSDrr_REV
+    1135307U,	// MOVSDto64mr
+    135287499U,	// MOVSDto64rr
+    537939899U,	// MOVSHDUPrm
+    135286715U,	// MOVSHDUPrr
+    537939910U,	// MOVSLDUPrm
+    135286726U,	// MOVSLDUPrr
+    10447U,	// MOVSQ
+    1117000U,	// MOVSS2DImr
+    135285576U,	// MOVSS2DIrr
+    1169668U,	// MOVSSmr
+    605051140U,	// MOVSSrm
+    68180228U,	// MOVSSrr
+    68180228U,	// MOVSSrr_REV
+    10823U,	// MOVSW
+    504389009U,	// MOVSX16rm8
+    135290257U,	// MOVSX16rr8
+    370171281U,	// MOVSX32rm16
+    504389009U,	// MOVSX32rm8
+    135290257U,	// MOVSX32rr16
+    135290257U,	// MOVSX32rr8
+    370171281U,	// MOVSX64rm16
+    101731288U,	// MOVSX64rm32
+    504389009U,	// MOVSX64rm8
+    135290257U,	// MOVSX64rr16
+    135285720U,	// MOVSX64rr32
+    135290257U,	// MOVSX64rr8
+    411759U,	// MOVUPDmr
+    537938031U,	// MOVUPDrm
+    135284847U,	// MOVUPDrr
+    135284847U,	// MOVUPDrr_REV
+    415525U,	// MOVUPSmr
+    537941797U,	// MOVUPSrm
+    135288613U,	// MOVUPSrr
+    135288613U,	// MOVUPSrr_REV
+    336614091U,	// MOVZPQILo2PQIrm
+    135287499U,	// MOVZPQILo2PQIrr
+    168841931U,	// MOVZQI2PQIrm
+    135287499U,	// MOVZQI2PQIrr
+    504389016U,	// MOVZX16rm8
+    135290264U,	// MOVZX16rr8
+    504389016U,	// MOVZX32_NOREXrm8
+    135290264U,	// MOVZX32_NOREXrr8
+    370171288U,	// MOVZX32rm16
+    504389016U,	// MOVZX32rm8
+    135290264U,	// MOVZX32rr16
+    135290264U,	// MOVZX32rr8
+    370171288U,	// MOVZX64rm16_Q
+    504389016U,	// MOVZX64rm8_Q
+    135290264U,	// MOVZX64rr16_Q
+    135290264U,	// MOVZX64rr8_Q
+    1376803424U,	// MPSADBWrmi
+    1141922400U,	// MPSADBWrri
+    36476U,	// MUL16m
+    20092U,	// MUL16r
+    69244U,	// MUL32m
+    20092U,	// MUL32r
+    85628U,	// MUL64m
+    20092U,	// MUL64r
+    102012U,	// MUL8m
+    20092U,	// MUL8r
+    202393566U,	// MULPDrm
+    68175838U,	// MULPDrr
+    202397299U,	// MULPSrm
+    68179571U,	// MULPSrr
+    235948652U,	// MULSDrm
+    235948652U,	// MULSDrm_Int
+    68176492U,	// MULSDrr
+    68176492U,	// MULSDrr_Int
+    269506738U,	// MULSSrm
+    269506738U,	// MULSSrm_Int
+    68180146U,	// MULSSrr
+    68180146U,	// MULSSrr_Int
+    1209032014U,	// MULX32rm
+    1209032014U,	// MULX32rr
+    1209032014U,	// MULX64rm
+    1209032014U,	// MULX64rr
+    118395U,	// MUL_F32m
+    134779U,	// MUL_F64m
+    36481U,	// MUL_FI16m
+    69249U,	// MUL_FI32m
+    20273U,	// MUL_FPrST0
+    20091U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1732,192 +1793,193 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    7359944U,	// MUL_FrST0
-    10368U,	// MWAITrr
-    35953U,	// NEG16m
-    19569U,	// NEG16r
-    68721U,	// NEG32m
-    19569U,	// NEG32r
-    85105U,	// NEG64m
-    19569U,	// NEG64r
-    101489U,	// NEG8m
-    19569U,	// NEG8r
-    10014U,	// NOOP
-    69307U,	// NOOPL
-    36539U,	// NOOPW
-    39007U,	// NOT16m
-    22623U,	// NOT16r
-    71775U,	// NOT32m
-    22623U,	// NOT32r
-    88159U,	// NOT64m
-    22623U,	// NOT64r
-    104543U,	// NOT8m
-    22623U,	// NOT8r
-    24000U,	// OR16i16
-    1086048U,	// OR16mi
-    1086048U,	// OR16mi8
-    1086048U,	// OR16mr
-    35705440U,	// OR16ri
-    35705440U,	// OR16ri8
-    3199584U,	// OR16rm
-    35705440U,	// OR16rr
-    68178528U,	// OR16rr_REV
-    24120U,	// OR32i32
-    1118816U,	// OR32mi
-    1118816U,	// OR32mi8
-    1118816U,	// OR32mr
-    1118816U,	// OR32mrLocked
-    35705440U,	// OR32ri
-    35705440U,	// OR32ri8
-    4248160U,	// OR32rm
-    35705440U,	// OR32rr
-    68178528U,	// OR32rr_REV
-    24235U,	// OR64i32
-    1135200U,	// OR64mi32
-    1135200U,	// OR64mi8
-    1135200U,	// OR64mr
-    35705440U,	// OR64ri32
-    35705440U,	// OR64ri8
-    5296736U,	// OR64rm
-    35705440U,	// OR64rr
-    68178528U,	// OR64rr_REV
-    23888U,	// OR8i8
-    1151584U,	// OR8mi
-    1151584U,	// OR8mr
-    35705440U,	// OR8ri
-    6345312U,	// OR8rm
-    35705440U,	// OR8rr
-    68178528U,	// OR8rr_REV
-    202393625U,	// ORPDrm
-    68175897U,	// ORPDrr
-    202397147U,	// ORPSrm
-    68179419U,	// ORPSrr
-    12605654U,	// OUT16ir
-    10496U,	// OUT16rr
-    13654230U,	// OUT32ir
-    10550U,	// OUT32rr
-    15751382U,	// OUT8ir
-    9871U,	// OUT8rr
-    9522U,	// OUTSB
-    9651U,	// OUTSD
-    10464U,	// OUTSW
+    7360123U,	// MUL_FrST0
+    10717U,	// MWAITrr
+    36043U,	// NEG16m
+    19659U,	// NEG16r
+    68811U,	// NEG32m
+    19659U,	// NEG32r
+    85195U,	// NEG64m
+    19659U,	// NEG64r
+    101579U,	// NEG8m
+    19659U,	// NEG8r
+    10363U,	// NOOP
+    69486U,	// NOOPL
+    36718U,	// NOOPW
+    39295U,	// NOT16m
+    22911U,	// NOT16r
+    72063U,	// NOT32m
+    22911U,	// NOT32r
+    88447U,	// NOT64m
+    22911U,	// NOT64r
+    104831U,	// NOT8m
+    22911U,	// NOT8r
+    24288U,	// OR16i16
+    1086275U,	// OR16mi
+    1086275U,	// OR16mi8
+    1086275U,	// OR16mr
+    35705667U,	// OR16ri
+    35705667U,	// OR16ri8
+    3199811U,	// OR16rm
+    35705667U,	// OR16rr
+    68178755U,	// OR16rr_REV
+    24408U,	// OR32i32
+    1119043U,	// OR32mi
+    1119043U,	// OR32mi8
+    1119043U,	// OR32mr
+    1119043U,	// OR32mrLocked
+    35705667U,	// OR32ri
+    35705667U,	// OR32ri8
+    4248387U,	// OR32rm
+    35705667U,	// OR32rr
+    68178755U,	// OR32rr_REV
+    24523U,	// OR64i32
+    1135427U,	// OR64mi32
+    1135427U,	// OR64mi8
+    1135427U,	// OR64mr
+    35705667U,	// OR64ri32
+    35705667U,	// OR64ri8
+    5296963U,	// OR64rm
+    35705667U,	// OR64rr
+    68178755U,	// OR64rr_REV
+    24176U,	// OR8i8
+    1151811U,	// OR8mi
+    1151811U,	// OR8mr
+    35705667U,	// OR8ri
+    6345539U,	// OR8rm
+    35705667U,	// OR8rr
+    68178755U,	// OR8rr_REV
+    202393668U,	// ORPDrm
+    68175940U,	// ORPDrr
+    202397401U,	// ORPSrm
+    68179673U,	// ORPSrr
+    12605942U,	// OUT16ir
+    10845U,	// OUT16rr
+    13654518U,	// OUT32ir
+    10899U,	// OUT32rr
+    15751670U,	// OUT8ir
+    10220U,	// OUT8rr
+    9871U,	// OUTSB
+    10000U,	// OUTSD
+    10813U,	// OUTSW
     336609976U,	// PABSBrm128
     135283384U,	// PABSBrr128
-    336611763U,	// PABSDrm128
-    135285171U,	// PABSDrr128
-    336616214U,	// PABSWrm128
-    135289622U,	// PABSWrr128
-    303061481U,	// PACKSSDWrm
-    68180457U,	// PACKSSDWrr
+    336611840U,	// PABSDrm128
+    135285248U,	// PABSDrr128
+    336616502U,	// PABSWrm128
+    135289910U,	// PABSWrr128
+    303061769U,	// PACKSSDWrm
+    68180745U,	// PACKSSDWrr
     303055714U,	// PACKSSWBrm
     68174690U,	// PACKSSWBrr
-    303061492U,	// PACKUSDWrm
-    68180468U,	// PACKUSDWrr
+    303061780U,	// PACKUSDWrm
+    68180756U,	// PACKUSDWrr
     303055725U,	// PACKUSWBrm
     68174701U,	// PACKUSWBrr
     303055391U,	// PADDBrm
     68174367U,	// PADDBrr
-    303055947U,	// PADDDrm
-    68174923U,	// PADDDrr
-    303058916U,	// PADDQrm
-    68177892U,	// PADDQrr
+    303055969U,	// PADDDrm
+    68174945U,	// PADDDrr
+    303059095U,	// PADDQrm
+    68178071U,	// PADDQrr
     303055561U,	// PADDSBrm
     68174537U,	// PADDSBrr
-    303061831U,	// PADDSWrm
-    68180807U,	// PADDSWrr
+    303062119U,	// PADDSWrm
+    68181095U,	// PADDSWrr
     303055589U,	// PADDUSBrm
     68174565U,	// PADDUSBrr
-    303061890U,	// PADDUSWrm
-    68180866U,	// PADDUSWrr
-    303061456U,	// PADDWrm
-    68180432U,	// PADDWrr
-    1376801365U,	// PALIGNR128rm
-    1141920341U,	// PALIGNR128rr
-    303058454U,	// PANDNrm
-    68177430U,	// PANDNrr
-    303056164U,	// PANDrm
-    68175140U,	// PANDrr
-    9773U,	// PAUSE
+    303062178U,	// PADDUSWrm
+    68181154U,	// PADDUSWrr
+    303061744U,	// PADDWrm
+    68180720U,	// PADDWrr
+    1376801592U,	// PALIGNR128rm
+    1141920568U,	// PALIGNR128rr
+    303058633U,	// PANDNrm
+    68177609U,	// PANDNrr
+    303056186U,	// PANDrm
+    68175162U,	// PANDrr
+    10122U,	// PAUSE
     303055438U,	// PAVGBrm
     68174414U,	// PAVGBrr
     470827758U,	// PAVGUSBrm
     68174574U,	// PAVGUSBrr
-    303061548U,	// PAVGWrm
-    68180524U,	// PAVGWrr
+    303061836U,	// PAVGWrm
+    68180812U,	// PAVGWrr
     303055696U,	// PBLENDVBrm0
     68174672U,	// PBLENDVBrr0
-    1376803295U,	// PBLENDWrmi
-    1141922271U,	// PBLENDWrri
-    1376800843U,	// PCLMULQDQrm
-    1141919819U,	// PCLMULQDQrr
+    1376803583U,	// PBLENDWrmi
+    1141922559U,	// PBLENDWrri
+    1376801022U,	// PCLMULQDQrm
+    1141919998U,	// PCLMULQDQrr
     303055486U,	// PCMPEQBrm
     68174462U,	// PCMPEQBrr
-    303057021U,	// PCMPEQDrm
-    68175997U,	// PCMPEQDrr
-    303059246U,	// PCMPEQQrm
-    68178222U,	// PCMPEQQrr
-    303061678U,	// PCMPEQWrm
-    68180654U,	// PCMPEQWrr
+    303057064U,	// PCMPEQDrm
+    68176040U,	// PCMPEQDrr
+    303059425U,	// PCMPEQQrm
+    68178401U,	// PCMPEQQrr
+    303061966U,	// PCMPEQWrm
+    68180942U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    1410354474U,	// PCMPESTRIrm
-    1209027882U,	// PCMPESTRIrr
+    1410354570U,	// PCMPESTRIrm
+    1209027978U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    1410354686U,	// PCMPESTRM128rm
-    1209028094U,	// PCMPESTRM128rr
+    1410354865U,	// PCMPESTRM128rm
+    1209028273U,	// PCMPESTRM128rr
     303055623U,	// PCMPGTBrm
     68174599U,	// PCMPGTBrr
-    303057546U,	// PCMPGTDrm
-    68176522U,	// PCMPGTDrr
-    303059332U,	// PCMPGTQrm
-    68178308U,	// PCMPGTQrr
-    303061909U,	// PCMPGTWrm
-    68180885U,	// PCMPGTWrr
+    303057636U,	// PCMPGTDrm
+    68176612U,	// PCMPGTDrr
+    303059542U,	// PCMPGTQrm
+    68178518U,	// PCMPGTQrr
+    303062197U,	// PCMPGTWrm
+    68181173U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    1410354486U,	// PCMPISTRIrm
-    1209027894U,	// PCMPISTRIrr
+    1410354582U,	// PCMPISTRIrm
+    1209027990U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    1410354698U,	// PCMPISTRM128rm
-    1209028106U,	// PCMPISTRM128rr
-    1209028212U,	// PDEP32rm
-    1209028212U,	// PDEP32rr
-    1209028212U,	// PDEP64rm
-    1209028212U,	// PDEP64rr
-    1209030875U,	// PEXT32rm
-    1209030875U,	// PEXT32rr
-    1209030875U,	// PEXT64rm
-    1209030875U,	// PEXT64rr
+    1410354877U,	// PCMPISTRM128rm
+    1209028285U,	// PCMPISTRM128rr
+    1209028391U,	// PDEP32rm
+    1209028391U,	// PDEP32rr
+    1209028391U,	// PDEP64rm
+    1209028391U,	// PDEP64rr
+    1209031163U,	// PEXT32rm
+    1209031163U,	// PEXT32rr
+    1209031163U,	// PEXT64rm
+    1209031163U,	// PEXT64rr
     1074889391U,	// PEXTRBmr
     1209025199U,	// PEXTRBrr
-    1074858204U,	// PEXTRDmr
-    1209026780U,	// PEXTRDrr
-    1074876787U,	// PEXTRQmr
-    1209028979U,	// PEXTRQrr
-    1074830093U,	// PEXTRWmr
-    1209031437U,	// PEXTRWri
-    168838314U,	// PF2IDrm
-    135283882U,	// PF2IDrr
-    168843857U,	// PF2IWrm
-    135289425U,	// PF2IWrr
+    1074858247U,	// PEXTRDmr
+    1209026823U,	// PEXTRDrr
+    1074876966U,	// PEXTRQmr
+    1209029158U,	// PEXTRQrr
+    1074830381U,	// PEXTRWmr
+    1209031725U,	// PEXTRWri
+    1209031725U,	// PEXTRWrr_REV
+    168838336U,	// PF2IDrm
+    135283904U,	// PF2IDrr
+    168844145U,	// PF2IWrm
+    135289713U,	// PF2IWrr
     470827895U,	// PFACCrm
     68174711U,	// PFACCrr
-    470828077U,	// PFADDrm
-    68174893U,	// PFADDrr
-    470831294U,	// PFCMPEQrm
-    68178110U,	// PFCMPEQrr
-    470830010U,	// PFCMPGErm
-    68176826U,	// PFCMPGErr
-    470833211U,	// PFCMPGTrm
-    68180027U,	// PFCMPGTrr
-    470834203U,	// PFMAXrm
-    68181019U,	// PFMAXrr
-    470830629U,	// PFMINrm
-    68177445U,	// PFMINrr
-    470830535U,	// PFMULrm
-    68177351U,	// PFMULrr
+    470828099U,	// PFADDrm
+    68174915U,	// PFADDrr
+    470831473U,	// PFCMPEQrm
+    68178289U,	// PFCMPEQrr
+    470830100U,	// PFCMPGErm
+    68176916U,	// PFCMPGErr
+    470833499U,	// PFCMPGTrm
+    68180315U,	// PFCMPGTrr
+    470834491U,	// PFMAXrm
+    68181307U,	// PFMAXrr
+    470830808U,	// PFMINrm
+    68177624U,	// PFMINrr
+    470830714U,	// PFMULrm
+    68177530U,	// PFMULrr
     470827902U,	// PFNACCrm
     68174718U,	// PFNACCrr
     470827910U,	// PFPNACCrm
@@ -1926,459 +1988,459 @@
     68173871U,	// PFRCPIT1rr
     470827151U,	// PFRCPIT2rm
     68173967U,	// PFRCPIT2rr
-    168840806U,	// PFRCPrm
-    135286374U,	// PFRCPrr
+    168840985U,	// PFRCPrm
+    135286553U,	// PFRCPrr
     470827065U,	// PFRSQIT1rm
     68173881U,	// PFRSQIT1rr
-    168843390U,	// PFRSQRTrm
-    135288958U,	// PFRSQRTrr
-    470831667U,	// PFSUBRrm
-    68178483U,	// PFSUBRrr
+    168843678U,	// PFRSQRTrm
+    135289246U,	// PFRSQRTrr
+    470831894U,	// PFSUBRrm
+    68178710U,	// PFSUBRrr
     470827832U,	// PFSUBrm
     68174648U,	// PFSUBrr
-    303055938U,	// PHADDDrm
-    68174914U,	// PHADDDrr
-    303061821U,	// PHADDSWrm128
-    68180797U,	// PHADDSWrr128
-    303061440U,	// PHADDWrm
-    68180416U,	// PHADDWrr
-    336616426U,	// PHMINPOSUWrm128
-    135289834U,	// PHMINPOSUWrr128
-    303055879U,	// PHSUBDrm
-    68174855U,	// PHSUBDrr
-    303061802U,	// PHSUBSWrm128
-    68180778U,	// PHSUBSWrr128
-    303061386U,	// PHSUBWrm
-    68180362U,	// PHSUBWrr
-    168838298U,	// PI2FDrm
-    135283866U,	// PI2FDrr
-    168843804U,	// PI2FWrm
-    135289372U,	// PI2FWrr
+    303055960U,	// PHADDDrm
+    68174936U,	// PHADDDrr
+    303062109U,	// PHADDSWrm128
+    68181085U,	// PHADDSWrr128
+    303061728U,	// PHADDWrm
+    68180704U,	// PHADDWrr
+    336616714U,	// PHMINPOSUWrm128
+    135290122U,	// PHMINPOSUWrr128
+    303055901U,	// PHSUBDrm
+    68174877U,	// PHSUBDrr
+    303062090U,	// PHSUBSWrm128
+    68181066U,	// PHSUBSWrr128
+    303061674U,	// PHSUBWrm
+    68180650U,	// PHSUBWrr
+    168838320U,	// PI2FDrm
+    135283888U,	// PI2FDrr
+    168844092U,	// PI2FWrm
+    135289660U,	// PI2FWrr
     705708710U,	// PINSRBrm
     1141916326U,	// PINSRBrr
-    1511016659U,	// PINSRDrm
-    1141917907U,	// PINSRDrr
-    1544573290U,	// PINSRQrm
-    1141920106U,	// PINSRQrr
-    1477466874U,	// PINSRWrmi
-    1141922554U,	// PINSRWrri
-    303061790U,	// PMADDUBSWrm128
-    68180766U,	// PMADDUBSWrr128
-    303057680U,	// PMADDWDrm
-    68176656U,	// PMADDWDrr
+    1511016702U,	// PINSRDrm
+    1141917950U,	// PINSRDrr
+    1544573469U,	// PINSRQrm
+    1141920285U,	// PINSRQrr
+    1477467162U,	// PINSRWrmi
+    1141922842U,	// PINSRWrri
+    303062078U,	// PMADDUBSWrm128
+    68181054U,	// PMADDUBSWrr128
+    303057770U,	// PMADDWDrm
+    68176746U,	// PMADDWDrr
     303055608U,	// PMAXSBrm
     68174584U,	// PMAXSBrr
-    303057520U,	// PMAXSDrm
-    68176496U,	// PMAXSDrr
-    303061900U,	// PMAXSWrm
-    68180876U,	// PMAXSWrr
+    303057597U,	// PMAXSDrm
+    68176573U,	// PMAXSDrr
+    303062188U,	// PMAXSWrm
+    68181164U,	// PMAXSWrr
     303055687U,	// PMAXUBrm
     68174663U,	// PMAXUBrr
-    303057605U,	// PMAXUDrm
-    68176581U,	// PMAXUDrr
-    303062007U,	// PMAXUWrm
-    68180983U,	// PMAXUWrr
+    303057695U,	// PMAXUDrm
+    68176671U,	// PMAXUDrr
+    303062295U,	// PMAXUWrm
+    68181271U,	// PMAXUWrr
     303055570U,	// PMINSBrm
     68174546U,	// PMINSBrr
-    303057447U,	// PMINSDrm
-    68176423U,	// PMINSDrr
-    303061852U,	// PMINSWrm
-    68180828U,	// PMINSWrr
+    303057524U,	// PMINSDrm
+    68176500U,	// PMINSDrr
+    303062140U,	// PMINSWrm
+    68181116U,	// PMINSWrr
     303055664U,	// PMINUBrm
     68174640U,	// PMINUBrr
-    303057587U,	// PMINUDrm
-    68176563U,	// PMINUDrr
-    303061985U,	// PMINUWrm
-    68180961U,	// PMINUWrr
+    303057677U,	// PMINUDrm
+    68176653U,	// PMINUDrr
+    303062273U,	// PMINUWrm
+    68181249U,	// PMINUWrr
     135283290U,	// PMOVMSKBrr
-    101729304U,	// PMOVSXBDrm
-    135283736U,	// PMOVSXBDrr
-    370167693U,	// PMOVSXBQrm
-    135286669U,	// PMOVSXBQrr
-    168843675U,	// PMOVSXBWrm
-    135289243U,	// PMOVSXBWrr
-    168841385U,	// PMOVSXDQrm
-    135286953U,	// PMOVSXDQrr
-    168840041U,	// PMOVSXWDrm
-    135285609U,	// PMOVSXWDrr
-    101732875U,	// PMOVSXWQrm
-    135287307U,	// PMOVSXWQrr
-    101729315U,	// PMOVZXBDrm
-    135283747U,	// PMOVZXBDrr
-    370167704U,	// PMOVZXBQrm
-    135286680U,	// PMOVZXBQrr
-    168843686U,	// PMOVZXBWrm
-    135289254U,	// PMOVZXBWrr
-    168841396U,	// PMOVZXDQrm
-    135286964U,	// PMOVZXDQrr
-    168840052U,	// PMOVZXWDrm
-    135285620U,	// PMOVZXWDrr
-    101732886U,	// PMOVZXWQrm
-    135287318U,	// PMOVZXWQrr
-    303058976U,	// PMULDQrm
-    68177952U,	// PMULDQrr
-    303061861U,	// PMULHRSWrm128
-    68180837U,	// PMULHRSWrr128
-    470833883U,	// PMULHRWrm
-    68180699U,	// PMULHRWrr
-    303061966U,	// PMULHUWrm
-    68180942U,	// PMULHUWrr
-    303061577U,	// PMULHWrm
-    68180553U,	// PMULHWrr
-    303056101U,	// PMULLDrm
-    68175077U,	// PMULLDrr
-    303061626U,	// PMULLWrm
-    68180602U,	// PMULLWrr
-    303059103U,	// PMULUDQrm
-    68178079U,	// PMULUDQrr
-    20166U,	// POP16r
-    36550U,	// POP16rmm
-    20166U,	// POP16rmr
-    20166U,	// POP32r
-    69318U,	// POP32rmm
-    20166U,	// POP32rmr
-    20166U,	// POP64r
-    85702U,	// POP64rmm
-    20166U,	// POP64rmr
-    9454U,	// POPA32
-    370169924U,	// POPCNT16rm
-    135288900U,	// POPCNT16rr
-    101734468U,	// POPCNT32rm
-    135288900U,	// POPCNT32rr
-    168843332U,	// POPCNT64rm
-    135288900U,	// POPCNT64rr
-    10189U,	// POPDS16
-    10189U,	// POPDS32
-    10204U,	// POPES16
-    10204U,	// POPES32
-    9808U,	// POPF16
-    9602U,	// POPF32
-    10060U,	// POPF64
-    10219U,	// POPFS16
-    10219U,	// POPFS32
-    10219U,	// POPFS64
-    10234U,	// POPGS16
-    10234U,	// POPGS32
-    10234U,	// POPGS64
-    10329U,	// POPSS16
-    10329U,	// POPSS32
-    303059551U,	// PORrm
-    68178527U,	// PORrr
-    101528U,	// PREFETCH
+    101729326U,	// PMOVSXBDrm
+    135283758U,	// PMOVSXBDrr
+    370167872U,	// PMOVSXBQrm
+    135286848U,	// PMOVSXBQrr
+    168843963U,	// PMOVSXBWrm
+    135289531U,	// PMOVSXBWrr
+    168841564U,	// PMOVSXDQrm
+    135287132U,	// PMOVSXDQrr
+    168840131U,	// PMOVSXWDrm
+    135285699U,	// PMOVSXWDrr
+    101733102U,	// PMOVSXWQrm
+    135287534U,	// PMOVSXWQrr
+    101729337U,	// PMOVZXBDrm
+    135283769U,	// PMOVZXBDrr
+    370167883U,	// PMOVZXBQrm
+    135286859U,	// PMOVZXBQrr
+    168843974U,	// PMOVZXBWrm
+    135289542U,	// PMOVZXBWrr
+    168841575U,	// PMOVZXDQrm
+    135287143U,	// PMOVZXDQrr
+    168840142U,	// PMOVZXWDrm
+    135285710U,	// PMOVZXWDrr
+    101733113U,	// PMOVZXWQrm
+    135287545U,	// PMOVZXWQrr
+    303059155U,	// PMULDQrm
+    68178131U,	// PMULDQrr
+    303062149U,	// PMULHRSWrm128
+    68181125U,	// PMULHRSWrr128
+    470834171U,	// PMULHRWrm
+    68180987U,	// PMULHRWrr
+    303062254U,	// PMULHUWrm
+    68181230U,	// PMULHUWrr
+    303061865U,	// PMULHWrm
+    68180841U,	// PMULHWrr
+    303056123U,	// PMULLDrm
+    68175099U,	// PMULLDrr
+    303061914U,	// PMULLWrm
+    68180890U,	// PMULLWrr
+    303059282U,	// PMULUDQrm
+    68178258U,	// PMULUDQrr
+    20345U,	// POP16r
+    36729U,	// POP16rmm
+    20345U,	// POP16rmr
+    20345U,	// POP32r
+    69497U,	// POP32rmm
+    20345U,	// POP32rmr
+    20345U,	// POP64r
+    85881U,	// POP64rmm
+    20345U,	// POP64rmr
+    9803U,	// POPA32
+    370170212U,	// POPCNT16rm
+    135289188U,	// POPCNT16rr
+    101734756U,	// POPCNT32rm
+    135289188U,	// POPCNT32rr
+    168843620U,	// POPCNT64rm
+    135289188U,	// POPCNT64rr
+    10538U,	// POPDS16
+    10538U,	// POPDS32
+    10553U,	// POPES16
+    10553U,	// POPES32
+    10157U,	// POPF16
+    9951U,	// POPF32
+    10409U,	// POPF64
+    10568U,	// POPFS16
+    10568U,	// POPFS32
+    10568U,	// POPFS64
+    10583U,	// POPGS16
+    10583U,	// POPGS32
+    10583U,	// POPGS64
+    10678U,	// POPSS16
+    10678U,	// POPSS32
+    303059778U,	// PORrm
+    68178754U,	// PORrr
+    101618U,	// PREFETCH
     98782U,	// PREFETCHNTA
     98305U,	// PREFETCHT0
     98339U,	// PREFETCHT1
     98435U,	// PREFETCHT2
-    105011U,	// PREFETCHW
-    303061313U,	// PSADBWrm
-    68180289U,	// PSADBWrr
+    105299U,	// PREFETCHW
+    303061601U,	// PSADBWrm
+    68180577U,	// PSADBWrr
     303055429U,	// PSHUFBrm
     68174405U,	// PSHUFBrr
-    1410352290U,	// PSHUFDmi
-    1209025698U,	// PSHUFDri
-    1410357823U,	// PSHUFHWmi
-    1209031231U,	// PSHUFHWri
-    1410357849U,	// PSHUFLWmi
-    1209031257U,	// PSHUFLWri
+    1410352312U,	// PSHUFDmi
+    1209025720U,	// PSHUFDri
+    1410358111U,	// PSHUFHWmi
+    1209031519U,	// PSHUFHWri
+    1410358137U,	// PSHUFLWmi
+    1209031545U,	// PSHUFLWri
     303055477U,	// PSIGNBrm
     68174453U,	// PSIGNBrr
-    303056197U,	// PSIGNDrm
-    68175173U,	// PSIGNDrr
-    303061669U,	// PSIGNWrm
-    68180645U,	// PSIGNWrr
-    68177934U,	// PSLLDQri
-    68175069U,	// PSLLDri
-    303056093U,	// PSLLDrm
-    68175069U,	// PSLLDrr
-    68178137U,	// PSLLQri
-    303059161U,	// PSLLQrm
-    68178137U,	// PSLLQrr
-    68180594U,	// PSLLWri
-    303061618U,	// PSLLWrm
-    68180594U,	// PSLLWrr
-    68174826U,	// PSRADri
-    303055850U,	// PSRADrm
-    68174826U,	// PSRADrr
-    68180270U,	// PSRAWri
-    303061294U,	// PSRAWrm
-    68180270U,	// PSRAWrr
-    68177943U,	// PSRLDQri
-    68175086U,	// PSRLDri
-    303056110U,	// PSRLDrm
-    68175086U,	// PSRLDrr
-    68178145U,	// PSRLQri
-    303059169U,	// PSRLQrm
-    68178145U,	// PSRLQrr
-    68180611U,	// PSRLWri
-    303061635U,	// PSRLWrm
-    68180611U,	// PSRLWrr
+    303056219U,	// PSIGNDrm
+    68175195U,	// PSIGNDrr
+    303061957U,	// PSIGNWrm
+    68180933U,	// PSIGNWrr
+    68178113U,	// PSLLDQri
+    68175091U,	// PSLLDri
+    303056115U,	// PSLLDrm
+    68175091U,	// PSLLDrr
+    68178316U,	// PSLLQri
+    303059340U,	// PSLLQrm
+    68178316U,	// PSLLQrr
+    68180882U,	// PSLLWri
+    303061906U,	// PSLLWrm
+    68180882U,	// PSLLWrr
+    68174848U,	// PSRADri
+    303055872U,	// PSRADrm
+    68174848U,	// PSRADrr
+    68180558U,	// PSRAWri
+    303061582U,	// PSRAWrm
+    68180558U,	// PSRAWrr
+    68178122U,	// PSRLDQri
+    68175108U,	// PSRLDri
+    303056132U,	// PSRLDrm
+    68175108U,	// PSRLDrr
+    68178324U,	// PSRLQri
+    303059348U,	// PSRLQrm
+    68178324U,	// PSRLQrr
+    68180899U,	// PSRLWri
+    303061923U,	// PSRLWrm
+    68180899U,	// PSRLWrr
     303055383U,	// PSUBBrm
     68174359U,	// PSUBBrr
-    303055888U,	// PSUBDrm
-    68174864U,	// PSUBDrr
-    303058821U,	// PSUBQrm
-    68177797U,	// PSUBQrr
+    303055910U,	// PSUBDrm
+    68174886U,	// PSUBDrr
+    303059000U,	// PSUBQrm
+    68177976U,	// PSUBQrr
     303055552U,	// PSUBSBrm
     68174528U,	// PSUBSBrr
-    303061812U,	// PSUBSWrm
-    68180788U,	// PSUBSWrr
+    303062100U,	// PSUBSWrm
+    68181076U,	// PSUBSWrr
     303055579U,	// PSUBUSBrm
     68174555U,	// PSUBUSBrr
-    303061880U,	// PSUBUSWrm
-    68180856U,	// PSUBUSWrr
-    303061395U,	// PSUBWrm
-    68180371U,	// PSUBWrr
-    168838840U,	// PSWAPDrm
-    135284408U,	// PSWAPDrr
-    537942185U,	// PTESTrm
-    135289001U,	// PTESTrr
-    303061341U,	// PUNPCKHBWrm
-    68180317U,	// PUNPCKHBWrr
-    303058934U,	// PUNPCKHDQrm
-    68177910U,	// PUNPCKHDQrr
-    303058993U,	// PUNPCKHQDQrm
-    68177969U,	// PUNPCKHQDQrr
-    303057690U,	// PUNPCKHWDrm
-    68176666U,	// PUNPCKHWDrr
-    303061363U,	// PUNPCKLBWrm
-    68180339U,	// PUNPCKLBWrr
-    303058946U,	// PUNPCKLDQrm
-    68177922U,	// PUNPCKLDQrr
-    303059006U,	// PUNPCKLQDQrm
-    68177982U,	// PUNPCKLQDQrr
-    303057702U,	// PUNPCKLWDrm
-    68176678U,	// PUNPCKLWDrr
-    19667U,	// PUSH16r
-    36051U,	// PUSH16rmm
-    19667U,	// PUSH16rmr
-    19667U,	// PUSH32r
-    68819U,	// PUSH32rmm
-    19667U,	// PUSH32rmr
-    19667U,	// PUSH64i16
-    19667U,	// PUSH64i32
-    19667U,	// PUSH64i8
-    19667U,	// PUSH64r
-    85203U,	// PUSH64rmm
-    19667U,	// PUSH64rmr
-    9448U,	// PUSHA32
-    10173U,	// PUSHCS16
-    10173U,	// PUSHCS32
-    10181U,	// PUSHDS16
-    10181U,	// PUSHDS32
-    10196U,	// PUSHES16
-    10196U,	// PUSHES32
-    9802U,	// PUSHF16
-    9595U,	// PUSHF32
-    10053U,	// PUSHF64
-    10211U,	// PUSHFS16
-    10211U,	// PUSHFS32
-    10211U,	// PUSHFS64
-    10226U,	// PUSHGS16
-    10226U,	// PUSHGS32
-    10226U,	// PUSHGS64
-    10321U,	// PUSHSS16
-    10321U,	// PUSHSS32
-    19667U,	// PUSHi16
-    19667U,	// PUSHi32
-    19667U,	// PUSHi8
-    303059579U,	// PXORrm
-    68178555U,	// PXORrr
-    36229U,	// RCL16m1
-    16813445U,	// RCL16mCL
-    1084805U,	// RCL16mi
-    19845U,	// RCL16r1
-    16797061U,	// RCL16rCL
-    68177285U,	// RCL16ri
-    68997U,	// RCL32m1
-    16846213U,	// RCL32mCL
-    1117573U,	// RCL32mi
-    19845U,	// RCL32r1
-    16797061U,	// RCL32rCL
-    68177285U,	// RCL32ri
-    85381U,	// RCL64m1
-    16862597U,	// RCL64mCL
-    1133957U,	// RCL64mi
-    19845U,	// RCL64r1
-    16797061U,	// RCL64rCL
-    68177285U,	// RCL64ri
-    101765U,	// RCL8m1
-    16878981U,	// RCL8mCL
-    1150341U,	// RCL8mi
-    19845U,	// RCL8r1
-    16797061U,	// RCL8rCL
-    68177285U,	// RCL8ri
-    537941418U,	// RCPPSm
-    537941418U,	// RCPPSm_Int
-    135288234U,	// RCPPSr
-    135288234U,	// RCPPSr_Int
-    605050786U,	// RCPSSm
-    269506466U,	// RCPSSm_Int
-    135288738U,	// RCPSSr
-    68179874U,	// RCPSSr_Int
-    37443U,	// RCR16m1
-    16814659U,	// RCR16mCL
-    1086019U,	// RCR16mi
-    21059U,	// RCR16r1
-    16798275U,	// RCR16rCL
-    68178499U,	// RCR16ri
-    70211U,	// RCR32m1
-    16847427U,	// RCR32mCL
-    1118787U,	// RCR32mi
-    21059U,	// RCR32r1
-    16798275U,	// RCR32rCL
-    68178499U,	// RCR32ri
-    86595U,	// RCR64m1
-    16863811U,	// RCR64mCL
-    1135171U,	// RCR64mi
-    21059U,	// RCR64r1
-    16798275U,	// RCR64rCL
-    68178499U,	// RCR64ri
-    102979U,	// RCR8m1
-    16880195U,	// RCR8mCL
-    1151555U,	// RCR8mi
-    21059U,	// RCR8r1
-    16798275U,	// RCR8rCL
-    68178499U,	// RCR8ri
-    19469U,	// RDFSBASE
-    19469U,	// RDFSBASE64
-    19489U,	// RDGSBASE
-    19489U,	// RDGSBASE64
-    10138U,	// RDMSR
-    9572U,	// RDPMC
-    17706U,	// RDRAND16r
-    17706U,	// RDRAND32r
-    17706U,	// RDRAND64r
-    17554U,	// RDSEED16r
-    17554U,	// RDSEED32r
-    17554U,	// RDSEED64r
-    9585U,	// RDTSC
-    9991U,	// RDTSCP
-    9081U,	// RELEASE_MOV16mr
-    9081U,	// RELEASE_MOV32mr
-    9081U,	// RELEASE_MOV64mr
-    9081U,	// RELEASE_MOV8mr
-    9737U,	// REPNE_PREFIX
-    9528U,	// REP_MOVSB_32
-    9528U,	// REP_MOVSB_64
-    9657U,	// REP_MOVSD_32
-    9657U,	// REP_MOVSD_64
-    10094U,	// REP_MOVSQ_64
-    10470U,	// REP_MOVSW_32
-    10470U,	// REP_MOVSW_64
-    9998U,	// REP_PREFIX
-    9506U,	// REP_STOSB_32
-    9506U,	// REP_STOSB_64
-    9635U,	// REP_STOSD_32
-    9635U,	// REP_STOSD_64
-    10078U,	// REP_STOSQ_64
-    10448U,	// REP_STOSW_32
-    10448U,	// REP_STOSW_64
-    10357U,	// RET
-    22582U,	// RETI
-    22582U,	// RETIW
-    10357U,	// RETW
-    9319U,	// REX64_PREFIX
-    36250U,	// ROL16m1
-    16813466U,	// ROL16mCL
-    1084826U,	// ROL16mi
-    19866U,	// ROL16r1
-    16797082U,	// ROL16rCL
-    68177306U,	// ROL16ri
-    69018U,	// ROL32m1
-    16846234U,	// ROL32mCL
-    1117594U,	// ROL32mi
-    19866U,	// ROL32r1
-    16797082U,	// ROL32rCL
-    68177306U,	// ROL32ri
-    85402U,	// ROL64m1
-    16862618U,	// ROL64mCL
-    1133978U,	// ROL64mi
-    19866U,	// ROL64r1
-    16797082U,	// ROL64rCL
-    68177306U,	// ROL64ri
-    101786U,	// ROL8m1
-    16879002U,	// ROL8mCL
-    1150362U,	// ROL8mi
-    19866U,	// ROL8r1
-    16797082U,	// ROL8rCL
-    68177306U,	// ROL8ri
-    37476U,	// ROR16m1
-    16814692U,	// ROR16mCL
-    1086052U,	// ROR16mi
-    21092U,	// ROR16r1
-    16798308U,	// ROR16rCL
-    68178532U,	// ROR16ri
-    70244U,	// ROR32m1
-    16847460U,	// ROR32mCL
-    1118820U,	// ROR32mi
-    21092U,	// ROR32r1
-    16798308U,	// ROR32rCL
-    68178532U,	// ROR32ri
-    86628U,	// ROR64m1
-    16863844U,	// ROR64mCL
-    1135204U,	// ROR64mi
-    21092U,	// ROR64r1
-    16798308U,	// ROR64rCL
-    68178532U,	// ROR64ri
-    103012U,	// ROR8m1
-    16880228U,	// ROR8mCL
-    1151588U,	// ROR8mi
-    21092U,	// ROR8r1
-    16798308U,	// ROR8rCL
-    68178532U,	// ROR8ri
-    1175477343U,	// RORX32mi
-    1209031775U,	// RORX32ri
-    1242586207U,	// RORX64mi
-    1209031775U,	// RORX64ri
-    1611679556U,	// ROUNDPDm
-    1209026372U,	// ROUNDPDr
-    1611683058U,	// ROUNDPSm
-    1209029874U,	// ROUNDPSr
-    1309690357U,	// ROUNDSDm
-    1141918197U,	// ROUNDSDr
-    1141918197U,	// ROUNDSDr_Int
-    1343248232U,	// ROUNDSSm
-    1141921640U,	// ROUNDSSr
-    1141921640U,	// ROUNDSSr_Int
-    9933U,	// RSM
-    537941515U,	// RSQRTPSm
-    537941515U,	// RSQRTPSm_Int
-    135288331U,	// RSQRTPSr
-    135288331U,	// RSQRTPSr_Int
-    605050811U,	// RSQRTSSm
-    269506491U,	// RSQRTSSm_Int
-    135288763U,	// RSQRTSSr
-    68179899U,	// RSQRTSSr_Int
-    9797U,	// SAHF
-    37422U,	// SAR16m1
-    16814638U,	// SAR16mCL
-    1085998U,	// SAR16mi
-    21038U,	// SAR16r1
-    16798254U,	// SAR16rCL
-    68178478U,	// SAR16ri
-    70190U,	// SAR32m1
-    16847406U,	// SAR32mCL
-    1118766U,	// SAR32mi
-    21038U,	// SAR32r1
-    16798254U,	// SAR32rCL
-    68178478U,	// SAR32ri
-    86574U,	// SAR64m1
-    16863790U,	// SAR64mCL
-    1135150U,	// SAR64mi
-    21038U,	// SAR64r1
-    16798254U,	// SAR64rCL
-    68178478U,	// SAR64ri
-    102958U,	// SAR8m1
-    16880174U,	// SAR8mCL
-    1151534U,	// SAR8mi
-    21038U,	// SAR8r1
-    16798254U,	// SAR8rCL
-    68178478U,	// SAR8ri
-    1175477331U,	// SARX32rm
-    1209031763U,	// SARX32rr
-    1242586195U,	// SARX64rm
-    1209031763U,	// SARX64rr
-    23927U,	// SBB16i16
+    303062168U,	// PSUBUSWrm
+    68181144U,	// PSUBUSWrr
+    303061683U,	// PSUBWrm
+    68180659U,	// PSUBWrr
+    168838884U,	// PSWAPDrm
+    135284452U,	// PSWAPDrr
+    537942473U,	// PTESTrm
+    135289289U,	// PTESTrr
+    303061629U,	// PUNPCKHBWrm
+    68180605U,	// PUNPCKHBWrr
+    303059113U,	// PUNPCKHDQrm
+    68178089U,	// PUNPCKHDQrr
+    303059172U,	// PUNPCKHQDQrm
+    68178148U,	// PUNPCKHQDQrr
+    303057780U,	// PUNPCKHWDrm
+    68176756U,	// PUNPCKHWDrr
+    303061651U,	// PUNPCKLBWrm
+    68180627U,	// PUNPCKLBWrr
+    303059125U,	// PUNPCKLDQrm
+    68178101U,	// PUNPCKLDQrr
+    303059185U,	// PUNPCKLQDQrm
+    68178161U,	// PUNPCKLQDQrr
+    303057792U,	// PUNPCKLWDrm
+    68176768U,	// PUNPCKLWDrr
+    19757U,	// PUSH16r
+    36141U,	// PUSH16rmm
+    19757U,	// PUSH16rmr
+    19757U,	// PUSH32r
+    68909U,	// PUSH32rmm
+    19757U,	// PUSH32rmr
+    19757U,	// PUSH64i16
+    19757U,	// PUSH64i32
+    19757U,	// PUSH64i8
+    19757U,	// PUSH64r
+    85293U,	// PUSH64rmm
+    19757U,	// PUSH64rmr
+    9797U,	// PUSHA32
+    10522U,	// PUSHCS16
+    10522U,	// PUSHCS32
+    10530U,	// PUSHDS16
+    10530U,	// PUSHDS32
+    10545U,	// PUSHES16
+    10545U,	// PUSHES32
+    10151U,	// PUSHF16
+    9944U,	// PUSHF32
+    10402U,	// PUSHF64
+    10560U,	// PUSHFS16
+    10560U,	// PUSHFS32
+    10560U,	// PUSHFS64
+    10575U,	// PUSHGS16
+    10575U,	// PUSHGS32
+    10575U,	// PUSHGS64
+    10670U,	// PUSHSS16
+    10670U,	// PUSHSS32
+    19757U,	// PUSHi16
+    19757U,	// PUSHi32
+    19757U,	// PUSHi8
+    303059806U,	// PXORrm
+    68178782U,	// PXORrr
+    36390U,	// RCL16m1
+    16813606U,	// RCL16mCL
+    1084966U,	// RCL16mi
+    20006U,	// RCL16r1
+    16797222U,	// RCL16rCL
+    68177446U,	// RCL16ri
+    69158U,	// RCL32m1
+    16846374U,	// RCL32mCL
+    1117734U,	// RCL32mi
+    20006U,	// RCL32r1
+    16797222U,	// RCL32rCL
+    68177446U,	// RCL32ri
+    85542U,	// RCL64m1
+    16862758U,	// RCL64mCL
+    1134118U,	// RCL64mi
+    20006U,	// RCL64r1
+    16797222U,	// RCL64rCL
+    68177446U,	// RCL64ri
+    101926U,	// RCL8m1
+    16879142U,	// RCL8mCL
+    1150502U,	// RCL8mi
+    20006U,	// RCL8r1
+    16797222U,	// RCL8rCL
+    68177446U,	// RCL8ri
+    537941673U,	// RCPPSm
+    537941673U,	// RCPPSm_Int
+    135288489U,	// RCPPSr
+    135288489U,	// RCPPSr_Int
+    605051074U,	// RCPSSm
+    269506754U,	// RCPSSm_Int
+    135289026U,	// RCPSSr
+    68180162U,	// RCPSSr_Int
+    37670U,	// RCR16m1
+    16814886U,	// RCR16mCL
+    1086246U,	// RCR16mi
+    21286U,	// RCR16r1
+    16798502U,	// RCR16rCL
+    68178726U,	// RCR16ri
+    70438U,	// RCR32m1
+    16847654U,	// RCR32mCL
+    1119014U,	// RCR32mi
+    21286U,	// RCR32r1
+    16798502U,	// RCR32rCL
+    68178726U,	// RCR32ri
+    86822U,	// RCR64m1
+    16864038U,	// RCR64mCL
+    1135398U,	// RCR64mi
+    21286U,	// RCR64r1
+    16798502U,	// RCR64rCL
+    68178726U,	// RCR64ri
+    103206U,	// RCR8m1
+    16880422U,	// RCR8mCL
+    1151782U,	// RCR8mi
+    21286U,	// RCR8r1
+    16798502U,	// RCR8rCL
+    68178726U,	// RCR8ri
+    19559U,	// RDFSBASE
+    19559U,	// RDFSBASE64
+    19579U,	// RDGSBASE
+    19579U,	// RDGSBASE64
+    10487U,	// RDMSR
+    9921U,	// RDPMC
+    17728U,	// RDRAND16r
+    17728U,	// RDRAND32r
+    17728U,	// RDRAND64r
+    17576U,	// RDSEED16r
+    17576U,	// RDSEED32r
+    17576U,	// RDSEED64r
+    9934U,	// RDTSC
+    10340U,	// RDTSCP
+    9430U,	// RELEASE_MOV16mr
+    9430U,	// RELEASE_MOV32mr
+    9430U,	// RELEASE_MOV64mr
+    9430U,	// RELEASE_MOV8mr
+    10086U,	// REPNE_PREFIX
+    9877U,	// REP_MOVSB_32
+    9877U,	// REP_MOVSB_64
+    10006U,	// REP_MOVSD_32
+    10006U,	// REP_MOVSD_64
+    10443U,	// REP_MOVSQ_64
+    10819U,	// REP_MOVSW_32
+    10819U,	// REP_MOVSW_64
+    10347U,	// REP_PREFIX
+    9855U,	// REP_STOSB_32
+    9855U,	// REP_STOSB_64
+    9984U,	// REP_STOSD_32
+    9984U,	// REP_STOSD_64
+    10427U,	// REP_STOSQ_64
+    10797U,	// REP_STOSW_32
+    10797U,	// REP_STOSW_64
+    10706U,	// RET
+    22870U,	// RETI
+    22870U,	// RETIW
+    10706U,	// RETW
+    9668U,	// REX64_PREFIX
+    36429U,	// ROL16m1
+    16813645U,	// ROL16mCL
+    1085005U,	// ROL16mi
+    20045U,	// ROL16r1
+    16797261U,	// ROL16rCL
+    68177485U,	// ROL16ri
+    69197U,	// ROL32m1
+    16846413U,	// ROL32mCL
+    1117773U,	// ROL32mi
+    20045U,	// ROL32r1
+    16797261U,	// ROL32rCL
+    68177485U,	// ROL32ri
+    85581U,	// ROL64m1
+    16862797U,	// ROL64mCL
+    1134157U,	// ROL64mi
+    20045U,	// ROL64r1
+    16797261U,	// ROL64rCL
+    68177485U,	// ROL64ri
+    101965U,	// ROL8m1
+    16879181U,	// ROL8mCL
+    1150541U,	// ROL8mi
+    20045U,	// ROL8r1
+    16797261U,	// ROL8rCL
+    68177485U,	// ROL8ri
+    37703U,	// ROR16m1
+    16814919U,	// ROR16mCL
+    1086279U,	// ROR16mi
+    21319U,	// ROR16r1
+    16798535U,	// ROR16rCL
+    68178759U,	// ROR16ri
+    70471U,	// ROR32m1
+    16847687U,	// ROR32mCL
+    1119047U,	// ROR32mi
+    21319U,	// ROR32r1
+    16798535U,	// ROR32rCL
+    68178759U,	// ROR32ri
+    86855U,	// ROR64m1
+    16864071U,	// ROR64mCL
+    1135431U,	// ROR64mi
+    21319U,	// ROR64r1
+    16798535U,	// ROR64rCL
+    68178759U,	// ROR64ri
+    103239U,	// ROR8m1
+    16880455U,	// ROR8mCL
+    1151815U,	// ROR8mi
+    21319U,	// ROR8r1
+    16798535U,	// ROR8rCL
+    68178759U,	// ROR8ri
+    1175477631U,	// RORX32mi
+    1209032063U,	// RORX32ri
+    1242586495U,	// RORX64mi
+    1209032063U,	// RORX64ri
+    1611679600U,	// ROUNDPDm
+    1209026416U,	// ROUNDPDr
+    1611683313U,	// ROUNDPSm
+    1209030129U,	// ROUNDPSr
+    1309690434U,	// ROUNDSDm
+    1141918274U,	// ROUNDSDr
+    1141918274U,	// ROUNDSDr_Int
+    1343248520U,	// ROUNDSSm
+    1141921928U,	// ROUNDSSr
+    1141921928U,	// ROUNDSSr_Int
+    10282U,	// RSM
+    537941769U,	// RSQRTPSm
+    537941769U,	// RSQRTPSm_Int
+    135288585U,	// RSQRTPSr
+    135288585U,	// RSQRTPSr_Int
+    605051099U,	// RSQRTSSm
+    269506779U,	// RSQRTSSm_Int
+    135289051U,	// RSQRTSSr
+    68180187U,	// RSQRTSSr_Int
+    10146U,	// SAHF
+    37649U,	// SAR16m1
+    16814865U,	// SAR16mCL
+    1086225U,	// SAR16mi
+    21265U,	// SAR16r1
+    16798481U,	// SAR16rCL
+    68178705U,	// SAR16ri
+    70417U,	// SAR32m1
+    16847633U,	// SAR32mCL
+    1118993U,	// SAR32mi
+    21265U,	// SAR32r1
+    16798481U,	// SAR32rCL
+    68178705U,	// SAR32ri
+    86801U,	// SAR64m1
+    16864017U,	// SAR64mCL
+    1135377U,	// SAR64mi
+    21265U,	// SAR64r1
+    16798481U,	// SAR64rCL
+    68178705U,	// SAR64ri
+    103185U,	// SAR8m1
+    16880401U,	// SAR8mCL
+    1151761U,	// SAR8mi
+    21265U,	// SAR8r1
+    16798481U,	// SAR8rCL
+    68178705U,	// SAR8ri
+    1175477619U,	// SARX32rm
+    1209032051U,	// SARX32rr
+    1242586483U,	// SARX64rm
+    1209032051U,	// SARX64rr
+    24215U,	// SBB16i16
     1081873U,	// SBB16mi
     1081873U,	// SBB16mi8
     1081873U,	// SBB16mr
@@ -2387,7 +2449,7 @@
     3195409U,	// SBB16rm
     35701265U,	// SBB16rr
     68174353U,	// SBB16rr_REV
-    24039U,	// SBB32i32
+    24327U,	// SBB32i32
     1114641U,	// SBB32mi
     1114641U,	// SBB32mi8
     1114641U,	// SBB32mr
@@ -2396,7 +2458,7 @@
     4243985U,	// SBB32rm
     35701265U,	// SBB32rr
     68174353U,	// SBB32rr_REV
-    24163U,	// SBB64i32
+    24451U,	// SBB64i32
     1131025U,	// SBB64mi32
     1131025U,	// SBB64mi8
     1131025U,	// SBB64mr
@@ -2405,64 +2467,64 @@
     5292561U,	// SBB64rm
     35701265U,	// SBB64rr
     68174353U,	// SBB64rr_REV
-    23825U,	// SBB8i8
+    24113U,	// SBB8i8
     1147409U,	// SBB8mi
     1147409U,	// SBB8mr
     35701265U,	// SBB8ri
     6341137U,	// SBB8rm
     35701265U,	// SBB8rr
     68174353U,	// SBB8rr_REV
-    10436U,	// SCAS16
-    9623U,	// SCAS32
-    10066U,	// SCAS64
-    9494U,	// SCAS8
-    10253U,	// SEG_ALLOCA_32
-    10253U,	// SEG_ALLOCA_64
-    101259U,	// SETAEm
-    19339U,	// SETAEr
+    10785U,	// SCAS16
+    9972U,	// SCAS32
+    10415U,	// SCAS64
+    9843U,	// SCAS8
+    10602U,	// SEG_ALLOCA_32
+    10602U,	// SEG_ALLOCA_64
+    101349U,	// SETAEm
+    19429U,	// SETAEr
     98776U,	// SETAm
     16856U,	// SETAr
-    101279U,	// SETBEm
-    19359U,	// SETBEr
+    101369U,	// SETBEm
+    19449U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
     99072U,	// SETBm
     17152U,	// SETBr
-    101429U,	// SETEm
-    19509U,	// SETEr
-    101315U,	// SETGEm
-    19395U,	// SETGEr
-    101515U,	// SETGm
-    19595U,	// SETGr
-    101339U,	// SETLEm
-    19419U,	// SETLEr
-    101825U,	// SETLm
-    19905U,	// SETLr
-    101367U,	// SETNEm
-    19447U,	// SETNEr
-    101948U,	// SETNOm
-    20028U,	// SETNOr
-    102060U,	// SETNPm
-    20140U,	// SETNPr
-    103152U,	// SETNSm
-    21232U,	// SETNSr
-    101963U,	// SETOm
-    20043U,	// SETOr
-    102107U,	// SETPm
-    20187U,	// SETPr
-    104449U,	// SETSm
-    22529U,	// SETSr
-    9709U,	// SFENCE
-    219160U,	// SGDT16m
-    219160U,	// SGDTm
+    101519U,	// SETEm
+    19599U,	// SETEr
+    101405U,	// SETGEm
+    19485U,	// SETGEr
+    101605U,	// SETGm
+    19685U,	// SETGr
+    101429U,	// SETLEm
+    19509U,	// SETLEr
+    102004U,	// SETLm
+    20084U,	// SETLr
+    101457U,	// SETNEm
+    19537U,	// SETNEr
+    102127U,	// SETNOm
+    20207U,	// SETNOr
+    102239U,	// SETNPm
+    20319U,	// SETNPr
+    103385U,	// SETNSm
+    21465U,	// SETNSr
+    102142U,	// SETOm
+    20222U,	// SETOr
+    102286U,	// SETPm
+    20366U,	// SETPr
+    104737U,	// SETSm
+    22817U,	// SETSr
+    10058U,	// SFENCE
+    219448U,	// SGDT16m
+    219448U,	// SGDTm
     303054861U,	// SHA1MSG1rm
     68173837U,	// SHA1MSG1rr
     303054944U,	// SHA1MSG2rm
     68173920U,	// SHA1MSG2rr
-    303057988U,	// SHA1NEXTErm
-    68176964U,	// SHA1NEXTErr
+    303058078U,	// SHA1NEXTErm
+    68177054U,	// SHA1NEXTErr
     1376796878U,	// SHA1RNDS4rmi
     1141915854U,	// SHA1RNDS4rri
     303054871U,	// SHA256MSG1rm
@@ -2471,143 +2533,143 @@
     68173930U,	// SHA256MSG2rr
     303054966U,	// SHA256RNDS2rm
     68173942U,	// SHA256RNDS2rr
-    36234U,	// SHL16m1
-    16813450U,	// SHL16mCL
-    1084810U,	// SHL16mi
-    19850U,	// SHL16r1
-    16797066U,	// SHL16rCL
-    68177290U,	// SHL16ri
-    69002U,	// SHL32m1
-    16846218U,	// SHL32mCL
-    1117578U,	// SHL32mi
-    19850U,	// SHL32r1
-    16797066U,	// SHL32rCL
-    68177290U,	// SHL32ri
-    85386U,	// SHL64m1
-    16862602U,	// SHL64mCL
-    1133962U,	// SHL64mi
-    19850U,	// SHL64r1
-    16797066U,	// SHL64rCL
-    68177290U,	// SHL64ri
-    101770U,	// SHL8m1
-    16878986U,	// SHL8mCL
-    1150346U,	// SHL8mi
-    19850U,	// SHL8r1
-    16797066U,	// SHL8rCL
-    68177290U,	// SHL8ri
-    3222308048U,	// SHLD16mrCL
-    1074824400U,	// SHLD16mri8
-    3289400528U,	// SHLD16rrCL
-    1141916880U,	// SHLD16rri8
-    3222340816U,	// SHLD32mrCL
-    1074857168U,	// SHLD32mri8
-    3289400528U,	// SHLD32rrCL
-    1141916880U,	// SHLD32rri8
-    3222357200U,	// SHLD64mrCL
-    1074873552U,	// SHLD64mri8
-    3289400528U,	// SHLD64rrCL
-    1141916880U,	// SHLD64rri8
-    1175477288U,	// SHLX32rm
-    1209031720U,	// SHLX32rr
-    1242586152U,	// SHLX64rm
-    1209031720U,	// SHLX64rr
-    37455U,	// SHR16m1
-    16814671U,	// SHR16mCL
-    1086031U,	// SHR16mi
-    21071U,	// SHR16r1
-    16798287U,	// SHR16rCL
-    68178511U,	// SHR16ri
-    70223U,	// SHR32m1
-    16847439U,	// SHR32mCL
-    1118799U,	// SHR32mi
-    21071U,	// SHR32r1
-    16798287U,	// SHR32rCL
-    68178511U,	// SHR32ri
-    86607U,	// SHR64m1
-    16863823U,	// SHR64mCL
-    1135183U,	// SHR64mi
-    21071U,	// SHR64r1
-    16798287U,	// SHR64rCL
-    68178511U,	// SHR64ri
-    102991U,	// SHR8m1
-    16880207U,	// SHR8mCL
-    1151567U,	// SHR8mi
-    21071U,	// SHR8r1
-    16798287U,	// SHR8rCL
-    68178511U,	// SHR8ri
-    3222309053U,	// SHRD16mrCL
-    1074825405U,	// SHRD16mri8
-    3289401533U,	// SHRD16rrCL
-    1141917885U,	// SHRD16rri8
-    3222341821U,	// SHRD32mrCL
-    1074858173U,	// SHRD32mri8
-    3289401533U,	// SHRD32rrCL
-    1141917885U,	// SHRD32rri8
-    3222358205U,	// SHRD64mrCL
-    1074874557U,	// SHRD64mri8
-    3289401533U,	// SHRD64rrCL
-    1141917885U,	// SHRD64rri8
-    1175477337U,	// SHRX32rm
-    1209031769U,	// SHRX32rr
-    1242586201U,	// SHRX64rm
-    1209031769U,	// SHRX64rr
-    1276135284U,	// SHUFPDrmi
-    1141917556U,	// SHUFPDrri
-    1276138786U,	// SHUFPSrmi
-    1141921058U,	// SHUFPSrri
-    219172U,	// SIDT16m
-    219172U,	// SIDTm
-    9950U,	// SIN_F
+    36395U,	// SHL16m1
+    16813611U,	// SHL16mCL
+    1084971U,	// SHL16mi
+    20011U,	// SHL16r1
+    16797227U,	// SHL16rCL
+    68177451U,	// SHL16ri
+    69163U,	// SHL32m1
+    16846379U,	// SHL32mCL
+    1117739U,	// SHL32mi
+    20011U,	// SHL32r1
+    16797227U,	// SHL32rCL
+    68177451U,	// SHL32ri
+    85547U,	// SHL64m1
+    16862763U,	// SHL64mCL
+    1134123U,	// SHL64mi
+    20011U,	// SHL64r1
+    16797227U,	// SHL64rCL
+    68177451U,	// SHL64ri
+    101931U,	// SHL8m1
+    16879147U,	// SHL8mCL
+    1150507U,	// SHL8mi
+    20011U,	// SHL8r1
+    16797227U,	// SHL8rCL
+    68177451U,	// SHL8ri
+    3222308070U,	// SHLD16mrCL
+    1074824422U,	// SHLD16mri8
+    3289400550U,	// SHLD16rrCL
+    1141916902U,	// SHLD16rri8
+    3222340838U,	// SHLD32mrCL
+    1074857190U,	// SHLD32mri8
+    3289400550U,	// SHLD32rrCL
+    1141916902U,	// SHLD32rri8
+    3222357222U,	// SHLD64mrCL
+    1074873574U,	// SHLD64mri8
+    3289400550U,	// SHLD64rrCL
+    1141916902U,	// SHLD64rri8
+    1175477576U,	// SHLX32rm
+    1209032008U,	// SHLX32rr
+    1242586440U,	// SHLX64rm
+    1209032008U,	// SHLX64rr
+    37682U,	// SHR16m1
+    16814898U,	// SHR16mCL
+    1086258U,	// SHR16mi
+    21298U,	// SHR16r1
+    16798514U,	// SHR16rCL
+    68178738U,	// SHR16ri
+    70450U,	// SHR32m1
+    16847666U,	// SHR32mCL
+    1119026U,	// SHR32mi
+    21298U,	// SHR32r1
+    16798514U,	// SHR32rCL
+    68178738U,	// SHR32ri
+    86834U,	// SHR64m1
+    16864050U,	// SHR64mCL
+    1135410U,	// SHR64mi
+    21298U,	// SHR64r1
+    16798514U,	// SHR64rCL
+    68178738U,	// SHR64ri
+    103218U,	// SHR8m1
+    16880434U,	// SHR8mCL
+    1151794U,	// SHR8mi
+    21298U,	// SHR8r1
+    16798514U,	// SHR8rCL
+    68178738U,	// SHR8ri
+    3222309096U,	// SHRD16mrCL
+    1074825448U,	// SHRD16mri8
+    3289401576U,	// SHRD16rrCL
+    1141917928U,	// SHRD16rri8
+    3222341864U,	// SHRD32mrCL
+    1074858216U,	// SHRD32mri8
+    3289401576U,	// SHRD32rrCL
+    1141917928U,	// SHRD32rri8
+    3222358248U,	// SHRD64mrCL
+    1074874600U,	// SHRD64mri8
+    3289401576U,	// SHRD64rrCL
+    1141917928U,	// SHRD64rri8
+    1175477625U,	// SHRX32rm
+    1209032057U,	// SHRX32rr
+    1242586489U,	// SHRX64rm
+    1209032057U,	// SHRX64rr
+    1276135328U,	// SHUFPDrmi
+    1141917600U,	// SHUFPDrri
+    1276139041U,	// SHUFPSrmi
+    1141921313U,	// SHUFPSrri
+    219460U,	// SIDT16m
+    219460U,	// SIDTm
+    10299U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    10539U,	// SKINIT
-    38960U,	// SLDT16m
-    22576U,	// SLDT16r
-    22576U,	// SLDT32r
-    38960U,	// SLDT64m
-    22576U,	// SLDT64r
-    39765U,	// SMSW16m
-    23381U,	// SMSW16r
-    23381U,	// SMSW32r
-    23381U,	// SMSW64r
-    537937970U,	// SQRTPDm
-    135284786U,	// SQRTPDr
-    537941516U,	// SQRTPSm
-    135288332U,	// SQRTPSr
-    571492937U,	// SQRTSDm
-    571492937U,	// SQRTSDm_Int
-    135285321U,	// SQRTSDr
-    135285321U,	// SQRTSDr_Int
-    605050812U,	// SQRTSSm
-    605050812U,	// SQRTSSm_Int
-    135288764U,	// SQRTSSr
-    135288764U,	// SQRTSSr_Int
-    10401U,	// SQRT_F
+    10888U,	// SKINIT
+    39248U,	// SLDT16m
+    22864U,	// SLDT16r
+    22864U,	// SLDT32r
+    39248U,	// SLDT64m
+    22864U,	// SLDT64r
+    40053U,	// SMSW16m
+    23669U,	// SMSW16r
+    23669U,	// SMSW32r
+    23669U,	// SMSW64r
+    537938013U,	// SQRTPDm
+    135284829U,	// SQRTPDr
+    537941770U,	// SQRTPSm
+    135288586U,	// SQRTPSr
+    571493014U,	// SQRTSDm
+    571493014U,	// SQRTSDm_Int
+    135285398U,	// SQRTSDr
+    135285398U,	// SQRTSDr_Int
+    605051100U,	// SQRTSSm
+    605051100U,	// SQRTSSm_Int
+    135289052U,	// SQRTSSr
+    135289052U,	// SQRTSSr_Int
+    10750U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    10326U,	// SS_PREFIX
-    9549U,	// STAC
-    9591U,	// STC
-    9673U,	// STD
-    9832U,	// STGI
-    9847U,	// STI
-    70295U,	// STMXCSR
-    9510U,	// STOSB
-    9639U,	// STOSD
-    10082U,	// STOSQ
-    10452U,	// STOSW
-    21168U,	// STR16r
-    21168U,	// STR32r
-    21168U,	// STR64r
-    37552U,	// STRm
-    121008U,	// ST_F32m
-    137392U,	// ST_F64m
-    118504U,	// ST_FP32m
-    134888U,	// ST_FP64m
-    265960U,	// ST_FP80m
-    20200U,	// ST_FPrr
+    10675U,	// SS_PREFIX
+    9898U,	// STAC
+    9940U,	// STC
+    10022U,	// STD
+    10181U,	// STGI
+    10196U,	// STI
+    70522U,	// STMXCSR
+    9859U,	// STOSB
+    9988U,	// STOSD
+    10431U,	// STOSQ
+    10801U,	// STOSW
+    21395U,	// STR16r
+    21395U,	// STR32r
+    21395U,	// STR64r
+    37779U,	// STRm
+    121296U,	// ST_F32m
+    137680U,	// ST_F64m
+    118683U,	// ST_FP32m
+    135067U,	// ST_FP64m
+    266139U,	// ST_FP80m
+    20379U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2619,8 +2681,8 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    22704U,	// ST_Frr
-    23936U,	// SUB16i16
+    22992U,	// ST_Frr
+    24224U,	// SUB16i16
     1082170U,	// SUB16mi
     1082170U,	// SUB16mi8
     1082170U,	// SUB16mr
@@ -2629,7 +2691,7 @@
     3195706U,	// SUB16rm
     35701562U,	// SUB16rr
     68174650U,	// SUB16rr_REV
-    24049U,	// SUB32i32
+    24337U,	// SUB32i32
     1114938U,	// SUB32mi
     1114938U,	// SUB32mi8
     1114938U,	// SUB32mr
@@ -2638,7 +2700,7 @@
     4244282U,	// SUB32rm
     35701562U,	// SUB32rr
     68174650U,	// SUB32rr_REV
-    24173U,	// SUB64i32
+    24461U,	// SUB64i32
     1131322U,	// SUB64mi32
     1131322U,	// SUB64mi8
     1131322U,	// SUB64mr
@@ -2647,23 +2709,23 @@
     5292858U,	// SUB64rm
     35701562U,	// SUB64rr
     68174650U,	// SUB64rr_REV
-    23834U,	// SUB8i8
+    24122U,	// SUB8i8
     1147706U,	// SUB8mi
     1147706U,	// SUB8mr
     35701562U,	// SUB8ri
     6341434U,	// SUB8rm
     35701562U,	// SUB8rr
     68174650U,	// SUB8rr_REV
-    202393286U,	// SUBPDrm
-    68175558U,	// SUBPDrr
-    202396788U,	// SUBPSrm
-    68179060U,	// SUBPSrr
-    119348U,	// SUBR_F32m
-    135732U,	// SUBR_F64m
-    37435U,	// SUBR_FI16m
-    70203U,	// SUBR_FI32m
-    20171U,	// SUBR_FPrST0
-    21044U,	// SUBR_FST0r
+    202393330U,	// SUBPDrm
+    68175602U,	// SUBPDrr
+    202397043U,	// SUBPSrm
+    68179315U,	// SUBPSrr
+    119575U,	// SUBR_F32m
+    135959U,	// SUBR_F64m
+    37662U,	// SUBR_FI16m
+    70430U,	// SUBR_FI32m
+    20350U,	// SUBR_FPrST0
+    21271U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2675,20 +2737,20 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    7361076U,	// SUBR_FrST0
-    235948477U,	// SUBSDrm
-    235948477U,	// SUBSDrm_Int
-    68176317U,	// SUBSDrr
-    68176317U,	// SUBSDrr_Int
-    269506352U,	// SUBSSrm
-    269506352U,	// SUBSSrm_Int
-    68179760U,	// SUBSSrr
-    68179760U,	// SUBSSrr_Int
+    7361303U,	// SUBR_FrST0
+    235948554U,	// SUBSDrm
+    235948554U,	// SUBSDrm_Int
+    68176394U,	// SUBSDrr
+    68176394U,	// SUBSDrr_Int
+    269506640U,	// SUBSSrm
+    269506640U,	// SUBSSrm_Int
+    68180048U,	// SUBSSrr
+    68180048U,	// SUBSSrr_Int
     115513U,	// SUB_F32m
     131897U,	// SUB_F64m
     33599U,	// SUB_FI16m
     66367U,	// SUB_FI32m
-    20063U,	// SUB_FPrST0
+    20242U,	// SUB_FPrST0
     17209U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
@@ -2705,365 +2767,421 @@
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
     7357241U,	// SUB_FrST0
-    10241U,	// SWAPGS
-    9897U,	// SYSCALL
-    10121U,	// SYSENTER
-    10381U,	// SYSEXIT
-    10381U,	// SYSEXIT64
-    10361U,	// SYSRET
-    10361U,	// SYSRET64
-    17976971U,	// TAILJMPd
-    17976971U,	// TAILJMPd64
-    17895051U,	// TAILJMPm
-    17911435U,	// TAILJMPm64
+    10590U,	// SWAPGS
+    10246U,	// SYSCALL
+    10470U,	// SYSENTER
+    10730U,	// SYSEXIT
+    10730U,	// SYSEXIT64
+    10710U,	// SYSRET
+    10710U,	// SYSRET64
+    101729195U,	// T1MSKC32rm
+    135283627U,	// T1MSKC32rr
+    168838059U,	// T1MSKC64rm
+    135283627U,	// T1MSKC64rr
+    17977150U,	// TAILJMPd
+    17977150U,	// TAILJMPd64
+    17895230U,	// TAILJMPm
+    17911614U,	// TAILJMPm64
     0U,	// TAILJMPr
-    17845899U,	// TAILJMPr64
+    17846078U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    24020U,	// TEST16i16
-    1087658U,	// TEST16mi
-    135289002U,	// TEST16ri
-    370170026U,	// TEST16rm
-    135289002U,	// TEST16rr
-    24142U,	// TEST32i32
-    1120426U,	// TEST32mi
-    135289002U,	// TEST32ri
-    101734570U,	// TEST32rm
-    135289002U,	// TEST32rr
-    24257U,	// TEST64i32
-    1136810U,	// TEST64mi32
-    135289002U,	// TEST64ri32
-    168843434U,	// TEST64rm
-    135289002U,	// TEST64rr
-    23908U,	// TEST8i8
-    1153194U,	// TEST8mi
-    135289002U,	// TEST8ri
+    24308U,	// TEST16i16
+    1087946U,	// TEST16mi
+    135289290U,	// TEST16ri
+    370170314U,	// TEST16rm
+    135289290U,	// TEST16rr
+    24430U,	// TEST32i32
+    1120714U,	// TEST32mi
+    135289290U,	// TEST32ri
+    101734858U,	// TEST32rm
+    135289290U,	// TEST32rr
+    24545U,	// TEST64i32
+    1137098U,	// TEST64mi32
+    135289290U,	// TEST64ri32
+    168843722U,	// TEST64rm
+    135289290U,	// TEST64rr
+    24196U,	// TEST8i8
+    1153482U,	// TEST8mi
+    135289290U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    504387754U,	// TEST8rm
-    135289002U,	// TEST8rr
-    9171U,	// TLSCall_32
-    9275U,	// TLSCall_64
-    9184U,	// TLS_addr32
-    9288U,	// TLS_addr64
-    9197U,	// TLS_base_addr32
-    9301U,	// TLS_base_addr64
-    9215U,	// TRAP
-    10413U,	// TST_F
+    504388042U,	// TEST8rm
+    135289290U,	// TEST8rr
+    9520U,	// TLSCall_32
+    9624U,	// TLSCall_64
+    9533U,	// TLS_addr32
+    9637U,	// TLS_addr64
+    9546U,	// TLS_base_addr32
+    9650U,	// TLS_base_addr64
+    9564U,	// TRAP
+    10762U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    370169939U,	// TZCNT16rm
-    135288915U,	// TZCNT16rr
-    101734483U,	// TZCNT32rm
-    135288915U,	// TZCNT32rr
-    168843347U,	// TZCNT64rm
-    135288915U,	// TZCNT64rr
-    571492876U,	// UCOMISDrm
-    135285260U,	// UCOMISDrr
-    605050751U,	// UCOMISSrm
-    135288703U,	// UCOMISSrr
-    19744U,	// UCOM_FIPr
-    19686U,	// UCOM_FIr
-    10025U,	// UCOM_FPPr
-    20127U,	// UCOM_FPr
+    370170227U,	// TZCNT16rm
+    135289203U,	// TZCNT16rr
+    101734771U,	// TZCNT32rm
+    135289203U,	// TZCNT32rr
+    168843635U,	// TZCNT64rm
+    135289203U,	// TZCNT64rr
+    101731871U,	// TZMSK32rm
+    135286303U,	// TZMSK32rr
+    168840735U,	// TZMSK64rm
+    135286303U,	// TZMSK64rr
+    571492953U,	// UCOMISDrm
+    135285337U,	// UCOMISDrr
+    605051039U,	// UCOMISSrm
+    135288991U,	// UCOMISSrr
+    19840U,	// UCOM_FIPr
+    19782U,	// UCOM_FIr
+    10374U,	// UCOM_FPPr
+    20306U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    19950U,	// UCOM_Fr
-    9459U,	// UD2B
-    202393469U,	// UNPCKHPDrm
-    68175741U,	// UNPCKHPDrr
-    202396971U,	// UNPCKHPSrm
-    68179243U,	// UNPCKHPSrr
-    202393511U,	// UNPCKLPDrm
-    68175783U,	// UNPCKLPDrr
-    202397033U,	// UNPCKLPSrm
-    68179305U,	// UNPCKLPSrr
-    1578131148U,	// VAARG_64
-    1209026345U,	// VADDPDYrm
-    1209026345U,	// VADDPDYrr
-    1209026345U,	// VADDPDZrm
-    1209026345U,	// VADDPDZrmb
-    1209026345U,	// VADDPDZrr
-    1209026345U,	// VADDPDrm
-    1209026345U,	// VADDPDrr
-    1209029847U,	// VADDPSYrm
-    1209029847U,	// VADDPSYrr
-    1209029847U,	// VADDPSZrm
-    1209029847U,	// VADDPSZrmb
-    1209029847U,	// VADDPSZrr
-    1209029847U,	// VADDPSrm
-    1209029847U,	// VADDPSrr
-    1209027052U,	// VADDSDZrm
-    1209027052U,	// VADDSDZrr
-    1209027052U,	// VADDSDrm
-    1209027052U,	// VADDSDrm_Int
-    1209027052U,	// VADDSDrr
-    1209027052U,	// VADDSDrr_Int
-    1209030495U,	// VADDSSZrm
-    1209030495U,	// VADDSSZrr
-    1209030495U,	// VADDSSrm
-    1209030495U,	// VADDSSrm_Int
-    1209030495U,	// VADDSSrr
-    1209030495U,	// VADDSSrr_Int
-    1209026253U,	// VADDSUBPDYrm
-    1209026253U,	// VADDSUBPDYrr
-    1209026253U,	// VADDSUBPDrm
-    1209026253U,	// VADDSUBPDrr
-    1209029755U,	// VADDSUBPSYrm
-    1209029755U,	// VADDSUBPSYrr
-    1209029755U,	// VADDSUBPSrm
-    1209029755U,	// VADDSUBPSrr
-    1209030798U,	// VAESDECLASTrm
-    1209030798U,	// VAESDECLASTrr
+    20129U,	// UCOM_Fr
+    9808U,	// UD2B
+    202393513U,	// UNPCKHPDrm
+    68175785U,	// UNPCKHPDrr
+    202397226U,	// UNPCKHPSrm
+    68179498U,	// UNPCKHPSrr
+    202393555U,	// UNPCKLPDrm
+    68175827U,	// UNPCKLPDrr
+    202397288U,	// UNPCKLPSrm
+    68179560U,	// UNPCKLPSrr
+    1578131436U,	// VAARG_64
+    1209026389U,	// VADDPDYrm
+    1209026389U,	// VADDPDYrr
+    1209026389U,	// VADDPDZrm
+    1209026389U,	// VADDPDZrmb
+    1209026389U,	// VADDPDZrr
+    1209026389U,	// VADDPDrm
+    1209026389U,	// VADDPDrr
+    1209030102U,	// VADDPSYrm
+    1209030102U,	// VADDPSYrr
+    1209030102U,	// VADDPSZrm
+    1209030102U,	// VADDPSZrmb
+    1209030102U,	// VADDPSZrr
+    1209030102U,	// VADDPSrm
+    1209030102U,	// VADDPSrr
+    1209027129U,	// VADDSDZrm
+    1209027129U,	// VADDSDZrr
+    1209027129U,	// VADDSDrm
+    1209027129U,	// VADDSDrm_Int
+    1209027129U,	// VADDSDrr
+    1209027129U,	// VADDSDrr_Int
+    1209030783U,	// VADDSSZrm
+    1209030783U,	// VADDSSZrr
+    1209030783U,	// VADDSSrm
+    1209030783U,	// VADDSSrm_Int
+    1209030783U,	// VADDSSrr
+    1209030783U,	// VADDSSrr_Int
+    1209026297U,	// VADDSUBPDYrm
+    1209026297U,	// VADDSUBPDYrr
+    1209026297U,	// VADDSUBPDrm
+    1209026297U,	// VADDSUBPDrr
+    1209030010U,	// VADDSUBPSYrm
+    1209030010U,	// VADDSUBPSYrr
+    1209030010U,	// VADDSUBPSrm
+    1209030010U,	// VADDSUBPSrr
+    1209031086U,	// VAESDECLASTrm
+    1209031086U,	// VAESDECLASTrr
     1209025428U,	// VAESDECrm
     1209025428U,	// VAESDECrr
-    1209030811U,	// VAESENCLASTrm
-    1209030811U,	// VAESENCLASTrr
-    1209025446U,	// VAESENCrm
-    1209025446U,	// VAESENCrr
-    336610205U,	// VAESIMCrm
-    135283613U,	// VAESIMCrr
-    1410357435U,	// VAESKEYGENASSIST128rm
-    1209030843U,	// VAESKEYGENASSIST128rr
-    1209025851U,	// VALIGNDrmi
-    1209025851U,	// VALIGNDrri
-    1209028886U,	// VALIGNQrmi
-    1209028886U,	// VALIGNQrri
-    1209026526U,	// VANDNPDYrm
-    1209026526U,	// VANDNPDYrr
-    1209026526U,	// VANDNPDrm
-    1209026526U,	// VANDNPDrr
-    1209030040U,	// VANDNPSYrm
-    1209030040U,	// VANDNPSYrr
-    1209030040U,	// VANDNPSrm
-    1209030040U,	// VANDNPSrr
-    1209026353U,	// VANDPDYrm
-    1209026353U,	// VANDPDYrr
-    1209026353U,	// VANDPDrm
-    1209026353U,	// VANDPDrr
-    1209029855U,	// VANDPSYrm
-    1209029855U,	// VANDPSYrr
-    1209029855U,	// VANDPSrm
-    1209029855U,	// VANDPSrr
-    1209032430U,	// VASTART_SAVE_XMM_REGS
-    1209026506U,	// VBLENDMPDZrm
-    153110474U,	// VBLENDMPDZrr
-    1209030020U,	// VBLENDMPSZrm
-    153113988U,	// VBLENDMPSZrr
-    1209026361U,	// VBLENDPDYrmi
-    1209026361U,	// VBLENDPDYrri
-    1209026361U,	// VBLENDPDrmi
-    1209026361U,	// VBLENDPDrri
-    1209029863U,	// VBLENDPSYrmi
-    1209029863U,	// VBLENDPSYrri
-    1209029863U,	// VBLENDPSrmi
-    1209029863U,	// VBLENDPSrri
-    1209026636U,	// VBLENDVPDYrm
-    1209026636U,	// VBLENDVPDYrr
-    1209026636U,	// VBLENDVPDrm
-    1209026636U,	// VBLENDVPDrr
-    1209030191U,	// VBLENDVPSYrm
-    1209030191U,	// VBLENDVPSYrr
-    1209030191U,	// VBLENDVPSrm
-    1209030191U,	// VBLENDVPSrr
+    1209031099U,	// VAESENCLASTrm
+    1209031099U,	// VAESENCLASTrr
+    1209025468U,	// VAESENCrm
+    1209025468U,	// VAESENCrr
+    336610227U,	// VAESIMCrm
+    135283635U,	// VAESIMCrr
+    1410357723U,	// VAESKEYGENASSIST128rm
+    1209031131U,	// VAESKEYGENASSIST128rr
+    1209025873U,	// VALIGNDrmi
+    1209025873U,	// VALIGNDrri
+    1209029065U,	// VALIGNQrmi
+    1209029065U,	// VALIGNQrri
+    1209026570U,	// VANDNPDYrm
+    1209026570U,	// VANDNPDYrr
+    1209026570U,	// VANDNPDrm
+    1209026570U,	// VANDNPDrr
+    1209030295U,	// VANDNPSYrm
+    1209030295U,	// VANDNPSYrr
+    1209030295U,	// VANDNPSrm
+    1209030295U,	// VANDNPSrr
+    1209026397U,	// VANDPDYrm
+    1209026397U,	// VANDPDYrr
+    1209026397U,	// VANDPDrm
+    1209026397U,	// VANDPDrr
+    1209030110U,	// VANDPSYrm
+    1209030110U,	// VANDPSYrr
+    1209030110U,	// VANDPSrm
+    1209030110U,	// VANDPSrr
+    1209032718U,	// VASTART_SAVE_XMM_REGS
+    1209026550U,	// VBLENDMPDZrm
+    1209026550U,	// VBLENDMPDZrm_Int
+    153110518U,	// VBLENDMPDZrr
+    153110518U,	// VBLENDMPDZrr_Int
+    1209030275U,	// VBLENDMPSZrm
+    1209030275U,	// VBLENDMPSZrm_Int
+    153114243U,	// VBLENDMPSZrr
+    153114243U,	// VBLENDMPSZrr_Int
+    1209026405U,	// VBLENDPDYrmi
+    1209026405U,	// VBLENDPDYrri
+    1209026405U,	// VBLENDPDrmi
+    1209026405U,	// VBLENDPDrri
+    1209030118U,	// VBLENDPSYrmi
+    1209030118U,	// VBLENDPSYrri
+    1209030118U,	// VBLENDPSrmi
+    1209030118U,	// VBLENDPSrri
+    1209026679U,	// VBLENDVPDYrm
+    1209026679U,	// VBLENDVPDYrr
+    1209026679U,	// VBLENDVPDrm
+    1209026679U,	// VBLENDVPDrr
+    1209030445U,	// VBLENDVPSYrm
+    1209030445U,	// VBLENDVPSYrr
+    1209030445U,	// VBLENDVPSrm
+    1209030445U,	// VBLENDVPSrr
     537936244U,	// VBROADCASTF128
     336609707U,	// VBROADCASTI128
-    571492945U,	// VBROADCASTSDYrm
-    135285329U,	// VBROADCASTSDYrr
-    571492945U,	// VBROADCASTSDZrm
-    135285329U,	// VBROADCASTSDZrr
-    605050829U,	// VBROADCASTSSYrm
-    135288781U,	// VBROADCASTSSYrr
-    605050829U,	// VBROADCASTSSZrm
-    135288781U,	// VBROADCASTSSZrr
-    605050829U,	// VBROADCASTSSrm
-    135288781U,	// VBROADCASTSSrr
-    1216587544U,	// VCMPPDYrmi
-    1209026551U,	// VCMPPDYrmi_alt
-    1216603928U,	// VCMPPDYrri
-    1209026551U,	// VCMPPDYrri_alt
-    1216587544U,	// VCMPPDZrmi
-    1209026550U,	// VCMPPDZrmi_alt
-    1216603928U,	// VCMPPDZrri
-    1209026550U,	// VCMPPDZrri_alt
-    1216587544U,	// VCMPPDrmi
-    1209026551U,	// VCMPPDrmi_alt
-    1216603928U,	// VCMPPDrri
-    1209026551U,	// VCMPPDrri_alt
-    1217636120U,	// VCMPPSYrmi
-    1209030073U,	// VCMPPSYrmi_alt
-    1217652504U,	// VCMPPSYrri
-    1209030073U,	// VCMPPSYrri_alt
-    1217636120U,	// VCMPPSZrmi
-    1209030072U,	// VCMPPSZrmi_alt
-    1217652504U,	// VCMPPSZrri
-    1209030072U,	// VCMPPSZrri_alt
-    1217636120U,	// VCMPPSrmi
-    1209030073U,	// VCMPPSrmi_alt
-    1217652504U,	// VCMPPSrri
-    1209030073U,	// VCMPPSrri_alt
-    1218684696U,	// VCMPSDrm
-    1209027127U,	// VCMPSDrm_alt
-    1218701080U,	// VCMPSDrr
-    1209027127U,	// VCMPSDrr_alt
-    1219733272U,	// VCMPSSrm
-    1209030569U,	// VCMPSSrm_alt
-    1219749656U,	// VCMPSSrr
-    1209030569U,	// VCMPSSrr_alt
-    537938453U,	// VCOMISDZrm
-    135285269U,	// VCOMISDZrr
-    537938453U,	// VCOMISDrm
-    135285269U,	// VCOMISDrr
-    537941896U,	// VCOMISSZrm
-    135288712U,	// VCOMISSZrr
-    537941896U,	// VCOMISSrm
-    135288712U,	// VCOMISSrr
-    336610849U,	// VCVTDQ2PDYrm
-    135284257U,	// VCVTDQ2PDYrr
-    739264033U,	// VCVTDQ2PDZrm
-    135284257U,	// VCVTDQ2PDZrr
-    168838689U,	// VCVTDQ2PDrm
-    135284257U,	// VCVTDQ2PDrr
-    739267554U,	// VCVTDQ2PSYrm
-    135287778U,	// VCVTDQ2PSYrr
-    772821986U,	// VCVTDQ2PSZrm
-    135287778U,	// VCVTDQ2PSZrr
-    336614370U,	// VCVTDQ2PSrm
-    135287778U,	// VCVTDQ2PSrr
-    537943111U,	// VCVTPD2DQXrm
-    806375342U,	// VCVTPD2DQYrm
-    135286702U,	// VCVTPD2DQYrr
-    135286702U,	// VCVTPD2DQrr
-    537943141U,	// VCVTPD2PSXrm
-    806376363U,	// VCVTPD2PSYrm
-    135287723U,	// VCVTPD2PSYrr
-    839930795U,	// VCVTPD2PSZrm
-    135287723U,	// VCVTPD2PSZrr
-    135287723U,	// VCVTPD2PSrr
-    537940918U,	// VCVTPH2PSYrm
-    135287734U,	// VCVTPH2PSYrr
-    571495350U,	// VCVTPH2PSrm
-    135287734U,	// VCVTPH2PSrr
-    806375374U,	// VCVTPS2DQYrm
-    135286734U,	// VCVTPS2DQYrr
-    839929806U,	// VCVTPS2DQZrm
-    135286734U,	// VCVTPS2DQZrr
-    537939918U,	// VCVTPS2DQrm
-    135286734U,	// VCVTPS2DQrr
-    537937464U,	// VCVTPS2PDYrm
-    135284280U,	// VCVTPS2PDYrr
-    806372920U,	// VCVTPS2PDZrm
-    135284280U,	// VCVTPS2PDZrr
-    571491896U,	// VCVTPS2PDrm
-    135284280U,	// VCVTPS2PDrr
-    873876648U,	// VCVTPS2PHYmr
-    1209027752U,	// VCVTPS2PHYrr
-    1074924712U,	// VCVTPS2PHmr
-    1209027752U,	// VCVTPS2PHrr
-    571493709U,	// VCVTSD2SI64rm
-    135286093U,	// VCVTSD2SI64rr
-    571493709U,	// VCVTSD2SIrm
-    135286093U,	// VCVTSD2SIrr
-    1209030347U,	// VCVTSD2SSZrm
-    1209030347U,	// VCVTSD2SSZrr
-    1209030347U,	// VCVTSD2SSrm
-    1209030347U,	// VCVTSD2SSrr
-    1209026896U,	// VCVTSI2SD64Zrm
-    1209026896U,	// VCVTSI2SD64Zrr
-    1209026896U,	// VCVTSI2SD64rm
-    1209026896U,	// VCVTSI2SD64rr
-    1209026896U,	// VCVTSI2SDZrm
-    1209026896U,	// VCVTSI2SDZrr
-    1209026896U,	// VCVTSI2SDrm
-    1209026896U,	// VCVTSI2SDrr
-    1209030358U,	// VCVTSI2SS64Zrm
-    1209030358U,	// VCVTSI2SS64Zrr
-    1209030358U,	// VCVTSI2SS64rm
-    1209030358U,	// VCVTSI2SS64rr
-    1209030358U,	// VCVTSI2SSZrm
-    1209030358U,	// VCVTSI2SSZrr
-    1209030358U,	// VCVTSI2SSrm
-    1209030358U,	// VCVTSI2SSrr
-    1209026907U,	// VCVTSS2SDZrm
-    1209026907U,	// VCVTSS2SDZrr
-    1209026907U,	// VCVTSS2SDrm
-    1209026907U,	// VCVTSS2SDrr
-    605048164U,	// VCVTSS2SI64rm
-    135286116U,	// VCVTSS2SI64rr
-    605048164U,	// VCVTSS2SIrm
-    135286116U,	// VCVTSS2SIrr
-    537943098U,	// VCVTTPD2DQXrm
-    806375330U,	// VCVTTPD2DQYrm
-    135286690U,	// VCVTTPD2DQYrr
-    839929762U,	// VCVTTPD2DQZrm
-    135286690U,	// VCVTTPD2DQZrr
-    135286690U,	// VCVTTPD2DQrr
-    839929977U,	// VCVTTPD2UDQZrm
-    135286905U,	// VCVTTPD2UDQZrr
-    806375362U,	// VCVTTPS2DQYrm
-    135286722U,	// VCVTTPS2DQYrr
-    839929794U,	// VCVTTPS2DQZrm
-    135286722U,	// VCVTTPS2DQZrr
-    537939906U,	// VCVTTPS2DQrm
-    135286722U,	// VCVTTPS2DQrr
-    839929990U,	// VCVTTPS2UDQZrm
-    135286918U,	// VCVTTPS2UDQZrr
-    571493697U,	// VCVTTSD2SI64rm
-    135286081U,	// VCVTTSD2SI64rr
-    571493697U,	// VCVTTSD2SIrm
-    135286081U,	// VCVTTSD2SIrr
-    605048152U,	// VCVTTSS2SI64rm
-    135286104U,	// VCVTTSS2SI64rr
-    605048152U,	// VCVTTSS2SIrm
-    135286104U,	// VCVTTSS2SIrr
-    806372908U,	// VCVTUDQ2PDZrm
-    135284268U,	// VCVTUDQ2PDZrr
-    839930861U,	// VCVTUDQ2PSZrm
-    135287789U,	// VCVTUDQ2PSZrr
-    1209026647U,	// VDIVPDYrm
-    1209026647U,	// VDIVPDYrr
-    1209026647U,	// VDIVPDZrm
-    1209026647U,	// VDIVPDZrmb
-    1209026647U,	// VDIVPDZrr
-    1209026647U,	// VDIVPDrm
-    1209026647U,	// VDIVPDrr
-    1209030202U,	// VDIVPSYrm
-    1209030202U,	// VDIVPSYrr
-    1209030202U,	// VDIVPSZrm
-    1209030202U,	// VDIVPSZrmb
-    1209030202U,	// VDIVPSZrr
-    1209030202U,	// VDIVPSrm
-    1209030202U,	// VDIVPSrr
-    1209027167U,	// VDIVSDZrm
-    1209027167U,	// VDIVSDZrr
-    1209027167U,	// VDIVSDrm
-    1209027167U,	// VDIVSDrm_Int
-    1209027167U,	// VDIVSDrr
-    1209027167U,	// VDIVSDrr_Int
-    1209030619U,	// VDIVSSZrm
-    1209030619U,	// VDIVSSZrr
-    1209030619U,	// VDIVSSrm
-    1209030619U,	// VDIVSSrm_Int
-    1209030619U,	// VDIVSSrr
-    1209030619U,	// VDIVSSrr_Int
-    1209026543U,	// VDPPDrmi
-    1209026543U,	// VDPPDrri
-    1209030065U,	// VDPPSYrmi
-    1209030065U,	// VDPPSYrri
-    1209030065U,	// VDPPSrmi
-    1209030065U,	// VDPPSrri
-    37505U,	// VERRm
-    21121U,	// VERRr
-    39637U,	// VERWm
-    23253U,	// VERWr
+    571493022U,	// VBROADCASTSDYrm
+    135285406U,	// VBROADCASTSDYrr
+    571493022U,	// VBROADCASTSDZrm
+    135285406U,	// VBROADCASTSDZrr
+    605051117U,	// VBROADCASTSSYrm
+    135289069U,	// VBROADCASTSSYrr
+    605051117U,	// VBROADCASTSSZrm
+    135289069U,	// VBROADCASTSSZrr
+    605051117U,	// VBROADCASTSSrm
+    135289069U,	// VBROADCASTSSrr
+    1216587893U,	// VCMPPDYrmi
+    1209026594U,	// VCMPPDYrmi_alt
+    1216604277U,	// VCMPPDYrri
+    1209026594U,	// VCMPPDYrri_alt
+    1216587893U,	// VCMPPDZrmi
+    1209026594U,	// VCMPPDZrmi_alt
+    1216604277U,	// VCMPPDZrri
+    1209026594U,	// VCMPPDZrri_alt
+    1216587893U,	// VCMPPDrmi
+    1209026594U,	// VCMPPDrmi_alt
+    1216604277U,	// VCMPPDrri
+    1209026594U,	// VCMPPDrri_alt
+    1217636469U,	// VCMPPSYrmi
+    1209030327U,	// VCMPPSYrmi_alt
+    1217652853U,	// VCMPPSYrri
+    1209030327U,	// VCMPPSYrri_alt
+    1217636469U,	// VCMPPSZrmi
+    1209030327U,	// VCMPPSZrmi_alt
+    1217652853U,	// VCMPPSZrri
+    1209030327U,	// VCMPPSZrri_alt
+    1217636469U,	// VCMPPSrmi
+    1209030327U,	// VCMPPSrmi_alt
+    1217652853U,	// VCMPPSrri
+    1209030327U,	// VCMPPSrri_alt
+    1218685045U,	// VCMPSDrm
+    1209027204U,	// VCMPSDrm_alt
+    1218701429U,	// VCMPSDrr
+    1209027204U,	// VCMPSDrr_alt
+    1219733621U,	// VCMPSSrm
+    1209030857U,	// VCMPSSrm_alt
+    1219750005U,	// VCMPSSrr
+    1209030857U,	// VCMPSSrr_alt
+    537938530U,	// VCOMISDZrm
+    135285346U,	// VCOMISDZrr
+    537938530U,	// VCOMISDrm
+    135285346U,	// VCOMISDrr
+    537942184U,	// VCOMISSZrm
+    135289000U,	// VCOMISSZrr
+    537942184U,	// VCOMISSrm
+    135289000U,	// VCOMISSrr
+    336610871U,	// VCVTDQ2PDYrm
+    135284279U,	// VCVTDQ2PDYrr
+    739264055U,	// VCVTDQ2PDZrm
+    135284279U,	// VCVTDQ2PDZrr
+    168838711U,	// VCVTDQ2PDrm
+    135284279U,	// VCVTDQ2PDrr
+    739267787U,	// VCVTDQ2PSYrm
+    135288011U,	// VCVTDQ2PSYrr
+    772822219U,	// VCVTDQ2PSZrm
+    135288011U,	// VCVTDQ2PSZrr
+    336614603U,	// VCVTDQ2PSrm
+    135288011U,	// VCVTDQ2PSrr
+    537943399U,	// VCVTPD2DQXrm
+    806375521U,	// VCVTPD2DQYrm
+    135286881U,	// VCVTPD2DQYrr
+    135286881U,	// VCVTPD2DQrr
+    537943429U,	// VCVTPD2PSXrm
+    806376596U,	// VCVTPD2PSYrm
+    135287956U,	// VCVTPD2PSYrr
+    839931028U,	// VCVTPD2PSZrm
+    135287956U,	// VCVTPD2PSZrr
+    135287956U,	// VCVTPD2PSrr
+    537941151U,	// VCVTPH2PSYrm
+    135287967U,	// VCVTPH2PSYrr
+    806376607U,	// VCVTPH2PSZrm
+    135287967U,	// VCVTPH2PSZrr
+    571495583U,	// VCVTPH2PSrm
+    135287967U,	// VCVTPH2PSrr
+    806375553U,	// VCVTPS2DQYrm
+    135286913U,	// VCVTPS2DQYrr
+    839929985U,	// VCVTPS2DQZrm
+    135286913U,	// VCVTPS2DQZrr
+    537940097U,	// VCVTPS2DQrm
+    135286913U,	// VCVTPS2DQrr
+    537937486U,	// VCVTPS2PDYrm
+    135284302U,	// VCVTPS2PDYrr
+    806372942U,	// VCVTPS2PDZrm
+    135284302U,	// VCVTPS2PDZrr
+    571491918U,	// VCVTPS2PDrm
+    135284302U,	// VCVTPS2PDrr
+    873876738U,	// VCVTPS2PHYmr
+    1209027842U,	// VCVTPS2PHYrr
+    873893122U,	// VCVTPS2PHZmr
+    1209027842U,	// VCVTPS2PHZrr
+    1074924802U,	// VCVTPS2PHmr
+    1209027842U,	// VCVTPS2PHrr
+    571493805U,	// VCVTSD2SI64Zrm
+    135286189U,	// VCVTSD2SI64Zrr
+    571493805U,	// VCVTSD2SI64rm
+    135286189U,	// VCVTSD2SI64rr
+    571493805U,	// VCVTSD2SIZrm
+    135286189U,	// VCVTSD2SIZrr
+    571493805U,	// VCVTSD2SIrm
+    135286189U,	// VCVTSD2SIrr
+    1209030601U,	// VCVTSD2SSZrm
+    1209030601U,	// VCVTSD2SSZrr
+    1209030601U,	// VCVTSD2SSrm
+    1209030601U,	// VCVTSD2SSrr
+    571493858U,	// VCVTSD2USI64Zrm
+    135286242U,	// VCVTSD2USI64Zrr
+    571493858U,	// VCVTSD2USIZrm
+    135286242U,	// VCVTSD2USIZrr
+    1209026939U,	// VCVTSI2SD64rm
+    1209026939U,	// VCVTSI2SD64rr
+    1209026939U,	// VCVTSI2SDZrm
+    1209026939U,	// VCVTSI2SDZrr
+    1209026939U,	// VCVTSI2SDrm
+    1209026939U,	// VCVTSI2SDrr
+    1209030612U,	// VCVTSI2SS64rm
+    1209030612U,	// VCVTSI2SS64rr
+    1209030612U,	// VCVTSI2SSZrm
+    1209030612U,	// VCVTSI2SSZrr
+    1209030612U,	// VCVTSI2SSrm
+    1209030612U,	// VCVTSI2SSrr
+    1209026939U,	// VCVTSI642SDZrm
+    1209026939U,	// VCVTSI642SDZrr
+    1209030612U,	// VCVTSI642SSZrm
+    1209030612U,	// VCVTSI642SSZrr
+    1209026962U,	// VCVTSS2SDZrm
+    1209026962U,	// VCVTSS2SDZrr
+    1209026962U,	// VCVTSS2SDrm
+    1209026962U,	// VCVTSS2SDrr
+    605048260U,	// VCVTSS2SI64Zrm
+    135286212U,	// VCVTSS2SI64Zrr
+    605048260U,	// VCVTSS2SI64rm
+    135286212U,	// VCVTSS2SI64rr
+    605048260U,	// VCVTSS2SIZrm
+    135286212U,	// VCVTSS2SIZrr
+    605048260U,	// VCVTSS2SIrm
+    135286212U,	// VCVTSS2SIrr
+    605048315U,	// VCVTSS2USI64Zrm
+    135286267U,	// VCVTSS2USI64Zrr
+    605048315U,	// VCVTSS2USIZrm
+    135286267U,	// VCVTSS2USIZrr
+    537943386U,	// VCVTTPD2DQXrm
+    806375509U,	// VCVTTPD2DQYrm
+    135286869U,	// VCVTTPD2DQYrr
+    839929941U,	// VCVTTPD2DQZrm
+    135286869U,	// VCVTTPD2DQZrr
+    135286869U,	// VCVTTPD2DQrr
+    839930156U,	// VCVTTPD2UDQZrm
+    135287084U,	// VCVTTPD2UDQZrr
+    806375541U,	// VCVTTPS2DQYrm
+    135286901U,	// VCVTTPS2DQYrr
+    839929973U,	// VCVTTPS2DQZrm
+    135286901U,	// VCVTTPS2DQZrr
+    537940085U,	// VCVTTPS2DQrm
+    135286901U,	// VCVTTPS2DQrr
+    839930169U,	// VCVTTPS2UDQZrm
+    135287097U,	// VCVTTPS2UDQZrr
+    571493793U,	// VCVTTSD2SI64Zrm
+    135286177U,	// VCVTTSD2SI64Zrr
+    571493793U,	// VCVTTSD2SI64rm
+    135286177U,	// VCVTTSD2SI64rr
+    571493793U,	// VCVTTSD2SIZrm
+    135286177U,	// VCVTTSD2SIZrr
+    571493793U,	// VCVTTSD2SIrm
+    135286177U,	// VCVTTSD2SIrr
+    571493845U,	// VCVTTSD2USI64Zrm
+    135286229U,	// VCVTTSD2USI64Zrr
+    571493845U,	// VCVTTSD2USIZrm
+    135286229U,	// VCVTTSD2USIZrr
+    605048248U,	// VCVTTSS2SI64Zrm
+    135286200U,	// VCVTTSS2SI64Zrr
+    605048248U,	// VCVTTSS2SI64rm
+    135286200U,	// VCVTTSS2SI64rr
+    605048248U,	// VCVTTSS2SIZrm
+    135286200U,	// VCVTTSS2SIZrr
+    605048248U,	// VCVTTSS2SIrm
+    135286200U,	// VCVTTSS2SIrr
+    605048302U,	// VCVTTSS2USI64Zrm
+    135286254U,	// VCVTTSS2USI64Zrr
+    605048302U,	// VCVTTSS2USIZrm
+    135286254U,	// VCVTTSS2USIZrr
+    806372930U,	// VCVTUDQ2PDZrm
+    135284290U,	// VCVTUDQ2PDZrr
+    839931094U,	// VCVTUDQ2PSZrm
+    135288022U,	// VCVTUDQ2PSZrr
+    1209026950U,	// VCVTUSI2SDZrm
+    1209026950U,	// VCVTUSI2SDZrr
+    1209030623U,	// VCVTUSI2SSZrm
+    1209030623U,	// VCVTUSI2SSZrr
+    1209026950U,	// VCVTUSI642SDZrm
+    1209026950U,	// VCVTUSI642SDZrr
+    1209030623U,	// VCVTUSI642SSZrm
+    1209030623U,	// VCVTUSI642SSZrr
+    1209026690U,	// VDIVPDYrm
+    1209026690U,	// VDIVPDYrr
+    1209026690U,	// VDIVPDZrm
+    1209026690U,	// VDIVPDZrmb
+    1209026690U,	// VDIVPDZrr
+    1209026690U,	// VDIVPDrm
+    1209026690U,	// VDIVPDrr
+    1209030456U,	// VDIVPSYrm
+    1209030456U,	// VDIVPSYrr
+    1209030456U,	// VDIVPSZrm
+    1209030456U,	// VDIVPSZrmb
+    1209030456U,	// VDIVPSZrr
+    1209030456U,	// VDIVPSrm
+    1209030456U,	// VDIVPSrr
+    1209027244U,	// VDIVSDZrm
+    1209027244U,	// VDIVSDZrr
+    1209027244U,	// VDIVSDrm
+    1209027244U,	// VDIVSDrm_Int
+    1209027244U,	// VDIVSDrr
+    1209027244U,	// VDIVSDrr_Int
+    1209030907U,	// VDIVSSZrm
+    1209030907U,	// VDIVSSZrr
+    1209030907U,	// VDIVSSrm
+    1209030907U,	// VDIVSSrm_Int
+    1209030907U,	// VDIVSSrr
+    1209030907U,	// VDIVSSrr_Int
+    1209026587U,	// VDPPDrmi
+    1209026587U,	// VDPPDrri
+    1209030320U,	// VDPPSYrmi
+    1209030320U,	// VDPPSYrri
+    1209030320U,	// VDPPSrmi
+    1209030320U,	// VDPPSrri
+    37732U,	// VERRm
+    21348U,	// VERRr
+    39925U,	// VERWm
+    23541U,	// VERWr
     873873753U,	// VEXTRACTF128mr
     1209024857U,	// VEXTRACTF128rr
     873873625U,	// VEXTRACTF32x4mr
@@ -3076,503 +3194,502 @@
     1209024758U,	// VEXTRACTI32x4rr
     873906480U,	// VEXTRACTI64x4mr
     1209024816U,	// VEXTRACTI64x4rr
-    1074910697U,	// VEXTRACTPSmr
-    1209030121U,	// VEXTRACTPSrr
-    1209030121U,	// VEXTRACTPSrr64
-    1074910697U,	// VEXTRACTPSzmr
-    1209030121U,	// VEXTRACTPSzrr
-    1141917157U,	// VFMADD132PDZm
-    1141917157U,	// VFMADD132PDZmb
-    1141920656U,	// VFMADD132PSZm
-    1141920656U,	// VFMADD132PSZmb
-    1141917310U,	// VFMADD213PDZm
-    1141917310U,	// VFMADD213PDZmb
-    1141917310U,	// VFMADD213PDZr
-    1141920820U,	// VFMADD213PSZm
-    1141920820U,	// VFMADD213PSZmb
-    1141920820U,	// VFMADD213PSZr
-    1209026324U,	// VFMADDPD4mr
-    1209026324U,	// VFMADDPD4mrY
-    1209026324U,	// VFMADDPD4rm
-    1209026324U,	// VFMADDPD4rmY
-    1209026324U,	// VFMADDPD4rr
-    1209026324U,	// VFMADDPD4rrY
-    1209026324U,	// VFMADDPD4rrY_REV
-    1209026324U,	// VFMADDPD4rr_REV
-    1141917157U,	// VFMADDPDr132m
-    1141917157U,	// VFMADDPDr132mY
-    1141917157U,	// VFMADDPDr132r
-    1141917157U,	// VFMADDPDr132rY
-    1141917310U,	// VFMADDPDr213m
-    1141917310U,	// VFMADDPDr213mY
-    1141917310U,	// VFMADDPDr213r
-    1141917310U,	// VFMADDPDr213rY
-    1141917071U,	// VFMADDPDr231m
-    1141917071U,	// VFMADDPDr231mY
-    1141917071U,	// VFMADDPDr231r
-    1141917071U,	// VFMADDPDr231rY
-    1209029826U,	// VFMADDPS4mr
-    1209029826U,	// VFMADDPS4mrY
-    1209029826U,	// VFMADDPS4rm
-    1209029826U,	// VFMADDPS4rmY
-    1209029826U,	// VFMADDPS4rr
-    1209029826U,	// VFMADDPS4rrY
-    1209029826U,	// VFMADDPS4rrY_REV
-    1209029826U,	// VFMADDPS4rr_REV
-    1141920656U,	// VFMADDPSr132m
-    1141920656U,	// VFMADDPSr132mY
-    1141920656U,	// VFMADDPSr132r
-    1141920656U,	// VFMADDPSr132rY
-    1141920820U,	// VFMADDPSr213m
-    1141920820U,	// VFMADDPSr213mY
-    1141920820U,	// VFMADDPSr213r
-    1141920820U,	// VFMADDPSr213rY
-    1141920570U,	// VFMADDPSr231m
-    1141920570U,	// VFMADDPSr231mY
-    1141920570U,	// VFMADDPSr231r
-    1141920570U,	// VFMADDPSr231rY
-    1209027031U,	// VFMADDSD4mr
-    1209027031U,	// VFMADDSD4mr_Int
-    1209027031U,	// VFMADDSD4rm
-    1209027031U,	// VFMADDSD4rm_Int
-    1209027031U,	// VFMADDSD4rr
-    1209027031U,	// VFMADDSD4rr_Int
-    1209027031U,	// VFMADDSD4rr_REV
-    1141918081U,	// VFMADDSDZm
-    1141918081U,	// VFMADDSDZr
-    1141918005U,	// VFMADDSDr132m
-    1141918005U,	// VFMADDSDr132r
-    1141918081U,	// VFMADDSDr213m
-    1141918081U,	// VFMADDSDr213m_Int
-    1141918081U,	// VFMADDSDr213r
-    1141918081U,	// VFMADDSDr213r_Int
-    1141917951U,	// VFMADDSDr231m
-    1141917951U,	// VFMADDSDr231r
-    1209030474U,	// VFMADDSS4mr
-    1209030474U,	// VFMADDSS4mr_Int
-    1209030474U,	// VFMADDSS4rm
-    1209030474U,	// VFMADDSS4rm_Int
-    1209030474U,	// VFMADDSS4rr
-    1209030474U,	// VFMADDSS4rr_Int
-    1209030474U,	// VFMADDSS4rr_REV
-    1141921532U,	// VFMADDSSZm
-    1141921532U,	// VFMADDSSZr
-    1141921456U,	// VFMADDSSr132m
-    1141921456U,	// VFMADDSSr132r
-    1141921532U,	// VFMADDSSr213m
-    1141921532U,	// VFMADDSSr213m_Int
-    1141921532U,	// VFMADDSSr213r
-    1141921532U,	// VFMADDSSr213r_Int
-    1141921402U,	// VFMADDSSr231m
-    1141921402U,	// VFMADDSSr231r
-    1141917098U,	// VFMADDSUB132PDZm
-    1141917098U,	// VFMADDSUB132PDZmb
-    1141920597U,	// VFMADDSUB132PSZm
-    1141920597U,	// VFMADDSUB132PSZmb
-    1141917251U,	// VFMADDSUB213PDZm
-    1141917251U,	// VFMADDSUB213PDZmb
-    1141917251U,	// VFMADDSUB213PDZr
-    1141920761U,	// VFMADDSUB213PSZm
-    1141920761U,	// VFMADDSUB213PSZmb
-    1141920761U,	// VFMADDSUB213PSZr
-    1209026240U,	// VFMADDSUBPD4mr
-    1209026240U,	// VFMADDSUBPD4mrY
-    1209026240U,	// VFMADDSUBPD4rm
-    1209026240U,	// VFMADDSUBPD4rmY
-    1209026240U,	// VFMADDSUBPD4rr
-    1209026240U,	// VFMADDSUBPD4rrY
-    1209026240U,	// VFMADDSUBPD4rrY_REV
-    1209026240U,	// VFMADDSUBPD4rr_REV
-    1141917098U,	// VFMADDSUBPDr132m
-    1141917098U,	// VFMADDSUBPDr132mY
-    1141917098U,	// VFMADDSUBPDr132r
-    1141917098U,	// VFMADDSUBPDr132rY
-    1141917251U,	// VFMADDSUBPDr213m
-    1141917251U,	// VFMADDSUBPDr213mY
-    1141917251U,	// VFMADDSUBPDr213r
-    1141917251U,	// VFMADDSUBPDr213rY
-    1141917012U,	// VFMADDSUBPDr231m
-    1141917012U,	// VFMADDSUBPDr231mY
-    1141917012U,	// VFMADDSUBPDr231r
-    1141917012U,	// VFMADDSUBPDr231rY
-    1209029742U,	// VFMADDSUBPS4mr
-    1209029742U,	// VFMADDSUBPS4mrY
-    1209029742U,	// VFMADDSUBPS4rm
-    1209029742U,	// VFMADDSUBPS4rmY
-    1209029742U,	// VFMADDSUBPS4rr
-    1209029742U,	// VFMADDSUBPS4rrY
-    1209029742U,	// VFMADDSUBPS4rrY_REV
-    1209029742U,	// VFMADDSUBPS4rr_REV
-    1141920597U,	// VFMADDSUBPSr132m
-    1141920597U,	// VFMADDSUBPSr132mY
-    1141920597U,	// VFMADDSUBPSr132r
-    1141920597U,	// VFMADDSUBPSr132rY
-    1141920761U,	// VFMADDSUBPSr213m
-    1141920761U,	// VFMADDSUBPSr213mY
-    1141920761U,	// VFMADDSUBPSr213r
-    1141920761U,	// VFMADDSUBPSr213rY
-    1141920511U,	// VFMADDSUBPSr231m
-    1141920511U,	// VFMADDSUBPSr231mY
-    1141920511U,	// VFMADDSUBPSr231r
-    1141920511U,	// VFMADDSUBPSr231rY
-    1141917114U,	// VFMSUB132PDZm
-    1141917114U,	// VFMSUB132PDZmb
-    1141920613U,	// VFMSUB132PSZm
-    1141920613U,	// VFMSUB132PSZmb
-    1141917267U,	// VFMSUB213PDZm
-    1141917267U,	// VFMSUB213PDZmb
-    1141917267U,	// VFMSUB213PDZr
-    1141920777U,	// VFMSUB213PSZm
-    1141920777U,	// VFMSUB213PSZmb
-    1141920777U,	// VFMSUB213PSZr
-    1141917141U,	// VFMSUBADD132PDZm
-    1141917141U,	// VFMSUBADD132PDZmb
-    1141920640U,	// VFMSUBADD132PSZm
-    1141920640U,	// VFMSUBADD132PSZmb
-    1141917294U,	// VFMSUBADD213PDZm
-    1141917294U,	// VFMSUBADD213PDZmb
-    1141917294U,	// VFMSUBADD213PDZr
-    1141920804U,	// VFMSUBADD213PSZm
-    1141920804U,	// VFMSUBADD213PSZmb
-    1141920804U,	// VFMSUBADD213PSZr
-    1209026302U,	// VFMSUBADDPD4mr
-    1209026302U,	// VFMSUBADDPD4mrY
-    1209026302U,	// VFMSUBADDPD4rm
-    1209026302U,	// VFMSUBADDPD4rmY
-    1209026302U,	// VFMSUBADDPD4rr
-    1209026302U,	// VFMSUBADDPD4rrY
-    1209026302U,	// VFMSUBADDPD4rrY_REV
-    1209026302U,	// VFMSUBADDPD4rr_REV
-    1141917141U,	// VFMSUBADDPDr132m
-    1141917141U,	// VFMSUBADDPDr132mY
-    1141917141U,	// VFMSUBADDPDr132r
-    1141917141U,	// VFMSUBADDPDr132rY
-    1141917294U,	// VFMSUBADDPDr213m
-    1141917294U,	// VFMSUBADDPDr213mY
-    1141917294U,	// VFMSUBADDPDr213r
-    1141917294U,	// VFMSUBADDPDr213rY
-    1141917055U,	// VFMSUBADDPDr231m
-    1141917055U,	// VFMSUBADDPDr231mY
-    1141917055U,	// VFMSUBADDPDr231r
-    1141917055U,	// VFMSUBADDPDr231rY
-    1209029804U,	// VFMSUBADDPS4mr
-    1209029804U,	// VFMSUBADDPS4mrY
-    1209029804U,	// VFMSUBADDPS4rm
-    1209029804U,	// VFMSUBADDPS4rmY
-    1209029804U,	// VFMSUBADDPS4rr
-    1209029804U,	// VFMSUBADDPS4rrY
-    1209029804U,	// VFMSUBADDPS4rrY_REV
-    1209029804U,	// VFMSUBADDPS4rr_REV
-    1141920640U,	// VFMSUBADDPSr132m
-    1141920640U,	// VFMSUBADDPSr132mY
-    1141920640U,	// VFMSUBADDPSr132r
-    1141920640U,	// VFMSUBADDPSr132rY
-    1141920804U,	// VFMSUBADDPSr213m
-    1141920804U,	// VFMSUBADDPSr213mY
-    1141920804U,	// VFMSUBADDPSr213r
-    1141920804U,	// VFMSUBADDPSr213rY
-    1141920554U,	// VFMSUBADDPSr231m
-    1141920554U,	// VFMSUBADDPSr231mY
-    1141920554U,	// VFMSUBADDPSr231r
-    1141920554U,	// VFMSUBADDPSr231rY
-    1209026273U,	// VFMSUBPD4mr
-    1209026273U,	// VFMSUBPD4mrY
-    1209026273U,	// VFMSUBPD4rm
-    1209026273U,	// VFMSUBPD4rmY
-    1209026273U,	// VFMSUBPD4rr
-    1209026273U,	// VFMSUBPD4rrY
-    1209026273U,	// VFMSUBPD4rrY_REV
-    1209026273U,	// VFMSUBPD4rr_REV
-    1141917114U,	// VFMSUBPDr132m
-    1141917114U,	// VFMSUBPDr132mY
-    1141917114U,	// VFMSUBPDr132r
-    1141917114U,	// VFMSUBPDr132rY
-    1141917267U,	// VFMSUBPDr213m
-    1141917267U,	// VFMSUBPDr213mY
-    1141917267U,	// VFMSUBPDr213r
-    1141917267U,	// VFMSUBPDr213rY
-    1141917028U,	// VFMSUBPDr231m
-    1141917028U,	// VFMSUBPDr231mY
-    1141917028U,	// VFMSUBPDr231r
-    1141917028U,	// VFMSUBPDr231rY
-    1209029775U,	// VFMSUBPS4mr
-    1209029775U,	// VFMSUBPS4mrY
-    1209029775U,	// VFMSUBPS4rm
-    1209029775U,	// VFMSUBPS4rmY
-    1209029775U,	// VFMSUBPS4rr
-    1209029775U,	// VFMSUBPS4rrY
-    1209029775U,	// VFMSUBPS4rrY_REV
-    1209029775U,	// VFMSUBPS4rr_REV
-    1141920613U,	// VFMSUBPSr132m
-    1141920613U,	// VFMSUBPSr132mY
-    1141920613U,	// VFMSUBPSr132r
-    1141920613U,	// VFMSUBPSr132rY
-    1141920777U,	// VFMSUBPSr213m
-    1141920777U,	// VFMSUBPSr213mY
-    1141920777U,	// VFMSUBPSr213r
-    1141920777U,	// VFMSUBPSr213rY
-    1141920527U,	// VFMSUBPSr231m
-    1141920527U,	// VFMSUBPSr231mY
-    1141920527U,	// VFMSUBPSr231r
-    1141920527U,	// VFMSUBPSr231rY
-    1209027002U,	// VFMSUBSD4mr
-    1209027002U,	// VFMSUBSD4mr_Int
-    1209027002U,	// VFMSUBSD4rm
-    1209027002U,	// VFMSUBSD4rm_Int
-    1209027002U,	// VFMSUBSD4rr
-    1209027002U,	// VFMSUBSD4rr_Int
-    1209027002U,	// VFMSUBSD4rr_REV
-    1141918054U,	// VFMSUBSDZm
-    1141918054U,	// VFMSUBSDZr
-    1141917978U,	// VFMSUBSDr132m
-    1141917978U,	// VFMSUBSDr132r
-    1141918054U,	// VFMSUBSDr213m
-    1141918054U,	// VFMSUBSDr213m_Int
-    1141918054U,	// VFMSUBSDr213r
-    1141918054U,	// VFMSUBSDr213r_Int
-    1141917924U,	// VFMSUBSDr231m
-    1141917924U,	// VFMSUBSDr231r
-    1209030445U,	// VFMSUBSS4mr
-    1209030445U,	// VFMSUBSS4mr_Int
-    1209030445U,	// VFMSUBSS4rm
-    1209030445U,	// VFMSUBSS4rm_Int
-    1209030445U,	// VFMSUBSS4rr
-    1209030445U,	// VFMSUBSS4rr_Int
-    1209030445U,	// VFMSUBSS4rr_REV
-    1141921505U,	// VFMSUBSSZm
-    1141921505U,	// VFMSUBSSZr
-    1141921429U,	// VFMSUBSSr132m
-    1141921429U,	// VFMSUBSSr132r
-    1141921505U,	// VFMSUBSSr213m
-    1141921505U,	// VFMSUBSSr213m_Int
-    1141921505U,	// VFMSUBSSr213r
-    1141921505U,	// VFMSUBSSr213r_Int
-    1141921375U,	// VFMSUBSSr231m
-    1141921375U,	// VFMSUBSSr231r
-    1141917170U,	// VFNMADD132PDZm
-    1141917170U,	// VFNMADD132PDZmb
-    1141920669U,	// VFNMADD132PSZm
-    1141920669U,	// VFNMADD132PSZmb
-    1141917323U,	// VFNMADD213PDZm
-    1141917323U,	// VFNMADD213PDZmb
-    1141917323U,	// VFNMADD213PDZr
-    1141920833U,	// VFNMADD213PSZm
-    1141920833U,	// VFNMADD213PSZmb
-    1141920833U,	// VFNMADD213PSZr
-    1209026334U,	// VFNMADDPD4mr
-    1209026334U,	// VFNMADDPD4mrY
-    1209026334U,	// VFNMADDPD4rm
-    1209026334U,	// VFNMADDPD4rmY
-    1209026334U,	// VFNMADDPD4rr
-    1209026334U,	// VFNMADDPD4rrY
-    1209026334U,	// VFNMADDPD4rrY_REV
-    1209026334U,	// VFNMADDPD4rr_REV
-    1141917170U,	// VFNMADDPDr132m
-    1141917170U,	// VFNMADDPDr132mY
-    1141917170U,	// VFNMADDPDr132r
-    1141917170U,	// VFNMADDPDr132rY
-    1141917323U,	// VFNMADDPDr213m
-    1141917323U,	// VFNMADDPDr213mY
-    1141917323U,	// VFNMADDPDr213r
-    1141917323U,	// VFNMADDPDr213rY
-    1141917084U,	// VFNMADDPDr231m
-    1141917084U,	// VFNMADDPDr231mY
-    1141917084U,	// VFNMADDPDr231r
-    1141917084U,	// VFNMADDPDr231rY
-    1209029836U,	// VFNMADDPS4mr
-    1209029836U,	// VFNMADDPS4mrY
-    1209029836U,	// VFNMADDPS4rm
-    1209029836U,	// VFNMADDPS4rmY
-    1209029836U,	// VFNMADDPS4rr
-    1209029836U,	// VFNMADDPS4rrY
-    1209029836U,	// VFNMADDPS4rrY_REV
-    1209029836U,	// VFNMADDPS4rr_REV
-    1141920669U,	// VFNMADDPSr132m
-    1141920669U,	// VFNMADDPSr132mY
-    1141920669U,	// VFNMADDPSr132r
-    1141920669U,	// VFNMADDPSr132rY
-    1141920833U,	// VFNMADDPSr213m
-    1141920833U,	// VFNMADDPSr213mY
-    1141920833U,	// VFNMADDPSr213r
-    1141920833U,	// VFNMADDPSr213rY
-    1141920583U,	// VFNMADDPSr231m
-    1141920583U,	// VFNMADDPSr231mY
-    1141920583U,	// VFNMADDPSr231r
-    1141920583U,	// VFNMADDPSr231rY
-    1209027041U,	// VFNMADDSD4mr
-    1209027041U,	// VFNMADDSD4mr_Int
-    1209027041U,	// VFNMADDSD4rm
-    1209027041U,	// VFNMADDSD4rm_Int
-    1209027041U,	// VFNMADDSD4rr
-    1209027041U,	// VFNMADDSD4rr_Int
-    1209027041U,	// VFNMADDSD4rr_REV
-    1141918094U,	// VFNMADDSDZm
-    1141918094U,	// VFNMADDSDZr
-    1141918018U,	// VFNMADDSDr132m
-    1141918018U,	// VFNMADDSDr132r
-    1141918094U,	// VFNMADDSDr213m
-    1141918094U,	// VFNMADDSDr213m_Int
-    1141918094U,	// VFNMADDSDr213r
-    1141918094U,	// VFNMADDSDr213r_Int
-    1141917964U,	// VFNMADDSDr231m
-    1141917964U,	// VFNMADDSDr231r
-    1209030484U,	// VFNMADDSS4mr
-    1209030484U,	// VFNMADDSS4mr_Int
-    1209030484U,	// VFNMADDSS4rm
-    1209030484U,	// VFNMADDSS4rm_Int
-    1209030484U,	// VFNMADDSS4rr
-    1209030484U,	// VFNMADDSS4rr_Int
-    1209030484U,	// VFNMADDSS4rr_REV
-    1141921545U,	// VFNMADDSSZm
-    1141921545U,	// VFNMADDSSZr
-    1141921469U,	// VFNMADDSSr132m
-    1141921469U,	// VFNMADDSSr132r
-    1141921545U,	// VFNMADDSSr213m
-    1141921545U,	// VFNMADDSSr213m_Int
-    1141921545U,	// VFNMADDSSr213r
-    1141921545U,	// VFNMADDSSr213r_Int
-    1141921415U,	// VFNMADDSSr231m
-    1141921415U,	// VFNMADDSSr231r
-    1141917127U,	// VFNMSUB132PDZm
-    1141917127U,	// VFNMSUB132PDZmb
-    1141920626U,	// VFNMSUB132PSZm
-    1141920626U,	// VFNMSUB132PSZmb
-    1141917280U,	// VFNMSUB213PDZm
-    1141917280U,	// VFNMSUB213PDZmb
-    1141917280U,	// VFNMSUB213PDZr
-    1141920790U,	// VFNMSUB213PSZm
-    1141920790U,	// VFNMSUB213PSZmb
-    1141920790U,	// VFNMSUB213PSZr
-    1209026283U,	// VFNMSUBPD4mr
-    1209026283U,	// VFNMSUBPD4mrY
-    1209026283U,	// VFNMSUBPD4rm
-    1209026283U,	// VFNMSUBPD4rmY
-    1209026283U,	// VFNMSUBPD4rr
-    1209026283U,	// VFNMSUBPD4rrY
-    1209026283U,	// VFNMSUBPD4rrY_REV
-    1209026283U,	// VFNMSUBPD4rr_REV
-    1141917127U,	// VFNMSUBPDr132m
-    1141917127U,	// VFNMSUBPDr132mY
-    1141917127U,	// VFNMSUBPDr132r
-    1141917127U,	// VFNMSUBPDr132rY
-    1141917280U,	// VFNMSUBPDr213m
-    1141917280U,	// VFNMSUBPDr213mY
-    1141917280U,	// VFNMSUBPDr213r
-    1141917280U,	// VFNMSUBPDr213rY
-    1141917041U,	// VFNMSUBPDr231m
-    1141917041U,	// VFNMSUBPDr231mY
-    1141917041U,	// VFNMSUBPDr231r
-    1141917041U,	// VFNMSUBPDr231rY
-    1209029785U,	// VFNMSUBPS4mr
-    1209029785U,	// VFNMSUBPS4mrY
-    1209029785U,	// VFNMSUBPS4rm
-    1209029785U,	// VFNMSUBPS4rmY
-    1209029785U,	// VFNMSUBPS4rr
-    1209029785U,	// VFNMSUBPS4rrY
-    1209029785U,	// VFNMSUBPS4rrY_REV
-    1209029785U,	// VFNMSUBPS4rr_REV
-    1141920626U,	// VFNMSUBPSr132m
-    1141920626U,	// VFNMSUBPSr132mY
-    1141920626U,	// VFNMSUBPSr132r
-    1141920626U,	// VFNMSUBPSr132rY
-    1141920790U,	// VFNMSUBPSr213m
-    1141920790U,	// VFNMSUBPSr213mY
-    1141920790U,	// VFNMSUBPSr213r
-    1141920790U,	// VFNMSUBPSr213rY
-    1141920540U,	// VFNMSUBPSr231m
-    1141920540U,	// VFNMSUBPSr231mY
-    1141920540U,	// VFNMSUBPSr231r
-    1141920540U,	// VFNMSUBPSr231rY
-    1209027012U,	// VFNMSUBSD4mr
-    1209027012U,	// VFNMSUBSD4mr_Int
-    1209027012U,	// VFNMSUBSD4rm
-    1209027012U,	// VFNMSUBSD4rm_Int
-    1209027012U,	// VFNMSUBSD4rr
-    1209027012U,	// VFNMSUBSD4rr_Int
-    1209027012U,	// VFNMSUBSD4rr_REV
-    1141918067U,	// VFNMSUBSDZm
-    1141918067U,	// VFNMSUBSDZr
-    1141917991U,	// VFNMSUBSDr132m
-    1141917991U,	// VFNMSUBSDr132r
-    1141918067U,	// VFNMSUBSDr213m
-    1141918067U,	// VFNMSUBSDr213m_Int
-    1141918067U,	// VFNMSUBSDr213r
-    1141918067U,	// VFNMSUBSDr213r_Int
-    1141917937U,	// VFNMSUBSDr231m
-    1141917937U,	// VFNMSUBSDr231r
-    1209030455U,	// VFNMSUBSS4mr
-    1209030455U,	// VFNMSUBSS4mr_Int
-    1209030455U,	// VFNMSUBSS4rm
-    1209030455U,	// VFNMSUBSS4rm_Int
-    1209030455U,	// VFNMSUBSS4rr
-    1209030455U,	// VFNMSUBSS4rr_Int
-    1209030455U,	// VFNMSUBSS4rr_REV
-    1141921518U,	// VFNMSUBSSZm
-    1141921518U,	// VFNMSUBSSZr
-    1141921442U,	// VFNMSUBSSr132m
-    1141921442U,	// VFNMSUBSSr132r
-    1141921518U,	// VFNMSUBSSr213m
-    1141921518U,	// VFNMSUBSSr213m_Int
-    1141921518U,	// VFNMSUBSSr213r
-    1141921518U,	// VFNMSUBSSr213r_Int
-    1141921388U,	// VFNMSUBSSr231m
-    1141921388U,	// VFNMSUBSSr231r
-    537938035U,	// VFRCZPDrm
-    806373491U,	// VFRCZPDrmY
-    135284851U,	// VFRCZPDrr
-    135284851U,	// VFRCZPDrrY
-    537941590U,	// VFRCZPSrm
-    806377046U,	// VFRCZPSrmY
-    135288406U,	// VFRCZPSrr
-    135288406U,	// VFRCZPSrrY
-    571492992U,	// VFRCZSDrm
-    135285376U,	// VFRCZSDrr
-    605050867U,	// VFRCZSSrm
-    135288819U,	// VFRCZSSrr
-    1209026526U,	// VFsANDNPDrm
-    1209026526U,	// VFsANDNPDrr
-    1209030040U,	// VFsANDNPSrm
-    1209030040U,	// VFsANDNPSrr
-    1209026353U,	// VFsANDPDrm
-    1209026353U,	// VFsANDPDrr
-    1209029855U,	// VFsANDPSrm
-    1209029855U,	// VFsANDPSrr
-    1209026584U,	// VFsORPDrm
-    1209026584U,	// VFsORPDrr
-    1209030106U,	// VFsORPSrm
-    1209030106U,	// VFsORPSrr
-    1209026591U,	// VFsXORPDrm
-    1209026591U,	// VFsXORPDrr
-    1209030113U,	// VFsXORPSrm
-    1209030113U,	// VFsXORPSrr
-    907036493U,	// VGATHERDPDYrm
-    2032158541U,	// VGATHERDPDZrm
-    907036493U,	// VGATHERDPDrm
-    974148859U,	// VGATHERDPSYrm
-    3105903867U,	// VGATHERDPSZrm
-    974148859U,	// VGATHERDPSrm
-    907036671U,	// VGATHERQPDYrm
-    2032158719U,	// VGATHERQPDZrm
-    907036671U,	// VGATHERQPDrm
-    974149057U,	// VGATHERQPSYrm
-    2032162241U,	// VGATHERQPSZrm
-    974149057U,	// VGATHERQPSrm
-    1209026315U,	// VHADDPDYrm
-    1209026315U,	// VHADDPDYrr
-    1209026315U,	// VHADDPDrm
-    1209026315U,	// VHADDPDrr
-    1209029817U,	// VHADDPSYrm
-    1209029817U,	// VHADDPSYrr
-    1209029817U,	// VHADDPSrm
-    1209029817U,	// VHADDPSrr
-    1209026264U,	// VHSUBPDYrm
-    1209026264U,	// VHSUBPDYrr
-    1209026264U,	// VHSUBPDrm
-    1209026264U,	// VHSUBPDrr
-    1209029766U,	// VHSUBPSYrm
-    1209029766U,	// VHSUBPSYrr
-    1209029766U,	// VHSUBPSrm
-    1209029766U,	// VHSUBPSrr
+    1074910951U,	// VEXTRACTPSmr
+    1209030375U,	// VEXTRACTPSrr
+    1074910951U,	// VEXTRACTPSzmr
+    1209030375U,	// VEXTRACTPSzrr
+    1141917179U,	// VFMADD132PDZm
+    1141917179U,	// VFMADD132PDZmb
+    1141920889U,	// VFMADD132PSZm
+    1141920889U,	// VFMADD132PSZmb
+    1141917332U,	// VFMADD213PDZm
+    1141917332U,	// VFMADD213PDZmb
+    1141917332U,	// VFMADD213PDZr
+    1141921053U,	// VFMADD213PSZm
+    1141921053U,	// VFMADD213PSZmb
+    1141921053U,	// VFMADD213PSZr
+    1209026368U,	// VFMADDPD4mr
+    1209026368U,	// VFMADDPD4mrY
+    1209026368U,	// VFMADDPD4rm
+    1209026368U,	// VFMADDPD4rmY
+    1209026368U,	// VFMADDPD4rr
+    1209026368U,	// VFMADDPD4rrY
+    1209026368U,	// VFMADDPD4rrY_REV
+    1209026368U,	// VFMADDPD4rr_REV
+    1141917179U,	// VFMADDPDr132m
+    1141917179U,	// VFMADDPDr132mY
+    1141917179U,	// VFMADDPDr132r
+    1141917179U,	// VFMADDPDr132rY
+    1141917332U,	// VFMADDPDr213m
+    1141917332U,	// VFMADDPDr213mY
+    1141917332U,	// VFMADDPDr213r
+    1141917332U,	// VFMADDPDr213rY
+    1141917093U,	// VFMADDPDr231m
+    1141917093U,	// VFMADDPDr231mY
+    1141917093U,	// VFMADDPDr231r
+    1141917093U,	// VFMADDPDr231rY
+    1209030081U,	// VFMADDPS4mr
+    1209030081U,	// VFMADDPS4mrY
+    1209030081U,	// VFMADDPS4rm
+    1209030081U,	// VFMADDPS4rmY
+    1209030081U,	// VFMADDPS4rr
+    1209030081U,	// VFMADDPS4rrY
+    1209030081U,	// VFMADDPS4rrY_REV
+    1209030081U,	// VFMADDPS4rr_REV
+    1141920889U,	// VFMADDPSr132m
+    1141920889U,	// VFMADDPSr132mY
+    1141920889U,	// VFMADDPSr132r
+    1141920889U,	// VFMADDPSr132rY
+    1141921053U,	// VFMADDPSr213m
+    1141921053U,	// VFMADDPSr213mY
+    1141921053U,	// VFMADDPSr213r
+    1141921053U,	// VFMADDPSr213rY
+    1141920803U,	// VFMADDPSr231m
+    1141920803U,	// VFMADDPSr231mY
+    1141920803U,	// VFMADDPSr231r
+    1141920803U,	// VFMADDPSr231rY
+    1209027108U,	// VFMADDSD4mr
+    1209027108U,	// VFMADDSD4mr_Int
+    1209027108U,	// VFMADDSD4rm
+    1209027108U,	// VFMADDSD4rm_Int
+    1209027108U,	// VFMADDSD4rr
+    1209027108U,	// VFMADDSD4rr_Int
+    1209027108U,	// VFMADDSD4rr_REV
+    1141918136U,	// VFMADDSDZm
+    1141918136U,	// VFMADDSDZr
+    1141918048U,	// VFMADDSDr132m
+    1141918048U,	// VFMADDSDr132r
+    1141918136U,	// VFMADDSDr213m
+    1141918136U,	// VFMADDSDr213m_Int
+    1141918136U,	// VFMADDSDr213r
+    1141918136U,	// VFMADDSDr213r_Int
+    1141917994U,	// VFMADDSDr231m
+    1141917994U,	// VFMADDSDr231r
+    1209030762U,	// VFMADDSS4mr
+    1209030762U,	// VFMADDSS4mr_Int
+    1209030762U,	// VFMADDSS4rm
+    1209030762U,	// VFMADDSS4rm_Int
+    1209030762U,	// VFMADDSS4rr
+    1209030762U,	// VFMADDSS4rr_Int
+    1209030762U,	// VFMADDSS4rr_REV
+    1141921798U,	// VFMADDSSZm
+    1141921798U,	// VFMADDSSZr
+    1141921710U,	// VFMADDSSr132m
+    1141921710U,	// VFMADDSSr132r
+    1141921798U,	// VFMADDSSr213m
+    1141921798U,	// VFMADDSSr213m_Int
+    1141921798U,	// VFMADDSSr213r
+    1141921798U,	// VFMADDSSr213r_Int
+    1141921656U,	// VFMADDSSr231m
+    1141921656U,	// VFMADDSSr231r
+    1141917120U,	// VFMADDSUB132PDZm
+    1141917120U,	// VFMADDSUB132PDZmb
+    1141920830U,	// VFMADDSUB132PSZm
+    1141920830U,	// VFMADDSUB132PSZmb
+    1141917273U,	// VFMADDSUB213PDZm
+    1141917273U,	// VFMADDSUB213PDZmb
+    1141917273U,	// VFMADDSUB213PDZr
+    1141920994U,	// VFMADDSUB213PSZm
+    1141920994U,	// VFMADDSUB213PSZmb
+    1141920994U,	// VFMADDSUB213PSZr
+    1209026284U,	// VFMADDSUBPD4mr
+    1209026284U,	// VFMADDSUBPD4mrY
+    1209026284U,	// VFMADDSUBPD4rm
+    1209026284U,	// VFMADDSUBPD4rmY
+    1209026284U,	// VFMADDSUBPD4rr
+    1209026284U,	// VFMADDSUBPD4rrY
+    1209026284U,	// VFMADDSUBPD4rrY_REV
+    1209026284U,	// VFMADDSUBPD4rr_REV
+    1141917120U,	// VFMADDSUBPDr132m
+    1141917120U,	// VFMADDSUBPDr132mY
+    1141917120U,	// VFMADDSUBPDr132r
+    1141917120U,	// VFMADDSUBPDr132rY
+    1141917273U,	// VFMADDSUBPDr213m
+    1141917273U,	// VFMADDSUBPDr213mY
+    1141917273U,	// VFMADDSUBPDr213r
+    1141917273U,	// VFMADDSUBPDr213rY
+    1141917034U,	// VFMADDSUBPDr231m
+    1141917034U,	// VFMADDSUBPDr231mY
+    1141917034U,	// VFMADDSUBPDr231r
+    1141917034U,	// VFMADDSUBPDr231rY
+    1209029997U,	// VFMADDSUBPS4mr
+    1209029997U,	// VFMADDSUBPS4mrY
+    1209029997U,	// VFMADDSUBPS4rm
+    1209029997U,	// VFMADDSUBPS4rmY
+    1209029997U,	// VFMADDSUBPS4rr
+    1209029997U,	// VFMADDSUBPS4rrY
+    1209029997U,	// VFMADDSUBPS4rrY_REV
+    1209029997U,	// VFMADDSUBPS4rr_REV
+    1141920830U,	// VFMADDSUBPSr132m
+    1141920830U,	// VFMADDSUBPSr132mY
+    1141920830U,	// VFMADDSUBPSr132r
+    1141920830U,	// VFMADDSUBPSr132rY
+    1141920994U,	// VFMADDSUBPSr213m
+    1141920994U,	// VFMADDSUBPSr213mY
+    1141920994U,	// VFMADDSUBPSr213r
+    1141920994U,	// VFMADDSUBPSr213rY
+    1141920744U,	// VFMADDSUBPSr231m
+    1141920744U,	// VFMADDSUBPSr231mY
+    1141920744U,	// VFMADDSUBPSr231r
+    1141920744U,	// VFMADDSUBPSr231rY
+    1141917136U,	// VFMSUB132PDZm
+    1141917136U,	// VFMSUB132PDZmb
+    1141920846U,	// VFMSUB132PSZm
+    1141920846U,	// VFMSUB132PSZmb
+    1141917289U,	// VFMSUB213PDZm
+    1141917289U,	// VFMSUB213PDZmb
+    1141917289U,	// VFMSUB213PDZr
+    1141921010U,	// VFMSUB213PSZm
+    1141921010U,	// VFMSUB213PSZmb
+    1141921010U,	// VFMSUB213PSZr
+    1141917163U,	// VFMSUBADD132PDZm
+    1141917163U,	// VFMSUBADD132PDZmb
+    1141920873U,	// VFMSUBADD132PSZm
+    1141920873U,	// VFMSUBADD132PSZmb
+    1141917316U,	// VFMSUBADD213PDZm
+    1141917316U,	// VFMSUBADD213PDZmb
+    1141917316U,	// VFMSUBADD213PDZr
+    1141921037U,	// VFMSUBADD213PSZm
+    1141921037U,	// VFMSUBADD213PSZmb
+    1141921037U,	// VFMSUBADD213PSZr
+    1209026346U,	// VFMSUBADDPD4mr
+    1209026346U,	// VFMSUBADDPD4mrY
+    1209026346U,	// VFMSUBADDPD4rm
+    1209026346U,	// VFMSUBADDPD4rmY
+    1209026346U,	// VFMSUBADDPD4rr
+    1209026346U,	// VFMSUBADDPD4rrY
+    1209026346U,	// VFMSUBADDPD4rrY_REV
+    1209026346U,	// VFMSUBADDPD4rr_REV
+    1141917163U,	// VFMSUBADDPDr132m
+    1141917163U,	// VFMSUBADDPDr132mY
+    1141917163U,	// VFMSUBADDPDr132r
+    1141917163U,	// VFMSUBADDPDr132rY
+    1141917316U,	// VFMSUBADDPDr213m
+    1141917316U,	// VFMSUBADDPDr213mY
+    1141917316U,	// VFMSUBADDPDr213r
+    1141917316U,	// VFMSUBADDPDr213rY
+    1141917077U,	// VFMSUBADDPDr231m
+    1141917077U,	// VFMSUBADDPDr231mY
+    1141917077U,	// VFMSUBADDPDr231r
+    1141917077U,	// VFMSUBADDPDr231rY
+    1209030059U,	// VFMSUBADDPS4mr
+    1209030059U,	// VFMSUBADDPS4mrY
+    1209030059U,	// VFMSUBADDPS4rm
+    1209030059U,	// VFMSUBADDPS4rmY
+    1209030059U,	// VFMSUBADDPS4rr
+    1209030059U,	// VFMSUBADDPS4rrY
+    1209030059U,	// VFMSUBADDPS4rrY_REV
+    1209030059U,	// VFMSUBADDPS4rr_REV
+    1141920873U,	// VFMSUBADDPSr132m
+    1141920873U,	// VFMSUBADDPSr132mY
+    1141920873U,	// VFMSUBADDPSr132r
+    1141920873U,	// VFMSUBADDPSr132rY
+    1141921037U,	// VFMSUBADDPSr213m
+    1141921037U,	// VFMSUBADDPSr213mY
+    1141921037U,	// VFMSUBADDPSr213r
+    1141921037U,	// VFMSUBADDPSr213rY
+    1141920787U,	// VFMSUBADDPSr231m
+    1141920787U,	// VFMSUBADDPSr231mY
+    1141920787U,	// VFMSUBADDPSr231r
+    1141920787U,	// VFMSUBADDPSr231rY
+    1209026317U,	// VFMSUBPD4mr
+    1209026317U,	// VFMSUBPD4mrY
+    1209026317U,	// VFMSUBPD4rm
+    1209026317U,	// VFMSUBPD4rmY
+    1209026317U,	// VFMSUBPD4rr
+    1209026317U,	// VFMSUBPD4rrY
+    1209026317U,	// VFMSUBPD4rrY_REV
+    1209026317U,	// VFMSUBPD4rr_REV
+    1141917136U,	// VFMSUBPDr132m
+    1141917136U,	// VFMSUBPDr132mY
+    1141917136U,	// VFMSUBPDr132r
+    1141917136U,	// VFMSUBPDr132rY
+    1141917289U,	// VFMSUBPDr213m
+    1141917289U,	// VFMSUBPDr213mY
+    1141917289U,	// VFMSUBPDr213r
+    1141917289U,	// VFMSUBPDr213rY
+    1141917050U,	// VFMSUBPDr231m
+    1141917050U,	// VFMSUBPDr231mY
+    1141917050U,	// VFMSUBPDr231r
+    1141917050U,	// VFMSUBPDr231rY
+    1209030030U,	// VFMSUBPS4mr
+    1209030030U,	// VFMSUBPS4mrY
+    1209030030U,	// VFMSUBPS4rm
+    1209030030U,	// VFMSUBPS4rmY
+    1209030030U,	// VFMSUBPS4rr
+    1209030030U,	// VFMSUBPS4rrY
+    1209030030U,	// VFMSUBPS4rrY_REV
+    1209030030U,	// VFMSUBPS4rr_REV
+    1141920846U,	// VFMSUBPSr132m
+    1141920846U,	// VFMSUBPSr132mY
+    1141920846U,	// VFMSUBPSr132r
+    1141920846U,	// VFMSUBPSr132rY
+    1141921010U,	// VFMSUBPSr213m
+    1141921010U,	// VFMSUBPSr213mY
+    1141921010U,	// VFMSUBPSr213r
+    1141921010U,	// VFMSUBPSr213rY
+    1141920760U,	// VFMSUBPSr231m
+    1141920760U,	// VFMSUBPSr231mY
+    1141920760U,	// VFMSUBPSr231r
+    1141920760U,	// VFMSUBPSr231rY
+    1209027079U,	// VFMSUBSD4mr
+    1209027079U,	// VFMSUBSD4mr_Int
+    1209027079U,	// VFMSUBSD4rm
+    1209027079U,	// VFMSUBSD4rm_Int
+    1209027079U,	// VFMSUBSD4rr
+    1209027079U,	// VFMSUBSD4rr_Int
+    1209027079U,	// VFMSUBSD4rr_REV
+    1141918109U,	// VFMSUBSDZm
+    1141918109U,	// VFMSUBSDZr
+    1141918021U,	// VFMSUBSDr132m
+    1141918021U,	// VFMSUBSDr132r
+    1141918109U,	// VFMSUBSDr213m
+    1141918109U,	// VFMSUBSDr213m_Int
+    1141918109U,	// VFMSUBSDr213r
+    1141918109U,	// VFMSUBSDr213r_Int
+    1141917967U,	// VFMSUBSDr231m
+    1141917967U,	// VFMSUBSDr231r
+    1209030733U,	// VFMSUBSS4mr
+    1209030733U,	// VFMSUBSS4mr_Int
+    1209030733U,	// VFMSUBSS4rm
+    1209030733U,	// VFMSUBSS4rm_Int
+    1209030733U,	// VFMSUBSS4rr
+    1209030733U,	// VFMSUBSS4rr_Int
+    1209030733U,	// VFMSUBSS4rr_REV
+    1141921771U,	// VFMSUBSSZm
+    1141921771U,	// VFMSUBSSZr
+    1141921683U,	// VFMSUBSSr132m
+    1141921683U,	// VFMSUBSSr132r
+    1141921771U,	// VFMSUBSSr213m
+    1141921771U,	// VFMSUBSSr213m_Int
+    1141921771U,	// VFMSUBSSr213r
+    1141921771U,	// VFMSUBSSr213r_Int
+    1141921629U,	// VFMSUBSSr231m
+    1141921629U,	// VFMSUBSSr231r
+    1141917192U,	// VFNMADD132PDZm
+    1141917192U,	// VFNMADD132PDZmb
+    1141920902U,	// VFNMADD132PSZm
+    1141920902U,	// VFNMADD132PSZmb
+    1141917345U,	// VFNMADD213PDZm
+    1141917345U,	// VFNMADD213PDZmb
+    1141917345U,	// VFNMADD213PDZr
+    1141921066U,	// VFNMADD213PSZm
+    1141921066U,	// VFNMADD213PSZmb
+    1141921066U,	// VFNMADD213PSZr
+    1209026378U,	// VFNMADDPD4mr
+    1209026378U,	// VFNMADDPD4mrY
+    1209026378U,	// VFNMADDPD4rm
+    1209026378U,	// VFNMADDPD4rmY
+    1209026378U,	// VFNMADDPD4rr
+    1209026378U,	// VFNMADDPD4rrY
+    1209026378U,	// VFNMADDPD4rrY_REV
+    1209026378U,	// VFNMADDPD4rr_REV
+    1141917192U,	// VFNMADDPDr132m
+    1141917192U,	// VFNMADDPDr132mY
+    1141917192U,	// VFNMADDPDr132r
+    1141917192U,	// VFNMADDPDr132rY
+    1141917345U,	// VFNMADDPDr213m
+    1141917345U,	// VFNMADDPDr213mY
+    1141917345U,	// VFNMADDPDr213r
+    1141917345U,	// VFNMADDPDr213rY
+    1141917106U,	// VFNMADDPDr231m
+    1141917106U,	// VFNMADDPDr231mY
+    1141917106U,	// VFNMADDPDr231r
+    1141917106U,	// VFNMADDPDr231rY
+    1209030091U,	// VFNMADDPS4mr
+    1209030091U,	// VFNMADDPS4mrY
+    1209030091U,	// VFNMADDPS4rm
+    1209030091U,	// VFNMADDPS4rmY
+    1209030091U,	// VFNMADDPS4rr
+    1209030091U,	// VFNMADDPS4rrY
+    1209030091U,	// VFNMADDPS4rrY_REV
+    1209030091U,	// VFNMADDPS4rr_REV
+    1141920902U,	// VFNMADDPSr132m
+    1141920902U,	// VFNMADDPSr132mY
+    1141920902U,	// VFNMADDPSr132r
+    1141920902U,	// VFNMADDPSr132rY
+    1141921066U,	// VFNMADDPSr213m
+    1141921066U,	// VFNMADDPSr213mY
+    1141921066U,	// VFNMADDPSr213r
+    1141921066U,	// VFNMADDPSr213rY
+    1141920816U,	// VFNMADDPSr231m
+    1141920816U,	// VFNMADDPSr231mY
+    1141920816U,	// VFNMADDPSr231r
+    1141920816U,	// VFNMADDPSr231rY
+    1209027118U,	// VFNMADDSD4mr
+    1209027118U,	// VFNMADDSD4mr_Int
+    1209027118U,	// VFNMADDSD4rm
+    1209027118U,	// VFNMADDSD4rm_Int
+    1209027118U,	// VFNMADDSD4rr
+    1209027118U,	// VFNMADDSD4rr_Int
+    1209027118U,	// VFNMADDSD4rr_REV
+    1141918149U,	// VFNMADDSDZm
+    1141918149U,	// VFNMADDSDZr
+    1141918061U,	// VFNMADDSDr132m
+    1141918061U,	// VFNMADDSDr132r
+    1141918149U,	// VFNMADDSDr213m
+    1141918149U,	// VFNMADDSDr213m_Int
+    1141918149U,	// VFNMADDSDr213r
+    1141918149U,	// VFNMADDSDr213r_Int
+    1141918007U,	// VFNMADDSDr231m
+    1141918007U,	// VFNMADDSDr231r
+    1209030772U,	// VFNMADDSS4mr
+    1209030772U,	// VFNMADDSS4mr_Int
+    1209030772U,	// VFNMADDSS4rm
+    1209030772U,	// VFNMADDSS4rm_Int
+    1209030772U,	// VFNMADDSS4rr
+    1209030772U,	// VFNMADDSS4rr_Int
+    1209030772U,	// VFNMADDSS4rr_REV
+    1141921811U,	// VFNMADDSSZm
+    1141921811U,	// VFNMADDSSZr
+    1141921723U,	// VFNMADDSSr132m
+    1141921723U,	// VFNMADDSSr132r
+    1141921811U,	// VFNMADDSSr213m
+    1141921811U,	// VFNMADDSSr213m_Int
+    1141921811U,	// VFNMADDSSr213r
+    1141921811U,	// VFNMADDSSr213r_Int
+    1141921669U,	// VFNMADDSSr231m
+    1141921669U,	// VFNMADDSSr231r
+    1141917149U,	// VFNMSUB132PDZm
+    1141917149U,	// VFNMSUB132PDZmb
+    1141920859U,	// VFNMSUB132PSZm
+    1141920859U,	// VFNMSUB132PSZmb
+    1141917302U,	// VFNMSUB213PDZm
+    1141917302U,	// VFNMSUB213PDZmb
+    1141917302U,	// VFNMSUB213PDZr
+    1141921023U,	// VFNMSUB213PSZm
+    1141921023U,	// VFNMSUB213PSZmb
+    1141921023U,	// VFNMSUB213PSZr
+    1209026327U,	// VFNMSUBPD4mr
+    1209026327U,	// VFNMSUBPD4mrY
+    1209026327U,	// VFNMSUBPD4rm
+    1209026327U,	// VFNMSUBPD4rmY
+    1209026327U,	// VFNMSUBPD4rr
+    1209026327U,	// VFNMSUBPD4rrY
+    1209026327U,	// VFNMSUBPD4rrY_REV
+    1209026327U,	// VFNMSUBPD4rr_REV
+    1141917149U,	// VFNMSUBPDr132m
+    1141917149U,	// VFNMSUBPDr132mY
+    1141917149U,	// VFNMSUBPDr132r
+    1141917149U,	// VFNMSUBPDr132rY
+    1141917302U,	// VFNMSUBPDr213m
+    1141917302U,	// VFNMSUBPDr213mY
+    1141917302U,	// VFNMSUBPDr213r
+    1141917302U,	// VFNMSUBPDr213rY
+    1141917063U,	// VFNMSUBPDr231m
+    1141917063U,	// VFNMSUBPDr231mY
+    1141917063U,	// VFNMSUBPDr231r
+    1141917063U,	// VFNMSUBPDr231rY
+    1209030040U,	// VFNMSUBPS4mr
+    1209030040U,	// VFNMSUBPS4mrY
+    1209030040U,	// VFNMSUBPS4rm
+    1209030040U,	// VFNMSUBPS4rmY
+    1209030040U,	// VFNMSUBPS4rr
+    1209030040U,	// VFNMSUBPS4rrY
+    1209030040U,	// VFNMSUBPS4rrY_REV
+    1209030040U,	// VFNMSUBPS4rr_REV
+    1141920859U,	// VFNMSUBPSr132m
+    1141920859U,	// VFNMSUBPSr132mY
+    1141920859U,	// VFNMSUBPSr132r
+    1141920859U,	// VFNMSUBPSr132rY
+    1141921023U,	// VFNMSUBPSr213m
+    1141921023U,	// VFNMSUBPSr213mY
+    1141921023U,	// VFNMSUBPSr213r
+    1141921023U,	// VFNMSUBPSr213rY
+    1141920773U,	// VFNMSUBPSr231m
+    1141920773U,	// VFNMSUBPSr231mY
+    1141920773U,	// VFNMSUBPSr231r
+    1141920773U,	// VFNMSUBPSr231rY
+    1209027089U,	// VFNMSUBSD4mr
+    1209027089U,	// VFNMSUBSD4mr_Int
+    1209027089U,	// VFNMSUBSD4rm
+    1209027089U,	// VFNMSUBSD4rm_Int
+    1209027089U,	// VFNMSUBSD4rr
+    1209027089U,	// VFNMSUBSD4rr_Int
+    1209027089U,	// VFNMSUBSD4rr_REV
+    1141918122U,	// VFNMSUBSDZm
+    1141918122U,	// VFNMSUBSDZr
+    1141918034U,	// VFNMSUBSDr132m
+    1141918034U,	// VFNMSUBSDr132r
+    1141918122U,	// VFNMSUBSDr213m
+    1141918122U,	// VFNMSUBSDr213m_Int
+    1141918122U,	// VFNMSUBSDr213r
+    1141918122U,	// VFNMSUBSDr213r_Int
+    1141917980U,	// VFNMSUBSDr231m
+    1141917980U,	// VFNMSUBSDr231r
+    1209030743U,	// VFNMSUBSS4mr
+    1209030743U,	// VFNMSUBSS4mr_Int
+    1209030743U,	// VFNMSUBSS4rm
+    1209030743U,	// VFNMSUBSS4rm_Int
+    1209030743U,	// VFNMSUBSS4rr
+    1209030743U,	// VFNMSUBSS4rr_Int
+    1209030743U,	// VFNMSUBSS4rr_REV
+    1141921784U,	// VFNMSUBSSZm
+    1141921784U,	// VFNMSUBSSZr
+    1141921696U,	// VFNMSUBSSr132m
+    1141921696U,	// VFNMSUBSSr132r
+    1141921784U,	// VFNMSUBSSr213m
+    1141921784U,	// VFNMSUBSSr213m_Int
+    1141921784U,	// VFNMSUBSSr213r
+    1141921784U,	// VFNMSUBSSr213r_Int
+    1141921642U,	// VFNMSUBSSr231m
+    1141921642U,	// VFNMSUBSSr231r
+    537938078U,	// VFRCZPDrm
+    806373534U,	// VFRCZPDrmY
+    135284894U,	// VFRCZPDrr
+    135284894U,	// VFRCZPDrrY
+    537941844U,	// VFRCZPSrm
+    806377300U,	// VFRCZPSrmY
+    135288660U,	// VFRCZPSrr
+    135288660U,	// VFRCZPSrrY
+    571493069U,	// VFRCZSDrm
+    135285453U,	// VFRCZSDrr
+    605051155U,	// VFRCZSSrm
+    135289107U,	// VFRCZSSrr
+    1209026570U,	// VFsANDNPDrm
+    1209026570U,	// VFsANDNPDrr
+    1209030295U,	// VFsANDNPSrm
+    1209030295U,	// VFsANDNPSrr
+    1209026397U,	// VFsANDPDrm
+    1209026397U,	// VFsANDPDrr
+    1209030110U,	// VFsANDPSrm
+    1209030110U,	// VFsANDPSrr
+    1209026627U,	// VFsORPDrm
+    1209026627U,	// VFsORPDrr
+    1209030360U,	// VFsORPSrm
+    1209030360U,	// VFsORPSrr
+    1209026634U,	// VFsXORPDrm
+    1209026634U,	// VFsXORPDrr
+    1209030367U,	// VFsXORPSrm
+    1209030367U,	// VFsXORPSrr
+    907036537U,	// VGATHERDPDYrm
+    2032158585U,	// VGATHERDPDZrm
+    907036537U,	// VGATHERDPDrm
+    974149114U,	// VGATHERDPSYrm
+    3105904122U,	// VGATHERDPSZrm
+    974149114U,	// VGATHERDPSrm
+    907036714U,	// VGATHERQPDYrm
+    2032158762U,	// VGATHERQPDZrm
+    907036714U,	// VGATHERQPDrm
+    974149311U,	// VGATHERQPSYrm
+    2032162495U,	// VGATHERQPSZrm
+    974149311U,	// VGATHERQPSrm
+    1209026359U,	// VHADDPDYrm
+    1209026359U,	// VHADDPDYrr
+    1209026359U,	// VHADDPDrm
+    1209026359U,	// VHADDPDrr
+    1209030072U,	// VHADDPSYrm
+    1209030072U,	// VHADDPSYrr
+    1209030072U,	// VHADDPSrm
+    1209030072U,	// VHADDPSrr
+    1209026308U,	// VHSUBPDYrm
+    1209026308U,	// VHSUBPDYrr
+    1209026308U,	// VHSUBPDrm
+    1209026308U,	// VHSUBPDrr
+    1209030021U,	// VHSUBPSYrm
+    1209030021U,	// VHSUBPSYrr
+    1209030021U,	// VHSUBPSrm
+    1209030021U,	// VHSUBPSrr
     1209024871U,	// VINSERTF128rm
     1209024871U,	// VINSERTF128rr
     1209024744U,	// VINSERTF32x4rm
@@ -3585,151 +3702,151 @@
     1209024773U,	// VINSERTI32x4rr
     1209024831U,	// VINSERTI64x4rm
     1209024831U,	// VINSERTI64x4rr
-    1209030143U,	// VINSERTPSrm
-    1209030143U,	// VINSERTPSrr
-    1209030143U,	// VINSERTPSzrm
-    1209030143U,	// VINSERTPSzrr
-    739268833U,	// VLDDQUYrm
-    336615649U,	// VLDDQUrm
-    70284U,	// VLDMXCSR
-    135289065U,	// VMASKMOVDQU
-    135289065U,	// VMASKMOVDQU64
-    873891935U,	// VMASKMOVPDYmr
-    1209026655U,	// VMASKMOVPDYrm
-    873875551U,	// VMASKMOVPDmr
-    1209026655U,	// VMASKMOVPDrm
-    873895490U,	// VMASKMOVPSYmr
-    1209030210U,	// VMASKMOVPSYrm
-    873879106U,	// VMASKMOVPSmr
-    1209030210U,	// VMASKMOVPSrm
-    1209026667U,	// VMAXCPDYrm
-    1209026667U,	// VMAXCPDYrr
-    1209026667U,	// VMAXCPDrm
-    1209026667U,	// VMAXCPDrr
-    1209030222U,	// VMAXCPSYrm
-    1209030222U,	// VMAXCPSYrr
-    1209030222U,	// VMAXCPSrm
-    1209030222U,	// VMAXCPSrr
-    1209027192U,	// VMAXCSDrm
-    1209027192U,	// VMAXCSDrr
-    1209030635U,	// VMAXCSSrm
-    1209030635U,	// VMAXCSSrr
-    1209026667U,	// VMAXPDYrm
-    1209026667U,	// VMAXPDYrr
-    1209026667U,	// VMAXPDZrm
-    1209026667U,	// VMAXPDZrmb
-    1209026667U,	// VMAXPDZrr
-    1209026667U,	// VMAXPDrm
-    1209026667U,	// VMAXPDrr
-    1209030222U,	// VMAXPSYrm
-    1209030222U,	// VMAXPSYrr
-    1209030222U,	// VMAXPSZrm
-    1209030222U,	// VMAXPSZrmb
-    1209030222U,	// VMAXPSZrr
-    1209030222U,	// VMAXPSrm
-    1209030222U,	// VMAXPSrr
-    1209027192U,	// VMAXSDZrm
-    1209027192U,	// VMAXSDZrr
-    1209027192U,	// VMAXSDrm
-    1209027192U,	// VMAXSDrm_Int
-    1209027192U,	// VMAXSDrr
-    1209027192U,	// VMAXSDrr_Int
-    1209030635U,	// VMAXSSZrm
-    1209030635U,	// VMAXSSZrr
-    1209030635U,	// VMAXSSrm
-    1209030635U,	// VMAXSSrm_Int
-    1209030635U,	// VMAXSSrr
-    1209030635U,	// VMAXSSrr_Int
-    9890U,	// VMCALL
-    86560U,	// VMCLEARm
-    9578U,	// VMFUNC
-    1209026535U,	// VMINCPDYrm
-    1209026535U,	// VMINCPDYrr
-    1209026535U,	// VMINCPDrm
-    1209026535U,	// VMINCPDrr
-    1209030049U,	// VMINCPSYrm
-    1209030049U,	// VMINCPSYrr
-    1209030049U,	// VMINCPSrm
-    1209030049U,	// VMINCPSrr
-    1209027119U,	// VMINCSDrm
-    1209027119U,	// VMINCSDrr
-    1209030553U,	// VMINCSSrm
-    1209030553U,	// VMINCSSrr
-    1209026535U,	// VMINPDYrm
-    1209026535U,	// VMINPDYrr
-    1209026535U,	// VMINPDZrm
-    1209026535U,	// VMINPDZrmb
-    1209026535U,	// VMINPDZrr
-    1209026535U,	// VMINPDrm
-    1209026535U,	// VMINPDrr
-    1209030049U,	// VMINPSYrm
-    1209030049U,	// VMINPSYrr
-    1209030049U,	// VMINPSZrm
-    1209030049U,	// VMINPSZrmb
-    1209030049U,	// VMINPSZrr
-    1209030049U,	// VMINPSrm
-    1209030049U,	// VMINPSrr
-    1209027119U,	// VMINSDZrm
-    1209027119U,	// VMINSDZrr
-    1209027119U,	// VMINSDrm
-    1209027119U,	// VMINSDrm_Int
-    1209027119U,	// VMINSDrr
-    1209027119U,	// VMINSDrr_Int
-    1209030553U,	// VMINSSZrm
-    1209030553U,	// VMINSSZrr
-    1209030553U,	// VMINSSrm
-    1209030553U,	// VMINSSrm_Int
-    1209030553U,	// VMINSSrr
-    1209030553U,	// VMINSSrr_Int
-    9818U,	// VMLAUNCH
-    10507U,	// VMLOAD32
-    10562U,	// VMLOAD64
-    9882U,	// VMMCALL
-    135287278U,	// VMOV64toPQIZrr
-    135287278U,	// VMOV64toPQIrr
-    135287278U,	// VMOV64toSDZrr
-    168841709U,	// VMOV64toSDrm
-    135287278U,	// VMOV64toSDrr
-    427695U,	// VMOVAPDYmr
-    806373039U,	// VMOVAPDYrm
-    135284399U,	// VMOVAPDYrr
-    135284399U,	// VMOVAPDYrr_REV
-    460463U,	// VMOVAPDZmr
-    839927471U,	// VMOVAPDZrm
-    86001327U,	// VMOVAPDZrmk
-    135284399U,	// VMOVAPDZrr
-    86001327U,	// VMOVAPDZrrk
-    411311U,	// VMOVAPDmr
-    537937583U,	// VMOVAPDrm
-    135284399U,	// VMOVAPDrr
-    135284399U,	// VMOVAPDrr_REV
-    431205U,	// VMOVAPSYmr
-    806376549U,	// VMOVAPSYrm
-    135287909U,	// VMOVAPSYrr
-    135287909U,	// VMOVAPSYrr_REV
-    463973U,	// VMOVAPSZmr
-    839930981U,	// VMOVAPSZrm
-    86004837U,	// VMOVAPSZrmk
-    135287909U,	// VMOVAPSZrr
-    86004837U,	// VMOVAPSZrrk
-    414821U,	// VMOVAPSmr
-    537941093U,	// VMOVAPSrm
-    135287909U,	// VMOVAPSrr
-    135287909U,	// VMOVAPSrr_REV
-    806375165U,	// VMOVDDUPYrm
-    135286525U,	// VMOVDDUPYrr
-    839929597U,	// VMOVDDUPZrm
-    135286525U,	// VMOVDDUPZrr
-    571494141U,	// VMOVDDUPrm
-    135286525U,	// VMOVDDUPrr
-    101731060U,	// VMOVDI2PDIZrm
-    135285492U,	// VMOVDI2PDIZrr
-    101731060U,	// VMOVDI2PDIrm
-    135285492U,	// VMOVDI2PDIrr
-    101731060U,	// VMOVDI2SSZrm
-    135285492U,	// VMOVDI2SSZrr
-    101731060U,	// VMOVDI2SSrm
-    135285492U,	// VMOVDI2SSrr
+    1209030397U,	// VINSERTPSrm
+    1209030397U,	// VINSERTPSrr
+    1209030397U,	// VINSERTPSzrm
+    1209030397U,	// VINSERTPSzrr
+    739269121U,	// VLDDQUYrm
+    336615937U,	// VLDDQUrm
+    70511U,	// VLDMXCSR
+    135289353U,	// VMASKMOVDQU
+    135289353U,	// VMASKMOVDQU64
+    873891978U,	// VMASKMOVPDYmr
+    1209026698U,	// VMASKMOVPDYrm
+    873875594U,	// VMASKMOVPDmr
+    1209026698U,	// VMASKMOVPDrm
+    873895744U,	// VMASKMOVPSYmr
+    1209030464U,	// VMASKMOVPSYrm
+    873879360U,	// VMASKMOVPSmr
+    1209030464U,	// VMASKMOVPSrm
+    1209026710U,	// VMAXCPDYrm
+    1209026710U,	// VMAXCPDYrr
+    1209026710U,	// VMAXCPDrm
+    1209026710U,	// VMAXCPDrr
+    1209030476U,	// VMAXCPSYrm
+    1209030476U,	// VMAXCPSYrr
+    1209030476U,	// VMAXCPSrm
+    1209030476U,	// VMAXCPSrr
+    1209027269U,	// VMAXCSDrm
+    1209027269U,	// VMAXCSDrr
+    1209030923U,	// VMAXCSSrm
+    1209030923U,	// VMAXCSSrr
+    1209026710U,	// VMAXPDYrm
+    1209026710U,	// VMAXPDYrr
+    1209026710U,	// VMAXPDZrm
+    1209026710U,	// VMAXPDZrmb
+    1209026710U,	// VMAXPDZrr
+    1209026710U,	// VMAXPDrm
+    1209026710U,	// VMAXPDrr
+    1209030476U,	// VMAXPSYrm
+    1209030476U,	// VMAXPSYrr
+    1209030476U,	// VMAXPSZrm
+    1209030476U,	// VMAXPSZrmb
+    1209030476U,	// VMAXPSZrr
+    1209030476U,	// VMAXPSrm
+    1209030476U,	// VMAXPSrr
+    1209027269U,	// VMAXSDZrm
+    1209027269U,	// VMAXSDZrr
+    1209027269U,	// VMAXSDrm
+    1209027269U,	// VMAXSDrm_Int
+    1209027269U,	// VMAXSDrr
+    1209027269U,	// VMAXSDrr_Int
+    1209030923U,	// VMAXSSZrm
+    1209030923U,	// VMAXSSZrr
+    1209030923U,	// VMAXSSrm
+    1209030923U,	// VMAXSSrm_Int
+    1209030923U,	// VMAXSSrr
+    1209030923U,	// VMAXSSrr_Int
+    10239U,	// VMCALL
+    86787U,	// VMCLEARm
+    9927U,	// VMFUNC
+    1209026579U,	// VMINCPDYrm
+    1209026579U,	// VMINCPDYrr
+    1209026579U,	// VMINCPDrm
+    1209026579U,	// VMINCPDrr
+    1209030304U,	// VMINCPSYrm
+    1209030304U,	// VMINCPSYrr
+    1209030304U,	// VMINCPSrm
+    1209030304U,	// VMINCPSrr
+    1209027196U,	// VMINCSDrm
+    1209027196U,	// VMINCSDrr
+    1209030841U,	// VMINCSSrm
+    1209030841U,	// VMINCSSrr
+    1209026579U,	// VMINPDYrm
+    1209026579U,	// VMINPDYrr
+    1209026579U,	// VMINPDZrm
+    1209026579U,	// VMINPDZrmb
+    1209026579U,	// VMINPDZrr
+    1209026579U,	// VMINPDrm
+    1209026579U,	// VMINPDrr
+    1209030304U,	// VMINPSYrm
+    1209030304U,	// VMINPSYrr
+    1209030304U,	// VMINPSZrm
+    1209030304U,	// VMINPSZrmb
+    1209030304U,	// VMINPSZrr
+    1209030304U,	// VMINPSrm
+    1209030304U,	// VMINPSrr
+    1209027196U,	// VMINSDZrm
+    1209027196U,	// VMINSDZrr
+    1209027196U,	// VMINSDrm
+    1209027196U,	// VMINSDrm_Int
+    1209027196U,	// VMINSDrr
+    1209027196U,	// VMINSDrr_Int
+    1209030841U,	// VMINSSZrm
+    1209030841U,	// VMINSSZrr
+    1209030841U,	// VMINSSrm
+    1209030841U,	// VMINSSrm_Int
+    1209030841U,	// VMINSSrr
+    1209030841U,	// VMINSSrr_Int
+    10167U,	// VMLAUNCH
+    10856U,	// VMLOAD32
+    10911U,	// VMLOAD64
+    10231U,	// VMMCALL
+    135287505U,	// VMOV64toPQIZrr
+    135287505U,	// VMOV64toPQIrr
+    135287505U,	// VMOV64toSDZrr
+    168841937U,	// VMOV64toSDrm
+    135287505U,	// VMOV64toSDrr
+    427739U,	// VMOVAPDYmr
+    806373083U,	// VMOVAPDYrm
+    135284443U,	// VMOVAPDYrr
+    135284443U,	// VMOVAPDYrr_REV
+    460507U,	// VMOVAPDZmr
+    839927515U,	// VMOVAPDZrm
+    86001371U,	// VMOVAPDZrmk
+    135284443U,	// VMOVAPDZrr
+    86001371U,	// VMOVAPDZrrk
+    411355U,	// VMOVAPDmr
+    537937627U,	// VMOVAPDrm
+    135284443U,	// VMOVAPDrr
+    135284443U,	// VMOVAPDrr_REV
+    431460U,	// VMOVAPSYmr
+    806376804U,	// VMOVAPSYrm
+    135288164U,	// VMOVAPSYrr
+    135288164U,	// VMOVAPSYrr_REV
+    464228U,	// VMOVAPSZmr
+    839931236U,	// VMOVAPSZrm
+    86005092U,	// VMOVAPSZrmk
+    135288164U,	// VMOVAPSZrr
+    86005092U,	// VMOVAPSZrrk
+    415076U,	// VMOVAPSmr
+    537941348U,	// VMOVAPSrm
+    135288164U,	// VMOVAPSrr
+    135288164U,	// VMOVAPSrr_REV
+    806375344U,	// VMOVDDUPYrm
+    135286704U,	// VMOVDDUPYrr
+    839929776U,	// VMOVDDUPZrm
+    135286704U,	// VMOVDDUPZrr
+    571494320U,	// VMOVDDUPrm
+    135286704U,	// VMOVDDUPrr
+    101731150U,	// VMOVDI2PDIZrm
+    135285582U,	// VMOVDI2PDIZrr
+    101731150U,	// VMOVDI2PDIrm
+    135285582U,	// VMOVDI2PDIrr
+    101731150U,	// VMOVDI2SSZrm
+    135285582U,	// VMOVDI2SSZrr
+    101731150U,	// VMOVDI2SSrm
+    135285582U,	// VMOVDI2SSrr
     475203U,	// VMOVDQA32mr
     772816963U,	// VMOVDQA32rm
     135282755U,	// VMOVDQA32rr
@@ -3744,218 +3861,210 @@
     336609743U,	// VMOVDQArm
     135283151U,	// VMOVDQArr
     135283151U,	// VMOVDQArr_REV
+    475221U,	// VMOVDQU32mr
     772816981U,	// VMOVDQU32rm
     85999701U,	// VMOVDQU32rmk
     135282773U,	// VMOVDQU32rr
     85999701U,	// VMOVDQU32rrk
+    475331U,	// VMOVDQU64mr
     772817091U,	// VMOVDQU64rm
     85999811U,	// VMOVDQU64rmk
     135282883U,	// VMOVDQU64rr
     85999811U,	// VMOVDQU64rrk
-    448758U,	// VMOVDQUYmr
-    739268854U,	// VMOVDQUYrm
-    135289078U,	// VMOVDQUYrr
-    135289078U,	// VMOVDQUYrr_REV
-    1251574U,	// VMOVDQUmr
-    336615670U,	// VMOVDQUrm
-    135289078U,	// VMOVDQUrr
-    135289078U,	// VMOVDQUrr_REV
-    1209029971U,	// VMOVHLPSZrr
-    1209029971U,	// VMOVHLPSrr
-    1181575U,	// VMOVHPDmr
-    1209026439U,	// VMOVHPDrm
-    1185087U,	// VMOVHPSmr
-    1209029951U,	// VMOVHPSrm
-    1209029941U,	// VMOVLHPSZrr
-    1209029941U,	// VMOVLHPSrr
-    1181625U,	// VMOVLPDmr
-    1209026489U,	// VMOVLPDrm
-    1185147U,	// VMOVLPSmr
-    1209030011U,	// VMOVLPSrm
-    1135086U,	// VMOVLQ128mr
-    135284624U,	// VMOVMSKPDYr64r
-    135284624U,	// VMOVMSKPDYrr32
-    135284624U,	// VMOVMSKPDYrr64
-    135284624U,	// VMOVMSKPDr64r
-    135284624U,	// VMOVMSKPDrr32
-    135284624U,	// VMOVMSKPDrr64
-    135288136U,	// VMOVMSKPSYr64r
-    135288136U,	// VMOVMSKPSYrr32
-    135288136U,	// VMOVMSKPSYrr64
-    135288136U,	// VMOVMSKPSr64r
-    135288136U,	// VMOVMSKPSrr32
-    135288136U,	// VMOVMSKPSrr64
+    449046U,	// VMOVDQUYmr
+    739269142U,	// VMOVDQUYrm
+    135289366U,	// VMOVDQUYrr
+    135289366U,	// VMOVDQUYrr_REV
+    1251862U,	// VMOVDQUmr
+    336615958U,	// VMOVDQUrm
+    135289366U,	// VMOVDQUrr
+    135289366U,	// VMOVDQUrr_REV
+    1209030226U,	// VMOVHLPSZrr
+    1209030226U,	// VMOVHLPSrr
+    1181619U,	// VMOVHPDmr
+    1209026483U,	// VMOVHPDrm
+    1185342U,	// VMOVHPSmr
+    1209030206U,	// VMOVHPSrm
+    1209030196U,	// VMOVLHPSZrr
+    1209030196U,	// VMOVLHPSrr
+    1181669U,	// VMOVLPDmr
+    1209026533U,	// VMOVLPDrm
+    1185402U,	// VMOVLPSmr
+    1209030266U,	// VMOVLPSrm
+    1135313U,	// VMOVLQ128mr
+    135284668U,	// VMOVMSKPDYrr
+    135284668U,	// VMOVMSKPDrr
+    135288391U,	// VMOVMSKPSYrr
+    135288391U,	// VMOVMSKPSrr
     739262916U,	// VMOVNTDQAYrm
     336609732U,	// VMOVNTDQArm
-    430191U,	// VMOVNTDQYmr
-    413807U,	// VMOVNTDQmr
-    428071U,	// VMOVNTPDYmr
-    411687U,	// VMOVNTPDmr
-    431605U,	// VMOVNTPSYmr
-    415221U,	// VMOVNTPSmr
-    1116916U,	// VMOVPDI2DIZmr
-    135285492U,	// VMOVPDI2DIZrr
-    1116916U,	// VMOVPDI2DImr
-    135285492U,	// VMOVPDI2DIrr
-    1135086U,	// VMOVPQI2QImr
-    1135086U,	// VMOVPQIto64Zmr
-    135287278U,	// VMOVPQIto64Zrr
-    135287278U,	// VMOVPQIto64rr
-    168841710U,	// VMOVQI2PQIZrm
-    168841710U,	// VMOVQI2PQIrm
-    135287278U,	// VMOVQd64rr
-    135287278U,	// VMOVQd64rr_alt
-    135287278U,	// VMOVQs64rr
-    135287278U,	// VMOVQxrxr
-    1182311U,	// VMOVSDZmr
-    571492967U,	// VMOVSDZrm
-    1209027175U,	// VMOVSDZrr
-    1209027175U,	// VMOVSDZrr_REV
-    1182311U,	// VMOVSDmr
-    571492967U,	// VMOVSDrm
-    1209027175U,	// VMOVSDrr
-    1209027175U,	// VMOVSDrr_REV
-    1135086U,	// VMOVSDto64Zmr
-    135287278U,	// VMOVSDto64Zrr
-    1135086U,	// VMOVSDto64mr
-    135287278U,	// VMOVSDto64rr
-    806375175U,	// VMOVSHDUPYrm
-    135286535U,	// VMOVSHDUPYrr
-    537939719U,	// VMOVSHDUPrm
-    135286535U,	// VMOVSHDUPrr
-    806375186U,	// VMOVSLDUPYrm
-    135286546U,	// VMOVSLDUPYrr
-    537939730U,	// VMOVSLDUPrm
-    135286546U,	// VMOVSLDUPrr
-    1116916U,	// VMOVSS2DIZmr
-    135285492U,	// VMOVSS2DIZrr
-    1116916U,	// VMOVSS2DImr
-    135285492U,	// VMOVSS2DIrr
-    1169379U,	// VMOVSSZmr
-    605050851U,	// VMOVSSZrm
-    1209030627U,	// VMOVSSZrr
-    1209030627U,	// VMOVSSZrr_REV
-    1169379U,	// VMOVSSmr
-    605050851U,	// VMOVSSrm
-    1209030627U,	// VMOVSSrr
-    1209030627U,	// VMOVSSrr_REV
-    428099U,	// VMOVUPDYmr
-    806373443U,	// VMOVUPDYrm
-    135284803U,	// VMOVUPDYrr
-    135284803U,	// VMOVUPDYrr_REV
-    460867U,	// VMOVUPDZmr
-    839927875U,	// VMOVUPDZrm
-    86001731U,	// VMOVUPDZrmk
-    135284803U,	// VMOVUPDZrr
-    86001731U,	// VMOVUPDZrrk
-    411715U,	// VMOVUPDmr
-    537937987U,	// VMOVUPDrm
-    135284803U,	// VMOVUPDrr
-    135284803U,	// VMOVUPDrr_REV
-    431654U,	// VMOVUPSYmr
-    806376998U,	// VMOVUPSYrm
-    135288358U,	// VMOVUPSYrr
-    135288358U,	// VMOVUPSYrr_REV
-    464422U,	// VMOVUPSZmr
-    839931430U,	// VMOVUPSZrm
-    86005286U,	// VMOVUPSZrmk
-    135288358U,	// VMOVUPSZrr
-    86005286U,	// VMOVUPSZrrk
-    415270U,	// VMOVUPSmr
-    537941542U,	// VMOVUPSrm
-    135288358U,	// VMOVUPSrr
-    135288358U,	// VMOVUPSrr_REV
-    101731060U,	// VMOVZDI2PDIrm
-    135285492U,	// VMOVZDI2PDIrr
-    336613870U,	// VMOVZPQILo2PQIZrm
-    135287278U,	// VMOVZPQILo2PQIZrr
-    336613870U,	// VMOVZPQILo2PQIrm
-    135287278U,	// VMOVZPQILo2PQIrr
-    168841710U,	// VMOVZQI2PQIrm
-    135287278U,	// VMOVZQI2PQIrr
-    1209030975U,	// VMPSADBWYrmi
-    1209030975U,	// VMPSADBWYrri
-    1209030975U,	// VMPSADBWrmi
-    1209030975U,	// VMPSADBWrri
-    83189U,	// VMPTRLDm
-    88269U,	// VMPTRSTm
-    1115097U,	// VMREAD32rm
-    135283673U,	// VMREAD32rr
-    1131481U,	// VMREAD64rm
-    135283673U,	// VMREAD64rr
-    9728U,	// VMRESUME
-    10529U,	// VMRUN32
-    10584U,	// VMRUN64
-    10518U,	// VMSAVE32
-    10573U,	// VMSAVE64
-    1209026481U,	// VMULPDYrm
-    1209026481U,	// VMULPDYrr
-    1209026481U,	// VMULPDZrm
-    1209026481U,	// VMULPDZrmb
-    1209026481U,	// VMULPDZrr
-    1209026481U,	// VMULPDrm
-    1209026481U,	// VMULPDrr
-    1209030003U,	// VMULPSYrm
-    1209030003U,	// VMULPSYrr
-    1209030003U,	// VMULPSZrm
-    1209030003U,	// VMULPSZrmb
-    1209030003U,	// VMULPSZrr
-    1209030003U,	// VMULPSrm
-    1209030003U,	// VMULPSrr
-    1209027102U,	// VMULSDZrm
-    1209027102U,	// VMULSDZrr
-    1209027102U,	// VMULSDrm
-    1209027102U,	// VMULSDrm_Int
-    1209027102U,	// VMULSDrr
-    1209027102U,	// VMULSDrr_Int
-    1209030545U,	// VMULSSZrm
-    1209030545U,	// VMULSSZrr
-    1209030545U,	// VMULSSrm
-    1209030545U,	// VMULSSrm_Int
-    1209030545U,	// VMULSSrr
-    1209030545U,	// VMULSSrr_Int
-    101731387U,	// VMWRITE32rm
-    135285819U,	// VMWRITE32rr
-    168840251U,	// VMWRITE64rm
-    135285819U,	// VMWRITE64rr
-    9785U,	// VMXOFF
-    85548U,	// VMXON
-    1209026584U,	// VORPDYrm
-    1209026584U,	// VORPDYrr
-    1209026584U,	// VORPDrm
-    1209026584U,	// VORPDrr
-    1209030106U,	// VORPSYrm
-    1209030106U,	// VORPSYrr
-    1209030106U,	// VORPSrm
-    1209030106U,	// VORPSrr
+    430370U,	// VMOVNTDQYmr
+    413986U,	// VMOVNTDQmr
+    428114U,	// VMOVNTPDYmr
+    411730U,	// VMOVNTPDmr
+    431859U,	// VMOVNTPSYmr
+    415475U,	// VMOVNTPSmr
+    1117006U,	// VMOVPDI2DIZmr
+    135285582U,	// VMOVPDI2DIZrr
+    1117006U,	// VMOVPDI2DImr
+    135285582U,	// VMOVPDI2DIrr
+    1135313U,	// VMOVPQI2QImr
+    1135313U,	// VMOVPQIto64Zmr
+    135287505U,	// VMOVPQIto64Zrr
+    135287505U,	// VMOVPQIto64rr
+    168841937U,	// VMOVQI2PQIZrm
+    168841937U,	// VMOVQI2PQIrm
+    1182388U,	// VMOVSDZmr
+    571493044U,	// VMOVSDZrm
+    1209027252U,	// VMOVSDZrr
+    1209027252U,	// VMOVSDZrr_REV
+    1182388U,	// VMOVSDmr
+    571493044U,	// VMOVSDrm
+    1209027252U,	// VMOVSDrr
+    1209027252U,	// VMOVSDrr_REV
+    1135313U,	// VMOVSDto64Zmr
+    135287505U,	// VMOVSDto64Zrr
+    1135313U,	// VMOVSDto64mr
+    135287505U,	// VMOVSDto64rr
+    806375354U,	// VMOVSHDUPYrm
+    135286714U,	// VMOVSHDUPYrr
+    839929786U,	// VMOVSHDUPZrm
+    135286714U,	// VMOVSHDUPZrr
+    537939898U,	// VMOVSHDUPrm
+    135286714U,	// VMOVSHDUPrr
+    806375365U,	// VMOVSLDUPYrm
+    135286725U,	// VMOVSLDUPYrr
+    839929797U,	// VMOVSLDUPZrm
+    135286725U,	// VMOVSLDUPZrr
+    537939909U,	// VMOVSLDUPrm
+    135286725U,	// VMOVSLDUPrr
+    1117006U,	// VMOVSS2DIZmr
+    135285582U,	// VMOVSS2DIZrr
+    1117006U,	// VMOVSS2DImr
+    135285582U,	// VMOVSS2DIrr
+    1169667U,	// VMOVSSZmr
+    605051139U,	// VMOVSSZrm
+    1209030915U,	// VMOVSSZrr
+    1209030915U,	// VMOVSSZrr_REV
+    1169667U,	// VMOVSSmr
+    605051139U,	// VMOVSSrm
+    1209030915U,	// VMOVSSrr
+    1209030915U,	// VMOVSSrr_REV
+    428142U,	// VMOVUPDYmr
+    806373486U,	// VMOVUPDYrm
+    135284846U,	// VMOVUPDYrr
+    135284846U,	// VMOVUPDYrr_REV
+    460910U,	// VMOVUPDZmr
+    839927918U,	// VMOVUPDZrm
+    86001774U,	// VMOVUPDZrmk
+    135284846U,	// VMOVUPDZrr
+    86001774U,	// VMOVUPDZrrk
+    411758U,	// VMOVUPDmr
+    537938030U,	// VMOVUPDrm
+    135284846U,	// VMOVUPDrr
+    135284846U,	// VMOVUPDrr_REV
+    431908U,	// VMOVUPSYmr
+    806377252U,	// VMOVUPSYrm
+    135288612U,	// VMOVUPSYrr
+    135288612U,	// VMOVUPSYrr_REV
+    464676U,	// VMOVUPSZmr
+    839931684U,	// VMOVUPSZrm
+    86005540U,	// VMOVUPSZrmk
+    135288612U,	// VMOVUPSZrr
+    86005540U,	// VMOVUPSZrrk
+    415524U,	// VMOVUPSmr
+    537941796U,	// VMOVUPSrm
+    135288612U,	// VMOVUPSrr
+    135288612U,	// VMOVUPSrr_REV
+    336614097U,	// VMOVZPQILo2PQIZrm
+    135287505U,	// VMOVZPQILo2PQIZrr
+    336614097U,	// VMOVZPQILo2PQIrm
+    135287505U,	// VMOVZPQILo2PQIrr
+    168841937U,	// VMOVZQI2PQIrm
+    135287505U,	// VMOVZQI2PQIrr
+    1209031263U,	// VMPSADBWYrmi
+    1209031263U,	// VMPSADBWYrri
+    1209031263U,	// VMPSADBWrmi
+    1209031263U,	// VMPSADBWrri
+    83211U,	// VMPTRLDm
+    88557U,	// VMPTRSTm
+    1115119U,	// VMREAD32rm
+    135283695U,	// VMREAD32rr
+    1131503U,	// VMREAD64rm
+    135283695U,	// VMREAD64rr
+    10077U,	// VMRESUME
+    10878U,	// VMRUN32
+    10933U,	// VMRUN64
+    10867U,	// VMSAVE32
+    10922U,	// VMSAVE64
+    1209026525U,	// VMULPDYrm
+    1209026525U,	// VMULPDYrr
+    1209026525U,	// VMULPDZrm
+    1209026525U,	// VMULPDZrmb
+    1209026525U,	// VMULPDZrr
+    1209026525U,	// VMULPDrm
+    1209026525U,	// VMULPDrr
+    1209030258U,	// VMULPSYrm
+    1209030258U,	// VMULPSYrr
+    1209030258U,	// VMULPSZrm
+    1209030258U,	// VMULPSZrmb
+    1209030258U,	// VMULPSZrr
+    1209030258U,	// VMULPSrm
+    1209030258U,	// VMULPSrr
+    1209027179U,	// VMULSDZrm
+    1209027179U,	// VMULSDZrr
+    1209027179U,	// VMULSDrm
+    1209027179U,	// VMULSDrm_Int
+    1209027179U,	// VMULSDrr
+    1209027179U,	// VMULSDrr_Int
+    1209030833U,	// VMULSSZrm
+    1209030833U,	// VMULSSZrr
+    1209030833U,	// VMULSSrm
+    1209030833U,	// VMULSSrm_Int
+    1209030833U,	// VMULSSrr
+    1209030833U,	// VMULSSrr_Int
+    101731477U,	// VMWRITE32rm
+    135285909U,	// VMWRITE32rr
+    168840341U,	// VMWRITE64rm
+    135285909U,	// VMWRITE64rr
+    10134U,	// VMXOFF
+    85727U,	// VMXON
+    1209026627U,	// VORPDYrm
+    1209026627U,	// VORPDYrr
+    1209026627U,	// VORPDrm
+    1209026627U,	// VORPDrr
+    1209030360U,	// VORPSYrm
+    1209030360U,	// VORPSYrr
+    1209030360U,	// VORPSrm
+    1209030360U,	// VORPSrr
     336609975U,	// VPABSBrm128
     739263159U,	// VPABSBrm256
     135283383U,	// VPABSBrr128
     135283383U,	// VPABSBrr256
-    772819378U,	// VPABSDrm
-    336611762U,	// VPABSDrm128
-    739264946U,	// VPABSDrm256
-    135285170U,	// VPABSDrr
-    135285170U,	// VPABSDrr128
-    135285170U,	// VPABSDrr256
-    772821371U,	// VPABSQrm
-    135287163U,	// VPABSQrr
-    336616213U,	// VPABSWrm128
-    739269397U,	// VPABSWrm256
-    135289621U,	// VPABSWrr128
-    135289621U,	// VPABSWrr256
-    1209031144U,	// VPACKSSDWYrm
-    1209031144U,	// VPACKSSDWYrr
-    1209031144U,	// VPACKSSDWrm
-    1209031144U,	// VPACKSSDWrr
+    772819455U,	// VPABSDrm
+    336611839U,	// VPABSDrm128
+    739265023U,	// VPABSDrm256
+    135285247U,	// VPABSDrr
+    135285247U,	// VPABSDrr128
+    135285247U,	// VPABSDrr256
+    772821550U,	// VPABSQrm
+    135287342U,	// VPABSQrr
+    336616501U,	// VPABSWrm128
+    739269685U,	// VPABSWrm256
+    135289909U,	// VPABSWrr128
+    135289909U,	// VPABSWrr256
+    1209031432U,	// VPACKSSDWYrm
+    1209031432U,	// VPACKSSDWYrr
+    1209031432U,	// VPACKSSDWrm
+    1209031432U,	// VPACKSSDWrr
     1209025377U,	// VPACKSSWBYrm
     1209025377U,	// VPACKSSWBYrr
     1209025377U,	// VPACKSSWBrm
     1209025377U,	// VPACKSSWBrr
-    1209031155U,	// VPACKUSDWYrm
-    1209031155U,	// VPACKUSDWYrr
-    1209031155U,	// VPACKUSDWrm
-    1209031155U,	// VPACKUSDWrr
+    1209031443U,	// VPACKUSDWYrm
+    1209031443U,	// VPACKUSDWYrr
+    1209031443U,	// VPACKUSDWrm
+    1209031443U,	// VPACKUSDWrr
     1209025388U,	// VPACKUSWBYrm
     1209025388U,	// VPACKUSWBYrr
     1209025388U,	// VPACKUSWBrm
@@ -3964,1220 +4073,1291 @@
     1209025054U,	// VPADDBYrr
     1209025054U,	// VPADDBrm
     1209025054U,	// VPADDBrr
-    1209025610U,	// VPADDDYrm
-    1209025610U,	// VPADDDYrr
-    1209025610U,	// VPADDDZrm
-    1209025610U,	// VPADDDZrmb
-    1209025610U,	// VPADDDZrr
-    1209025610U,	// VPADDDrm
-    1209025610U,	// VPADDDrr
-    1209028579U,	// VPADDQYrm
-    1209028579U,	// VPADDQYrr
-    1209028579U,	// VPADDQZrm
-    1209028579U,	// VPADDQZrmb
-    1209028579U,	// VPADDQZrr
-    1209028579U,	// VPADDQrm
-    1209028579U,	// VPADDQrr
+    1209025632U,	// VPADDDYrm
+    1209025632U,	// VPADDDYrr
+    1209025632U,	// VPADDDZrm
+    1209025632U,	// VPADDDZrmb
+    1209025632U,	// VPADDDZrr
+    1209025632U,	// VPADDDrm
+    1209025632U,	// VPADDDrr
+    1209028758U,	// VPADDQYrm
+    1209028758U,	// VPADDQYrr
+    1209028758U,	// VPADDQZrm
+    1209028758U,	// VPADDQZrmb
+    1209028758U,	// VPADDQZrr
+    1209028758U,	// VPADDQrm
+    1209028758U,	// VPADDQrr
     1209025224U,	// VPADDSBYrm
     1209025224U,	// VPADDSBYrr
     1209025224U,	// VPADDSBrm
     1209025224U,	// VPADDSBrr
-    1209031494U,	// VPADDSWYrm
-    1209031494U,	// VPADDSWYrr
-    1209031494U,	// VPADDSWrm
-    1209031494U,	// VPADDSWrr
+    1209031782U,	// VPADDSWYrm
+    1209031782U,	// VPADDSWYrr
+    1209031782U,	// VPADDSWrm
+    1209031782U,	// VPADDSWrr
     1209025252U,	// VPADDUSBYrm
     1209025252U,	// VPADDUSBYrr
     1209025252U,	// VPADDUSBrm
     1209025252U,	// VPADDUSBrr
-    1209031553U,	// VPADDUSWYrm
-    1209031553U,	// VPADDUSWYrr
-    1209031553U,	// VPADDUSWrm
-    1209031553U,	// VPADDUSWrr
-    1209031119U,	// VPADDWYrm
-    1209031119U,	// VPADDWYrr
-    1209031119U,	// VPADDWrm
-    1209031119U,	// VPADDWrr
-    1209029204U,	// VPALIGNR128rm
-    1209029204U,	// VPALIGNR128rr
-    1209029204U,	// VPALIGNR256rm
-    1209029204U,	// VPALIGNR256rr
-    1209025618U,	// VPANDDZrm
-    1209025618U,	// VPANDDZrmb
-    1209025618U,	// VPANDDZrr
-    1209025842U,	// VPANDNDZrm
-    1209025842U,	// VPANDNDZrmb
-    1209025842U,	// VPANDNDZrr
-    1209028877U,	// VPANDNQZrm
-    1209028877U,	// VPANDNQZrmb
-    1209028877U,	// VPANDNQZrr
-    1209028117U,	// VPANDNYrm
-    1209028117U,	// VPANDNYrr
-    1209028117U,	// VPANDNrm
-    1209028117U,	// VPANDNrr
-    1209028648U,	// VPANDQZrm
-    1209028648U,	// VPANDQZrmb
-    1209028648U,	// VPANDQZrr
-    1209025827U,	// VPANDYrm
-    1209025827U,	// VPANDYrr
-    1209025827U,	// VPANDrm
-    1209025827U,	// VPANDrr
+    1209031841U,	// VPADDUSWYrm
+    1209031841U,	// VPADDUSWYrr
+    1209031841U,	// VPADDUSWrm
+    1209031841U,	// VPADDUSWrr
+    1209031407U,	// VPADDWYrm
+    1209031407U,	// VPADDWYrr
+    1209031407U,	// VPADDWrm
+    1209031407U,	// VPADDWrr
+    1209029431U,	// VPALIGNR128rm
+    1209029431U,	// VPALIGNR128rr
+    1209029431U,	// VPALIGNR256rm
+    1209029431U,	// VPALIGNR256rr
+    1209025640U,	// VPANDDZrm
+    1209025640U,	// VPANDDZrmb
+    1209025640U,	// VPANDDZrr
+    1209025864U,	// VPANDNDZrm
+    1209025864U,	// VPANDNDZrmb
+    1209025864U,	// VPANDNDZrr
+    1209029056U,	// VPANDNQZrm
+    1209029056U,	// VPANDNQZrmb
+    1209029056U,	// VPANDNQZrr
+    1209028296U,	// VPANDNYrm
+    1209028296U,	// VPANDNYrr
+    1209028296U,	// VPANDNrm
+    1209028296U,	// VPANDNrr
+    1209028827U,	// VPANDQZrm
+    1209028827U,	// VPANDQZrmb
+    1209028827U,	// VPANDQZrr
+    1209025849U,	// VPANDYrm
+    1209025849U,	// VPANDYrr
+    1209025849U,	// VPANDrm
+    1209025849U,	// VPANDrr
     1209025101U,	// VPAVGBYrm
     1209025101U,	// VPAVGBYrr
     1209025101U,	// VPAVGBrm
     1209025101U,	// VPAVGBrr
-    1209031211U,	// VPAVGWYrm
-    1209031211U,	// VPAVGWYrr
-    1209031211U,	// VPAVGWrm
-    1209031211U,	// VPAVGWrr
-    1209025626U,	// VPBLENDDYrmi
-    1209025626U,	// VPBLENDDYrri
-    1209025626U,	// VPBLENDDrmi
-    1209025626U,	// VPBLENDDrri
-    1209025790U,	// VPBLENDMDZrm
-    153109758U,	// VPBLENDMDZrr
-    1209028840U,	// VPBLENDMQZrm
-    153112808U,	// VPBLENDMQZrr
+    1209031499U,	// VPAVGWYrm
+    1209031499U,	// VPAVGWYrr
+    1209031499U,	// VPAVGWrm
+    1209031499U,	// VPAVGWrr
+    1209025648U,	// VPBLENDDYrmi
+    1209025648U,	// VPBLENDDYrri
+    1209025648U,	// VPBLENDDrmi
+    1209025648U,	// VPBLENDDrri
+    1209025812U,	// VPBLENDMDZrm
+    1209025812U,	// VPBLENDMDZrm_Int
+    153109780U,	// VPBLENDMDZrr
+    153109780U,	// VPBLENDMDZrr_Int
+    1209029019U,	// VPBLENDMQZrm
+    1209029019U,	// VPBLENDMQZrm_Int
+    153112987U,	// VPBLENDMQZrr
+    153112987U,	// VPBLENDMQZrr_Int
     1209025359U,	// VPBLENDVBYrm
     1209025359U,	// VPBLENDVBYrr
     1209025359U,	// VPBLENDVBrm
     1209025359U,	// VPBLENDVBrr
-    1209031134U,	// VPBLENDWYrmi
-    1209031134U,	// VPBLENDWYrri
-    1209031134U,	// VPBLENDWrmi
-    1209031134U,	// VPBLENDWrri
+    1209031422U,	// VPBLENDWYrmi
+    1209031422U,	// VPBLENDWYrri
+    1209031422U,	// VPBLENDWrmi
+    1209031422U,	// VPBLENDWrri
     504382232U,	// VPBROADCASTBYrm
     135283480U,	// VPBROADCASTBYrr
     504382232U,	// VPBROADCASTBrm
     135283480U,	// VPBROADCASTBrr
-    101730971U,	// VPBROADCASTDYrm
-    135285403U,	// VPBROADCASTDYrr
-    3374336667U,	// VPBROADCASTDZkrm
-    3374336667U,	// VPBROADCASTDZkrr
-    101730971U,	// VPBROADCASTDZrm
-    135285403U,	// VPBROADCASTDZrr
-    3374336667U,	// VPBROADCASTDrZkrr
-    135285403U,	// VPBROADCASTDrZrr
-    101730971U,	// VPBROADCASTDrm
-    135285403U,	// VPBROADCASTDrr
-    135286587U,	// VPBROADCASTMB2Qrr
-    135283651U,	// VPBROADCASTMW2Drr
-    168841638U,	// VPBROADCASTQYrm
-    135287206U,	// VPBROADCASTQYrr
-    3374338470U,	// VPBROADCASTQZkrm
-    3374338470U,	// VPBROADCASTQZkrr
-    168841638U,	// VPBROADCASTQZrm
-    135287206U,	// VPBROADCASTQZrr
-    3374338470U,	// VPBROADCASTQrZkrr
-    135287206U,	// VPBROADCASTQrZrr
-    168841638U,	// VPBROADCASTQrm
-    135287206U,	// VPBROADCASTQrr
-    370170797U,	// VPBROADCASTWYrm
-    135289773U,	// VPBROADCASTWYrr
-    370170797U,	// VPBROADCASTWrm
-    135289773U,	// VPBROADCASTWrr
-    1209028682U,	// VPCLMULQDQrm
-    1209028682U,	// VPCLMULQDQrr
-    1209030941U,	// VPCMOVmr
-    1209030941U,	// VPCMOVmrY
-    1209030941U,	// VPCMOVrm
-    1209030941U,	// VPCMOVrmY
-    1209030941U,	// VPCMOVrr
-    1209030941U,	// VPCMOVrrY
-    1026795282U,	// VPCMPDZrmi
-    1209026498U,	// VPCMPDZrmi_alt
-    87287570U,	// VPCMPDZrri
-    1209026498U,	// VPCMPDZrri_alt
+    101731061U,	// VPBROADCASTDYrm
+    135285493U,	// VPBROADCASTDYrr
+    3374336757U,	// VPBROADCASTDZkrm
+    3374336757U,	// VPBROADCASTDZkrr
+    101731061U,	// VPBROADCASTDZrm
+    135285493U,	// VPBROADCASTDZrr
+    3374336757U,	// VPBROADCASTDrZkrr
+    135285493U,	// VPBROADCASTDrZrr
+    101731061U,	// VPBROADCASTDrm
+    135285493U,	// VPBROADCASTDrr
+    135286766U,	// VPBROADCASTMB2Qrr
+    135283673U,	// VPBROADCASTMW2Drr
+    168841848U,	// VPBROADCASTQYrm
+    135287416U,	// VPBROADCASTQYrr
+    3374338680U,	// VPBROADCASTQZkrm
+    3374338680U,	// VPBROADCASTQZkrr
+    168841848U,	// VPBROADCASTQZrm
+    135287416U,	// VPBROADCASTQZrr
+    3374338680U,	// VPBROADCASTQrZkrr
+    135287416U,	// VPBROADCASTQrZrr
+    168841848U,	// VPBROADCASTQrm
+    135287416U,	// VPBROADCASTQrr
+    370171085U,	// VPBROADCASTWYrm
+    135290061U,	// VPBROADCASTWYrr
+    370171085U,	// VPBROADCASTWrm
+    135290061U,	// VPBROADCASTWrr
+    1209028861U,	// VPCLMULQDQrm
+    1209028861U,	// VPCLMULQDQrr
+    1209031229U,	// VPCMOVmr
+    1209031229U,	// VPCMOVmrY
+    1209031229U,	// VPCMOVrm
+    1209031229U,	// VPCMOVrmY
+    1209031229U,	// VPCMOVrr
+    1209031229U,	// VPCMOVrrY
+    1026795631U,	// VPCMPDZrmi
+    1209026542U,	// VPCMPDZrmi_alt
+    87287919U,	// VPCMPDZrri
+    1209026542U,	// VPCMPDZrri_alt
     1209025149U,	// VPCMPEQBYrm
     1209025149U,	// VPCMPEQBYrr
     1209025149U,	// VPCMPEQBrm
     1209025149U,	// VPCMPEQBrr
-    1209026684U,	// VPCMPEQDYrm
-    1209026684U,	// VPCMPEQDYrr
-    1209026684U,	// VPCMPEQDZrm
-    1209026684U,	// VPCMPEQDZrr
-    1209026684U,	// VPCMPEQDrm
-    1209026684U,	// VPCMPEQDrr
-    1209028909U,	// VPCMPEQQYrm
-    1209028909U,	// VPCMPEQQYrr
-    1209028909U,	// VPCMPEQQZrm
-    1209028909U,	// VPCMPEQQZrr
-    1209028909U,	// VPCMPEQQrm
-    1209028909U,	// VPCMPEQQrr
-    1209031341U,	// VPCMPEQWYrm
-    1209031341U,	// VPCMPEQWYrr
-    1209031341U,	// VPCMPEQWrm
-    1209031341U,	// VPCMPEQWrr
+    1209026727U,	// VPCMPEQDYrm
+    1209026727U,	// VPCMPEQDYrr
+    1209026727U,	// VPCMPEQDZrm
+    1209026727U,	// VPCMPEQDZrr
+    1209026727U,	// VPCMPEQDrm
+    1209026727U,	// VPCMPEQDrr
+    1209029088U,	// VPCMPEQQYrm
+    1209029088U,	// VPCMPEQQYrr
+    1209029088U,	// VPCMPEQQZrm
+    1209029088U,	// VPCMPEQQZrr
+    1209029088U,	// VPCMPEQQrm
+    1209029088U,	// VPCMPEQQrr
+    1209031629U,	// VPCMPEQWYrm
+    1209031629U,	// VPCMPEQWYrr
+    1209031629U,	// VPCMPEQWrm
+    1209031629U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    1410354473U,	// VPCMPESTRIrm
-    1209027881U,	// VPCMPESTRIrr
+    1410354569U,	// VPCMPESTRIrm
+    1209027977U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    1410354685U,	// VPCMPESTRM128rm
-    1209028093U,	// VPCMPESTRM128rr
+    1410354864U,	// VPCMPESTRM128rm
+    1209028272U,	// VPCMPESTRM128rr
     1209025286U,	// VPCMPGTBYrm
     1209025286U,	// VPCMPGTBYrr
     1209025286U,	// VPCMPGTBrm
     1209025286U,	// VPCMPGTBrr
-    1209027209U,	// VPCMPGTDYrm
-    1209027209U,	// VPCMPGTDYrr
-    1209027209U,	// VPCMPGTDZrm
-    1209027209U,	// VPCMPGTDZrr
-    1209027209U,	// VPCMPGTDrm
-    1209027209U,	// VPCMPGTDrr
-    1209028995U,	// VPCMPGTQYrm
-    1209028995U,	// VPCMPGTQYrr
-    1209028995U,	// VPCMPGTQZrm
-    1209028995U,	// VPCMPGTQZrr
-    1209028995U,	// VPCMPGTQrm
-    1209028995U,	// VPCMPGTQrr
-    1209031572U,	// VPCMPGTWYrm
-    1209031572U,	// VPCMPGTWYrr
-    1209031572U,	// VPCMPGTWrm
-    1209031572U,	// VPCMPGTWrr
+    1209027299U,	// VPCMPGTDYrm
+    1209027299U,	// VPCMPGTDYrr
+    1209027299U,	// VPCMPGTDZrm
+    1209027299U,	// VPCMPGTDZrr
+    1209027299U,	// VPCMPGTDrm
+    1209027299U,	// VPCMPGTDrr
+    1209029205U,	// VPCMPGTQYrm
+    1209029205U,	// VPCMPGTQYrr
+    1209029205U,	// VPCMPGTQZrm
+    1209029205U,	// VPCMPGTQZrr
+    1209029205U,	// VPCMPGTQrm
+    1209029205U,	// VPCMPGTQrr
+    1209031860U,	// VPCMPGTWYrm
+    1209031860U,	// VPCMPGTWYrr
+    1209031860U,	// VPCMPGTWrm
+    1209031860U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    1410354485U,	// VPCMPISTRIrm
-    1209027893U,	// VPCMPISTRIrr
+    1410354581U,	// VPCMPISTRIrm
+    1209027989U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    1410354697U,	// VPCMPISTRM128rm
-    1209028105U,	// VPCMPISTRM128rr
-    1027843858U,	// VPCMPQZrmi
-    1209028895U,	// VPCMPQZrmi_alt
-    88336146U,	// VPCMPQZrri
-    1209028895U,	// VPCMPQZrri_alt
-    1028892434U,	// VPCMPUDZrmi
-    1209027259U,	// VPCMPUDZrmi_alt
-    89384722U,	// VPCMPUDZrri
-    1209027259U,	// VPCMPUDZrri_alt
-    1029941010U,	// VPCMPUQZrmi
-    1209029053U,	// VPCMPUQZrmi_alt
-    90433298U,	// VPCMPUQZrri
-    1209029053U,	// VPCMPUQZrri_alt
+    1410354876U,	// VPCMPISTRM128rm
+    1209028284U,	// VPCMPISTRM128rr
+    1027844207U,	// VPCMPQZrmi
+    1209029074U,	// VPCMPQZrmi_alt
+    88336495U,	// VPCMPQZrri
+    1209029074U,	// VPCMPQZrri_alt
+    1028892783U,	// VPCMPUDZrmi
+    1209027349U,	// VPCMPUDZrmi_alt
+    89385071U,	// VPCMPUDZrri
+    1209027349U,	// VPCMPUDZrri_alt
+    1029941359U,	// VPCMPUQZrmi
+    1209029272U,	// VPCMPUQZrmi_alt
+    90433647U,	// VPCMPUQZrri
+    1209029272U,	// VPCMPUQZrri_alt
     1209025132U,	// VPCOMBmi
     1209025132U,	// VPCOMBri
-    1209025801U,	// VPCOMDmi
-    1209025801U,	// VPCOMDri
-    1209028851U,	// VPCOMQmi
-    1209028851U,	// VPCOMQri
+    1209025823U,	// VPCOMDmi
+    1209025823U,	// VPCOMDri
+    1209029030U,	// VPCOMQmi
+    1209029030U,	// VPCOMQri
     1209025318U,	// VPCOMUBmi
     1209025318U,	// VPCOMUBri
-    1209027241U,	// VPCOMUDmi
-    1209027241U,	// VPCOMUDri
-    1209029044U,	// VPCOMUQmi
-    1209029044U,	// VPCOMUQri
-    1209031639U,	// VPCOMUWmi
-    1209031639U,	// VPCOMUWri
-    1209031316U,	// VPCOMWmi
-    1209031316U,	// VPCOMWri
+    1209027331U,	// VPCOMUDmi
+    1209027331U,	// VPCOMUDri
+    1209029254U,	// VPCOMUQmi
+    1209029254U,	// VPCOMUQri
+    1209031927U,	// VPCOMUWmi
+    1209031927U,	// VPCOMUWri
+    1209031604U,	// VPCOMWmi
+    1209031604U,	// VPCOMWri
+    772819670U,	// VPCONFLICTDrm
+    101731030U,	// VPCONFLICTDrmb
+    86002390U,	// VPCONFLICTDrmbk
+    3374336726U,	// VPCONFLICTDrmbkz
+    86002390U,	// VPCONFLICTDrmk
+    3374336726U,	// VPCONFLICTDrmkz
+    135285462U,	// VPCONFLICTDrr
+    86002390U,	// VPCONFLICTDrrk
+    3374336726U,	// VPCONFLICTDrrkz
+    772821576U,	// VPCONFLICTQrm
+    1242583624U,	// VPCONFLICTQrmb
+    86004296U,	// VPCONFLICTQrmbk
+    3374338632U,	// VPCONFLICTQrmbkz
+    86004296U,	// VPCONFLICTQrmk
+    3374338632U,	// VPCONFLICTQrmkz
+    135287368U,	// VPCONFLICTQrr
+    86004296U,	// VPCONFLICTQrrk
+    3374338632U,	// VPCONFLICTQrrkz
     1209024845U,	// VPERM2F128rm
     1209024845U,	// VPERM2F128rr
     1209024900U,	// VPERM2I128rm
     1209024900U,	// VPERM2I128rr
-    1209025809U,	// VPERMDYrm
-    1209025809U,	// VPERMDYrr
-    1209025809U,	// VPERMDZrm
-    1209025809U,	// VPERMDZrr
-    1141916601U,	// VPERMI2Drm
-    1141916601U,	// VPERMI2Drr
-    1141917184U,	// VPERMI2PDrm
-    1141917184U,	// VPERMI2PDrr
-    1141920705U,	// VPERMI2PSrm
-    1141920705U,	// VPERMI2PSrr
-    1141919564U,	// VPERMI2Qrm
-    1141919564U,	// VPERMI2Qrr
-    1209026069U,	// VPERMIL2PDmr
-    1209026069U,	// VPERMIL2PDmrY
-    1209026069U,	// VPERMIL2PDrm
-    1209026069U,	// VPERMIL2PDrmY
-    1209026069U,	// VPERMIL2PDrr
-    1209026069U,	// VPERMIL2PDrrY
-    1209029590U,	// VPERMIL2PSmr
-    1209029590U,	// VPERMIL2PSmrY
-    1209029590U,	// VPERMIL2PSrm
-    1209029590U,	// VPERMIL2PSrmY
-    1209029590U,	// VPERMIL2PSrr
-    1209029590U,	// VPERMIL2PSrrY
-    1880115099U,	// VPERMILPDYmi
-    1209026459U,	// VPERMILPDYri
-    1209026459U,	// VPERMILPDYrm
-    1209026459U,	// VPERMILPDYrr
-    1846560667U,	// VPERMILPDZmi
-    1209026459U,	// VPERMILPDZri
-    1611679643U,	// VPERMILPDmi
-    1209026459U,	// VPERMILPDri
-    1209026459U,	// VPERMILPDrm
-    1209026459U,	// VPERMILPDrr
-    1880118621U,	// VPERMILPSYmi
-    1209029981U,	// VPERMILPSYri
-    1209029981U,	// VPERMILPSYrm
-    1209029981U,	// VPERMILPSYrr
-    1846564189U,	// VPERMILPSZmi
-    1209029981U,	// VPERMILPSZri
-    1611683165U,	// VPERMILPSmi
-    1209029981U,	// VPERMILPSri
-    1209029981U,	// VPERMILPSrm
-    1209029981U,	// VPERMILPSrr
-    1813006293U,	// VPERMPDYmi
-    1209026517U,	// VPERMPDYri
-    1913669589U,	// VPERMPDZmi
-    1209026517U,	// VPERMPDZri
-    1209026517U,	// VPERMPDZrm
-    1209026517U,	// VPERMPDZrr
-    1209030031U,	// VPERMPSYrm
-    1209030031U,	// VPERMPSYrr
-    1209030031U,	// VPERMPSZrm
-    1209030031U,	// VPERMPSZrr
-    1813008635U,	// VPERMQYmi
-    1209028859U,	// VPERMQYri
-    1846563067U,	// VPERMQZmi
-    1209028859U,	// VPERMQZri
-    1209028859U,	// VPERMQZrm
-    1209028859U,	// VPERMQZrr
+    1209025831U,	// VPERMDYrm
+    1209025831U,	// VPERMDYrr
+    1209025831U,	// VPERMDZrm
+    1209025831U,	// VPERMDZrr
+    1141916623U,	// VPERMI2Drm
+    1141916623U,	// VPERMI2Drr
+    1141917206U,	// VPERMI2PDrm
+    1141917206U,	// VPERMI2PDrr
+    1141920938U,	// VPERMI2PSrm
+    1141920938U,	// VPERMI2PSrr
+    1141919743U,	// VPERMI2Qrm
+    1141919743U,	// VPERMI2Qrr
+    1209026091U,	// VPERMIL2PDmr
+    1209026091U,	// VPERMIL2PDmrY
+    1209026091U,	// VPERMIL2PDrm
+    1209026091U,	// VPERMIL2PDrmY
+    1209026091U,	// VPERMIL2PDrr
+    1209026091U,	// VPERMIL2PDrrY
+    1209029823U,	// VPERMIL2PSmr
+    1209029823U,	// VPERMIL2PSmrY
+    1209029823U,	// VPERMIL2PSrm
+    1209029823U,	// VPERMIL2PSrmY
+    1209029823U,	// VPERMIL2PSrr
+    1209029823U,	// VPERMIL2PSrrY
+    1880115143U,	// VPERMILPDYmi
+    1209026503U,	// VPERMILPDYri
+    1209026503U,	// VPERMILPDYrm
+    1209026503U,	// VPERMILPDYrr
+    1846560711U,	// VPERMILPDZmi
+    1209026503U,	// VPERMILPDZri
+    1611679687U,	// VPERMILPDmi
+    1209026503U,	// VPERMILPDri
+    1209026503U,	// VPERMILPDrm
+    1209026503U,	// VPERMILPDrr
+    1880118876U,	// VPERMILPSYmi
+    1209030236U,	// VPERMILPSYri
+    1209030236U,	// VPERMILPSYrm
+    1209030236U,	// VPERMILPSYrr
+    1846564444U,	// VPERMILPSZmi
+    1209030236U,	// VPERMILPSZri
+    1611683420U,	// VPERMILPSmi
+    1209030236U,	// VPERMILPSri
+    1209030236U,	// VPERMILPSrm
+    1209030236U,	// VPERMILPSrr
+    1813006337U,	// VPERMPDYmi
+    1209026561U,	// VPERMPDYri
+    1913669633U,	// VPERMPDZmi
+    1209026561U,	// VPERMPDZri
+    1209026561U,	// VPERMPDZrm
+    1209026561U,	// VPERMPDZrr
+    1209030286U,	// VPERMPSYrm
+    1209030286U,	// VPERMPSYrr
+    1209030286U,	// VPERMPSZrm
+    1209030286U,	// VPERMPSZrr
+    1813008814U,	// VPERMQYmi
+    1209029038U,	// VPERMQYri
+    1846563246U,	// VPERMQZmi
+    1209029038U,	// VPERMQZri
+    1209029038U,	// VPERMQZrm
+    1209029038U,	// VPERMQZrr
     1074889390U,	// VPEXTRBmr
     1209025198U,	// VPEXTRBrr
-    1209025198U,	// VPEXTRBrr64
-    1074858203U,	// VPEXTRDmr
-    1209026779U,	// VPEXTRDrr
-    1074876786U,	// VPEXTRQmr
-    1209028978U,	// VPEXTRQrr
-    1074830092U,	// VPEXTRWmr
-    1209031436U,	// VPEXTRWri
-    974144612U,	// VPGATHERDDYrm
-    3105899620U,	// VPGATHERDDZrm
-    974144612U,	// VPGATHERDDrm
-    907038806U,	// VPGATHERDQYrm
-    2032160854U,	// VPGATHERDQZrm
-    907038806U,	// VPGATHERDQrm
-    974145670U,	// VPGATHERQDYrm
-    2032158854U,	// VPGATHERQDZrm
-    974145670U,	// VPGATHERQDrm
-    907039031U,	// VPGATHERQQYrm
-    2032161079U,	// VPGATHERQQZrm
-    907039031U,	// VPGATHERQQrm
-    336610289U,	// VPHADDBDrm
-    135283697U,	// VPHADDBDrr
-    336613231U,	// VPHADDBQrm
-    135286639U,	// VPHADDBQrr
-    336615762U,	// VPHADDBWrm
-    135289170U,	// VPHADDBWrr
-    336613355U,	// VPHADDDQrm
-    135286763U,	// VPHADDDQrr
-    1209025601U,	// VPHADDDYrm
-    1209025601U,	// VPHADDDYrr
-    1209025601U,	// VPHADDDrm
-    1209025601U,	// VPHADDDrr
-    1209031484U,	// VPHADDSWrm128
-    1209031484U,	// VPHADDSWrm256
-    1209031484U,	// VPHADDSWrr128
-    1209031484U,	// VPHADDSWrr256
-    336610299U,	// VPHADDUBDrm
-    135283707U,	// VPHADDUBDrr
-    336613241U,	// VPHADDUBQrm
-    135286649U,	// VPHADDUBQrr
-    336615806U,	// VPHADDUBWrm
-    135289214U,	// VPHADDUBWrr
-    336613523U,	// VPHADDUDQrm
-    135286931U,	// VPHADDUDQrr
-    336612189U,	// VPHADDUWDrm
-    135285597U,	// VPHADDUWDrr
-    336613887U,	// VPHADDUWQrm
-    135287295U,	// VPHADDUWQrr
-    336612101U,	// VPHADDWDrm
-    135285509U,	// VPHADDWDrr
-    336613877U,	// VPHADDWQrm
-    135287285U,	// VPHADDWQrr
-    1209031103U,	// VPHADDWYrm
-    1209031103U,	// VPHADDWYrr
-    1209031103U,	// VPHADDWrm
-    1209031103U,	// VPHADDWrr
-    336616425U,	// VPHMINPOSUWrm128
-    135289833U,	// VPHMINPOSUWrr128
-    336615733U,	// VPHSUBBWrm
-    135289141U,	// VPHSUBBWrr
-    336613337U,	// VPHSUBDQrm
-    135286745U,	// VPHSUBDQrr
-    1209025542U,	// VPHSUBDYrm
-    1209025542U,	// VPHSUBDYrr
-    1209025542U,	// VPHSUBDrm
-    1209025542U,	// VPHSUBDrr
-    1209031465U,	// VPHSUBSWrm128
-    1209031465U,	// VPHSUBSWrm256
-    1209031465U,	// VPHSUBSWrr128
-    1209031465U,	// VPHSUBSWrr256
-    336612091U,	// VPHSUBWDrm
-    135285499U,	// VPHSUBWDrr
-    1209031049U,	// VPHSUBWYrm
-    1209031049U,	// VPHSUBWYrr
-    1209031049U,	// VPHSUBWrm
-    1209031049U,	// VPHSUBWrr
+    1074858246U,	// VPEXTRDmr
+    1209026822U,	// VPEXTRDrr
+    1074876965U,	// VPEXTRQmr
+    1209029157U,	// VPEXTRQrr
+    1074830380U,	// VPEXTRWmr
+    1209031724U,	// VPEXTRWri
+    1209031724U,	// VPEXTRWrr_REV
+    974144634U,	// VPGATHERDDYrm
+    3105899642U,	// VPGATHERDDZrm
+    974144634U,	// VPGATHERDDrm
+    907038985U,	// VPGATHERDQYrm
+    2032161033U,	// VPGATHERDQZrm
+    907038985U,	// VPGATHERDQrm
+    974145713U,	// VPGATHERQDYrm
+    2032158897U,	// VPGATHERQDZrm
+    974145713U,	// VPGATHERQDrm
+    907039210U,	// VPGATHERQQYrm
+    2032161258U,	// VPGATHERQQZrm
+    907039210U,	// VPGATHERQQrm
+    336610311U,	// VPHADDBDrm
+    135283719U,	// VPHADDBDrr
+    336613410U,	// VPHADDBQrm
+    135286818U,	// VPHADDBQrr
+    336616050U,	// VPHADDBWrm
+    135289458U,	// VPHADDBWrr
+    336613534U,	// VPHADDDQrm
+    135286942U,	// VPHADDDQrr
+    1209025623U,	// VPHADDDYrm
+    1209025623U,	// VPHADDDYrr
+    1209025623U,	// VPHADDDrm
+    1209025623U,	// VPHADDDrr
+    1209031772U,	// VPHADDSWrm128
+    1209031772U,	// VPHADDSWrm256
+    1209031772U,	// VPHADDSWrr128
+    1209031772U,	// VPHADDSWrr256
+    336610321U,	// VPHADDUBDrm
+    135283729U,	// VPHADDUBDrr
+    336613420U,	// VPHADDUBQrm
+    135286828U,	// VPHADDUBQrr
+    336616094U,	// VPHADDUBWrm
+    135289502U,	// VPHADDUBWrr
+    336613702U,	// VPHADDUDQrm
+    135287110U,	// VPHADDUDQrr
+    336612279U,	// VPHADDUWDrm
+    135285687U,	// VPHADDUWDrr
+    336614114U,	// VPHADDUWQrm
+    135287522U,	// VPHADDUWQrr
+    336612191U,	// VPHADDWDrm
+    135285599U,	// VPHADDWDrr
+    336614104U,	// VPHADDWQrm
+    135287512U,	// VPHADDWQrr
+    1209031391U,	// VPHADDWYrm
+    1209031391U,	// VPHADDWYrr
+    1209031391U,	// VPHADDWrm
+    1209031391U,	// VPHADDWrr
+    336616713U,	// VPHMINPOSUWrm128
+    135290121U,	// VPHMINPOSUWrr128
+    336616021U,	// VPHSUBBWrm
+    135289429U,	// VPHSUBBWrr
+    336613516U,	// VPHSUBDQrm
+    135286924U,	// VPHSUBDQrr
+    1209025564U,	// VPHSUBDYrm
+    1209025564U,	// VPHSUBDYrr
+    1209025564U,	// VPHSUBDrm
+    1209025564U,	// VPHSUBDrr
+    1209031753U,	// VPHSUBSWrm128
+    1209031753U,	// VPHSUBSWrm256
+    1209031753U,	// VPHSUBSWrr128
+    1209031753U,	// VPHSUBSWrr256
+    336612181U,	// VPHSUBWDrm
+    135285589U,	// VPHSUBWDrr
+    1209031337U,	// VPHSUBWYrm
+    1209031337U,	// VPHSUBWYrr
+    1209031337U,	// VPHSUBWrm
+    1209031337U,	// VPHSUBWrr
     1209025189U,	// VPINSRBrm
     1209025189U,	// VPINSRBrr
-    1209026770U,	// VPINSRDrm
-    1209026770U,	// VPINSRDrr
-    1209028969U,	// VPINSRQrm
-    1209028969U,	// VPINSRQrr
-    1209031417U,	// VPINSRWrmi
-    1209031417U,	// VPINSRWrr64i
-    1209031417U,	// VPINSRWrri
-    1209025661U,	// VPMACSDDrm
-    1209025661U,	// VPMACSDDrr
-    1209027763U,	// VPMACSDQHrm
-    1209027763U,	// VPMACSDQHrr
-    1209028005U,	// VPMACSDQLrm
-    1209028005U,	// VPMACSDQLrr
-    1209025671U,	// VPMACSSDDrm
-    1209025671U,	// VPMACSSDDrr
-    1209027774U,	// VPMACSSDQHrm
-    1209027774U,	// VPMACSSDQHrr
-    1209028016U,	// VPMACSSDQLrm
-    1209028016U,	// VPMACSSDQLrr
-    1209027398U,	// VPMACSSWDrm
-    1209027398U,	// VPMACSSWDrr
-    1209031696U,	// VPMACSSWWrm
-    1209031696U,	// VPMACSSWWrr
-    1209027377U,	// VPMACSWDrm
-    1209027377U,	// VPMACSWDrr
-    1209031686U,	// VPMACSWWrm
-    1209031686U,	// VPMACSWWrr
-    1209027409U,	// VPMADCSSWDrm
-    1209027409U,	// VPMADCSSWDrr
-    1209027387U,	// VPMADCSWDrm
-    1209027387U,	// VPMADCSWDrr
-    1209031453U,	// VPMADDUBSWrm128
-    1209031453U,	// VPMADDUBSWrm256
-    1209031453U,	// VPMADDUBSWrr128
-    1209031453U,	// VPMADDUBSWrr256
-    1209027343U,	// VPMADDWDYrm
-    1209027343U,	// VPMADDWDYrr
-    1209027343U,	// VPMADDWDrm
-    1209027343U,	// VPMADDWDrr
-    873908968U,	// VPMASKMOVDYmr
-    1209027304U,	// VPMASKMOVDYrm
-    1074989800U,	// VPMASKMOVDmr
-    1209027304U,	// VPMASKMOVDrm
-    873910753U,	// VPMASKMOVQYmr
-    1209029089U,	// VPMASKMOVQYrm
-    1074991585U,	// VPMASKMOVQmr
-    1209029089U,	// VPMASKMOVQrm
+    1209026813U,	// VPINSRDrm
+    1209026813U,	// VPINSRDrr
+    1209029148U,	// VPINSRQrm
+    1209029148U,	// VPINSRQrr
+    1209031705U,	// VPINSRWrmi
+    1209031705U,	// VPINSRWrri
+    1209025683U,	// VPMACSDDrm
+    1209025683U,	// VPMACSDDrr
+    1209027853U,	// VPMACSDQHrm
+    1209027853U,	// VPMACSDQHrr
+    1209028184U,	// VPMACSDQLrm
+    1209028184U,	// VPMACSDQLrr
+    1209025693U,	// VPMACSSDDrm
+    1209025693U,	// VPMACSSDDrr
+    1209027864U,	// VPMACSSDQHrm
+    1209027864U,	// VPMACSSDQHrr
+    1209028195U,	// VPMACSSDQLrm
+    1209028195U,	// VPMACSSDQLrr
+    1209027488U,	// VPMACSSWDrm
+    1209027488U,	// VPMACSSWDrr
+    1209031984U,	// VPMACSSWWrm
+    1209031984U,	// VPMACSSWWrr
+    1209027467U,	// VPMACSWDrm
+    1209027467U,	// VPMACSWDrr
+    1209031974U,	// VPMACSWWrm
+    1209031974U,	// VPMACSWWrr
+    1209027499U,	// VPMADCSSWDrm
+    1209027499U,	// VPMADCSSWDrr
+    1209027477U,	// VPMADCSWDrm
+    1209027477U,	// VPMADCSWDrr
+    1209031741U,	// VPMADDUBSWrm128
+    1209031741U,	// VPMADDUBSWrm256
+    1209031741U,	// VPMADDUBSWrr128
+    1209031741U,	// VPMADDUBSWrr256
+    1209027433U,	// VPMADDWDYrm
+    1209027433U,	// VPMADDWDYrr
+    1209027433U,	// VPMADDWDrm
+    1209027433U,	// VPMADDWDrr
+    873909058U,	// VPMASKMOVDYmr
+    1209027394U,	// VPMASKMOVDYrm
+    1074989890U,	// VPMASKMOVDmr
+    1209027394U,	// VPMASKMOVDrm
+    873910981U,	// VPMASKMOVQYmr
+    1209029317U,	// VPMASKMOVQYrm
+    1074991813U,	// VPMASKMOVQmr
+    1209029317U,	// VPMASKMOVQrm
     1209025271U,	// VPMAXSBYrm
     1209025271U,	// VPMAXSBYrr
     1209025271U,	// VPMAXSBrm
     1209025271U,	// VPMAXSBrr
-    1209027183U,	// VPMAXSDYrm
-    1209027183U,	// VPMAXSDYrr
-    1209027183U,	// VPMAXSDrm
-    1209027183U,	// VPMAXSDrr
-    1209031563U,	// VPMAXSWYrm
-    1209031563U,	// VPMAXSWYrr
-    1209031563U,	// VPMAXSWrm
-    1209031563U,	// VPMAXSWrr
+    1209027260U,	// VPMAXSDYrm
+    1209027260U,	// VPMAXSDYrr
+    1209027260U,	// VPMAXSDZrm
+    1209027260U,	// VPMAXSDZrmb
+    1209027260U,	// VPMAXSDZrr
+    1209027260U,	// VPMAXSDrm
+    1209027260U,	// VPMAXSDrr
+    1209029183U,	// VPMAXSQZrm
+    1209029183U,	// VPMAXSQZrmb
+    1209029183U,	// VPMAXSQZrr
+    1209031851U,	// VPMAXSWYrm
+    1209031851U,	// VPMAXSWYrr
+    1209031851U,	// VPMAXSWrm
+    1209031851U,	// VPMAXSWrr
     1209025350U,	// VPMAXUBYrm
     1209025350U,	// VPMAXUBYrr
     1209025350U,	// VPMAXUBrm
     1209025350U,	// VPMAXUBrr
-    1209027268U,	// VPMAXUDYrm
-    1209027268U,	// VPMAXUDYrr
-    1209027268U,	// VPMAXUDrm
-    1209027268U,	// VPMAXUDrr
-    1209031670U,	// VPMAXUWYrm
-    1209031670U,	// VPMAXUWYrr
-    1209031670U,	// VPMAXUWrm
-    1209031670U,	// VPMAXUWrr
+    1209027358U,	// VPMAXUDYrm
+    1209027358U,	// VPMAXUDYrr
+    1209027358U,	// VPMAXUDZrm
+    1209027358U,	// VPMAXUDZrmb
+    1209027358U,	// VPMAXUDZrr
+    1209027358U,	// VPMAXUDrm
+    1209027358U,	// VPMAXUDrr
+    1209029281U,	// VPMAXUQZrm
+    1209029281U,	// VPMAXUQZrmb
+    1209029281U,	// VPMAXUQZrr
+    1209031958U,	// VPMAXUWYrm
+    1209031958U,	// VPMAXUWYrr
+    1209031958U,	// VPMAXUWrm
+    1209031958U,	// VPMAXUWrr
     1209025233U,	// VPMINSBYrm
     1209025233U,	// VPMINSBYrr
     1209025233U,	// VPMINSBrm
     1209025233U,	// VPMINSBrr
-    1209027110U,	// VPMINSDYrm
-    1209027110U,	// VPMINSDYrr
-    1209027110U,	// VPMINSDrm
-    1209027110U,	// VPMINSDrr
-    1209031515U,	// VPMINSWYrm
-    1209031515U,	// VPMINSWYrr
-    1209031515U,	// VPMINSWrm
-    1209031515U,	// VPMINSWrr
+    1209027187U,	// VPMINSDYrm
+    1209027187U,	// VPMINSDYrr
+    1209027187U,	// VPMINSDZrm
+    1209027187U,	// VPMINSDZrmb
+    1209027187U,	// VPMINSDZrr
+    1209027187U,	// VPMINSDrm
+    1209027187U,	// VPMINSDrr
+    1209029174U,	// VPMINSQZrm
+    1209029174U,	// VPMINSQZrmb
+    1209029174U,	// VPMINSQZrr
+    1209031803U,	// VPMINSWYrm
+    1209031803U,	// VPMINSWYrr
+    1209031803U,	// VPMINSWrm
+    1209031803U,	// VPMINSWrr
     1209025327U,	// VPMINUBYrm
     1209025327U,	// VPMINUBYrr
     1209025327U,	// VPMINUBrm
     1209025327U,	// VPMINUBrr
-    1209027250U,	// VPMINUDYrm
-    1209027250U,	// VPMINUDYrr
-    1209027250U,	// VPMINUDrm
-    1209027250U,	// VPMINUDrr
-    1209031648U,	// VPMINUWYrm
-    1209031648U,	// VPMINUWYrr
-    1209031648U,	// VPMINUWrm
-    1209031648U,	// VPMINUWrr
+    1209027340U,	// VPMINUDYrm
+    1209027340U,	// VPMINUDYrr
+    1209027340U,	// VPMINUDZrm
+    1209027340U,	// VPMINUDZrmb
+    1209027340U,	// VPMINUDZrr
+    1209027340U,	// VPMINUDrm
+    1209027340U,	// VPMINUDrr
+    1209029263U,	// VPMINUQZrm
+    1209029263U,	// VPMINUQZrmb
+    1209029263U,	// VPMINUQZrr
+    1209031936U,	// VPMINUWYrm
+    1209031936U,	// VPMINUWYrr
+    1209031936U,	// VPMINUWrm
+    1209031936U,	// VPMINUWrr
     3374334523U,	// VPMOVDBkrr
     1245755U,	// VPMOVDBmr
     135283259U,	// VPMOVDBrr
-    3374340627U,	// VPMOVDWkrr
-    449043U,	// VPMOVDWmr
-    135289363U,	// VPMOVDWrr
-    135283289U,	// VPMOVMSKBYr64r
+    3374340915U,	// VPMOVDWkrr
+    449331U,	// VPMOVDWmr
+    135289651U,	// VPMOVDWrr
     135283289U,	// VPMOVMSKBYrr
-    135283289U,	// VPMOVMSKBr64r
     135283289U,	// VPMOVMSKBrr
     3374334620U,	// VPMOVQBkrr
     1245852U,	// VPMOVQBmr
     135283356U,	// VPMOVQBrr
-    3374336180U,	// VPMOVQDkrr
-    444596U,	// VPMOVQDmr
-    135284916U,	// VPMOVQDrr
-    3374340812U,	// VPMOVQWkrr
-    1252044U,	// VPMOVQWmr
-    135289548U,	// VPMOVQWrr
+    3374336223U,	// VPMOVQDkrr
+    444639U,	// VPMOVQDmr
+    135284959U,	// VPMOVQDrr
+    3374341100U,	// VPMOVQWkrr
+    1252332U,	// VPMOVQWmr
+    135289836U,	// VPMOVQWrr
     3374334513U,	// VPMOVSDBkrr
     1245745U,	// VPMOVSDBmr
     135283249U,	// VPMOVSDBrr
-    3374340617U,	// VPMOVSDWkrr
-    449033U,	// VPMOVSDWmr
-    135289353U,	// VPMOVSDWrr
+    3374340905U,	// VPMOVSDWkrr
+    449321U,	// VPMOVSDWmr
+    135289641U,	// VPMOVSDWrr
     3374334610U,	// VPMOVSQBkrr
     1245842U,	// VPMOVSQBmr
     135283346U,	// VPMOVSQBrr
-    3374336170U,	// VPMOVSQDkrr
-    444586U,	// VPMOVSQDmr
-    135284906U,	// VPMOVSQDrr
-    3374340802U,	// VPMOVSQWkrr
-    1252034U,	// VPMOVSQWmr
-    135289538U,	// VPMOVSQWrr
-    101729303U,	// VPMOVSXBDYrm
-    135283735U,	// VPMOVSXBDYrr
-    336610327U,	// VPMOVSXBDZrm
-    135283735U,	// VPMOVSXBDZrr
-    101729303U,	// VPMOVSXBDrm
-    135283735U,	// VPMOVSXBDrr
-    370167692U,	// VPMOVSXBQYrm
-    135286668U,	// VPMOVSXBQYrr
-    336613260U,	// VPMOVSXBQZrm
-    135286668U,	// VPMOVSXBQZrr
-    370167692U,	// VPMOVSXBQrm
-    135286668U,	// VPMOVSXBQrr
-    336615834U,	// VPMOVSXBWYrm
-    135289242U,	// VPMOVSXBWYrr
-    168843674U,	// VPMOVSXBWrm
-    135289242U,	// VPMOVSXBWrr
-    336613544U,	// VPMOVSXDQYrm
-    135286952U,	// VPMOVSXDQYrr
-    739266728U,	// VPMOVSXDQZrm
-    135286952U,	// VPMOVSXDQZrr
-    168841384U,	// VPMOVSXDQrm
-    135286952U,	// VPMOVSXDQrr
-    336612200U,	// VPMOVSXWDYrm
-    135285608U,	// VPMOVSXWDYrr
-    739265384U,	// VPMOVSXWDZrm
-    135285608U,	// VPMOVSXWDZrr
-    168840040U,	// VPMOVSXWDrm
-    135285608U,	// VPMOVSXWDrr
-    101732874U,	// VPMOVSXWQYrm
-    135287306U,	// VPMOVSXWQYrr
-    336613898U,	// VPMOVSXWQZrm
-    135287306U,	// VPMOVSXWQZrr
-    101732874U,	// VPMOVSXWQrm
-    135287306U,	// VPMOVSXWQrr
+    3374336213U,	// VPMOVSQDkrr
+    444629U,	// VPMOVSQDmr
+    135284949U,	// VPMOVSQDrr
+    3374341090U,	// VPMOVSQWkrr
+    1252322U,	// VPMOVSQWmr
+    135289826U,	// VPMOVSQWrr
+    101729325U,	// VPMOVSXBDYrm
+    135283757U,	// VPMOVSXBDYrr
+    336610349U,	// VPMOVSXBDZrm
+    135283757U,	// VPMOVSXBDZrr
+    101729325U,	// VPMOVSXBDrm
+    135283757U,	// VPMOVSXBDrr
+    370167871U,	// VPMOVSXBQYrm
+    135286847U,	// VPMOVSXBQYrr
+    336613439U,	// VPMOVSXBQZrm
+    135286847U,	// VPMOVSXBQZrr
+    370167871U,	// VPMOVSXBQrm
+    135286847U,	// VPMOVSXBQrr
+    336616122U,	// VPMOVSXBWYrm
+    135289530U,	// VPMOVSXBWYrr
+    168843962U,	// VPMOVSXBWrm
+    135289530U,	// VPMOVSXBWrr
+    336613723U,	// VPMOVSXDQYrm
+    135287131U,	// VPMOVSXDQYrr
+    739266907U,	// VPMOVSXDQZrm
+    135287131U,	// VPMOVSXDQZrr
+    168841563U,	// VPMOVSXDQrm
+    135287131U,	// VPMOVSXDQrr
+    336612290U,	// VPMOVSXWDYrm
+    135285698U,	// VPMOVSXWDYrr
+    739265474U,	// VPMOVSXWDZrm
+    135285698U,	// VPMOVSXWDZrr
+    168840130U,	// VPMOVSXWDrm
+    135285698U,	// VPMOVSXWDrr
+    101733101U,	// VPMOVSXWQYrm
+    135287533U,	// VPMOVSXWQYrr
+    336614125U,	// VPMOVSXWQZrm
+    135287533U,	// VPMOVSXWQZrr
+    101733101U,	// VPMOVSXWQrm
+    135287533U,	// VPMOVSXWQrr
     3374334502U,	// VPMOVUSDBkrr
     1245734U,	// VPMOVUSDBmr
     135283238U,	// VPMOVUSDBrr
-    3374340606U,	// VPMOVUSDWkrr
-    449022U,	// VPMOVUSDWmr
-    135289342U,	// VPMOVUSDWrr
+    3374340894U,	// VPMOVUSDWkrr
+    449310U,	// VPMOVUSDWmr
+    135289630U,	// VPMOVUSDWrr
     3374334599U,	// VPMOVUSQBkrr
     1245831U,	// VPMOVUSQBmr
     135283335U,	// VPMOVUSQBrr
-    3374336159U,	// VPMOVUSQDkrr
-    444575U,	// VPMOVUSQDmr
-    135284895U,	// VPMOVUSQDrr
-    3374340791U,	// VPMOVUSQWkrr
-    1252023U,	// VPMOVUSQWmr
-    135289527U,	// VPMOVUSQWrr
-    101729314U,	// VPMOVZXBDYrm
-    135283746U,	// VPMOVZXBDYrr
-    336610338U,	// VPMOVZXBDZrm
-    135283746U,	// VPMOVZXBDZrr
-    101729314U,	// VPMOVZXBDrm
-    135283746U,	// VPMOVZXBDrr
-    370167703U,	// VPMOVZXBQYrm
-    135286679U,	// VPMOVZXBQYrr
-    336613271U,	// VPMOVZXBQZrm
-    135286679U,	// VPMOVZXBQZrr
-    370167703U,	// VPMOVZXBQrm
-    135286679U,	// VPMOVZXBQrr
-    336615845U,	// VPMOVZXBWYrm
-    135289253U,	// VPMOVZXBWYrr
-    168843685U,	// VPMOVZXBWrm
-    135289253U,	// VPMOVZXBWrr
-    336613555U,	// VPMOVZXDQYrm
-    135286963U,	// VPMOVZXDQYrr
-    739266739U,	// VPMOVZXDQZrm
-    135286963U,	// VPMOVZXDQZrr
-    168841395U,	// VPMOVZXDQrm
-    135286963U,	// VPMOVZXDQrr
-    336612211U,	// VPMOVZXWDYrm
-    135285619U,	// VPMOVZXWDYrr
-    739265395U,	// VPMOVZXWDZrm
-    135285619U,	// VPMOVZXWDZrr
-    168840051U,	// VPMOVZXWDrm
-    135285619U,	// VPMOVZXWDrr
-    101732885U,	// VPMOVZXWQYrm
-    135287317U,	// VPMOVZXWQYrr
-    336613909U,	// VPMOVZXWQZrm
-    135287317U,	// VPMOVZXWQZrr
-    101732885U,	// VPMOVZXWQrm
-    135287317U,	// VPMOVZXWQrr
-    1209028639U,	// VPMULDQYrm
-    1209028639U,	// VPMULDQYrr
-    1209028639U,	// VPMULDQZrm
-    1209028639U,	// VPMULDQZrr
-    1209028639U,	// VPMULDQrm
-    1209028639U,	// VPMULDQrr
-    1209031524U,	// VPMULHRSWrm128
-    1209031524U,	// VPMULHRSWrm256
-    1209031524U,	// VPMULHRSWrr128
-    1209031524U,	// VPMULHRSWrr256
-    1209031629U,	// VPMULHUWYrm
-    1209031629U,	// VPMULHUWYrr
-    1209031629U,	// VPMULHUWrm
-    1209031629U,	// VPMULHUWrr
-    1209031240U,	// VPMULHWYrm
-    1209031240U,	// VPMULHWYrr
-    1209031240U,	// VPMULHWrm
-    1209031240U,	// VPMULHWrr
-    1209025764U,	// VPMULLDYrm
-    1209025764U,	// VPMULLDYrr
-    1209025764U,	// VPMULLDZrm
-    1209025764U,	// VPMULLDZrmb
-    1209025764U,	// VPMULLDZrr
-    1209025764U,	// VPMULLDrm
-    1209025764U,	// VPMULLDrr
-    1209031289U,	// VPMULLWYrm
-    1209031289U,	// VPMULLWYrr
-    1209031289U,	// VPMULLWrm
-    1209031289U,	// VPMULLWrr
-    1209028766U,	// VPMULUDQYrm
-    1209028766U,	// VPMULUDQYrr
-    1209028766U,	// VPMULUDQZrm
-    1209028766U,	// VPMULUDQZrr
-    1209028766U,	// VPMULUDQrm
-    1209028766U,	// VPMULUDQrr
-    1209026755U,	// VPORDZrm
-    1209026755U,	// VPORDZrmb
-    1209026755U,	// VPORDZrr
-    1209028944U,	// VPORQZrm
-    1209028944U,	// VPORQZrmb
-    1209028944U,	// VPORQZrr
-    1209029214U,	// VPORYrm
-    1209029214U,	// VPORYrr
-    1209029214U,	// VPORrm
-    1209029214U,	// VPORrr
-    1209028085U,	// VPPERMmr
-    1209028085U,	// VPPERMrm
-    1209028085U,	// VPPERMrr
+    3374336202U,	// VPMOVUSQDkrr
+    444618U,	// VPMOVUSQDmr
+    135284938U,	// VPMOVUSQDrr
+    3374341079U,	// VPMOVUSQWkrr
+    1252311U,	// VPMOVUSQWmr
+    135289815U,	// VPMOVUSQWrr
+    101729336U,	// VPMOVZXBDYrm
+    135283768U,	// VPMOVZXBDYrr
+    336610360U,	// VPMOVZXBDZrm
+    135283768U,	// VPMOVZXBDZrr
+    101729336U,	// VPMOVZXBDrm
+    135283768U,	// VPMOVZXBDrr
+    370167882U,	// VPMOVZXBQYrm
+    135286858U,	// VPMOVZXBQYrr
+    336613450U,	// VPMOVZXBQZrm
+    135286858U,	// VPMOVZXBQZrr
+    370167882U,	// VPMOVZXBQrm
+    135286858U,	// VPMOVZXBQrr
+    336616133U,	// VPMOVZXBWYrm
+    135289541U,	// VPMOVZXBWYrr
+    168843973U,	// VPMOVZXBWrm
+    135289541U,	// VPMOVZXBWrr
+    336613734U,	// VPMOVZXDQYrm
+    135287142U,	// VPMOVZXDQYrr
+    739266918U,	// VPMOVZXDQZrm
+    135287142U,	// VPMOVZXDQZrr
+    168841574U,	// VPMOVZXDQrm
+    135287142U,	// VPMOVZXDQrr
+    336612301U,	// VPMOVZXWDYrm
+    135285709U,	// VPMOVZXWDYrr
+    739265485U,	// VPMOVZXWDZrm
+    135285709U,	// VPMOVZXWDZrr
+    168840141U,	// VPMOVZXWDrm
+    135285709U,	// VPMOVZXWDrr
+    101733112U,	// VPMOVZXWQYrm
+    135287544U,	// VPMOVZXWQYrr
+    336614136U,	// VPMOVZXWQZrm
+    135287544U,	// VPMOVZXWQZrr
+    101733112U,	// VPMOVZXWQrm
+    135287544U,	// VPMOVZXWQrr
+    1209028818U,	// VPMULDQYrm
+    1209028818U,	// VPMULDQYrr
+    1209028818U,	// VPMULDQZrm
+    1209028818U,	// VPMULDQZrr
+    1209028818U,	// VPMULDQrm
+    1209028818U,	// VPMULDQrr
+    1209031812U,	// VPMULHRSWrm128
+    1209031812U,	// VPMULHRSWrm256
+    1209031812U,	// VPMULHRSWrr128
+    1209031812U,	// VPMULHRSWrr256
+    1209031917U,	// VPMULHUWYrm
+    1209031917U,	// VPMULHUWYrr
+    1209031917U,	// VPMULHUWrm
+    1209031917U,	// VPMULHUWrr
+    1209031528U,	// VPMULHWYrm
+    1209031528U,	// VPMULHWYrr
+    1209031528U,	// VPMULHWrm
+    1209031528U,	// VPMULHWrr
+    1209025786U,	// VPMULLDYrm
+    1209025786U,	// VPMULLDYrr
+    1209025786U,	// VPMULLDZrm
+    1209025786U,	// VPMULLDZrmb
+    1209025786U,	// VPMULLDZrr
+    1209025786U,	// VPMULLDrm
+    1209025786U,	// VPMULLDrr
+    1209031577U,	// VPMULLWYrm
+    1209031577U,	// VPMULLWYrr
+    1209031577U,	// VPMULLWrm
+    1209031577U,	// VPMULLWrr
+    1209028945U,	// VPMULUDQYrm
+    1209028945U,	// VPMULUDQYrr
+    1209028945U,	// VPMULUDQZrm
+    1209028945U,	// VPMULUDQZrr
+    1209028945U,	// VPMULUDQrm
+    1209028945U,	// VPMULUDQrr
+    1209026798U,	// VPORDZrm
+    1209026798U,	// VPORDZrmb
+    1209026798U,	// VPORDZrr
+    1209029123U,	// VPORQZrm
+    1209029123U,	// VPORQZrmb
+    1209029123U,	// VPORQZrr
+    1209029441U,	// VPORYrm
+    1209029441U,	// VPORYrr
+    1209029441U,	// VPORrm
+    1209029441U,	// VPORrr
+    1209028264U,	// VPPERMmr
+    1209028264U,	// VPPERMrm
+    1209028264U,	// VPPERMrr
     1410351888U,	// VPROTBmi
     1410351888U,	// VPROTBmr
     1209025296U,	// VPROTBri
     1209025296U,	// VPROTBrm
     1209025296U,	// VPROTBrr
-    1410353811U,	// VPROTDmi
-    1410353811U,	// VPROTDmr
-    1209027219U,	// VPROTDri
-    1209027219U,	// VPROTDrm
-    1209027219U,	// VPROTDrr
-    1410355605U,	// VPROTQmi
-    1410355605U,	// VPROTQmr
-    1209029013U,	// VPROTQri
-    1209029013U,	// VPROTQrm
-    1209029013U,	// VPROTQrr
-    1410358181U,	// VPROTWmi
-    1410358181U,	// VPROTWmr
-    1209031589U,	// VPROTWri
-    1209031589U,	// VPROTWrm
-    1209031589U,	// VPROTWrr
-    1209030985U,	// VPSADBWYrm
-    1209030985U,	// VPSADBWYrr
-    1209030985U,	// VPSADBWrm
-    1209030985U,	// VPSADBWrr
-    492656U,	// VPSCATTERDDZmr
-    512098U,	// VPSCATTERDQZmr
-    510098U,	// VPSCATTERQDZmr
-    512323U,	// VPSCATTERQQZmr
+    1410353901U,	// VPROTDmi
+    1410353901U,	// VPROTDmr
+    1209027309U,	// VPROTDri
+    1209027309U,	// VPROTDrm
+    1209027309U,	// VPROTDrr
+    1410355815U,	// VPROTQmi
+    1410355815U,	// VPROTQmr
+    1209029223U,	// VPROTQri
+    1209029223U,	// VPROTQrm
+    1209029223U,	// VPROTQrr
+    1410358469U,	// VPROTWmi
+    1410358469U,	// VPROTWmr
+    1209031877U,	// VPROTWri
+    1209031877U,	// VPROTWrm
+    1209031877U,	// VPROTWrr
+    1209031273U,	// VPSADBWYrm
+    1209031273U,	// VPSADBWYrr
+    1209031273U,	// VPSADBWrm
+    1209031273U,	// VPSADBWrr
+    492678U,	// VPSCATTERDDZmr
+    512277U,	// VPSCATTERDQZmr
+    510141U,	// VPSCATTERQDZmr
+    512502U,	// VPSCATTERQQZmr
     1410351625U,	// VPSHABmr
     1209025033U,	// VPSHABrm
     1209025033U,	// VPSHABrr
-    1410352097U,	// VPSHADmr
-    1209025505U,	// VPSHADrm
-    1209025505U,	// VPSHADrr
-    1410355039U,	// VPSHAQmr
-    1209028447U,	// VPSHAQrm
-    1209028447U,	// VPSHAQrr
-    1410357541U,	// VPSHAWmr
-    1209030949U,	// VPSHAWrm
-    1209030949U,	// VPSHAWrr
+    1410352119U,	// VPSHADmr
+    1209025527U,	// VPSHADrm
+    1209025527U,	// VPSHADrr
+    1410355218U,	// VPSHAQmr
+    1209028626U,	// VPSHAQrm
+    1209028626U,	// VPSHAQrr
+    1410357829U,	// VPSHAWmr
+    1209031237U,	// VPSHAWrm
+    1209031237U,	// VPSHAWrr
     1410351716U,	// VPSHLBmr
     1209025124U,	// VPSHLBrm
     1209025124U,	// VPSHLBrr
-    1410352334U,	// VPSHLDmr
-    1209025742U,	// VPSHLDrm
-    1209025742U,	// VPSHLDrr
-    1410355408U,	// VPSHLQmr
-    1209028816U,	// VPSHLQrm
-    1209028816U,	// VPSHLQrr
-    1410357858U,	// VPSHLWmr
-    1209031266U,	// VPSHLWrm
-    1209031266U,	// VPSHLWrr
+    1410352356U,	// VPSHLDmr
+    1209025764U,	// VPSHLDrm
+    1209025764U,	// VPSHLDrr
+    1410355587U,	// VPSHLQmr
+    1209028995U,	// VPSHLQrm
+    1209028995U,	// VPSHLQrr
+    1410358146U,	// VPSHLWmr
+    1209031554U,	// VPSHLWrm
+    1209031554U,	// VPSHLWrr
     1209025092U,	// VPSHUFBYrm
     1209025092U,	// VPSHUFBYrr
     1209025092U,	// VPSHUFBrm
     1209025092U,	// VPSHUFBrr
-    1813005473U,	// VPSHUFDYmi
-    1209025697U,	// VPSHUFDYri
-    1846559905U,	// VPSHUFDZmi
-    1209025697U,	// VPSHUFDZri
-    1410352289U,	// VPSHUFDmi
-    1209025697U,	// VPSHUFDri
-    1813011006U,	// VPSHUFHWYmi
-    1209031230U,	// VPSHUFHWYri
-    1410357822U,	// VPSHUFHWmi
-    1209031230U,	// VPSHUFHWri
-    1813011032U,	// VPSHUFLWYmi
-    1209031256U,	// VPSHUFLWYri
-    1410357848U,	// VPSHUFLWmi
-    1209031256U,	// VPSHUFLWri
+    1813005495U,	// VPSHUFDYmi
+    1209025719U,	// VPSHUFDYri
+    1846559927U,	// VPSHUFDZmi
+    1209025719U,	// VPSHUFDZri
+    1410352311U,	// VPSHUFDmi
+    1209025719U,	// VPSHUFDri
+    1813011294U,	// VPSHUFHWYmi
+    1209031518U,	// VPSHUFHWYri
+    1410358110U,	// VPSHUFHWmi
+    1209031518U,	// VPSHUFHWri
+    1813011320U,	// VPSHUFLWYmi
+    1209031544U,	// VPSHUFLWYri
+    1410358136U,	// VPSHUFLWmi
+    1209031544U,	// VPSHUFLWri
     1209025140U,	// VPSIGNBYrm
     1209025140U,	// VPSIGNBYrr
     1209025140U,	// VPSIGNBrm
     1209025140U,	// VPSIGNBrr
-    1209025860U,	// VPSIGNDYrm
-    1209025860U,	// VPSIGNDYrr
-    1209025860U,	// VPSIGNDrm
-    1209025860U,	// VPSIGNDrr
-    1209031332U,	// VPSIGNWYrm
-    1209031332U,	// VPSIGNWYrr
-    1209031332U,	// VPSIGNWrm
-    1209031332U,	// VPSIGNWrr
-    1209028621U,	// VPSLLDQYri
-    1209028621U,	// VPSLLDQri
-    1209025756U,	// VPSLLDYri
-    1209025756U,	// VPSLLDYrm
-    1209025756U,	// VPSLLDYrr
-    1846559964U,	// VPSLLDZmi
-    153109724U,	// VPSLLDZmik
-    1209025756U,	// VPSLLDZri
-    153109724U,	// VPSLLDZrik
-    1209025756U,	// VPSLLDZrm
-    153109724U,	// VPSLLDZrmk
-    1209025756U,	// VPSLLDZrr
-    153109724U,	// VPSLLDZrrk
-    1209025756U,	// VPSLLDri
-    1209025756U,	// VPSLLDrm
-    1209025756U,	// VPSLLDrr
-    1209028824U,	// VPSLLQYri
-    1209028824U,	// VPSLLQYrm
-    1209028824U,	// VPSLLQYrr
-    1846563032U,	// VPSLLQZmi
-    153112792U,	// VPSLLQZmik
-    1209028824U,	// VPSLLQZri
-    153112792U,	// VPSLLQZrik
-    1209028824U,	// VPSLLQZrm
-    153112792U,	// VPSLLQZrmk
-    1209028824U,	// VPSLLQZrr
-    153112792U,	// VPSLLQZrrk
-    1209028824U,	// VPSLLQri
-    1209028824U,	// VPSLLQrm
-    1209028824U,	// VPSLLQrr
-    1209027286U,	// VPSLLVDYrm
-    1209027286U,	// VPSLLVDYrr
-    1209027286U,	// VPSLLVDZrm
-    1209027286U,	// VPSLLVDZrr
-    1209027286U,	// VPSLLVDrm
-    1209027286U,	// VPSLLVDrr
-    1209029071U,	// VPSLLVQYrm
-    1209029071U,	// VPSLLVQYrr
-    1209029071U,	// VPSLLVQZrm
-    1209029071U,	// VPSLLVQZrr
-    1209029071U,	// VPSLLVQrm
-    1209029071U,	// VPSLLVQrr
-    1209031281U,	// VPSLLWYri
-    1209031281U,	// VPSLLWYrm
-    1209031281U,	// VPSLLWYrr
-    1209031281U,	// VPSLLWri
-    1209031281U,	// VPSLLWrm
-    1209031281U,	// VPSLLWrr
-    1209025513U,	// VPSRADYri
-    1209025513U,	// VPSRADYrm
-    1209025513U,	// VPSRADYrr
-    1846559721U,	// VPSRADZmi
-    153109481U,	// VPSRADZmik
-    1209025513U,	// VPSRADZri
-    153109481U,	// VPSRADZrik
-    1209025513U,	// VPSRADZrm
-    153109481U,	// VPSRADZrmk
-    1209025513U,	// VPSRADZrr
-    153109481U,	// VPSRADZrrk
-    1209025513U,	// VPSRADri
-    1209025513U,	// VPSRADrm
-    1209025513U,	// VPSRADrr
-    1846562663U,	// VPSRAQZmi
-    153112423U,	// VPSRAQZmik
-    1209028455U,	// VPSRAQZri
-    153112423U,	// VPSRAQZrik
-    1209028455U,	// VPSRAQZrm
-    153112423U,	// VPSRAQZrmk
-    1209028455U,	// VPSRAQZrr
-    153112423U,	// VPSRAQZrrk
-    1209027277U,	// VPSRAVDYrm
-    1209027277U,	// VPSRAVDYrr
-    1209027277U,	// VPSRAVDZrm
-    1209027277U,	// VPSRAVDZrr
-    1209027277U,	// VPSRAVDrm
-    1209027277U,	// VPSRAVDrr
-    1209029062U,	// VPSRAVQZrm
-    1209029062U,	// VPSRAVQZrr
-    1209030957U,	// VPSRAWYri
-    1209030957U,	// VPSRAWYrm
-    1209030957U,	// VPSRAWYrr
-    1209030957U,	// VPSRAWri
-    1209030957U,	// VPSRAWrm
-    1209030957U,	// VPSRAWrr
-    1209028630U,	// VPSRLDQYri
-    1209028630U,	// VPSRLDQri
-    1209025773U,	// VPSRLDYri
-    1209025773U,	// VPSRLDYrm
-    1209025773U,	// VPSRLDYrr
-    1846559981U,	// VPSRLDZmi
-    153109741U,	// VPSRLDZmik
-    1209025773U,	// VPSRLDZri
-    153109741U,	// VPSRLDZrik
-    1209025773U,	// VPSRLDZrm
-    153109741U,	// VPSRLDZrmk
-    1209025773U,	// VPSRLDZrr
-    153109741U,	// VPSRLDZrrk
-    1209025773U,	// VPSRLDri
-    1209025773U,	// VPSRLDrm
-    1209025773U,	// VPSRLDrr
-    1209028832U,	// VPSRLQYri
-    1209028832U,	// VPSRLQYrm
-    1209028832U,	// VPSRLQYrr
-    1846563040U,	// VPSRLQZmi
-    153112800U,	// VPSRLQZmik
-    1209028832U,	// VPSRLQZri
-    153112800U,	// VPSRLQZrik
-    1209028832U,	// VPSRLQZrm
-    153112800U,	// VPSRLQZrmk
-    1209028832U,	// VPSRLQZrr
-    153112800U,	// VPSRLQZrrk
-    1209028832U,	// VPSRLQri
-    1209028832U,	// VPSRLQrm
-    1209028832U,	// VPSRLQrr
-    1209027295U,	// VPSRLVDYrm
-    1209027295U,	// VPSRLVDYrr
-    1209027295U,	// VPSRLVDZrm
-    1209027295U,	// VPSRLVDZrr
-    1209027295U,	// VPSRLVDrm
-    1209027295U,	// VPSRLVDrr
-    1209029080U,	// VPSRLVQYrm
-    1209029080U,	// VPSRLVQYrr
-    1209029080U,	// VPSRLVQZrm
-    1209029080U,	// VPSRLVQZrr
-    1209029080U,	// VPSRLVQrm
-    1209029080U,	// VPSRLVQrr
-    1209031298U,	// VPSRLWYri
-    1209031298U,	// VPSRLWYrm
-    1209031298U,	// VPSRLWYrr
-    1209031298U,	// VPSRLWri
-    1209031298U,	// VPSRLWrm
-    1209031298U,	// VPSRLWrr
+    1209025882U,	// VPSIGNDYrm
+    1209025882U,	// VPSIGNDYrr
+    1209025882U,	// VPSIGNDrm
+    1209025882U,	// VPSIGNDrr
+    1209031620U,	// VPSIGNWYrm
+    1209031620U,	// VPSIGNWYrr
+    1209031620U,	// VPSIGNWrm
+    1209031620U,	// VPSIGNWrr
+    1209028800U,	// VPSLLDQYri
+    1209028800U,	// VPSLLDQri
+    1209025778U,	// VPSLLDYri
+    1209025778U,	// VPSLLDYrm
+    1209025778U,	// VPSLLDYrr
+    1846559986U,	// VPSLLDZmi
+    153109746U,	// VPSLLDZmik
+    1209025778U,	// VPSLLDZri
+    153109746U,	// VPSLLDZrik
+    1209025778U,	// VPSLLDZrm
+    153109746U,	// VPSLLDZrmk
+    1209025778U,	// VPSLLDZrr
+    153109746U,	// VPSLLDZrrk
+    1209025778U,	// VPSLLDri
+    1209025778U,	// VPSLLDrm
+    1209025778U,	// VPSLLDrr
+    1209029003U,	// VPSLLQYri
+    1209029003U,	// VPSLLQYrm
+    1209029003U,	// VPSLLQYrr
+    1846563211U,	// VPSLLQZmi
+    153112971U,	// VPSLLQZmik
+    1209029003U,	// VPSLLQZri
+    153112971U,	// VPSLLQZrik
+    1209029003U,	// VPSLLQZrm
+    153112971U,	// VPSLLQZrmk
+    1209029003U,	// VPSLLQZrr
+    153112971U,	// VPSLLQZrrk
+    1209029003U,	// VPSLLQri
+    1209029003U,	// VPSLLQrm
+    1209029003U,	// VPSLLQrr
+    1209027376U,	// VPSLLVDYrm
+    1209027376U,	// VPSLLVDYrr
+    1209027376U,	// VPSLLVDZrm
+    1209027376U,	// VPSLLVDZrr
+    1209027376U,	// VPSLLVDrm
+    1209027376U,	// VPSLLVDrr
+    1209029299U,	// VPSLLVQYrm
+    1209029299U,	// VPSLLVQYrr
+    1209029299U,	// VPSLLVQZrm
+    1209029299U,	// VPSLLVQZrr
+    1209029299U,	// VPSLLVQrm
+    1209029299U,	// VPSLLVQrr
+    1209031569U,	// VPSLLWYri
+    1209031569U,	// VPSLLWYrm
+    1209031569U,	// VPSLLWYrr
+    1209031569U,	// VPSLLWri
+    1209031569U,	// VPSLLWrm
+    1209031569U,	// VPSLLWrr
+    1209025535U,	// VPSRADYri
+    1209025535U,	// VPSRADYrm
+    1209025535U,	// VPSRADYrr
+    1846559743U,	// VPSRADZmi
+    153109503U,	// VPSRADZmik
+    1209025535U,	// VPSRADZri
+    153109503U,	// VPSRADZrik
+    1209025535U,	// VPSRADZrm
+    153109503U,	// VPSRADZrmk
+    1209025535U,	// VPSRADZrr
+    153109503U,	// VPSRADZrrk
+    1209025535U,	// VPSRADri
+    1209025535U,	// VPSRADrm
+    1209025535U,	// VPSRADrr
+    1846562842U,	// VPSRAQZmi
+    153112602U,	// VPSRAQZmik
+    1209028634U,	// VPSRAQZri
+    153112602U,	// VPSRAQZrik
+    1209028634U,	// VPSRAQZrm
+    153112602U,	// VPSRAQZrmk
+    1209028634U,	// VPSRAQZrr
+    153112602U,	// VPSRAQZrrk
+    1209027367U,	// VPSRAVDYrm
+    1209027367U,	// VPSRAVDYrr
+    1209027367U,	// VPSRAVDZrm
+    1209027367U,	// VPSRAVDZrr
+    1209027367U,	// VPSRAVDrm
+    1209027367U,	// VPSRAVDrr
+    1209029290U,	// VPSRAVQZrm
+    1209029290U,	// VPSRAVQZrr
+    1209031245U,	// VPSRAWYri
+    1209031245U,	// VPSRAWYrm
+    1209031245U,	// VPSRAWYrr
+    1209031245U,	// VPSRAWri
+    1209031245U,	// VPSRAWrm
+    1209031245U,	// VPSRAWrr
+    1209028809U,	// VPSRLDQYri
+    1209028809U,	// VPSRLDQri
+    1209025795U,	// VPSRLDYri
+    1209025795U,	// VPSRLDYrm
+    1209025795U,	// VPSRLDYrr
+    1846560003U,	// VPSRLDZmi
+    153109763U,	// VPSRLDZmik
+    1209025795U,	// VPSRLDZri
+    153109763U,	// VPSRLDZrik
+    1209025795U,	// VPSRLDZrm
+    153109763U,	// VPSRLDZrmk
+    1209025795U,	// VPSRLDZrr
+    153109763U,	// VPSRLDZrrk
+    1209025795U,	// VPSRLDri
+    1209025795U,	// VPSRLDrm
+    1209025795U,	// VPSRLDrr
+    1209029011U,	// VPSRLQYri
+    1209029011U,	// VPSRLQYrm
+    1209029011U,	// VPSRLQYrr
+    1846563219U,	// VPSRLQZmi
+    153112979U,	// VPSRLQZmik
+    1209029011U,	// VPSRLQZri
+    153112979U,	// VPSRLQZrik
+    1209029011U,	// VPSRLQZrm
+    153112979U,	// VPSRLQZrmk
+    1209029011U,	// VPSRLQZrr
+    153112979U,	// VPSRLQZrrk
+    1209029011U,	// VPSRLQri
+    1209029011U,	// VPSRLQrm
+    1209029011U,	// VPSRLQrr
+    1209027385U,	// VPSRLVDYrm
+    1209027385U,	// VPSRLVDYrr
+    1209027385U,	// VPSRLVDZrm
+    1209027385U,	// VPSRLVDZrr
+    1209027385U,	// VPSRLVDrm
+    1209027385U,	// VPSRLVDrr
+    1209029308U,	// VPSRLVQYrm
+    1209029308U,	// VPSRLVQYrr
+    1209029308U,	// VPSRLVQZrm
+    1209029308U,	// VPSRLVQZrr
+    1209029308U,	// VPSRLVQrm
+    1209029308U,	// VPSRLVQrr
+    1209031586U,	// VPSRLWYri
+    1209031586U,	// VPSRLWYrm
+    1209031586U,	// VPSRLWYrr
+    1209031586U,	// VPSRLWri
+    1209031586U,	// VPSRLWrm
+    1209031586U,	// VPSRLWrr
     1209025046U,	// VPSUBBYrm
     1209025046U,	// VPSUBBYrr
     1209025046U,	// VPSUBBrm
     1209025046U,	// VPSUBBrr
-    1209025551U,	// VPSUBDYrm
-    1209025551U,	// VPSUBDYrr
-    1209025551U,	// VPSUBDZrm
-    1209025551U,	// VPSUBDZrmb
-    1209025551U,	// VPSUBDZrr
-    1209025551U,	// VPSUBDrm
-    1209025551U,	// VPSUBDrr
-    1209028484U,	// VPSUBQYrm
-    1209028484U,	// VPSUBQYrr
-    1209028484U,	// VPSUBQZrm
-    1209028484U,	// VPSUBQZrmb
-    1209028484U,	// VPSUBQZrr
-    1209028484U,	// VPSUBQrm
-    1209028484U,	// VPSUBQrr
+    1209025573U,	// VPSUBDYrm
+    1209025573U,	// VPSUBDYrr
+    1209025573U,	// VPSUBDZrm
+    1209025573U,	// VPSUBDZrmb
+    1209025573U,	// VPSUBDZrr
+    1209025573U,	// VPSUBDrm
+    1209025573U,	// VPSUBDrr
+    1209028663U,	// VPSUBQYrm
+    1209028663U,	// VPSUBQYrr
+    1209028663U,	// VPSUBQZrm
+    1209028663U,	// VPSUBQZrmb
+    1209028663U,	// VPSUBQZrr
+    1209028663U,	// VPSUBQrm
+    1209028663U,	// VPSUBQrr
     1209025215U,	// VPSUBSBYrm
     1209025215U,	// VPSUBSBYrr
     1209025215U,	// VPSUBSBrm
     1209025215U,	// VPSUBSBrr
-    1209031475U,	// VPSUBSWYrm
-    1209031475U,	// VPSUBSWYrr
-    1209031475U,	// VPSUBSWrm
-    1209031475U,	// VPSUBSWrr
+    1209031763U,	// VPSUBSWYrm
+    1209031763U,	// VPSUBSWYrr
+    1209031763U,	// VPSUBSWrm
+    1209031763U,	// VPSUBSWrr
     1209025242U,	// VPSUBUSBYrm
     1209025242U,	// VPSUBUSBYrr
     1209025242U,	// VPSUBUSBrm
     1209025242U,	// VPSUBUSBrr
-    1209031543U,	// VPSUBUSWYrm
-    1209031543U,	// VPSUBUSWYrr
-    1209031543U,	// VPSUBUSWrm
-    1209031543U,	// VPSUBUSWrr
-    1209031058U,	// VPSUBWYrm
-    1209031058U,	// VPSUBWYrr
-    1209031058U,	// VPSUBWrm
-    1209031058U,	// VPSUBWrr
-    1209025817U,	// VPTESTMDZrm
-    1209025817U,	// VPTESTMDZrr
-    1209028867U,	// VPTESTMQZrm
-    1209028867U,	// VPTESTMQZrr
-    739268776U,	// VPTESTYrm
-    135289000U,	// VPTESTYrr
-    537942184U,	// VPTESTrm
-    135289000U,	// VPTESTrr
-    1209031004U,	// VPUNPCKHBWYrm
-    1209031004U,	// VPUNPCKHBWYrr
-    1209031004U,	// VPUNPCKHBWrm
-    1209031004U,	// VPUNPCKHBWrr
-    1209028597U,	// VPUNPCKHDQYrm
-    1209028597U,	// VPUNPCKHDQYrr
-    1209028597U,	// VPUNPCKHDQZrm
-    1209028597U,	// VPUNPCKHDQZrr
-    1209028597U,	// VPUNPCKHDQrm
-    1209028597U,	// VPUNPCKHDQrr
-    1209028656U,	// VPUNPCKHQDQYrm
-    1209028656U,	// VPUNPCKHQDQYrr
-    1209028656U,	// VPUNPCKHQDQZrm
-    1209028656U,	// VPUNPCKHQDQZrr
-    1209028656U,	// VPUNPCKHQDQrm
-    1209028656U,	// VPUNPCKHQDQrr
-    1209027353U,	// VPUNPCKHWDYrm
-    1209027353U,	// VPUNPCKHWDYrr
-    1209027353U,	// VPUNPCKHWDrm
-    1209027353U,	// VPUNPCKHWDrr
-    1209031026U,	// VPUNPCKLBWYrm
-    1209031026U,	// VPUNPCKLBWYrr
-    1209031026U,	// VPUNPCKLBWrm
-    1209031026U,	// VPUNPCKLBWrr
-    1209028609U,	// VPUNPCKLDQYrm
-    1209028609U,	// VPUNPCKLDQYrr
-    1209028609U,	// VPUNPCKLDQZrm
-    1209028609U,	// VPUNPCKLDQZrr
-    1209028609U,	// VPUNPCKLDQrm
-    1209028609U,	// VPUNPCKLDQrr
-    1209028669U,	// VPUNPCKLQDQYrm
-    1209028669U,	// VPUNPCKLQDQYrr
-    1209028669U,	// VPUNPCKLQDQZrm
-    1209028669U,	// VPUNPCKLQDQZrr
-    1209028669U,	// VPUNPCKLQDQrm
-    1209028669U,	// VPUNPCKLQDQrr
-    1209027365U,	// VPUNPCKLWDYrm
-    1209027365U,	// VPUNPCKLWDYrr
-    1209027365U,	// VPUNPCKLWDrm
-    1209027365U,	// VPUNPCKLWDrr
-    1209026762U,	// VPXORDZrm
-    1209026762U,	// VPXORDZrmb
-    1209026762U,	// VPXORDZrr
-    1209028961U,	// VPXORQZrm
-    1209028961U,	// VPXORQZrmb
-    1209028961U,	// VPXORQZrr
-    1209029242U,	// VPXORYrm
-    1209029242U,	// VPXORYrr
-    1209029242U,	// VPXORrm
-    1209029242U,	// VPXORrr
-    839927449U,	// VRCP14PDZm
-    839927449U,	// VRCP14PDZm_Int
-    135284377U,	// VRCP14PDZr
-    135284377U,	// VRCP14PDZr_Int
-    806376527U,	// VRCP14PSZm
-    839930959U,	// VRCP14PSZm_Int
-    135287887U,	// VRCP14PSZr
-    135287887U,	// VRCP14PSZr_Int
-    1209026972U,	// VRCP14SDZm
-    1209026972U,	// VRCP14SDZm_Int
-    1209026972U,	// VRCP14SDZr
-    1209030423U,	// VRCP14SSZm
-    1209030423U,	// VRCP14SSZm_Int
-    1209030423U,	// VRCP14SSZr
-    806376873U,	// VRCPPSYm
-    806376873U,	// VRCPPSYm_Int
-    135288233U,	// VRCPPSYr
-    135288233U,	// VRCPPSYr_Int
-    537941417U,	// VRCPPSm
-    537941417U,	// VRCPPSm_Int
-    135288233U,	// VRCPPSr
-    135288233U,	// VRCPPSr_Int
-    1209030561U,	// VRCPSSm
-    1209030561U,	// VRCPSSm_Int
-    1209030561U,	// VRCPSSr
-    1209027070U,	// VRNDSCALESDm
-    1209027070U,	// VRNDSCALESDr
-    1209027070U,	// VRNDSCALESDr_Int
-    1209030513U,	// VRNDSCALESSm
-    1209030513U,	// VRNDSCALESSr
-    1209030513U,	// VRNDSCALESSr_Int
-    1880115046U,	// VRNDSCALEZPDm
-    1209026406U,	// VRNDSCALEZPDr
-    1880118548U,	// VRNDSCALEZPSm
-    1209029908U,	// VRNDSCALEZPSr
-    1611679555U,	// VROUNDPDm
-    1209026371U,	// VROUNDPDr
-    1611683057U,	// VROUNDPSm
-    1209029873U,	// VROUNDPSr
-    1209027060U,	// VROUNDSDm
-    1209027060U,	// VROUNDSDr
-    1209027060U,	// VROUNDSDr_Int
-    1209030503U,	// VROUNDSSm
-    1209030503U,	// VROUNDSSr
-    1209030503U,	// VROUNDSSr_Int
-    1880115011U,	// VROUNDYPDm
-    1209026371U,	// VROUNDYPDr
-    1880118513U,	// VROUNDYPSm
-    1209029873U,	// VROUNDYPSr
-    839927459U,	// VRSQRT14PDZm
-    839927459U,	// VRSQRT14PDZm_Int
-    135284387U,	// VRSQRT14PDZr
-    135284387U,	// VRSQRT14PDZr_Int
-    806376537U,	// VRSQRT14PSZm
-    839930969U,	// VRSQRT14PSZm_Int
-    135287897U,	// VRSQRT14PSZr
-    135287897U,	// VRSQRT14PSZr_Int
-    1209026982U,	// VRSQRT14SDZm
-    1209026982U,	// VRSQRT14SDZm_Int
-    1209026982U,	// VRSQRT14SDZr
-    1209030433U,	// VRSQRT14SSZm
-    1209030433U,	// VRSQRT14SSZm_Int
-    1209030433U,	// VRSQRT14SSZr
-    806376970U,	// VRSQRTPSYm
-    806376970U,	// VRSQRTPSYm_Int
-    135288330U,	// VRSQRTPSYr
-    135288330U,	// VRSQRTPSYr_Int
-    537941514U,	// VRSQRTPSm
-    537941514U,	// VRSQRTPSm_Int
-    135288330U,	// VRSQRTPSr
-    135288330U,	// VRSQRTPSr_Int
-    1209030586U,	// VRSQRTSSm
-    1209030586U,	// VRSQRTSSm_Int
-    1209030586U,	// VRSQRTSSr
-    509785U,	// VSCATTERDPDZmr
-    496903U,	// VSCATTERDPSZmr
-    509963U,	// VSCATTERQPDZmr
-    513485U,	// VSCATTERQPSZmr
-    1041254259U,	// VSHUFPDYrmi
-    1141917555U,	// VSHUFPDYrri
-    1209026419U,	// VSHUFPDZrmi
-    1209026419U,	// VSHUFPDZrri
-    1276135283U,	// VSHUFPDrmi
-    1141917555U,	// VSHUFPDrri
-    1209029921U,	// VSHUFPSYrmi
-    1209029921U,	// VSHUFPSYrri
-    1209029921U,	// VSHUFPSZrmi
-    1209029921U,	// VSHUFPSZrri
-    1209029921U,	// VSHUFPSrmi
-    1209029921U,	// VSHUFPSrri
-    806373425U,	// VSQRTPDYm
-    135284785U,	// VSQRTPDYr
-    839927857U,	// VSQRTPDZm_Int
-    135284785U,	// VSQRTPDZr_Int
-    839932039U,	// VSQRTPDZrm
-    135288967U,	// VSQRTPDZrr
-    537937969U,	// VSQRTPDm
-    135284785U,	// VSQRTPDr
-    806376980U,	// VSQRTPSYm
-    135288340U,	// VSQRTPSYr
-    839931412U,	// VSQRTPSZm_Int
-    135288340U,	// VSQRTPSZr_Int
-    839932039U,	// VSQRTPSZrm
-    135288967U,	// VSQRTPSZrr
-    537941524U,	// VSQRTPSm
-    135288340U,	// VSQRTPSr
-    1209027144U,	// VSQRTSDZm
-    1209027144U,	// VSQRTSDZm_Int
-    1209027144U,	// VSQRTSDZr
-    1209027144U,	// VSQRTSDZr_Int
-    1209027144U,	// VSQRTSDm
-    1209027144U,	// VSQRTSDm_Int
-    1209027144U,	// VSQRTSDr
-    1209030596U,	// VSQRTSSZm
-    1209030596U,	// VSQRTSSZm_Int
-    1209030596U,	// VSQRTSSZr
-    1209030596U,	// VSQRTSSZr_Int
-    1209030596U,	// VSQRTSSm
-    1209030596U,	// VSQRTSSm_Int
-    1209030596U,	// VSQRTSSr
-    70294U,	// VSTMXCSR
-    1209026294U,	// VSUBPDYrm
-    1209026294U,	// VSUBPDYrr
-    1209026294U,	// VSUBPDZrm
-    1209026294U,	// VSUBPDZrmb
-    1209026294U,	// VSUBPDZrr
-    1209026294U,	// VSUBPDrm
-    1209026294U,	// VSUBPDrr
-    1209029796U,	// VSUBPSYrm
-    1209029796U,	// VSUBPSYrr
-    1209029796U,	// VSUBPSZrm
-    1209029796U,	// VSUBPSZrmb
-    1209029796U,	// VSUBPSZrr
-    1209029796U,	// VSUBPSrm
-    1209029796U,	// VSUBPSrr
-    1209027023U,	// VSUBSDZrm
-    1209027023U,	// VSUBSDZrr
-    1209027023U,	// VSUBSDrm
-    1209027023U,	// VSUBSDrm_Int
-    1209027023U,	// VSUBSDrr
-    1209027023U,	// VSUBSDrr_Int
-    1209030466U,	// VSUBSSZrm
-    1209030466U,	// VSUBSSZrr
-    1209030466U,	// VSUBSSrm
-    1209030466U,	// VSUBSSrm_Int
-    1209030466U,	// VSUBSSrr
-    1209030466U,	// VSUBSSrr_Int
-    806373434U,	// VTESTPDYrm
-    135284794U,	// VTESTPDYrr
-    537937978U,	// VTESTPDrm
-    135284794U,	// VTESTPDrr
-    806376989U,	// VTESTPSYrm
-    135288349U,	// VTESTPSYrr
-    537941533U,	// VTESTPSrm
-    135288349U,	// VTESTPSrr
-    571492875U,	// VUCOMISDZrm
-    135285259U,	// VUCOMISDZrr
-    571492875U,	// VUCOMISDrm
-    135285259U,	// VUCOMISDrr
-    605050750U,	// VUCOMISSZrm
-    135288702U,	// VUCOMISSZrr
-    605050750U,	// VUCOMISSrm
-    135288702U,	// VUCOMISSrr
-    1209026428U,	// VUNPCKHPDYrm
-    1209026428U,	// VUNPCKHPDYrr
-    1209026428U,	// VUNPCKHPDZrm
-    1209026428U,	// VUNPCKHPDZrr
-    1209026428U,	// VUNPCKHPDrm
-    1209026428U,	// VUNPCKHPDrr
-    1209029930U,	// VUNPCKHPSYrm
-    1209029930U,	// VUNPCKHPSYrr
-    1209029930U,	// VUNPCKHPSZrm
-    1209029930U,	// VUNPCKHPSZrr
-    1209029930U,	// VUNPCKHPSrm
-    1209029930U,	// VUNPCKHPSrr
-    1209026470U,	// VUNPCKLPDYrm
-    1209026470U,	// VUNPCKLPDYrr
-    1209026470U,	// VUNPCKLPDZrm
-    1209026470U,	// VUNPCKLPDZrr
-    1209026470U,	// VUNPCKLPDrm
-    1209026470U,	// VUNPCKLPDrr
-    1209029992U,	// VUNPCKLPSYrm
-    1209029992U,	// VUNPCKLPSYrr
-    1209029992U,	// VUNPCKLPSZrm
-    1209029992U,	// VUNPCKLPSZrr
-    1209029992U,	// VUNPCKLPSrm
-    1209029992U,	// VUNPCKLPSrr
-    1209026591U,	// VXORPDYrm
-    1209026591U,	// VXORPDYrr
-    1209026591U,	// VXORPDrm
-    1209026591U,	// VXORPDrr
-    1209030113U,	// VXORPSYrm
-    1209030113U,	// VXORPSYrr
-    1209030113U,	// VXORPSrm
-    1209030113U,	// VXORPSrr
-    9905U,	// VZEROALL
-    10110U,	// VZEROUPPER
+    1209031831U,	// VPSUBUSWYrm
+    1209031831U,	// VPSUBUSWYrr
+    1209031831U,	// VPSUBUSWrm
+    1209031831U,	// VPSUBUSWrr
+    1209031346U,	// VPSUBWYrm
+    1209031346U,	// VPSUBWYrr
+    1209031346U,	// VPSUBWrm
+    1209031346U,	// VPSUBWrr
+    1209025839U,	// VPTESTMDZrm
+    1209025839U,	// VPTESTMDZrr
+    1209029046U,	// VPTESTMQZrm
+    1209029046U,	// VPTESTMQZrr
+    739269064U,	// VPTESTYrm
+    135289288U,	// VPTESTYrr
+    537942472U,	// VPTESTrm
+    135289288U,	// VPTESTrr
+    1209031292U,	// VPUNPCKHBWYrm
+    1209031292U,	// VPUNPCKHBWYrr
+    1209031292U,	// VPUNPCKHBWrm
+    1209031292U,	// VPUNPCKHBWrr
+    1209028776U,	// VPUNPCKHDQYrm
+    1209028776U,	// VPUNPCKHDQYrr
+    1209028776U,	// VPUNPCKHDQZrm
+    1209028776U,	// VPUNPCKHDQZrr
+    1209028776U,	// VPUNPCKHDQrm
+    1209028776U,	// VPUNPCKHDQrr
+    1209028835U,	// VPUNPCKHQDQYrm
+    1209028835U,	// VPUNPCKHQDQYrr
+    1209028835U,	// VPUNPCKHQDQZrm
+    1209028835U,	// VPUNPCKHQDQZrr
+    1209028835U,	// VPUNPCKHQDQrm
+    1209028835U,	// VPUNPCKHQDQrr
+    1209027443U,	// VPUNPCKHWDYrm
+    1209027443U,	// VPUNPCKHWDYrr
+    1209027443U,	// VPUNPCKHWDrm
+    1209027443U,	// VPUNPCKHWDrr
+    1209031314U,	// VPUNPCKLBWYrm
+    1209031314U,	// VPUNPCKLBWYrr
+    1209031314U,	// VPUNPCKLBWrm
+    1209031314U,	// VPUNPCKLBWrr
+    1209028788U,	// VPUNPCKLDQYrm
+    1209028788U,	// VPUNPCKLDQYrr
+    1209028788U,	// VPUNPCKLDQZrm
+    1209028788U,	// VPUNPCKLDQZrr
+    1209028788U,	// VPUNPCKLDQrm
+    1209028788U,	// VPUNPCKLDQrr
+    1209028848U,	// VPUNPCKLQDQYrm
+    1209028848U,	// VPUNPCKLQDQYrr
+    1209028848U,	// VPUNPCKLQDQZrm
+    1209028848U,	// VPUNPCKLQDQZrr
+    1209028848U,	// VPUNPCKLQDQrm
+    1209028848U,	// VPUNPCKLQDQrr
+    1209027455U,	// VPUNPCKLWDYrm
+    1209027455U,	// VPUNPCKLWDYrr
+    1209027455U,	// VPUNPCKLWDrm
+    1209027455U,	// VPUNPCKLWDrr
+    1209026805U,	// VPXORDZrm
+    1209026805U,	// VPXORDZrmb
+    1209026805U,	// VPXORDZrr
+    1209029140U,	// VPXORQZrm
+    1209029140U,	// VPXORQZrmb
+    1209029140U,	// VPXORQZrr
+    1209029469U,	// VPXORYrm
+    1209029469U,	// VPXORYrr
+    1209029469U,	// VPXORrm
+    1209029469U,	// VPXORrr
+    839927471U,	// VRCP14PDZm
+    839927471U,	// VRCP14PDZm_Int
+    135284399U,	// VRCP14PDZr
+    135284399U,	// VRCP14PDZr_Int
+    806376760U,	// VRCP14PSZm
+    839931192U,	// VRCP14PSZm_Int
+    135288120U,	// VRCP14PSZr
+    135288120U,	// VRCP14PSZr_Int
+    1209027027U,	// VRCP14SDZm
+    1209027027U,	// VRCP14SDZm_Int
+    1209027027U,	// VRCP14SDZr
+    1209030689U,	// VRCP14SSZm
+    1209030689U,	// VRCP14SSZm_Int
+    1209030689U,	// VRCP14SSZr
+    839927493U,	// VRCP28PDZm
+    839927493U,	// VRCP28PDZm_Int
+    135284421U,	// VRCP28PDZr
+    135284421U,	// VRCP28PDZr_Int
+    806376782U,	// VRCP28PSZm
+    839931214U,	// VRCP28PSZm_Int
+    135288142U,	// VRCP28PSZr
+    135288142U,	// VRCP28PSZr_Int
+    1209027049U,	// VRCP28SDZm
+    1209027049U,	// VRCP28SDZm_Int
+    1209027049U,	// VRCP28SDZr
+    1209030711U,	// VRCP28SSZm
+    1209030711U,	// VRCP28SSZm_Int
+    1209030711U,	// VRCP28SSZr
+    806377128U,	// VRCPPSYm
+    806377128U,	// VRCPPSYm_Int
+    135288488U,	// VRCPPSYr
+    135288488U,	// VRCPPSYr_Int
+    537941672U,	// VRCPPSm
+    537941672U,	// VRCPPSm_Int
+    135288488U,	// VRCPPSr
+    135288488U,	// VRCPPSr_Int
+    1209030849U,	// VRCPSSm
+    1209030849U,	// VRCPSSm_Int
+    1209030849U,	// VRCPSSr
+    1209027147U,	// VRNDSCALESDm
+    1209027147U,	// VRNDSCALESDr
+    1209027147U,	// VRNDSCALESDr_Int
+    1209030801U,	// VRNDSCALESSm
+    1209030801U,	// VRNDSCALESSr
+    1209030801U,	// VRNDSCALESSr_Int
+    1880115090U,	// VRNDSCALEZPDm
+    1209026450U,	// VRNDSCALEZPDr
+    1880118803U,	// VRNDSCALEZPSm
+    1209030163U,	// VRNDSCALEZPSr
+    1611679599U,	// VROUNDPDm
+    1209026415U,	// VROUNDPDr
+    1611683312U,	// VROUNDPSm
+    1209030128U,	// VROUNDPSr
+    1209027137U,	// VROUNDSDm
+    1209027137U,	// VROUNDSDr
+    1209027137U,	// VROUNDSDr_Int
+    1209030791U,	// VROUNDSSm
+    1209030791U,	// VROUNDSSr
+    1209030791U,	// VROUNDSSr_Int
+    1880115055U,	// VROUNDYPDm
+    1209026415U,	// VROUNDYPDr
+    1880118768U,	// VROUNDYPSm
+    1209030128U,	// VROUNDYPSr
+    839927481U,	// VRSQRT14PDZm
+    839927481U,	// VRSQRT14PDZm_Int
+    135284409U,	// VRSQRT14PDZr
+    135284409U,	// VRSQRT14PDZr_Int
+    806376770U,	// VRSQRT14PSZm
+    839931202U,	// VRSQRT14PSZm_Int
+    135288130U,	// VRSQRT14PSZr
+    135288130U,	// VRSQRT14PSZr_Int
+    1209027037U,	// VRSQRT14SDZm
+    1209027037U,	// VRSQRT14SDZm_Int
+    1209027037U,	// VRSQRT14SDZr
+    1209030699U,	// VRSQRT14SSZm
+    1209030699U,	// VRSQRT14SSZm_Int
+    1209030699U,	// VRSQRT14SSZr
+    839927503U,	// VRSQRT28PDZm
+    839927503U,	// VRSQRT28PDZm_Int
+    135284431U,	// VRSQRT28PDZr
+    135284431U,	// VRSQRT28PDZr_Int
+    806376792U,	// VRSQRT28PSZm
+    839931224U,	// VRSQRT28PSZm_Int
+    135288152U,	// VRSQRT28PSZr
+    135288152U,	// VRSQRT28PSZr_Int
+    1209027059U,	// VRSQRT28SDZm
+    1209027059U,	// VRSQRT28SDZm_Int
+    1209027059U,	// VRSQRT28SDZr
+    1209030721U,	// VRSQRT28SSZm
+    1209030721U,	// VRSQRT28SSZm_Int
+    1209030721U,	// VRSQRT28SSZr
+    806377224U,	// VRSQRTPSYm
+    806377224U,	// VRSQRTPSYm_Int
+    135288584U,	// VRSQRTPSYr
+    135288584U,	// VRSQRTPSYr_Int
+    537941768U,	// VRSQRTPSm
+    537941768U,	// VRSQRTPSm_Int
+    135288584U,	// VRSQRTPSr
+    135288584U,	// VRSQRTPSr_Int
+    1209030874U,	// VRSQRTSSm
+    1209030874U,	// VRSQRTSSm_Int
+    1209030874U,	// VRSQRTSSr
+    509829U,	// VSCATTERDPDZmr
+    497158U,	// VSCATTERDPSZmr
+    510006U,	// VSCATTERQPDZmr
+    513739U,	// VSCATTERQPSZmr
+    1209026463U,	// VSHUFPDYrmi
+    1209026463U,	// VSHUFPDYrri
+    1209026463U,	// VSHUFPDZrmi
+    1209026463U,	// VSHUFPDZrri
+    1209026463U,	// VSHUFPDrmi
+    1209026463U,	// VSHUFPDrri
+    1209030176U,	// VSHUFPSYrmi
+    1209030176U,	// VSHUFPSYrri
+    1209030176U,	// VSHUFPSZrmi
+    1209030176U,	// VSHUFPSZrri
+    1209030176U,	// VSHUFPSrmi
+    1209030176U,	// VSHUFPSrri
+    806373468U,	// VSQRTPDYm
+    135284828U,	// VSQRTPDYr
+    839927900U,	// VSQRTPDZm_Int
+    135284828U,	// VSQRTPDZr_Int
+    839932327U,	// VSQRTPDZrm
+    135289255U,	// VSQRTPDZrr
+    537938012U,	// VSQRTPDm
+    135284828U,	// VSQRTPDr
+    806377234U,	// VSQRTPSYm
+    135288594U,	// VSQRTPSYr
+    839931666U,	// VSQRTPSZm_Int
+    135288594U,	// VSQRTPSZr_Int
+    839932327U,	// VSQRTPSZrm
+    135289255U,	// VSQRTPSZrr
+    537941778U,	// VSQRTPSm
+    135288594U,	// VSQRTPSr
+    1209027221U,	// VSQRTSDZm
+    1209027221U,	// VSQRTSDZm_Int
+    1209027221U,	// VSQRTSDZr
+    1209027221U,	// VSQRTSDZr_Int
+    1209027221U,	// VSQRTSDm
+    1209027221U,	// VSQRTSDm_Int
+    1209027221U,	// VSQRTSDr
+    1209030884U,	// VSQRTSSZm
+    1209030884U,	// VSQRTSSZm_Int
+    1209030884U,	// VSQRTSSZr
+    1209030884U,	// VSQRTSSZr_Int
+    1209030884U,	// VSQRTSSm
+    1209030884U,	// VSQRTSSm_Int
+    1209030884U,	// VSQRTSSr
+    70521U,	// VSTMXCSR
+    1209026338U,	// VSUBPDYrm
+    1209026338U,	// VSUBPDYrr
+    1209026338U,	// VSUBPDZrm
+    1209026338U,	// VSUBPDZrmb
+    1209026338U,	// VSUBPDZrr
+    1209026338U,	// VSUBPDrm
+    1209026338U,	// VSUBPDrr
+    1209030051U,	// VSUBPSYrm
+    1209030051U,	// VSUBPSYrr
+    1209030051U,	// VSUBPSZrm
+    1209030051U,	// VSUBPSZrmb
+    1209030051U,	// VSUBPSZrr
+    1209030051U,	// VSUBPSrm
+    1209030051U,	// VSUBPSrr
+    1209027100U,	// VSUBSDZrm
+    1209027100U,	// VSUBSDZrr
+    1209027100U,	// VSUBSDrm
+    1209027100U,	// VSUBSDrm_Int
+    1209027100U,	// VSUBSDrr
+    1209027100U,	// VSUBSDrr_Int
+    1209030754U,	// VSUBSSZrm
+    1209030754U,	// VSUBSSZrr
+    1209030754U,	// VSUBSSrm
+    1209030754U,	// VSUBSSrm_Int
+    1209030754U,	// VSUBSSrr
+    1209030754U,	// VSUBSSrr_Int
+    806373477U,	// VTESTPDYrm
+    135284837U,	// VTESTPDYrr
+    537938021U,	// VTESTPDrm
+    135284837U,	// VTESTPDrr
+    806377243U,	// VTESTPSYrm
+    135288603U,	// VTESTPSYrr
+    537941787U,	// VTESTPSrm
+    135288603U,	// VTESTPSrr
+    571492952U,	// VUCOMISDZrm
+    135285336U,	// VUCOMISDZrr
+    571492952U,	// VUCOMISDrm
+    135285336U,	// VUCOMISDrr
+    605051038U,	// VUCOMISSZrm
+    135288990U,	// VUCOMISSZrr
+    605051038U,	// VUCOMISSrm
+    135288990U,	// VUCOMISSrr
+    1209026472U,	// VUNPCKHPDYrm
+    1209026472U,	// VUNPCKHPDYrr
+    1209026472U,	// VUNPCKHPDZrm
+    1209026472U,	// VUNPCKHPDZrr
+    1209026472U,	// VUNPCKHPDrm
+    1209026472U,	// VUNPCKHPDrr
+    1209030185U,	// VUNPCKHPSYrm
+    1209030185U,	// VUNPCKHPSYrr
+    1209030185U,	// VUNPCKHPSZrm
+    1209030185U,	// VUNPCKHPSZrr
+    1209030185U,	// VUNPCKHPSrm
+    1209030185U,	// VUNPCKHPSrr
+    1209026514U,	// VUNPCKLPDYrm
+    1209026514U,	// VUNPCKLPDYrr
+    1209026514U,	// VUNPCKLPDZrm
+    1209026514U,	// VUNPCKLPDZrr
+    1209026514U,	// VUNPCKLPDrm
+    1209026514U,	// VUNPCKLPDrr
+    1209030247U,	// VUNPCKLPSYrm
+    1209030247U,	// VUNPCKLPSYrr
+    1209030247U,	// VUNPCKLPSZrm
+    1209030247U,	// VUNPCKLPSZrr
+    1209030247U,	// VUNPCKLPSrm
+    1209030247U,	// VUNPCKLPSrr
+    1209026634U,	// VXORPDYrm
+    1209026634U,	// VXORPDYrr
+    1209026634U,	// VXORPDrm
+    1209026634U,	// VXORPDrr
+    1209030367U,	// VXORPSYrm
+    1209030367U,	// VXORPSYrr
+    1209030367U,	// VXORPSrm
+    1209030367U,	// VXORPSrr
+    10254U,	// VZEROALL
+    10459U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    150932U,	// W64ALLOCA
-    10369U,	// WAIT
-    9677U,	// WBINVD
-    9955U,	// WIN_ALLOCA
-    9851U,	// WIN_FTOL_32
-    9851U,	// WIN_FTOL_64
-    19479U,	// WRFSBASE
-    19479U,	// WRFSBASE64
-    19499U,	// WRGSBASE
-    19499U,	// WRGSBASE64
-    10144U,	// WRMSR
-    22646U,	// XABORT
-    9748U,	// XACQUIRE_PREFIX
-    1082427U,	// XADD16rm
-    135283771U,	// XADD16rr
-    1115195U,	// XADD32rm
-    135283771U,	// XADD32rr
-    1131579U,	// XADD64rm
-    135283771U,	// XADD64rr
-    1147963U,	// XADD8rm
-    135283771U,	// XADD8rr
-    9370U,	// XBEGIN
-    151069U,	// XBEGIN_4
-    23972U,	// XCHG16ar
-    281721U,	// XCHG16rm
-    527481U,	// XCHG16rr
-    24089U,	// XCHG32ar
-    24089U,	// XCHG32ar64
-    298105U,	// XCHG32rm
-    527481U,	// XCHG32rr
-    24213U,	// XCHG64ar
-    314489U,	// XCHG64rm
-    527481U,	// XCHG64rr
-    330873U,	// XCHG8rm
-    527481U,	// XCHG8rr
-    19618U,	// XCH_F
-    9554U,	// XCRYPTCBC
-    9474U,	// XCRYPTCFB
-    10150U,	// XCRYPTCTR
-    9464U,	// XCRYPTECB
-    9484U,	// XCRYPTOFB
-    9618U,	// XEND
-    10418U,	// XGETBV
-    9538U,	// XLAT
-    23999U,	// XOR16i16
-    1086076U,	// XOR16mi
-    1086076U,	// XOR16mi8
-    1086076U,	// XOR16mr
-    35705468U,	// XOR16ri
-    35705468U,	// XOR16ri8
-    3199612U,	// XOR16rm
-    35705468U,	// XOR16rr
-    68178556U,	// XOR16rr_REV
-    24119U,	// XOR32i32
-    1118844U,	// XOR32mi
-    1118844U,	// XOR32mi8
-    1118844U,	// XOR32mr
-    35705468U,	// XOR32ri
-    35705468U,	// XOR32ri8
-    4248188U,	// XOR32rm
-    35705468U,	// XOR32rr
-    68178556U,	// XOR32rr_REV
-    24234U,	// XOR64i32
-    1135228U,	// XOR64mi32
-    1135228U,	// XOR64mi8
-    1135228U,	// XOR64mr
-    35705468U,	// XOR64ri32
-    35705468U,	// XOR64ri8
-    5296764U,	// XOR64rm
-    35705468U,	// XOR64rr
-    68178556U,	// XOR64rr_REV
-    23887U,	// XOR8i8
-    1151612U,	// XOR8mi
-    1151612U,	// XOR8mr
-    35705468U,	// XOR8ri
-    6345340U,	// XOR8rm
-    35705468U,	// XOR8rr
-    68178556U,	// XOR8rr_REV
-    202393632U,	// XORPDrm
-    68175904U,	// XORPDrr
-    202397154U,	// XORPSrm
-    68179426U,	// XORPSrr
-    9764U,	// XRELEASE_PREFIX
-    217714U,	// XRSTOR
+    151093U,	// W64ALLOCA
+    10718U,	// WAIT
+    10026U,	// WBINVD
+    10304U,	// WIN_ALLOCA
+    10200U,	// WIN_FTOL_32
+    10200U,	// WIN_FTOL_64
+    19569U,	// WRFSBASE
+    19569U,	// WRFSBASE64
+    19589U,	// WRGSBASE
+    19589U,	// WRGSBASE64
+    10493U,	// WRMSR
+    22934U,	// XABORT
+    10097U,	// XACQUIRE_PREFIX
+    1082449U,	// XADD16rm
+    135283793U,	// XADD16rr
+    1115217U,	// XADD32rm
+    135283793U,	// XADD32rr
+    1131601U,	// XADD64rm
+    135283793U,	// XADD64rr
+    1147985U,	// XADD8rm
+    135283793U,	// XADD8rr
+    9719U,	// XBEGIN
+    151248U,	// XBEGIN_4
+    24260U,	// XCHG16ar
+    281811U,	// XCHG16rm
+    527571U,	// XCHG16rr
+    24377U,	// XCHG32ar
+    24377U,	// XCHG32ar64
+    298195U,	// XCHG32rm
+    527571U,	// XCHG32rr
+    24501U,	// XCHG64ar
+    314579U,	// XCHG64rm
+    527571U,	// XCHG64rr
+    330963U,	// XCHG8rm
+    527571U,	// XCHG8rr
+    19708U,	// XCH_F
+    9903U,	// XCRYPTCBC
+    9823U,	// XCRYPTCFB
+    10499U,	// XCRYPTCTR
+    9813U,	// XCRYPTECB
+    9833U,	// XCRYPTOFB
+    9967U,	// XEND
+    10767U,	// XGETBV
+    9887U,	// XLAT
+    24287U,	// XOR16i16
+    1086303U,	// XOR16mi
+    1086303U,	// XOR16mi8
+    1086303U,	// XOR16mr
+    35705695U,	// XOR16ri
+    35705695U,	// XOR16ri8
+    3199839U,	// XOR16rm
+    35705695U,	// XOR16rr
+    68178783U,	// XOR16rr_REV
+    24407U,	// XOR32i32
+    1119071U,	// XOR32mi
+    1119071U,	// XOR32mi8
+    1119071U,	// XOR32mr
+    35705695U,	// XOR32ri
+    35705695U,	// XOR32ri8
+    4248415U,	// XOR32rm
+    35705695U,	// XOR32rr
+    68178783U,	// XOR32rr_REV
+    24522U,	// XOR64i32
+    1135455U,	// XOR64mi32
+    1135455U,	// XOR64mi8
+    1135455U,	// XOR64mr
+    35705695U,	// XOR64ri32
+    35705695U,	// XOR64ri8
+    5296991U,	// XOR64rm
+    35705695U,	// XOR64rr
+    68178783U,	// XOR64rr_REV
+    24175U,	// XOR8i8
+    1151839U,	// XOR8mi
+    1151839U,	// XOR8mr
+    35705695U,	// XOR8ri
+    6345567U,	// XOR8rm
+    35705695U,	// XOR8rr
+    68178783U,	// XOR8rr_REV
+    202393675U,	// XORPDrm
+    68175947U,	// XORPDrr
+    202397408U,	// XORPSrm
+    68179680U,	// XORPSrr
+    10113U,	// XRELEASE_PREFIX
+    217941U,	// XRSTOR
     213165U,	// XRSTOR64
-    216152U,	// XSAVE
+    216242U,	// XSAVE
     213156U,	// XSAVE64
-    219244U,	// XSAVEOPT
+    219532U,	// XSAVEOPT
     213175U,	// XSAVEOPT64
-    10425U,	// XSETBV
-    9102U,	// XSHA1
-    9332U,	// XSHA256
-    9757U,	// XSTORE
-    10407U,	// XTEST
+    10774U,	// XSETBV
+    9451U,	// XSHA1
+    9681U,	// XSHA256
+    10106U,	// XSTORE
+    10756U,	// XTEST
     0U
   };
 
@@ -5199,6 +5379,8 @@
     0U,	// BUNDLE
     0U,	// LIFETIME_START
     0U,	// LIFETIME_END
+    0U,	// STACKMAP
+    0U,	// PATCHPOINT
     0U,	// AAA
     0U,	// AAD8i8
     0U,	// AAM8i8
@@ -5348,7 +5530,7 @@
     0U,	// AESIMCrm
     0U,	// AESIMCrr
     0U,	// AESKEYGENASSIST128rm
-    2U,	// AESKEYGENASSIST128rr
+    4U,	// AESKEYGENASSIST128rr
     0U,	// AND16i16
     0U,	// AND16mi
     0U,	// AND16mi8
@@ -5383,10 +5565,10 @@
     0U,	// AND8rm
     0U,	// AND8rr
     0U,	// AND8rr_REV
-    4U,	// ANDN32rm
-    2U,	// ANDN32rr
-    6U,	// ANDN64rm
-    2U,	// ANDN64rr
+    8U,	// ANDN32rm
+    4U,	// ANDN32rr
+    12U,	// ANDN64rm
+    4U,	// ANDN64rr
     0U,	// ANDNPDrm
     0U,	// ANDNPDrr
     0U,	// ANDNPSrm
@@ -5444,21 +5626,53 @@
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
     0U,	// BEXTR32rm
-    2U,	// BEXTR32rr
+    4U,	// BEXTR32rr
     0U,	// BEXTR64rm
-    2U,	// BEXTR64rr
-    8U,	// BLENDPDrmi
-    10U,	// BLENDPDrri
-    8U,	// BLENDPSrmi
-    10U,	// BLENDPSrri
+    4U,	// BEXTR64rr
+    0U,	// BEXTRI32mi
+    4U,	// BEXTRI32ri
+    0U,	// BEXTRI64mi
+    4U,	// BEXTRI64ri
+    0U,	// BLCFILL32rm
+    0U,	// BLCFILL32rr
+    0U,	// BLCFILL64rm
+    0U,	// BLCFILL64rr
+    0U,	// BLCI32rm
+    0U,	// BLCI32rr
+    0U,	// BLCI64rm
+    0U,	// BLCI64rr
+    0U,	// BLCIC32rm
+    0U,	// BLCIC32rr
+    0U,	// BLCIC64rm
+    0U,	// BLCIC64rr
+    0U,	// BLCMSK32rm
+    0U,	// BLCMSK32rr
+    0U,	// BLCMSK64rm
+    0U,	// BLCMSK64rr
+    0U,	// BLCS32rm
+    0U,	// BLCS32rr
+    0U,	// BLCS64rm
+    0U,	// BLCS64rr
+    16U,	// BLENDPDrmi
+    20U,	// BLENDPDrri
+    16U,	// BLENDPSrmi
+    20U,	// BLENDPSrri
     0U,	// BLENDVPDrm0
     0U,	// BLENDVPDrr0
     0U,	// BLENDVPSrm0
     0U,	// BLENDVPSrr0
+    0U,	// BLSFILL32rm
+    0U,	// BLSFILL32rr
+    0U,	// BLSFILL64rm
+    0U,	// BLSFILL64rr
     0U,	// BLSI32rm
     0U,	// BLSI32rr
     0U,	// BLSI64rm
     0U,	// BLSI64rr
+    0U,	// BLSIC32rm
+    0U,	// BLSIC32rr
+    0U,	// BLSIC64rm
+    0U,	// BLSIC64rr
     0U,	// BLSMSK32rm
     0U,	// BLSMSK32rr
     0U,	// BLSMSK64rm
@@ -5532,9 +5746,9 @@
     0U,	// BTS64ri8
     0U,	// BTS64rr
     0U,	// BZHI32rm
-    2U,	// BZHI32rr
+    4U,	// BZHI32rr
     0U,	// BZHI64rm
-    2U,	// BZHI64rr
+    4U,	// BZHI64rr
     0U,	// CALL32m
     0U,	// CALL32r
     0U,	// CALL64m
@@ -5693,12 +5907,15 @@
     0U,	// CMOV_RFP32
     0U,	// CMOV_RFP64
     0U,	// CMOV_RFP80
+    0U,	// CMOV_V16F32
     0U,	// CMOV_V2F64
     0U,	// CMOV_V2I64
     0U,	// CMOV_V4F32
     0U,	// CMOV_V4F64
     0U,	// CMOV_V4I64
     0U,	// CMOV_V8F32
+    0U,	// CMOV_V8F64
+    0U,	// CMOV_V8I64
     0U,	// CMP16i16
     0U,	// CMP16mi
     0U,	// CMP16mi8
@@ -5734,25 +5951,25 @@
     0U,	// CMP8rr
     0U,	// CMP8rr_REV
     0U,	// CMPPDrmi
-    8U,	// CMPPDrmi_alt
+    16U,	// CMPPDrmi_alt
     0U,	// CMPPDrri
-    10U,	// CMPPDrri_alt
+    20U,	// CMPPDrri_alt
     0U,	// CMPPSrmi
-    8U,	// CMPPSrmi_alt
+    16U,	// CMPPSrmi_alt
     0U,	// CMPPSrri
-    10U,	// CMPPSrri_alt
+    20U,	// CMPPSrri_alt
     0U,	// CMPS16
     0U,	// CMPS32
     0U,	// CMPS64
     0U,	// CMPS8
     0U,	// CMPSDrm
-    8U,	// CMPSDrm_alt
+    16U,	// CMPSDrm_alt
     0U,	// CMPSDrr
-    10U,	// CMPSDrr_alt
+    20U,	// CMPSDrr_alt
     0U,	// CMPSSrm
-    8U,	// CMPSSrm_alt
+    16U,	// CMPSSrm_alt
     0U,	// CMPSSrr
-    10U,	// CMPSSrr_alt
+    20U,	// CMPSSrr_alt
     0U,	// CMPXCHG16B
     0U,	// CMPXCHG16rm
     0U,	// CMPXCHG16rr
@@ -5839,6 +6056,8 @@
     0U,	// DATA16_PREFIX
     0U,	// DEC16m
     0U,	// DEC16r
+    0U,	// DEC32_16r
+    0U,	// DEC32_32r
     0U,	// DEC32m
     0U,	// DEC32r
     0U,	// DEC64_16m
@@ -5908,10 +6127,10 @@
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
     0U,	// DIV_FrST0
-    8U,	// DPPDrmi
-    10U,	// DPPDrri
-    8U,	// DPPSrmi
-    10U,	// DPPSrri
+    16U,	// DPPDrmi
+    20U,	// DPPDrri
+    16U,	// DPPSrmi
+    20U,	// DPPSrri
     0U,	// DS_PREFIX
     0U,	// EH_RETURN
     0U,	// EH_RETURN64
@@ -5923,7 +6142,7 @@
     0U,	// ENTER
     0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
-    2U,	// EXTRACTPSrr
+    4U,	// EXTRACTPSrr
     0U,	// EXTRQ
     0U,	// EXTRQI
     0U,	// F2XM1
@@ -6005,17 +6224,13 @@
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
     0U,	// FsMOVAPDrm
-    0U,	// FsMOVAPDrr
     0U,	// FsMOVAPSrm
-    0U,	// FsMOVAPSrr
     0U,	// FsORPDrm
     0U,	// FsORPDrr
     0U,	// FsORPSrm
     0U,	// FsORPSrr
     0U,	// FsVMOVAPDrm
-    0U,	// FsVMOVAPDrr
     0U,	// FsVMOVAPSrm
-    0U,	// FsVMOVAPSrr
     0U,	// FsXORPDrm
     0U,	// FsXORPDrr
     0U,	// FsXORPSrm
@@ -6056,24 +6271,24 @@
     0U,	// IMUL16rmi
     0U,	// IMUL16rmi8
     0U,	// IMUL16rr
-    2U,	// IMUL16rri
-    2U,	// IMUL16rri8
+    4U,	// IMUL16rri
+    4U,	// IMUL16rri8
     0U,	// IMUL32m
     0U,	// IMUL32r
     0U,	// IMUL32rm
     0U,	// IMUL32rmi
     0U,	// IMUL32rmi8
     0U,	// IMUL32rr
-    2U,	// IMUL32rri
-    2U,	// IMUL32rri8
+    4U,	// IMUL32rri
+    4U,	// IMUL32rri8
     0U,	// IMUL64m
     0U,	// IMUL64r
     0U,	// IMUL64rm
     0U,	// IMUL64rmi32
     0U,	// IMUL64rmi8
     0U,	// IMUL64rr
-    2U,	// IMUL64rri32
-    2U,	// IMUL64rri8
+    4U,	// IMUL64rri32
+    4U,	// IMUL64rri8
     0U,	// IMUL8m
     0U,	// IMUL8r
     0U,	// IN16
@@ -6087,6 +6302,8 @@
     0U,	// IN8rr
     0U,	// INC16m
     0U,	// INC16r
+    0U,	// INC32_16r
+    0U,	// INC32_32r
     0U,	// INC32m
     0U,	// INC32r
     0U,	// INC64_16m
@@ -6097,10 +6314,10 @@
     0U,	// INC64r
     0U,	// INC8m
     0U,	// INC8r
-    8U,	// INSERTPSrm
-    10U,	// INSERTPSrr
+    16U,	// INSERTPSrm
+    20U,	// INSERTPSrr
     0U,	// INSERTQ
-    12U,	// INSERTQI
+    24U,	// INSERTQI
     0U,	// INT
     0U,	// INT3
     0U,	// INTO
@@ -6151,8 +6368,8 @@
     0U,	// Int_COMISDrr
     0U,	// Int_COMISSrm
     0U,	// Int_COMISSrr
-    14U,	// Int_CVTSD2SSrm
-    2U,	// Int_CVTSD2SSrr
+    0U,	// Int_CVTSD2SSrm
+    0U,	// Int_CVTSD2SSrr
     0U,	// Int_CVTSI2SD64rm
     0U,	// Int_CVTSI2SD64rr
     0U,	// Int_CVTSI2SDrm
@@ -6176,10 +6393,10 @@
     0U,	// Int_UCOMISDrr
     0U,	// Int_UCOMISSrm
     0U,	// Int_UCOMISSrr
-    14U,	// Int_VCMPSDrm
-    2U,	// Int_VCMPSDrr
-    16U,	// Int_VCMPSSrm
-    2U,	// Int_VCMPSSrr
+    28U,	// Int_VCMPSDrm
+    4U,	// Int_VCMPSDrr
+    32U,	// Int_VCMPSSrm
+    4U,	// Int_VCMPSSrr
     0U,	// Int_VCOMISDZrm
     0U,	// Int_VCOMISDZrr
     0U,	// Int_VCOMISDrm
@@ -6188,26 +6405,58 @@
     0U,	// Int_VCOMISSZrr
     0U,	// Int_VCOMISSrm
     0U,	// Int_VCOMISSrr
-    14U,	// Int_VCVTSD2SSrm
-    2U,	// Int_VCVTSD2SSrr
-    6U,	// Int_VCVTSI2SD64rm
-    2U,	// Int_VCVTSI2SD64rr
-    4U,	// Int_VCVTSI2SDrm
-    2U,	// Int_VCVTSI2SDrr
-    6U,	// Int_VCVTSI2SS64rm
-    2U,	// Int_VCVTSI2SS64rr
-    4U,	// Int_VCVTSI2SSrm
-    2U,	// Int_VCVTSI2SSrr
-    16U,	// Int_VCVTSS2SDrm
-    2U,	// Int_VCVTSS2SDrr
+    28U,	// Int_VCVTSD2SSrm
+    4U,	// Int_VCVTSD2SSrr
+    12U,	// Int_VCVTSI2SD64Zrm
+    4U,	// Int_VCVTSI2SD64Zrr
+    12U,	// Int_VCVTSI2SD64rm
+    4U,	// Int_VCVTSI2SD64rr
+    8U,	// Int_VCVTSI2SDZrm
+    4U,	// Int_VCVTSI2SDZrr
+    8U,	// Int_VCVTSI2SDrm
+    4U,	// Int_VCVTSI2SDrr
+    12U,	// Int_VCVTSI2SS64Zrm
+    4U,	// Int_VCVTSI2SS64Zrr
+    12U,	// Int_VCVTSI2SS64rm
+    4U,	// Int_VCVTSI2SS64rr
+    8U,	// Int_VCVTSI2SSZrm
+    4U,	// Int_VCVTSI2SSZrr
+    8U,	// Int_VCVTSI2SSrm
+    4U,	// Int_VCVTSI2SSrr
+    32U,	// Int_VCVTSS2SDrm
+    4U,	// Int_VCVTSS2SDrr
+    0U,	// Int_VCVTTSD2SI64Zrm
+    0U,	// Int_VCVTTSD2SI64Zrr
     0U,	// Int_VCVTTSD2SI64rm
     0U,	// Int_VCVTTSD2SI64rr
+    0U,	// Int_VCVTTSD2SIZrm
+    0U,	// Int_VCVTTSD2SIZrr
     0U,	// Int_VCVTTSD2SIrm
     0U,	// Int_VCVTTSD2SIrr
+    0U,	// Int_VCVTTSD2USI64Zrm
+    0U,	// Int_VCVTTSD2USI64Zrr
+    0U,	// Int_VCVTTSD2USIZrm
+    0U,	// Int_VCVTTSD2USIZrr
+    0U,	// Int_VCVTTSS2SI64Zrm
+    0U,	// Int_VCVTTSS2SI64Zrr
     0U,	// Int_VCVTTSS2SI64rm
     0U,	// Int_VCVTTSS2SI64rr
+    0U,	// Int_VCVTTSS2SIZrm
+    0U,	// Int_VCVTTSS2SIZrr
     0U,	// Int_VCVTTSS2SIrm
     0U,	// Int_VCVTTSS2SIrr
+    0U,	// Int_VCVTTSS2USI64Zrm
+    0U,	// Int_VCVTTSS2USI64Zrr
+    0U,	// Int_VCVTTSS2USIZrm
+    0U,	// Int_VCVTTSS2USIZrr
+    12U,	// Int_VCVTUSI2SD64Zrm
+    4U,	// Int_VCVTUSI2SD64Zrr
+    8U,	// Int_VCVTUSI2SDZrm
+    4U,	// Int_VCVTUSI2SDZrr
+    12U,	// Int_VCVTUSI2SS64Zrm
+    4U,	// Int_VCVTUSI2SS64Zrr
+    8U,	// Int_VCVTUSI2SSZrm
+    4U,	// Int_VCVTUSI2SSZrr
     0U,	// Int_VUCOMISDZrm
     0U,	// Int_VUCOMISDZrr
     0U,	// Int_VUCOMISDrm
@@ -6259,9 +6508,9 @@
     0U,	// JRCXZ
     0U,	// JS_1
     0U,	// JS_4
-    2U,	// KADDWrr
-    2U,	// KANDNWrr
-    2U,	// KANDWrr
+    4U,	// KADDWrr
+    4U,	// KANDNWrr
+    4U,	// KANDWrr
     0U,	// KMOVWkk
     0U,	// KMOVWkm
     0U,	// KMOVWkr
@@ -6269,17 +6518,17 @@
     0U,	// KMOVWrk
     0U,	// KNOTWrr
     0U,	// KORTESTWrr
-    2U,	// KORWrr
+    4U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    2U,	// KSHIFTLWri
-    2U,	// KSHIFTRWri
+    4U,	// KSHIFTLWri
+    4U,	// KSHIFTRWri
     0U,	// KTESTWrr
-    2U,	// KUNPCKBWrr
-    2U,	// KXNORWrr
-    2U,	// KXORWrr
+    4U,	// KUNPCKBWrr
+    4U,	// KXNORWrr
+    4U,	// KXORWrr
     0U,	// LAHF
     0U,	// LAR16rm
     0U,	// LAR16rr
@@ -6498,7 +6747,6 @@
     0U,	// MMX_MOVD64mr
     0U,	// MMX_MOVD64rm
     0U,	// MMX_MOVD64rr
-    0U,	// MMX_MOVD64rrv164
     0U,	// MMX_MOVD64to64rr
     0U,	// MMX_MOVDQ2Qrr
     0U,	// MMX_MOVFR642Qrr
@@ -6508,8 +6756,6 @@
     0U,	// MMX_MOVQ64mr
     0U,	// MMX_MOVQ64rm
     0U,	// MMX_MOVQ64rr
-    0U,	// MMX_MOVZDI2PDIrm
-    0U,	// MMX_MOVZDI2PDIrr
     0U,	// MMX_PABSBrm64
     0U,	// MMX_PABSBrr64
     0U,	// MMX_PABSDrm64
@@ -6538,8 +6784,8 @@
     0U,	// MMX_PADDUSWirr
     0U,	// MMX_PADDWirm
     0U,	// MMX_PADDWirr
-    8U,	// MMX_PALIGNR64irm
-    10U,	// MMX_PALIGNR64irr
+    16U,	// MMX_PALIGNR64irm
+    20U,	// MMX_PALIGNR64irr
     0U,	// MMX_PANDNirm
     0U,	// MMX_PANDNirr
     0U,	// MMX_PANDirm
@@ -6560,7 +6806,7 @@
     0U,	// MMX_PCMPGTDirr
     0U,	// MMX_PCMPGTWirm
     0U,	// MMX_PCMPGTWirr
-    2U,	// MMX_PEXTRWirri
+    4U,	// MMX_PEXTRWirri
     0U,	// MMX_PHADDSWrm64
     0U,	// MMX_PHADDSWrr64
     0U,	// MMX_PHADDWrm64
@@ -6573,8 +6819,8 @@
     0U,	// MMX_PHSUBSWrr64
     0U,	// MMX_PHSUBWrm64
     0U,	// MMX_PHSUBWrr64
-    8U,	// MMX_PINSRWirmi
-    10U,	// MMX_PINSRWirri
+    16U,	// MMX_PINSRWirmi
+    20U,	// MMX_PINSRWirri
     0U,	// MMX_PMADDUBSWrm64
     0U,	// MMX_PMADDUBSWrr64
     0U,	// MMX_PMADDWDirm
@@ -6605,7 +6851,7 @@
     0U,	// MMX_PSHUFBrm64
     0U,	// MMX_PSHUFBrr64
     0U,	// MMX_PSHUFWmi
-    2U,	// MMX_PSHUFWri
+    4U,	// MMX_PSHUFWri
     0U,	// MMX_PSIGNBrm64
     0U,	// MMX_PSIGNBrr64
     0U,	// MMX_PSIGNDrm64
@@ -6777,10 +7023,8 @@
     0U,	// MOVLPSmr
     0U,	// MOVLPSrm
     0U,	// MOVLQ128mr
-    0U,	// MOVMSKPDrr32
-    0U,	// MOVMSKPDrr64
-    0U,	// MOVMSKPSrr32
-    0U,	// MOVMSKPSrr64
+    0U,	// MOVMSKPDrr
+    0U,	// MOVMSKPSrr
     0U,	// MOVNTDQArm
     0U,	// MOVNTDQmr
     0U,	// MOVNTI_64mr
@@ -6795,7 +7039,6 @@
     0U,	// MOVPQI2QImr
     0U,	// MOVPQIto64rr
     0U,	// MOVQI2PQIrm
-    0U,	// MOVQxrxr
     0U,	// MOVSB
     0U,	// MOVSD
     0U,	// MOVSDmr
@@ -6836,8 +7079,6 @@
     0U,	// MOVUPSrm
     0U,	// MOVUPSrr
     0U,	// MOVUPSrr_REV
-    0U,	// MOVZDI2PDIrm
-    0U,	// MOVZDI2PDIrr
     0U,	// MOVZPQILo2PQIrm
     0U,	// MOVZPQILo2PQIrr
     0U,	// MOVZQI2PQIrm
@@ -6854,8 +7095,8 @@
     0U,	// MOVZX64rm8_Q
     0U,	// MOVZX64rr16_Q
     0U,	// MOVZX64rr8_Q
-    8U,	// MPSADBWrmi
-    10U,	// MPSADBWrri
+    16U,	// MPSADBWrmi
+    20U,	// MPSADBWrri
     0U,	// MUL16m
     0U,	// MUL16r
     0U,	// MUL32m
@@ -6876,10 +7117,10 @@
     0U,	// MULSSrm_Int
     0U,	// MULSSrr
     0U,	// MULSSrr_Int
-    4U,	// MULX32rm
-    2U,	// MULX32rr
-    6U,	// MULX64rm
-    2U,	// MULX64rr
+    8U,	// MULX32rm
+    4U,	// MULX32rr
+    12U,	// MULX64rm
+    4U,	// MULX64rr
     0U,	// MUL_F32m
     0U,	// MUL_F64m
     0U,	// MUL_FI16m
@@ -6999,8 +7240,8 @@
     0U,	// PADDUSWrr
     0U,	// PADDWrm
     0U,	// PADDWrr
-    8U,	// PALIGNR128rm
-    10U,	// PALIGNR128rr
+    16U,	// PALIGNR128rm
+    20U,	// PALIGNR128rr
     0U,	// PANDNrm
     0U,	// PANDNrr
     0U,	// PANDrm
@@ -7014,10 +7255,10 @@
     0U,	// PAVGWrr
     0U,	// PBLENDVBrm0
     0U,	// PBLENDVBrr0
-    8U,	// PBLENDWrmi
-    10U,	// PBLENDWrri
-    8U,	// PCLMULQDQrm
-    10U,	// PCLMULQDQrr
+    16U,	// PBLENDWrmi
+    20U,	// PBLENDWrri
+    16U,	// PCLMULQDQrm
+    20U,	// PCLMULQDQrr
     0U,	// PCMPEQBrm
     0U,	// PCMPEQBrr
     0U,	// PCMPEQDrm
@@ -7029,11 +7270,11 @@
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
     0U,	// PCMPESTRIrm
-    2U,	// PCMPESTRIrr
+    4U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
     0U,	// PCMPESTRM128rm
-    2U,	// PCMPESTRM128rr
+    4U,	// PCMPESTRM128rr
     0U,	// PCMPGTBrm
     0U,	// PCMPGTBrr
     0U,	// PCMPGTDrm
@@ -7045,27 +7286,28 @@
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
     0U,	// PCMPISTRIrm
-    2U,	// PCMPISTRIrr
+    4U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
     0U,	// PCMPISTRM128rm
-    2U,	// PCMPISTRM128rr
-    4U,	// PDEP32rm
-    2U,	// PDEP32rr
-    6U,	// PDEP64rm
-    2U,	// PDEP64rr
-    4U,	// PEXT32rm
-    2U,	// PEXT32rr
-    6U,	// PEXT64rm
-    2U,	// PEXT64rr
+    4U,	// PCMPISTRM128rr
+    8U,	// PDEP32rm
+    4U,	// PDEP32rr
+    12U,	// PDEP64rm
+    4U,	// PDEP64rr
+    8U,	// PEXT32rm
+    4U,	// PEXT32rr
+    12U,	// PEXT64rm
+    4U,	// PEXT64rr
     0U,	// PEXTRBmr
-    2U,	// PEXTRBrr
+    4U,	// PEXTRBrr
     0U,	// PEXTRDmr
-    2U,	// PEXTRDrr
+    4U,	// PEXTRDrr
     0U,	// PEXTRQmr
-    2U,	// PEXTRQrr
+    4U,	// PEXTRQrr
     0U,	// PEXTRWmr
-    2U,	// PEXTRWri
+    4U,	// PEXTRWri
+    4U,	// PEXTRWrr_REV
     0U,	// PF2IDrm
     0U,	// PF2IDrr
     0U,	// PF2IWrm
@@ -7123,13 +7365,13 @@
     0U,	// PI2FWrm
     0U,	// PI2FWrr
     0U,	// PINSRBrm
-    10U,	// PINSRBrr
-    8U,	// PINSRDrm
-    10U,	// PINSRDrr
-    8U,	// PINSRQrm
-    10U,	// PINSRQrr
-    8U,	// PINSRWrmi
-    10U,	// PINSRWrri
+    20U,	// PINSRBrr
+    16U,	// PINSRDrm
+    20U,	// PINSRDrr
+    16U,	// PINSRQrm
+    20U,	// PINSRQrr
+    16U,	// PINSRWrmi
+    20U,	// PINSRWrri
     0U,	// PMADDUBSWrm128
     0U,	// PMADDUBSWrr128
     0U,	// PMADDWDrm
@@ -7243,11 +7485,11 @@
     0U,	// PSHUFBrm
     0U,	// PSHUFBrr
     0U,	// PSHUFDmi
-    2U,	// PSHUFDri
+    4U,	// PSHUFDri
     0U,	// PSHUFHWmi
-    2U,	// PSHUFHWri
+    4U,	// PSHUFHWri
     0U,	// PSHUFLWmi
-    2U,	// PSHUFLWri
+    4U,	// PSHUFLWri
     0U,	// PSIGNBrm
     0U,	// PSIGNBrr
     0U,	// PSIGNDrm
@@ -7495,19 +7737,19 @@
     0U,	// ROR8rCL
     0U,	// ROR8ri
     0U,	// RORX32mi
-    2U,	// RORX32ri
+    4U,	// RORX32ri
     0U,	// RORX64mi
-    2U,	// RORX64ri
+    4U,	// RORX64ri
     0U,	// ROUNDPDm
-    2U,	// ROUNDPDr
+    4U,	// ROUNDPDr
     0U,	// ROUNDPSm
-    2U,	// ROUNDPSr
-    8U,	// ROUNDSDm
-    10U,	// ROUNDSDr
-    10U,	// ROUNDSDr_Int
-    8U,	// ROUNDSSm
-    10U,	// ROUNDSSr
-    10U,	// ROUNDSSr_Int
+    4U,	// ROUNDPSr
+    16U,	// ROUNDSDm
+    20U,	// ROUNDSDr
+    20U,	// ROUNDSDr_Int
+    16U,	// ROUNDSSm
+    20U,	// ROUNDSSr
+    20U,	// ROUNDSSr_Int
     0U,	// RSM
     0U,	// RSQRTPSm
     0U,	// RSQRTPSm_Int
@@ -7543,9 +7785,9 @@
     0U,	// SAR8rCL
     0U,	// SAR8ri
     0U,	// SARX32rm
-    2U,	// SARX32rr
+    4U,	// SARX32rr
     0U,	// SARX64rm
-    2U,	// SARX64rr
+    4U,	// SARX64rr
     0U,	// SBB16i16
     0U,	// SBB16mi
     0U,	// SBB16mi8
@@ -7631,8 +7873,8 @@
     0U,	// SHA1MSG2rr
     0U,	// SHA1NEXTErm
     0U,	// SHA1NEXTErr
-    8U,	// SHA1RNDS4rmi
-    10U,	// SHA1RNDS4rri
+    16U,	// SHA1RNDS4rmi
+    20U,	// SHA1RNDS4rri
     0U,	// SHA256MSG1rm
     0U,	// SHA256MSG1rr
     0U,	// SHA256MSG2rm
@@ -7666,19 +7908,19 @@
     0U,	// SHLD16mrCL
     0U,	// SHLD16mri8
     0U,	// SHLD16rrCL
-    10U,	// SHLD16rri8
+    20U,	// SHLD16rri8
     0U,	// SHLD32mrCL
     0U,	// SHLD32mri8
     0U,	// SHLD32rrCL
-    10U,	// SHLD32rri8
+    20U,	// SHLD32rri8
     0U,	// SHLD64mrCL
     0U,	// SHLD64mri8
     0U,	// SHLD64rrCL
-    10U,	// SHLD64rri8
+    20U,	// SHLD64rri8
     0U,	// SHLX32rm
-    2U,	// SHLX32rr
+    4U,	// SHLX32rr
     0U,	// SHLX64rm
-    2U,	// SHLX64rr
+    4U,	// SHLX64rr
     0U,	// SHR16m1
     0U,	// SHR16mCL
     0U,	// SHR16mi
@@ -7706,23 +7948,23 @@
     0U,	// SHRD16mrCL
     0U,	// SHRD16mri8
     0U,	// SHRD16rrCL
-    10U,	// SHRD16rri8
+    20U,	// SHRD16rri8
     0U,	// SHRD32mrCL
     0U,	// SHRD32mri8
     0U,	// SHRD32rrCL
-    10U,	// SHRD32rri8
+    20U,	// SHRD32rri8
     0U,	// SHRD64mrCL
     0U,	// SHRD64mri8
     0U,	// SHRD64rrCL
-    10U,	// SHRD64rri8
+    20U,	// SHRD64rri8
     0U,	// SHRX32rm
-    2U,	// SHRX32rr
+    4U,	// SHRX32rr
     0U,	// SHRX64rm
-    2U,	// SHRX64rr
-    8U,	// SHUFPDrmi
-    10U,	// SHUFPDrri
-    8U,	// SHUFPSrmi
-    10U,	// SHUFPSrri
+    4U,	// SHRX64rr
+    16U,	// SHUFPDrmi
+    20U,	// SHUFPDrri
+    16U,	// SHUFPSrmi
+    20U,	// SHUFPSrri
     0U,	// SIDT16m
     0U,	// SIDTm
     0U,	// SIN_F
@@ -7880,6 +8122,10 @@
     0U,	// SYSEXIT64
     0U,	// SYSRET
     0U,	// SYSRET64
+    0U,	// T1MSKC32rm
+    0U,	// T1MSKC32rr
+    0U,	// T1MSKC64rm
+    0U,	// T1MSKC64rr
     0U,	// TAILJMPd
     0U,	// TAILJMPd64
     0U,	// TAILJMPm
@@ -7930,6 +8176,10 @@
     0U,	// TZCNT32rr
     0U,	// TZCNT64rm
     0U,	// TZCNT64rr
+    0U,	// TZMSK32rm
+    0U,	// TZMSK32rr
+    0U,	// TZMSK64rm
+    0U,	// TZMSK64rr
     0U,	// UCOMISDrm
     0U,	// UCOMISDrr
     0U,	// UCOMISSrm
@@ -7954,94 +8204,98 @@
     0U,	// UNPCKLPDrr
     0U,	// UNPCKLPSrm
     0U,	// UNPCKLPSrr
-    64U,	// VAARG_64
-    18U,	// VADDPDYrm
-    2U,	// VADDPDYrr
-    20U,	// VADDPDZrm
-    142U,	// VADDPDZrmb
-    2U,	// VADDPDZrr
-    22U,	// VADDPDrm
-    2U,	// VADDPDrr
-    18U,	// VADDPSYrm
-    2U,	// VADDPSYrr
-    20U,	// VADDPSZrm
-    208U,	// VADDPSZrmb
-    2U,	// VADDPSZrr
-    22U,	// VADDPSrm
-    2U,	// VADDPSrr
-    14U,	// VADDSDZrm
-    2U,	// VADDSDZrr
-    14U,	// VADDSDrm
-    14U,	// VADDSDrm_Int
-    2U,	// VADDSDrr
-    2U,	// VADDSDrr_Int
-    16U,	// VADDSSZrm
-    2U,	// VADDSSZrr
-    16U,	// VADDSSrm
-    16U,	// VADDSSrm_Int
-    2U,	// VADDSSrr
-    2U,	// VADDSSrr_Int
-    18U,	// VADDSUBPDYrm
-    2U,	// VADDSUBPDYrr
-    22U,	// VADDSUBPDrm
-    2U,	// VADDSUBPDrr
-    18U,	// VADDSUBPSYrm
-    2U,	// VADDSUBPSYrr
-    22U,	// VADDSUBPSrm
-    2U,	// VADDSUBPSrr
-    24U,	// VAESDECLASTrm
-    2U,	// VAESDECLASTrr
-    24U,	// VAESDECrm
-    2U,	// VAESDECrr
-    24U,	// VAESENCLASTrm
-    2U,	// VAESENCLASTrr
-    24U,	// VAESENCrm
-    2U,	// VAESENCrr
+    128U,	// VAARG_64
+    36U,	// VADDPDYrm
+    4U,	// VADDPDYrr
+    40U,	// VADDPDZrm
+    284U,	// VADDPDZrmb
+    4U,	// VADDPDZrr
+    44U,	// VADDPDrm
+    4U,	// VADDPDrr
+    36U,	// VADDPSYrm
+    4U,	// VADDPSYrr
+    40U,	// VADDPSZrm
+    416U,	// VADDPSZrmb
+    4U,	// VADDPSZrr
+    44U,	// VADDPSrm
+    4U,	// VADDPSrr
+    28U,	// VADDSDZrm
+    4U,	// VADDSDZrr
+    28U,	// VADDSDrm
+    28U,	// VADDSDrm_Int
+    4U,	// VADDSDrr
+    4U,	// VADDSDrr_Int
+    32U,	// VADDSSZrm
+    4U,	// VADDSSZrr
+    32U,	// VADDSSrm
+    32U,	// VADDSSrm_Int
+    4U,	// VADDSSrr
+    4U,	// VADDSSrr_Int
+    36U,	// VADDSUBPDYrm
+    4U,	// VADDSUBPDYrr
+    44U,	// VADDSUBPDrm
+    4U,	// VADDSUBPDrr
+    36U,	// VADDSUBPSYrm
+    4U,	// VADDSUBPSYrr
+    44U,	// VADDSUBPSrm
+    4U,	// VADDSUBPSrr
+    48U,	// VAESDECLASTrm
+    4U,	// VAESDECLASTrr
+    48U,	// VAESDECrm
+    4U,	// VAESDECrr
+    48U,	// VAESENCLASTrm
+    4U,	// VAESENCLASTrr
+    48U,	// VAESENCrm
+    4U,	// VAESENCrr
     0U,	// VAESIMCrm
     0U,	// VAESIMCrr
     0U,	// VAESKEYGENASSIST128rm
-    2U,	// VAESKEYGENASSIST128rr
-    4186U,	// VALIGNDrmi
-    4418U,	// VALIGNDrri
-    4186U,	// VALIGNQrmi
-    4418U,	// VALIGNQrri
-    18U,	// VANDNPDYrm
-    2U,	// VANDNPDYrr
-    22U,	// VANDNPDrm
-    2U,	// VANDNPDrr
-    18U,	// VANDNPSYrm
-    2U,	// VANDNPSYrr
-    22U,	// VANDNPSrm
-    2U,	// VANDNPSrr
-    18U,	// VANDPDYrm
-    2U,	// VANDPDYrr
-    22U,	// VANDPDrm
-    2U,	// VANDPDrr
-    18U,	// VANDPSYrm
-    2U,	// VANDPSYrr
-    22U,	// VANDPSrm
-    2U,	// VANDPSrr
-    2U,	// VASTART_SAVE_XMM_REGS
-    578U,	// VBLENDMPDZrm
-    4419U,	// VBLENDMPDZrr
-    578U,	// VBLENDMPSZrm
-    4419U,	// VBLENDMPSZrr
-    4178U,	// VBLENDPDYrmi
-    4418U,	// VBLENDPDYrri
-    4182U,	// VBLENDPDrmi
-    4418U,	// VBLENDPDrri
-    4178U,	// VBLENDPSYrmi
-    4418U,	// VBLENDPSYrri
-    4182U,	// VBLENDPSrmi
-    4418U,	// VBLENDPSrri
-    4178U,	// VBLENDVPDYrm
-    4418U,	// VBLENDVPDYrr
-    4182U,	// VBLENDVPDrm
-    4418U,	// VBLENDVPDrr
-    4178U,	// VBLENDVPSYrm
-    4418U,	// VBLENDVPSYrr
-    4182U,	// VBLENDVPSrm
-    4418U,	// VBLENDVPSrr
+    4U,	// VAESKEYGENASSIST128rr
+    8372U,	// VALIGNDrmi
+    8836U,	// VALIGNDrri
+    8372U,	// VALIGNQrmi
+    8836U,	// VALIGNQrri
+    36U,	// VANDNPDYrm
+    4U,	// VANDNPDYrr
+    44U,	// VANDNPDrm
+    4U,	// VANDNPDrr
+    36U,	// VANDNPSYrm
+    4U,	// VANDNPSYrr
+    44U,	// VANDNPSrm
+    4U,	// VANDNPSrr
+    36U,	// VANDPDYrm
+    4U,	// VANDPDYrr
+    44U,	// VANDPDrm
+    4U,	// VANDPDrr
+    36U,	// VANDPSYrm
+    4U,	// VANDPSYrr
+    44U,	// VANDPSrm
+    4U,	// VANDPSrr
+    4U,	// VASTART_SAVE_XMM_REGS
+    1156U,	// VBLENDMPDZrm
+    1156U,	// VBLENDMPDZrm_Int
+    8837U,	// VBLENDMPDZrr
+    8837U,	// VBLENDMPDZrr_Int
+    1156U,	// VBLENDMPSZrm
+    1156U,	// VBLENDMPSZrm_Int
+    8837U,	// VBLENDMPSZrr
+    8837U,	// VBLENDMPSZrr_Int
+    8356U,	// VBLENDPDYrmi
+    8836U,	// VBLENDPDYrri
+    8364U,	// VBLENDPDrmi
+    8836U,	// VBLENDPDrri
+    8356U,	// VBLENDPSYrmi
+    8836U,	// VBLENDPSYrri
+    8364U,	// VBLENDPSrmi
+    8836U,	// VBLENDPSrri
+    8356U,	// VBLENDVPDYrm
+    8836U,	// VBLENDVPDYrr
+    8364U,	// VBLENDVPDrm
+    8836U,	// VBLENDVPDrr
+    8356U,	// VBLENDVPSYrm
+    8836U,	// VBLENDVPSYrr
+    8364U,	// VBLENDVPSrm
+    8836U,	// VBLENDVPSrr
     0U,	// VBROADCASTF128
     0U,	// VBROADCASTI128
     0U,	// VBROADCASTSDYrm
@@ -8054,38 +8308,38 @@
     0U,	// VBROADCASTSSZrr
     0U,	// VBROADCASTSSrm
     0U,	// VBROADCASTSSrr
-    18U,	// VCMPPDYrmi
-    4178U,	// VCMPPDYrmi_alt
-    2U,	// VCMPPDYrri
-    4418U,	// VCMPPDYrri_alt
-    20U,	// VCMPPDZrmi
-    4180U,	// VCMPPDZrmi_alt
-    2U,	// VCMPPDZrri
-    4418U,	// VCMPPDZrri_alt
-    22U,	// VCMPPDrmi
-    4182U,	// VCMPPDrmi_alt
-    2U,	// VCMPPDrri
-    4418U,	// VCMPPDrri_alt
-    18U,	// VCMPPSYrmi
-    4178U,	// VCMPPSYrmi_alt
-    2U,	// VCMPPSYrri
-    4418U,	// VCMPPSYrri_alt
-    20U,	// VCMPPSZrmi
-    4180U,	// VCMPPSZrmi_alt
-    2U,	// VCMPPSZrri
-    4418U,	// VCMPPSZrri_alt
-    22U,	// VCMPPSrmi
-    4182U,	// VCMPPSrmi_alt
-    2U,	// VCMPPSrri
-    4418U,	// VCMPPSrri_alt
-    14U,	// VCMPSDrm
-    4174U,	// VCMPSDrm_alt
-    2U,	// VCMPSDrr
-    4418U,	// VCMPSDrr_alt
-    16U,	// VCMPSSrm
-    4176U,	// VCMPSSrm_alt
-    2U,	// VCMPSSrr
-    4418U,	// VCMPSSrr_alt
+    36U,	// VCMPPDYrmi
+    8356U,	// VCMPPDYrmi_alt
+    4U,	// VCMPPDYrri
+    8836U,	// VCMPPDYrri_alt
+    40U,	// VCMPPDZrmi
+    8360U,	// VCMPPDZrmi_alt
+    4U,	// VCMPPDZrri
+    8836U,	// VCMPPDZrri_alt
+    44U,	// VCMPPDrmi
+    8364U,	// VCMPPDrmi_alt
+    4U,	// VCMPPDrri
+    8836U,	// VCMPPDrri_alt
+    36U,	// VCMPPSYrmi
+    8356U,	// VCMPPSYrmi_alt
+    4U,	// VCMPPSYrri
+    8836U,	// VCMPPSYrri_alt
+    40U,	// VCMPPSZrmi
+    8360U,	// VCMPPSZrmi_alt
+    4U,	// VCMPPSZrri
+    8836U,	// VCMPPSZrri_alt
+    44U,	// VCMPPSrmi
+    8364U,	// VCMPPSrmi_alt
+    4U,	// VCMPPSrri
+    8836U,	// VCMPPSrri_alt
+    28U,	// VCMPSDrm
+    8348U,	// VCMPSDrm_alt
+    4U,	// VCMPSDrr
+    8836U,	// VCMPSDrr_alt
+    32U,	// VCMPSSrm
+    8352U,	// VCMPSSrm_alt
+    4U,	// VCMPSSrr
+    8836U,	// VCMPSSrr_alt
     0U,	// VCOMISDZrm
     0U,	// VCOMISDZrr
     0U,	// VCOMISDrm
@@ -8118,6 +8372,8 @@
     0U,	// VCVTPD2PSrr
     0U,	// VCVTPH2PSYrm
     0U,	// VCVTPH2PSYrr
+    0U,	// VCVTPH2PSZrm
+    0U,	// VCVTPH2PSZrr
     0U,	// VCVTPH2PSrm
     0U,	// VCVTPH2PSrr
     0U,	// VCVTPS2DQYrm
@@ -8133,41 +8389,59 @@
     0U,	// VCVTPS2PDrm
     0U,	// VCVTPS2PDrr
     0U,	// VCVTPS2PHYmr
-    2U,	// VCVTPS2PHYrr
+    4U,	// VCVTPS2PHYrr
+    0U,	// VCVTPS2PHZmr
+    4U,	// VCVTPS2PHZrr
     0U,	// VCVTPS2PHmr
-    2U,	// VCVTPS2PHrr
+    4U,	// VCVTPS2PHrr
+    0U,	// VCVTSD2SI64Zrm
+    0U,	// VCVTSD2SI64Zrr
     0U,	// VCVTSD2SI64rm
     0U,	// VCVTSD2SI64rr
+    0U,	// VCVTSD2SIZrm
+    0U,	// VCVTSD2SIZrr
     0U,	// VCVTSD2SIrm
     0U,	// VCVTSD2SIrr
-    14U,	// VCVTSD2SSZrm
-    2U,	// VCVTSD2SSZrr
-    14U,	// VCVTSD2SSrm
-    2U,	// VCVTSD2SSrr
-    6U,	// VCVTSI2SD64Zrm
-    2U,	// VCVTSI2SD64Zrr
-    6U,	// VCVTSI2SD64rm
-    2U,	// VCVTSI2SD64rr
-    4U,	// VCVTSI2SDZrm
-    2U,	// VCVTSI2SDZrr
-    4U,	// VCVTSI2SDrm
-    2U,	// VCVTSI2SDrr
-    6U,	// VCVTSI2SS64Zrm
-    2U,	// VCVTSI2SS64Zrr
-    6U,	// VCVTSI2SS64rm
-    2U,	// VCVTSI2SS64rr
-    4U,	// VCVTSI2SSZrm
-    2U,	// VCVTSI2SSZrr
-    4U,	// VCVTSI2SSrm
-    2U,	// VCVTSI2SSrr
-    16U,	// VCVTSS2SDZrm
-    2U,	// VCVTSS2SDZrr
-    16U,	// VCVTSS2SDrm
-    2U,	// VCVTSS2SDrr
+    28U,	// VCVTSD2SSZrm
+    4U,	// VCVTSD2SSZrr
+    28U,	// VCVTSD2SSrm
+    4U,	// VCVTSD2SSrr
+    0U,	// VCVTSD2USI64Zrm
+    0U,	// VCVTSD2USI64Zrr
+    0U,	// VCVTSD2USIZrm
+    0U,	// VCVTSD2USIZrr
+    12U,	// VCVTSI2SD64rm
+    4U,	// VCVTSI2SD64rr
+    8U,	// VCVTSI2SDZrm
+    4U,	// VCVTSI2SDZrr
+    8U,	// VCVTSI2SDrm
+    4U,	// VCVTSI2SDrr
+    12U,	// VCVTSI2SS64rm
+    4U,	// VCVTSI2SS64rr
+    8U,	// VCVTSI2SSZrm
+    4U,	// VCVTSI2SSZrr
+    8U,	// VCVTSI2SSrm
+    4U,	// VCVTSI2SSrr
+    12U,	// VCVTSI642SDZrm
+    4U,	// VCVTSI642SDZrr
+    12U,	// VCVTSI642SSZrm
+    4U,	// VCVTSI642SSZrr
+    32U,	// VCVTSS2SDZrm
+    4U,	// VCVTSS2SDZrr
+    32U,	// VCVTSS2SDrm
+    4U,	// VCVTSS2SDrr
+    0U,	// VCVTSS2SI64Zrm
+    0U,	// VCVTSS2SI64Zrr
     0U,	// VCVTSS2SI64rm
     0U,	// VCVTSS2SI64rr
+    0U,	// VCVTSS2SIZrm
+    0U,	// VCVTSS2SIZrr
     0U,	// VCVTSS2SIrm
     0U,	// VCVTSS2SIrr
+    0U,	// VCVTSS2USI64Zrm
+    0U,	// VCVTSS2USI64Zrr
+    0U,	// VCVTSS2USIZrm
+    0U,	// VCVTSS2USIZrr
     0U,	// VCVTTPD2DQXrm
     0U,	// VCVTTPD2DQYrm
     0U,	// VCVTTPD2DQYrr
@@ -8184,507 +8458,530 @@
     0U,	// VCVTTPS2DQrr
     0U,	// VCVTTPS2UDQZrm
     0U,	// VCVTTPS2UDQZrr
+    0U,	// VCVTTSD2SI64Zrm
+    0U,	// VCVTTSD2SI64Zrr
     0U,	// VCVTTSD2SI64rm
     0U,	// VCVTTSD2SI64rr
+    0U,	// VCVTTSD2SIZrm
+    0U,	// VCVTTSD2SIZrr
     0U,	// VCVTTSD2SIrm
     0U,	// VCVTTSD2SIrr
+    0U,	// VCVTTSD2USI64Zrm
+    0U,	// VCVTTSD2USI64Zrr
+    0U,	// VCVTTSD2USIZrm
+    0U,	// VCVTTSD2USIZrr
+    0U,	// VCVTTSS2SI64Zrm
+    0U,	// VCVTTSS2SI64Zrr
     0U,	// VCVTTSS2SI64rm
     0U,	// VCVTTSS2SI64rr
+    0U,	// VCVTTSS2SIZrm
+    0U,	// VCVTTSS2SIZrr
     0U,	// VCVTTSS2SIrm
     0U,	// VCVTTSS2SIrr
+    0U,	// VCVTTSS2USI64Zrm
+    0U,	// VCVTTSS2USI64Zrr
+    0U,	// VCVTTSS2USIZrm
+    0U,	// VCVTTSS2USIZrr
     0U,	// VCVTUDQ2PDZrm
     0U,	// VCVTUDQ2PDZrr
     0U,	// VCVTUDQ2PSZrm
     0U,	// VCVTUDQ2PSZrr
-    18U,	// VDIVPDYrm
-    2U,	// VDIVPDYrr
-    20U,	// VDIVPDZrm
-    142U,	// VDIVPDZrmb
-    2U,	// VDIVPDZrr
-    22U,	// VDIVPDrm
-    2U,	// VDIVPDrr
-    18U,	// VDIVPSYrm
-    2U,	// VDIVPSYrr
-    20U,	// VDIVPSZrm
-    208U,	// VDIVPSZrmb
-    2U,	// VDIVPSZrr
-    22U,	// VDIVPSrm
-    2U,	// VDIVPSrr
-    14U,	// VDIVSDZrm
-    2U,	// VDIVSDZrr
-    14U,	// VDIVSDrm
-    14U,	// VDIVSDrm_Int
-    2U,	// VDIVSDrr
-    2U,	// VDIVSDrr_Int
-    16U,	// VDIVSSZrm
-    2U,	// VDIVSSZrr
-    16U,	// VDIVSSrm
-    16U,	// VDIVSSrm_Int
-    2U,	// VDIVSSrr
-    2U,	// VDIVSSrr_Int
-    4182U,	// VDPPDrmi
-    4418U,	// VDPPDrri
-    4188U,	// VDPPSYrmi
-    4418U,	// VDPPSYrri
-    4182U,	// VDPPSrmi
-    4418U,	// VDPPSrri
+    8U,	// VCVTUSI2SDZrm
+    4U,	// VCVTUSI2SDZrr
+    8U,	// VCVTUSI2SSZrm
+    4U,	// VCVTUSI2SSZrr
+    12U,	// VCVTUSI642SDZrm
+    4U,	// VCVTUSI642SDZrr
+    12U,	// VCVTUSI642SSZrm
+    4U,	// VCVTUSI642SSZrr
+    36U,	// VDIVPDYrm
+    4U,	// VDIVPDYrr
+    40U,	// VDIVPDZrm
+    284U,	// VDIVPDZrmb
+    4U,	// VDIVPDZrr
+    44U,	// VDIVPDrm
+    4U,	// VDIVPDrr
+    36U,	// VDIVPSYrm
+    4U,	// VDIVPSYrr
+    40U,	// VDIVPSZrm
+    416U,	// VDIVPSZrmb
+    4U,	// VDIVPSZrr
+    44U,	// VDIVPSrm
+    4U,	// VDIVPSrr
+    28U,	// VDIVSDZrm
+    4U,	// VDIVSDZrr
+    28U,	// VDIVSDrm
+    28U,	// VDIVSDrm_Int
+    4U,	// VDIVSDrr
+    4U,	// VDIVSDrr_Int
+    32U,	// VDIVSSZrm
+    4U,	// VDIVSSZrr
+    32U,	// VDIVSSrm
+    32U,	// VDIVSSrm_Int
+    4U,	// VDIVSSrr
+    4U,	// VDIVSSrr_Int
+    8364U,	// VDPPDrmi
+    8836U,	// VDPPDrri
+    8376U,	// VDPPSYrmi
+    8836U,	// VDPPSYrri
+    8364U,	// VDPPSrmi
+    8836U,	// VDPPSrri
     0U,	// VERRm
     0U,	// VERRr
     0U,	// VERWm
     0U,	// VERWr
     0U,	// VEXTRACTF128mr
-    2U,	// VEXTRACTF128rr
+    4U,	// VEXTRACTF128rr
     0U,	// VEXTRACTF32x4mr
-    2U,	// VEXTRACTF32x4rr
+    4U,	// VEXTRACTF32x4rr
     0U,	// VEXTRACTF64x4mr
-    2U,	// VEXTRACTF64x4rr
+    4U,	// VEXTRACTF64x4rr
     0U,	// VEXTRACTI128mr
-    2U,	// VEXTRACTI128rr
+    4U,	// VEXTRACTI128rr
     0U,	// VEXTRACTI32x4mr
-    2U,	// VEXTRACTI32x4rr
+    4U,	// VEXTRACTI32x4rr
     0U,	// VEXTRACTI64x4mr
-    2U,	// VEXTRACTI64x4rr
+    4U,	// VEXTRACTI64x4rr
     0U,	// VEXTRACTPSmr
-    2U,	// VEXTRACTPSrr
-    2U,	// VEXTRACTPSrr64
+    4U,	// VEXTRACTPSrr
     0U,	// VEXTRACTPSzmr
-    2U,	// VEXTRACTPSzrr
-    30U,	// VFMADD132PDZm
-    160U,	// VFMADD132PDZmb
-    30U,	// VFMADD132PSZm
-    226U,	// VFMADD132PSZmb
-    30U,	// VFMADD213PDZm
-    160U,	// VFMADD213PDZmb
-    10U,	// VFMADD213PDZr
-    30U,	// VFMADD213PSZm
-    226U,	// VFMADD213PSZmb
-    10U,	// VFMADD213PSZr
-    4182U,	// VFMADDPD4mr
-    4178U,	// VFMADDPD4mrY
-    4930U,	// VFMADDPD4rm
-    5186U,	// VFMADDPD4rmY
-    4418U,	// VFMADDPD4rr
-    4418U,	// VFMADDPD4rrY
-    4418U,	// VFMADDPD4rrY_REV
-    4418U,	// VFMADDPD4rr_REV
-    36U,	// VFMADDPDr132m
-    38U,	// VFMADDPDr132mY
-    10U,	// VFMADDPDr132r
-    10U,	// VFMADDPDr132rY
-    36U,	// VFMADDPDr213m
-    38U,	// VFMADDPDr213mY
-    10U,	// VFMADDPDr213r
-    10U,	// VFMADDPDr213rY
-    36U,	// VFMADDPDr231m
-    38U,	// VFMADDPDr231mY
-    10U,	// VFMADDPDr231r
-    10U,	// VFMADDPDr231rY
-    4182U,	// VFMADDPS4mr
-    4178U,	// VFMADDPS4mrY
-    4930U,	// VFMADDPS4rm
-    5186U,	// VFMADDPS4rmY
-    4418U,	// VFMADDPS4rr
-    4418U,	// VFMADDPS4rrY
-    4418U,	// VFMADDPS4rrY_REV
-    4418U,	// VFMADDPS4rr_REV
-    36U,	// VFMADDPSr132m
-    38U,	// VFMADDPSr132mY
-    10U,	// VFMADDPSr132r
-    10U,	// VFMADDPSr132rY
-    36U,	// VFMADDPSr213m
-    38U,	// VFMADDPSr213mY
-    10U,	// VFMADDPSr213r
-    10U,	// VFMADDPSr213rY
-    36U,	// VFMADDPSr231m
-    38U,	// VFMADDPSr231mY
-    10U,	// VFMADDPSr231r
-    10U,	// VFMADDPSr231rY
-    4174U,	// VFMADDSD4mr
-    4174U,	// VFMADDSD4mr_Int
-    1346U,	// VFMADDSD4rm
-    1346U,	// VFMADDSD4rm_Int
-    4418U,	// VFMADDSD4rr
-    4418U,	// VFMADDSD4rr_Int
-    4418U,	// VFMADDSD4rr_REV
-    36U,	// VFMADDSDZm
-    10U,	// VFMADDSDZr
-    32U,	// VFMADDSDr132m
-    10U,	// VFMADDSDr132r
-    32U,	// VFMADDSDr213m
-    32U,	// VFMADDSDr213m_Int
-    10U,	// VFMADDSDr213r
-    10U,	// VFMADDSDr213r_Int
-    32U,	// VFMADDSDr231m
-    10U,	// VFMADDSDr231r
-    4176U,	// VFMADDSS4mr
-    4176U,	// VFMADDSS4mr_Int
-    1602U,	// VFMADDSS4rm
-    1602U,	// VFMADDSS4rm_Int
-    4418U,	// VFMADDSS4rr
-    4418U,	// VFMADDSS4rr_Int
-    4418U,	// VFMADDSS4rr_REV
-    36U,	// VFMADDSSZm
-    10U,	// VFMADDSSZr
-    34U,	// VFMADDSSr132m
-    10U,	// VFMADDSSr132r
-    34U,	// VFMADDSSr213m
-    34U,	// VFMADDSSr213m_Int
-    10U,	// VFMADDSSr213r
-    10U,	// VFMADDSSr213r_Int
-    34U,	// VFMADDSSr231m
-    10U,	// VFMADDSSr231r
-    30U,	// VFMADDSUB132PDZm
-    160U,	// VFMADDSUB132PDZmb
-    30U,	// VFMADDSUB132PSZm
-    226U,	// VFMADDSUB132PSZmb
-    30U,	// VFMADDSUB213PDZm
-    160U,	// VFMADDSUB213PDZmb
-    10U,	// VFMADDSUB213PDZr
-    30U,	// VFMADDSUB213PSZm
-    226U,	// VFMADDSUB213PSZmb
-    10U,	// VFMADDSUB213PSZr
-    4182U,	// VFMADDSUBPD4mr
-    4178U,	// VFMADDSUBPD4mrY
-    4930U,	// VFMADDSUBPD4rm
-    5186U,	// VFMADDSUBPD4rmY
-    4418U,	// VFMADDSUBPD4rr
-    4418U,	// VFMADDSUBPD4rrY
-    4418U,	// VFMADDSUBPD4rrY_REV
-    4418U,	// VFMADDSUBPD4rr_REV
-    36U,	// VFMADDSUBPDr132m
-    38U,	// VFMADDSUBPDr132mY
-    10U,	// VFMADDSUBPDr132r
-    10U,	// VFMADDSUBPDr132rY
-    36U,	// VFMADDSUBPDr213m
-    38U,	// VFMADDSUBPDr213mY
-    10U,	// VFMADDSUBPDr213r
-    10U,	// VFMADDSUBPDr213rY
-    36U,	// VFMADDSUBPDr231m
-    38U,	// VFMADDSUBPDr231mY
-    10U,	// VFMADDSUBPDr231r
-    10U,	// VFMADDSUBPDr231rY
-    4182U,	// VFMADDSUBPS4mr
-    4178U,	// VFMADDSUBPS4mrY
-    4930U,	// VFMADDSUBPS4rm
-    5186U,	// VFMADDSUBPS4rmY
-    4418U,	// VFMADDSUBPS4rr
-    4418U,	// VFMADDSUBPS4rrY
-    4418U,	// VFMADDSUBPS4rrY_REV
-    4418U,	// VFMADDSUBPS4rr_REV
-    36U,	// VFMADDSUBPSr132m
-    38U,	// VFMADDSUBPSr132mY
-    10U,	// VFMADDSUBPSr132r
-    10U,	// VFMADDSUBPSr132rY
-    36U,	// VFMADDSUBPSr213m
-    38U,	// VFMADDSUBPSr213mY
-    10U,	// VFMADDSUBPSr213r
-    10U,	// VFMADDSUBPSr213rY
-    36U,	// VFMADDSUBPSr231m
-    38U,	// VFMADDSUBPSr231mY
-    10U,	// VFMADDSUBPSr231r
-    10U,	// VFMADDSUBPSr231rY
-    30U,	// VFMSUB132PDZm
-    160U,	// VFMSUB132PDZmb
-    30U,	// VFMSUB132PSZm
-    226U,	// VFMSUB132PSZmb
-    30U,	// VFMSUB213PDZm
-    160U,	// VFMSUB213PDZmb
-    10U,	// VFMSUB213PDZr
-    30U,	// VFMSUB213PSZm
-    226U,	// VFMSUB213PSZmb
-    10U,	// VFMSUB213PSZr
-    30U,	// VFMSUBADD132PDZm
-    160U,	// VFMSUBADD132PDZmb
-    30U,	// VFMSUBADD132PSZm
-    226U,	// VFMSUBADD132PSZmb
-    30U,	// VFMSUBADD213PDZm
-    160U,	// VFMSUBADD213PDZmb
-    10U,	// VFMSUBADD213PDZr
-    30U,	// VFMSUBADD213PSZm
-    226U,	// VFMSUBADD213PSZmb
-    10U,	// VFMSUBADD213PSZr
-    4182U,	// VFMSUBADDPD4mr
-    4178U,	// VFMSUBADDPD4mrY
-    4930U,	// VFMSUBADDPD4rm
-    5186U,	// VFMSUBADDPD4rmY
-    4418U,	// VFMSUBADDPD4rr
-    4418U,	// VFMSUBADDPD4rrY
-    4418U,	// VFMSUBADDPD4rrY_REV
-    4418U,	// VFMSUBADDPD4rr_REV
-    36U,	// VFMSUBADDPDr132m
-    38U,	// VFMSUBADDPDr132mY
-    10U,	// VFMSUBADDPDr132r
-    10U,	// VFMSUBADDPDr132rY
-    36U,	// VFMSUBADDPDr213m
-    38U,	// VFMSUBADDPDr213mY
-    10U,	// VFMSUBADDPDr213r
-    10U,	// VFMSUBADDPDr213rY
-    36U,	// VFMSUBADDPDr231m
-    38U,	// VFMSUBADDPDr231mY
-    10U,	// VFMSUBADDPDr231r
-    10U,	// VFMSUBADDPDr231rY
-    4182U,	// VFMSUBADDPS4mr
-    4178U,	// VFMSUBADDPS4mrY
-    4930U,	// VFMSUBADDPS4rm
-    5186U,	// VFMSUBADDPS4rmY
-    4418U,	// VFMSUBADDPS4rr
-    4418U,	// VFMSUBADDPS4rrY
-    4418U,	// VFMSUBADDPS4rrY_REV
-    4418U,	// VFMSUBADDPS4rr_REV
-    36U,	// VFMSUBADDPSr132m
-    38U,	// VFMSUBADDPSr132mY
-    10U,	// VFMSUBADDPSr132r
-    10U,	// VFMSUBADDPSr132rY
-    36U,	// VFMSUBADDPSr213m
-    38U,	// VFMSUBADDPSr213mY
-    10U,	// VFMSUBADDPSr213r
-    10U,	// VFMSUBADDPSr213rY
-    36U,	// VFMSUBADDPSr231m
-    38U,	// VFMSUBADDPSr231mY
-    10U,	// VFMSUBADDPSr231r
-    10U,	// VFMSUBADDPSr231rY
-    4182U,	// VFMSUBPD4mr
-    4178U,	// VFMSUBPD4mrY
-    4930U,	// VFMSUBPD4rm
-    5186U,	// VFMSUBPD4rmY
-    4418U,	// VFMSUBPD4rr
-    4418U,	// VFMSUBPD4rrY
-    4418U,	// VFMSUBPD4rrY_REV
-    4418U,	// VFMSUBPD4rr_REV
-    36U,	// VFMSUBPDr132m
-    38U,	// VFMSUBPDr132mY
-    10U,	// VFMSUBPDr132r
-    10U,	// VFMSUBPDr132rY
-    36U,	// VFMSUBPDr213m
-    38U,	// VFMSUBPDr213mY
-    10U,	// VFMSUBPDr213r
-    10U,	// VFMSUBPDr213rY
-    36U,	// VFMSUBPDr231m
-    38U,	// VFMSUBPDr231mY
-    10U,	// VFMSUBPDr231r
-    10U,	// VFMSUBPDr231rY
-    4182U,	// VFMSUBPS4mr
-    4178U,	// VFMSUBPS4mrY
-    4930U,	// VFMSUBPS4rm
-    5186U,	// VFMSUBPS4rmY
-    4418U,	// VFMSUBPS4rr
-    4418U,	// VFMSUBPS4rrY
-    4418U,	// VFMSUBPS4rrY_REV
-    4418U,	// VFMSUBPS4rr_REV
-    36U,	// VFMSUBPSr132m
-    38U,	// VFMSUBPSr132mY
-    10U,	// VFMSUBPSr132r
-    10U,	// VFMSUBPSr132rY
-    36U,	// VFMSUBPSr213m
-    38U,	// VFMSUBPSr213mY
-    10U,	// VFMSUBPSr213r
-    10U,	// VFMSUBPSr213rY
-    36U,	// VFMSUBPSr231m
-    38U,	// VFMSUBPSr231mY
-    10U,	// VFMSUBPSr231r
-    10U,	// VFMSUBPSr231rY
-    4174U,	// VFMSUBSD4mr
-    4174U,	// VFMSUBSD4mr_Int
-    1346U,	// VFMSUBSD4rm
-    1346U,	// VFMSUBSD4rm_Int
-    4418U,	// VFMSUBSD4rr
-    4418U,	// VFMSUBSD4rr_Int
-    4418U,	// VFMSUBSD4rr_REV
-    36U,	// VFMSUBSDZm
-    10U,	// VFMSUBSDZr
-    32U,	// VFMSUBSDr132m
-    10U,	// VFMSUBSDr132r
-    32U,	// VFMSUBSDr213m
-    32U,	// VFMSUBSDr213m_Int
-    10U,	// VFMSUBSDr213r
-    10U,	// VFMSUBSDr213r_Int
-    32U,	// VFMSUBSDr231m
-    10U,	// VFMSUBSDr231r
-    4176U,	// VFMSUBSS4mr
-    4176U,	// VFMSUBSS4mr_Int
-    1602U,	// VFMSUBSS4rm
-    1602U,	// VFMSUBSS4rm_Int
-    4418U,	// VFMSUBSS4rr
-    4418U,	// VFMSUBSS4rr_Int
-    4418U,	// VFMSUBSS4rr_REV
-    36U,	// VFMSUBSSZm
-    10U,	// VFMSUBSSZr
-    34U,	// VFMSUBSSr132m
-    10U,	// VFMSUBSSr132r
-    34U,	// VFMSUBSSr213m
-    34U,	// VFMSUBSSr213m_Int
-    10U,	// VFMSUBSSr213r
-    10U,	// VFMSUBSSr213r_Int
-    34U,	// VFMSUBSSr231m
-    10U,	// VFMSUBSSr231r
-    30U,	// VFNMADD132PDZm
-    160U,	// VFNMADD132PDZmb
-    30U,	// VFNMADD132PSZm
-    226U,	// VFNMADD132PSZmb
-    30U,	// VFNMADD213PDZm
-    160U,	// VFNMADD213PDZmb
-    10U,	// VFNMADD213PDZr
-    30U,	// VFNMADD213PSZm
-    226U,	// VFNMADD213PSZmb
-    10U,	// VFNMADD213PSZr
-    4182U,	// VFNMADDPD4mr
-    4178U,	// VFNMADDPD4mrY
-    4930U,	// VFNMADDPD4rm
-    5186U,	// VFNMADDPD4rmY
-    4418U,	// VFNMADDPD4rr
-    4418U,	// VFNMADDPD4rrY
-    4418U,	// VFNMADDPD4rrY_REV
-    4418U,	// VFNMADDPD4rr_REV
-    36U,	// VFNMADDPDr132m
-    38U,	// VFNMADDPDr132mY
-    10U,	// VFNMADDPDr132r
-    10U,	// VFNMADDPDr132rY
-    36U,	// VFNMADDPDr213m
-    38U,	// VFNMADDPDr213mY
-    10U,	// VFNMADDPDr213r
-    10U,	// VFNMADDPDr213rY
-    36U,	// VFNMADDPDr231m
-    38U,	// VFNMADDPDr231mY
-    10U,	// VFNMADDPDr231r
-    10U,	// VFNMADDPDr231rY
-    4182U,	// VFNMADDPS4mr
-    4178U,	// VFNMADDPS4mrY
-    4930U,	// VFNMADDPS4rm
-    5186U,	// VFNMADDPS4rmY
-    4418U,	// VFNMADDPS4rr
-    4418U,	// VFNMADDPS4rrY
-    4418U,	// VFNMADDPS4rrY_REV
-    4418U,	// VFNMADDPS4rr_REV
-    36U,	// VFNMADDPSr132m
-    38U,	// VFNMADDPSr132mY
-    10U,	// VFNMADDPSr132r
-    10U,	// VFNMADDPSr132rY
-    36U,	// VFNMADDPSr213m
-    38U,	// VFNMADDPSr213mY
-    10U,	// VFNMADDPSr213r
-    10U,	// VFNMADDPSr213rY
-    36U,	// VFNMADDPSr231m
-    38U,	// VFNMADDPSr231mY
-    10U,	// VFNMADDPSr231r
-    10U,	// VFNMADDPSr231rY
-    4174U,	// VFNMADDSD4mr
-    4174U,	// VFNMADDSD4mr_Int
-    1346U,	// VFNMADDSD4rm
-    1346U,	// VFNMADDSD4rm_Int
-    4418U,	// VFNMADDSD4rr
-    4418U,	// VFNMADDSD4rr_Int
-    4418U,	// VFNMADDSD4rr_REV
-    36U,	// VFNMADDSDZm
-    10U,	// VFNMADDSDZr
-    32U,	// VFNMADDSDr132m
-    10U,	// VFNMADDSDr132r
-    32U,	// VFNMADDSDr213m
-    32U,	// VFNMADDSDr213m_Int
-    10U,	// VFNMADDSDr213r
-    10U,	// VFNMADDSDr213r_Int
-    32U,	// VFNMADDSDr231m
-    10U,	// VFNMADDSDr231r
-    4176U,	// VFNMADDSS4mr
-    4176U,	// VFNMADDSS4mr_Int
-    1602U,	// VFNMADDSS4rm
-    1602U,	// VFNMADDSS4rm_Int
-    4418U,	// VFNMADDSS4rr
-    4418U,	// VFNMADDSS4rr_Int
-    4418U,	// VFNMADDSS4rr_REV
-    36U,	// VFNMADDSSZm
-    10U,	// VFNMADDSSZr
-    34U,	// VFNMADDSSr132m
-    10U,	// VFNMADDSSr132r
-    34U,	// VFNMADDSSr213m
-    34U,	// VFNMADDSSr213m_Int
-    10U,	// VFNMADDSSr213r
-    10U,	// VFNMADDSSr213r_Int
-    34U,	// VFNMADDSSr231m
-    10U,	// VFNMADDSSr231r
-    30U,	// VFNMSUB132PDZm
-    160U,	// VFNMSUB132PDZmb
-    30U,	// VFNMSUB132PSZm
-    226U,	// VFNMSUB132PSZmb
-    30U,	// VFNMSUB213PDZm
-    160U,	// VFNMSUB213PDZmb
-    10U,	// VFNMSUB213PDZr
-    30U,	// VFNMSUB213PSZm
-    226U,	// VFNMSUB213PSZmb
-    10U,	// VFNMSUB213PSZr
-    4182U,	// VFNMSUBPD4mr
-    4178U,	// VFNMSUBPD4mrY
-    4930U,	// VFNMSUBPD4rm
-    5186U,	// VFNMSUBPD4rmY
-    4418U,	// VFNMSUBPD4rr
-    4418U,	// VFNMSUBPD4rrY
-    4418U,	// VFNMSUBPD4rrY_REV
-    4418U,	// VFNMSUBPD4rr_REV
-    36U,	// VFNMSUBPDr132m
-    38U,	// VFNMSUBPDr132mY
-    10U,	// VFNMSUBPDr132r
-    10U,	// VFNMSUBPDr132rY
-    36U,	// VFNMSUBPDr213m
-    38U,	// VFNMSUBPDr213mY
-    10U,	// VFNMSUBPDr213r
-    10U,	// VFNMSUBPDr213rY
-    36U,	// VFNMSUBPDr231m
-    38U,	// VFNMSUBPDr231mY
-    10U,	// VFNMSUBPDr231r
-    10U,	// VFNMSUBPDr231rY
-    4182U,	// VFNMSUBPS4mr
-    4178U,	// VFNMSUBPS4mrY
-    4930U,	// VFNMSUBPS4rm
-    5186U,	// VFNMSUBPS4rmY
-    4418U,	// VFNMSUBPS4rr
-    4418U,	// VFNMSUBPS4rrY
-    4418U,	// VFNMSUBPS4rrY_REV
-    4418U,	// VFNMSUBPS4rr_REV
-    36U,	// VFNMSUBPSr132m
-    38U,	// VFNMSUBPSr132mY
-    10U,	// VFNMSUBPSr132r
-    10U,	// VFNMSUBPSr132rY
-    36U,	// VFNMSUBPSr213m
-    38U,	// VFNMSUBPSr213mY
-    10U,	// VFNMSUBPSr213r
-    10U,	// VFNMSUBPSr213rY
-    36U,	// VFNMSUBPSr231m
-    38U,	// VFNMSUBPSr231mY
-    10U,	// VFNMSUBPSr231r
-    10U,	// VFNMSUBPSr231rY
-    4174U,	// VFNMSUBSD4mr
-    4174U,	// VFNMSUBSD4mr_Int
-    1346U,	// VFNMSUBSD4rm
-    1346U,	// VFNMSUBSD4rm_Int
-    4418U,	// VFNMSUBSD4rr
-    4418U,	// VFNMSUBSD4rr_Int
-    4418U,	// VFNMSUBSD4rr_REV
-    36U,	// VFNMSUBSDZm
-    10U,	// VFNMSUBSDZr
-    32U,	// VFNMSUBSDr132m
-    10U,	// VFNMSUBSDr132r
-    32U,	// VFNMSUBSDr213m
-    32U,	// VFNMSUBSDr213m_Int
-    10U,	// VFNMSUBSDr213r
-    10U,	// VFNMSUBSDr213r_Int
-    32U,	// VFNMSUBSDr231m
-    10U,	// VFNMSUBSDr231r
-    4176U,	// VFNMSUBSS4mr
-    4176U,	// VFNMSUBSS4mr_Int
-    1602U,	// VFNMSUBSS4rm
-    1602U,	// VFNMSUBSS4rm_Int
-    4418U,	// VFNMSUBSS4rr
-    4418U,	// VFNMSUBSS4rr_Int
-    4418U,	// VFNMSUBSS4rr_REV
-    36U,	// VFNMSUBSSZm
-    10U,	// VFNMSUBSSZr
-    34U,	// VFNMSUBSSr132m
-    10U,	// VFNMSUBSSr132r
-    34U,	// VFNMSUBSSr213m
-    34U,	// VFNMSUBSSr213m_Int
-    10U,	// VFNMSUBSSr213r
-    10U,	// VFNMSUBSSr213r_Int
-    34U,	// VFNMSUBSSr231m
-    10U,	// VFNMSUBSSr231r
+    4U,	// VEXTRACTPSzrr
+    60U,	// VFMADD132PDZm
+    320U,	// VFMADD132PDZmb
+    60U,	// VFMADD132PSZm
+    452U,	// VFMADD132PSZmb
+    60U,	// VFMADD213PDZm
+    320U,	// VFMADD213PDZmb
+    20U,	// VFMADD213PDZr
+    60U,	// VFMADD213PSZm
+    452U,	// VFMADD213PSZmb
+    20U,	// VFMADD213PSZr
+    8364U,	// VFMADDPD4mr
+    8356U,	// VFMADDPD4mrY
+    9860U,	// VFMADDPD4rm
+    10372U,	// VFMADDPD4rmY
+    8836U,	// VFMADDPD4rr
+    8836U,	// VFMADDPD4rrY
+    8836U,	// VFMADDPD4rrY_REV
+    8836U,	// VFMADDPD4rr_REV
+    72U,	// VFMADDPDr132m
+    76U,	// VFMADDPDr132mY
+    20U,	// VFMADDPDr132r
+    20U,	// VFMADDPDr132rY
+    72U,	// VFMADDPDr213m
+    76U,	// VFMADDPDr213mY
+    20U,	// VFMADDPDr213r
+    20U,	// VFMADDPDr213rY
+    72U,	// VFMADDPDr231m
+    76U,	// VFMADDPDr231mY
+    20U,	// VFMADDPDr231r
+    20U,	// VFMADDPDr231rY
+    8364U,	// VFMADDPS4mr
+    8356U,	// VFMADDPS4mrY
+    9860U,	// VFMADDPS4rm
+    10372U,	// VFMADDPS4rmY
+    8836U,	// VFMADDPS4rr
+    8836U,	// VFMADDPS4rrY
+    8836U,	// VFMADDPS4rrY_REV
+    8836U,	// VFMADDPS4rr_REV
+    72U,	// VFMADDPSr132m
+    76U,	// VFMADDPSr132mY
+    20U,	// VFMADDPSr132r
+    20U,	// VFMADDPSr132rY
+    72U,	// VFMADDPSr213m
+    76U,	// VFMADDPSr213mY
+    20U,	// VFMADDPSr213r
+    20U,	// VFMADDPSr213rY
+    72U,	// VFMADDPSr231m
+    76U,	// VFMADDPSr231mY
+    20U,	// VFMADDPSr231r
+    20U,	// VFMADDPSr231rY
+    8348U,	// VFMADDSD4mr
+    8348U,	// VFMADDSD4mr_Int
+    2692U,	// VFMADDSD4rm
+    2692U,	// VFMADDSD4rm_Int
+    8836U,	// VFMADDSD4rr
+    8836U,	// VFMADDSD4rr_Int
+    8836U,	// VFMADDSD4rr_REV
+    72U,	// VFMADDSDZm
+    20U,	// VFMADDSDZr
+    64U,	// VFMADDSDr132m
+    20U,	// VFMADDSDr132r
+    64U,	// VFMADDSDr213m
+    64U,	// VFMADDSDr213m_Int
+    20U,	// VFMADDSDr213r
+    20U,	// VFMADDSDr213r_Int
+    64U,	// VFMADDSDr231m
+    20U,	// VFMADDSDr231r
+    8352U,	// VFMADDSS4mr
+    8352U,	// VFMADDSS4mr_Int
+    3204U,	// VFMADDSS4rm
+    3204U,	// VFMADDSS4rm_Int
+    8836U,	// VFMADDSS4rr
+    8836U,	// VFMADDSS4rr_Int
+    8836U,	// VFMADDSS4rr_REV
+    72U,	// VFMADDSSZm
+    20U,	// VFMADDSSZr
+    68U,	// VFMADDSSr132m
+    20U,	// VFMADDSSr132r
+    68U,	// VFMADDSSr213m
+    68U,	// VFMADDSSr213m_Int
+    20U,	// VFMADDSSr213r
+    20U,	// VFMADDSSr213r_Int
+    68U,	// VFMADDSSr231m
+    20U,	// VFMADDSSr231r
+    60U,	// VFMADDSUB132PDZm
+    320U,	// VFMADDSUB132PDZmb
+    60U,	// VFMADDSUB132PSZm
+    452U,	// VFMADDSUB132PSZmb
+    60U,	// VFMADDSUB213PDZm
+    320U,	// VFMADDSUB213PDZmb
+    20U,	// VFMADDSUB213PDZr
+    60U,	// VFMADDSUB213PSZm
+    452U,	// VFMADDSUB213PSZmb
+    20U,	// VFMADDSUB213PSZr
+    8364U,	// VFMADDSUBPD4mr
+    8356U,	// VFMADDSUBPD4mrY
+    9860U,	// VFMADDSUBPD4rm
+    10372U,	// VFMADDSUBPD4rmY
+    8836U,	// VFMADDSUBPD4rr
+    8836U,	// VFMADDSUBPD4rrY
+    8836U,	// VFMADDSUBPD4rrY_REV
+    8836U,	// VFMADDSUBPD4rr_REV
+    72U,	// VFMADDSUBPDr132m
+    76U,	// VFMADDSUBPDr132mY
+    20U,	// VFMADDSUBPDr132r
+    20U,	// VFMADDSUBPDr132rY
+    72U,	// VFMADDSUBPDr213m
+    76U,	// VFMADDSUBPDr213mY
+    20U,	// VFMADDSUBPDr213r
+    20U,	// VFMADDSUBPDr213rY
+    72U,	// VFMADDSUBPDr231m
+    76U,	// VFMADDSUBPDr231mY
+    20U,	// VFMADDSUBPDr231r
+    20U,	// VFMADDSUBPDr231rY
+    8364U,	// VFMADDSUBPS4mr
+    8356U,	// VFMADDSUBPS4mrY
+    9860U,	// VFMADDSUBPS4rm
+    10372U,	// VFMADDSUBPS4rmY
+    8836U,	// VFMADDSUBPS4rr
+    8836U,	// VFMADDSUBPS4rrY
+    8836U,	// VFMADDSUBPS4rrY_REV
+    8836U,	// VFMADDSUBPS4rr_REV
+    72U,	// VFMADDSUBPSr132m
+    76U,	// VFMADDSUBPSr132mY
+    20U,	// VFMADDSUBPSr132r
+    20U,	// VFMADDSUBPSr132rY
+    72U,	// VFMADDSUBPSr213m
+    76U,	// VFMADDSUBPSr213mY
+    20U,	// VFMADDSUBPSr213r
+    20U,	// VFMADDSUBPSr213rY
+    72U,	// VFMADDSUBPSr231m
+    76U,	// VFMADDSUBPSr231mY
+    20U,	// VFMADDSUBPSr231r
+    20U,	// VFMADDSUBPSr231rY
+    60U,	// VFMSUB132PDZm
+    320U,	// VFMSUB132PDZmb
+    60U,	// VFMSUB132PSZm
+    452U,	// VFMSUB132PSZmb
+    60U,	// VFMSUB213PDZm
+    320U,	// VFMSUB213PDZmb
+    20U,	// VFMSUB213PDZr
+    60U,	// VFMSUB213PSZm
+    452U,	// VFMSUB213PSZmb
+    20U,	// VFMSUB213PSZr
+    60U,	// VFMSUBADD132PDZm
+    320U,	// VFMSUBADD132PDZmb
+    60U,	// VFMSUBADD132PSZm
+    452U,	// VFMSUBADD132PSZmb
+    60U,	// VFMSUBADD213PDZm
+    320U,	// VFMSUBADD213PDZmb
+    20U,	// VFMSUBADD213PDZr
+    60U,	// VFMSUBADD213PSZm
+    452U,	// VFMSUBADD213PSZmb
+    20U,	// VFMSUBADD213PSZr
+    8364U,	// VFMSUBADDPD4mr
+    8356U,	// VFMSUBADDPD4mrY
+    9860U,	// VFMSUBADDPD4rm
+    10372U,	// VFMSUBADDPD4rmY
+    8836U,	// VFMSUBADDPD4rr
+    8836U,	// VFMSUBADDPD4rrY
+    8836U,	// VFMSUBADDPD4rrY_REV
+    8836U,	// VFMSUBADDPD4rr_REV
+    72U,	// VFMSUBADDPDr132m
+    76U,	// VFMSUBADDPDr132mY
+    20U,	// VFMSUBADDPDr132r
+    20U,	// VFMSUBADDPDr132rY
+    72U,	// VFMSUBADDPDr213m
+    76U,	// VFMSUBADDPDr213mY
+    20U,	// VFMSUBADDPDr213r
+    20U,	// VFMSUBADDPDr213rY
+    72U,	// VFMSUBADDPDr231m
+    76U,	// VFMSUBADDPDr231mY
+    20U,	// VFMSUBADDPDr231r
+    20U,	// VFMSUBADDPDr231rY
+    8364U,	// VFMSUBADDPS4mr
+    8356U,	// VFMSUBADDPS4mrY
+    9860U,	// VFMSUBADDPS4rm
+    10372U,	// VFMSUBADDPS4rmY
+    8836U,	// VFMSUBADDPS4rr
+    8836U,	// VFMSUBADDPS4rrY
+    8836U,	// VFMSUBADDPS4rrY_REV
+    8836U,	// VFMSUBADDPS4rr_REV
+    72U,	// VFMSUBADDPSr132m
+    76U,	// VFMSUBADDPSr132mY
+    20U,	// VFMSUBADDPSr132r
+    20U,	// VFMSUBADDPSr132rY
+    72U,	// VFMSUBADDPSr213m
+    76U,	// VFMSUBADDPSr213mY
+    20U,	// VFMSUBADDPSr213r
+    20U,	// VFMSUBADDPSr213rY
+    72U,	// VFMSUBADDPSr231m
+    76U,	// VFMSUBADDPSr231mY
+    20U,	// VFMSUBADDPSr231r
+    20U,	// VFMSUBADDPSr231rY
+    8364U,	// VFMSUBPD4mr
+    8356U,	// VFMSUBPD4mrY
+    9860U,	// VFMSUBPD4rm
+    10372U,	// VFMSUBPD4rmY
+    8836U,	// VFMSUBPD4rr
+    8836U,	// VFMSUBPD4rrY
+    8836U,	// VFMSUBPD4rrY_REV
+    8836U,	// VFMSUBPD4rr_REV
+    72U,	// VFMSUBPDr132m
+    76U,	// VFMSUBPDr132mY
+    20U,	// VFMSUBPDr132r
+    20U,	// VFMSUBPDr132rY
+    72U,	// VFMSUBPDr213m
+    76U,	// VFMSUBPDr213mY
+    20U,	// VFMSUBPDr213r
+    20U,	// VFMSUBPDr213rY
+    72U,	// VFMSUBPDr231m
+    76U,	// VFMSUBPDr231mY
+    20U,	// VFMSUBPDr231r
+    20U,	// VFMSUBPDr231rY
+    8364U,	// VFMSUBPS4mr
+    8356U,	// VFMSUBPS4mrY
+    9860U,	// VFMSUBPS4rm
+    10372U,	// VFMSUBPS4rmY
+    8836U,	// VFMSUBPS4rr
+    8836U,	// VFMSUBPS4rrY
+    8836U,	// VFMSUBPS4rrY_REV
+    8836U,	// VFMSUBPS4rr_REV
+    72U,	// VFMSUBPSr132m
+    76U,	// VFMSUBPSr132mY
+    20U,	// VFMSUBPSr132r
+    20U,	// VFMSUBPSr132rY
+    72U,	// VFMSUBPSr213m
+    76U,	// VFMSUBPSr213mY
+    20U,	// VFMSUBPSr213r
+    20U,	// VFMSUBPSr213rY
+    72U,	// VFMSUBPSr231m
+    76U,	// VFMSUBPSr231mY
+    20U,	// VFMSUBPSr231r
+    20U,	// VFMSUBPSr231rY
+    8348U,	// VFMSUBSD4mr
+    8348U,	// VFMSUBSD4mr_Int
+    2692U,	// VFMSUBSD4rm
+    2692U,	// VFMSUBSD4rm_Int
+    8836U,	// VFMSUBSD4rr
+    8836U,	// VFMSUBSD4rr_Int
+    8836U,	// VFMSUBSD4rr_REV
+    72U,	// VFMSUBSDZm
+    20U,	// VFMSUBSDZr
+    64U,	// VFMSUBSDr132m
+    20U,	// VFMSUBSDr132r
+    64U,	// VFMSUBSDr213m
+    64U,	// VFMSUBSDr213m_Int
+    20U,	// VFMSUBSDr213r
+    20U,	// VFMSUBSDr213r_Int
+    64U,	// VFMSUBSDr231m
+    20U,	// VFMSUBSDr231r
+    8352U,	// VFMSUBSS4mr
+    8352U,	// VFMSUBSS4mr_Int
+    3204U,	// VFMSUBSS4rm
+    3204U,	// VFMSUBSS4rm_Int
+    8836U,	// VFMSUBSS4rr
+    8836U,	// VFMSUBSS4rr_Int
+    8836U,	// VFMSUBSS4rr_REV
+    72U,	// VFMSUBSSZm
+    20U,	// VFMSUBSSZr
+    68U,	// VFMSUBSSr132m
+    20U,	// VFMSUBSSr132r
+    68U,	// VFMSUBSSr213m
+    68U,	// VFMSUBSSr213m_Int
+    20U,	// VFMSUBSSr213r
+    20U,	// VFMSUBSSr213r_Int
+    68U,	// VFMSUBSSr231m
+    20U,	// VFMSUBSSr231r
+    60U,	// VFNMADD132PDZm
+    320U,	// VFNMADD132PDZmb
+    60U,	// VFNMADD132PSZm
+    452U,	// VFNMADD132PSZmb
+    60U,	// VFNMADD213PDZm
+    320U,	// VFNMADD213PDZmb
+    20U,	// VFNMADD213PDZr
+    60U,	// VFNMADD213PSZm
+    452U,	// VFNMADD213PSZmb
+    20U,	// VFNMADD213PSZr
+    8364U,	// VFNMADDPD4mr
+    8356U,	// VFNMADDPD4mrY
+    9860U,	// VFNMADDPD4rm
+    10372U,	// VFNMADDPD4rmY
+    8836U,	// VFNMADDPD4rr
+    8836U,	// VFNMADDPD4rrY
+    8836U,	// VFNMADDPD4rrY_REV
+    8836U,	// VFNMADDPD4rr_REV
+    72U,	// VFNMADDPDr132m
+    76U,	// VFNMADDPDr132mY
+    20U,	// VFNMADDPDr132r
+    20U,	// VFNMADDPDr132rY
+    72U,	// VFNMADDPDr213m
+    76U,	// VFNMADDPDr213mY
+    20U,	// VFNMADDPDr213r
+    20U,	// VFNMADDPDr213rY
+    72U,	// VFNMADDPDr231m
+    76U,	// VFNMADDPDr231mY
+    20U,	// VFNMADDPDr231r
+    20U,	// VFNMADDPDr231rY
+    8364U,	// VFNMADDPS4mr
+    8356U,	// VFNMADDPS4mrY
+    9860U,	// VFNMADDPS4rm
+    10372U,	// VFNMADDPS4rmY
+    8836U,	// VFNMADDPS4rr
+    8836U,	// VFNMADDPS4rrY
+    8836U,	// VFNMADDPS4rrY_REV
+    8836U,	// VFNMADDPS4rr_REV
+    72U,	// VFNMADDPSr132m
+    76U,	// VFNMADDPSr132mY
+    20U,	// VFNMADDPSr132r
+    20U,	// VFNMADDPSr132rY
+    72U,	// VFNMADDPSr213m
+    76U,	// VFNMADDPSr213mY
+    20U,	// VFNMADDPSr213r
+    20U,	// VFNMADDPSr213rY
+    72U,	// VFNMADDPSr231m
+    76U,	// VFNMADDPSr231mY
+    20U,	// VFNMADDPSr231r
+    20U,	// VFNMADDPSr231rY
+    8348U,	// VFNMADDSD4mr
+    8348U,	// VFNMADDSD4mr_Int
+    2692U,	// VFNMADDSD4rm
+    2692U,	// VFNMADDSD4rm_Int
+    8836U,	// VFNMADDSD4rr
+    8836U,	// VFNMADDSD4rr_Int
+    8836U,	// VFNMADDSD4rr_REV
+    72U,	// VFNMADDSDZm
+    20U,	// VFNMADDSDZr
+    64U,	// VFNMADDSDr132m
+    20U,	// VFNMADDSDr132r
+    64U,	// VFNMADDSDr213m
+    64U,	// VFNMADDSDr213m_Int
+    20U,	// VFNMADDSDr213r
+    20U,	// VFNMADDSDr213r_Int
+    64U,	// VFNMADDSDr231m
+    20U,	// VFNMADDSDr231r
+    8352U,	// VFNMADDSS4mr
+    8352U,	// VFNMADDSS4mr_Int
+    3204U,	// VFNMADDSS4rm
+    3204U,	// VFNMADDSS4rm_Int
+    8836U,	// VFNMADDSS4rr
+    8836U,	// VFNMADDSS4rr_Int
+    8836U,	// VFNMADDSS4rr_REV
+    72U,	// VFNMADDSSZm
+    20U,	// VFNMADDSSZr
+    68U,	// VFNMADDSSr132m
+    20U,	// VFNMADDSSr132r
+    68U,	// VFNMADDSSr213m
+    68U,	// VFNMADDSSr213m_Int
+    20U,	// VFNMADDSSr213r
+    20U,	// VFNMADDSSr213r_Int
+    68U,	// VFNMADDSSr231m
+    20U,	// VFNMADDSSr231r
+    60U,	// VFNMSUB132PDZm
+    320U,	// VFNMSUB132PDZmb
+    60U,	// VFNMSUB132PSZm
+    452U,	// VFNMSUB132PSZmb
+    60U,	// VFNMSUB213PDZm
+    320U,	// VFNMSUB213PDZmb
+    20U,	// VFNMSUB213PDZr
+    60U,	// VFNMSUB213PSZm
+    452U,	// VFNMSUB213PSZmb
+    20U,	// VFNMSUB213PSZr
+    8364U,	// VFNMSUBPD4mr
+    8356U,	// VFNMSUBPD4mrY
+    9860U,	// VFNMSUBPD4rm
+    10372U,	// VFNMSUBPD4rmY
+    8836U,	// VFNMSUBPD4rr
+    8836U,	// VFNMSUBPD4rrY
+    8836U,	// VFNMSUBPD4rrY_REV
+    8836U,	// VFNMSUBPD4rr_REV
+    72U,	// VFNMSUBPDr132m
+    76U,	// VFNMSUBPDr132mY
+    20U,	// VFNMSUBPDr132r
+    20U,	// VFNMSUBPDr132rY
+    72U,	// VFNMSUBPDr213m
+    76U,	// VFNMSUBPDr213mY
+    20U,	// VFNMSUBPDr213r
+    20U,	// VFNMSUBPDr213rY
+    72U,	// VFNMSUBPDr231m
+    76U,	// VFNMSUBPDr231mY
+    20U,	// VFNMSUBPDr231r
+    20U,	// VFNMSUBPDr231rY
+    8364U,	// VFNMSUBPS4mr
+    8356U,	// VFNMSUBPS4mrY
+    9860U,	// VFNMSUBPS4rm
+    10372U,	// VFNMSUBPS4rmY
+    8836U,	// VFNMSUBPS4rr
+    8836U,	// VFNMSUBPS4rrY
+    8836U,	// VFNMSUBPS4rrY_REV
+    8836U,	// VFNMSUBPS4rr_REV
+    72U,	// VFNMSUBPSr132m
+    76U,	// VFNMSUBPSr132mY
+    20U,	// VFNMSUBPSr132r
+    20U,	// VFNMSUBPSr132rY
+    72U,	// VFNMSUBPSr213m
+    76U,	// VFNMSUBPSr213mY
+    20U,	// VFNMSUBPSr213r
+    20U,	// VFNMSUBPSr213rY
+    72U,	// VFNMSUBPSr231m
+    76U,	// VFNMSUBPSr231mY
+    20U,	// VFNMSUBPSr231r
+    20U,	// VFNMSUBPSr231rY
+    8348U,	// VFNMSUBSD4mr
+    8348U,	// VFNMSUBSD4mr_Int
+    2692U,	// VFNMSUBSD4rm
+    2692U,	// VFNMSUBSD4rm_Int
+    8836U,	// VFNMSUBSD4rr
+    8836U,	// VFNMSUBSD4rr_Int
+    8836U,	// VFNMSUBSD4rr_REV
+    72U,	// VFNMSUBSDZm
+    20U,	// VFNMSUBSDZr
+    64U,	// VFNMSUBSDr132m
+    20U,	// VFNMSUBSDr132r
+    64U,	// VFNMSUBSDr213m
+    64U,	// VFNMSUBSDr213m_Int
+    20U,	// VFNMSUBSDr213r
+    20U,	// VFNMSUBSDr213r_Int
+    64U,	// VFNMSUBSDr231m
+    20U,	// VFNMSUBSDr231r
+    8352U,	// VFNMSUBSS4mr
+    8352U,	// VFNMSUBSS4mr_Int
+    3204U,	// VFNMSUBSS4rm
+    3204U,	// VFNMSUBSS4rm_Int
+    8836U,	// VFNMSUBSS4rr
+    8836U,	// VFNMSUBSS4rr_Int
+    8836U,	// VFNMSUBSS4rr_REV
+    72U,	// VFNMSUBSSZm
+    20U,	// VFNMSUBSSZr
+    68U,	// VFNMSUBSSr132m
+    20U,	// VFNMSUBSSr132r
+    68U,	// VFNMSUBSSr213m
+    68U,	// VFNMSUBSSr213m_Int
+    20U,	// VFNMSUBSSr213r
+    20U,	// VFNMSUBSSr213r_Int
+    68U,	// VFNMSUBSSr231m
+    20U,	// VFNMSUBSSr231r
     0U,	// VFRCZPDrm
     0U,	// VFRCZPDrmY
     0U,	// VFRCZPDrr
@@ -8697,22 +8994,22 @@
     0U,	// VFRCZSDrr
     0U,	// VFRCZSSrm
     0U,	// VFRCZSSrr
-    22U,	// VFsANDNPDrm
-    2U,	// VFsANDNPDrr
-    22U,	// VFsANDNPSrm
-    2U,	// VFsANDNPSrr
-    22U,	// VFsANDPDrm
-    2U,	// VFsANDPDrr
-    22U,	// VFsANDPSrm
-    2U,	// VFsANDPSrr
-    22U,	// VFsORPDrm
-    2U,	// VFsORPDrr
-    22U,	// VFsORPSrm
-    2U,	// VFsORPSrr
-    22U,	// VFsXORPDrm
-    2U,	// VFsXORPDrr
-    22U,	// VFsXORPSrm
-    2U,	// VFsXORPSrr
+    44U,	// VFsANDNPDrm
+    4U,	// VFsANDNPDrr
+    44U,	// VFsANDNPSrm
+    4U,	// VFsANDNPSrr
+    44U,	// VFsANDPDrm
+    4U,	// VFsANDPDrr
+    44U,	// VFsANDPSrm
+    4U,	// VFsANDPSrr
+    44U,	// VFsORPDrm
+    4U,	// VFsORPDrr
+    44U,	// VFsORPSrm
+    4U,	// VFsORPSrr
+    44U,	// VFsXORPDrm
+    4U,	// VFsXORPDrr
+    44U,	// VFsXORPSrm
+    4U,	// VFsXORPSrr
     0U,	// VGATHERDPDYrm
     1U,	// VGATHERDPDZrm
     0U,	// VGATHERDPDrm
@@ -8725,130 +9022,130 @@
     0U,	// VGATHERQPSYrm
     1U,	// VGATHERQPSZrm
     0U,	// VGATHERQPSrm
-    18U,	// VHADDPDYrm
-    2U,	// VHADDPDYrr
-    22U,	// VHADDPDrm
-    2U,	// VHADDPDrr
-    18U,	// VHADDPSYrm
-    2U,	// VHADDPSYrr
-    22U,	// VHADDPSrm
-    2U,	// VHADDPSrr
-    18U,	// VHSUBPDYrm
-    2U,	// VHSUBPDYrr
-    22U,	// VHSUBPDrm
-    2U,	// VHSUBPDrr
-    18U,	// VHSUBPSYrm
-    2U,	// VHSUBPSYrr
-    22U,	// VHSUBPSrm
-    2U,	// VHSUBPSrr
-    4182U,	// VINSERTF128rm
-    4418U,	// VINSERTF128rr
-    4182U,	// VINSERTF32x4rm
-    4418U,	// VINSERTF32x4rr
-    4188U,	// VINSERTF64x4rm
-    4418U,	// VINSERTF64x4rr
-    4184U,	// VINSERTI128rm
-    4418U,	// VINSERTI128rr
-    4184U,	// VINSERTI32x4rm
-    4418U,	// VINSERTI32x4rr
-    4188U,	// VINSERTI64x4rm
-    4418U,	// VINSERTI64x4rr
-    4176U,	// VINSERTPSrm
-    4418U,	// VINSERTPSrr
-    4176U,	// VINSERTPSzrm
-    4418U,	// VINSERTPSzrr
+    36U,	// VHADDPDYrm
+    4U,	// VHADDPDYrr
+    44U,	// VHADDPDrm
+    4U,	// VHADDPDrr
+    36U,	// VHADDPSYrm
+    4U,	// VHADDPSYrr
+    44U,	// VHADDPSrm
+    4U,	// VHADDPSrr
+    36U,	// VHSUBPDYrm
+    4U,	// VHSUBPDYrr
+    44U,	// VHSUBPDrm
+    4U,	// VHSUBPDrr
+    36U,	// VHSUBPSYrm
+    4U,	// VHSUBPSYrr
+    44U,	// VHSUBPSrm
+    4U,	// VHSUBPSrr
+    8364U,	// VINSERTF128rm
+    8836U,	// VINSERTF128rr
+    8364U,	// VINSERTF32x4rm
+    8836U,	// VINSERTF32x4rr
+    8376U,	// VINSERTF64x4rm
+    8836U,	// VINSERTF64x4rr
+    8368U,	// VINSERTI128rm
+    8836U,	// VINSERTI128rr
+    8368U,	// VINSERTI32x4rm
+    8836U,	// VINSERTI32x4rr
+    8376U,	// VINSERTI64x4rm
+    8836U,	// VINSERTI64x4rr
+    8352U,	// VINSERTPSrm
+    8836U,	// VINSERTPSrr
+    8352U,	// VINSERTPSzrm
+    8836U,	// VINSERTPSzrr
     0U,	// VLDDQUYrm
     0U,	// VLDDQUrm
     0U,	// VLDMXCSR
     0U,	// VMASKMOVDQU
     0U,	// VMASKMOVDQU64
     0U,	// VMASKMOVPDYmr
-    18U,	// VMASKMOVPDYrm
+    36U,	// VMASKMOVPDYrm
     0U,	// VMASKMOVPDmr
-    22U,	// VMASKMOVPDrm
+    44U,	// VMASKMOVPDrm
     0U,	// VMASKMOVPSYmr
-    18U,	// VMASKMOVPSYrm
+    36U,	// VMASKMOVPSYrm
     0U,	// VMASKMOVPSmr
-    22U,	// VMASKMOVPSrm
-    18U,	// VMAXCPDYrm
-    2U,	// VMAXCPDYrr
-    22U,	// VMAXCPDrm
-    2U,	// VMAXCPDrr
-    18U,	// VMAXCPSYrm
-    2U,	// VMAXCPSYrr
-    22U,	// VMAXCPSrm
-    2U,	// VMAXCPSrr
-    14U,	// VMAXCSDrm
-    2U,	// VMAXCSDrr
-    16U,	// VMAXCSSrm
-    2U,	// VMAXCSSrr
-    18U,	// VMAXPDYrm
-    2U,	// VMAXPDYrr
-    20U,	// VMAXPDZrm
-    142U,	// VMAXPDZrmb
-    2U,	// VMAXPDZrr
-    22U,	// VMAXPDrm
-    2U,	// VMAXPDrr
-    18U,	// VMAXPSYrm
-    2U,	// VMAXPSYrr
-    20U,	// VMAXPSZrm
-    208U,	// VMAXPSZrmb
-    2U,	// VMAXPSZrr
-    22U,	// VMAXPSrm
-    2U,	// VMAXPSrr
-    14U,	// VMAXSDZrm
-    2U,	// VMAXSDZrr
-    14U,	// VMAXSDrm
-    14U,	// VMAXSDrm_Int
-    2U,	// VMAXSDrr
-    2U,	// VMAXSDrr_Int
-    16U,	// VMAXSSZrm
-    2U,	// VMAXSSZrr
-    16U,	// VMAXSSrm
-    16U,	// VMAXSSrm_Int
-    2U,	// VMAXSSrr
-    2U,	// VMAXSSrr_Int
+    44U,	// VMASKMOVPSrm
+    36U,	// VMAXCPDYrm
+    4U,	// VMAXCPDYrr
+    44U,	// VMAXCPDrm
+    4U,	// VMAXCPDrr
+    36U,	// VMAXCPSYrm
+    4U,	// VMAXCPSYrr
+    44U,	// VMAXCPSrm
+    4U,	// VMAXCPSrr
+    28U,	// VMAXCSDrm
+    4U,	// VMAXCSDrr
+    32U,	// VMAXCSSrm
+    4U,	// VMAXCSSrr
+    36U,	// VMAXPDYrm
+    4U,	// VMAXPDYrr
+    40U,	// VMAXPDZrm
+    284U,	// VMAXPDZrmb
+    4U,	// VMAXPDZrr
+    44U,	// VMAXPDrm
+    4U,	// VMAXPDrr
+    36U,	// VMAXPSYrm
+    4U,	// VMAXPSYrr
+    40U,	// VMAXPSZrm
+    416U,	// VMAXPSZrmb
+    4U,	// VMAXPSZrr
+    44U,	// VMAXPSrm
+    4U,	// VMAXPSrr
+    28U,	// VMAXSDZrm
+    4U,	// VMAXSDZrr
+    28U,	// VMAXSDrm
+    28U,	// VMAXSDrm_Int
+    4U,	// VMAXSDrr
+    4U,	// VMAXSDrr_Int
+    32U,	// VMAXSSZrm
+    4U,	// VMAXSSZrr
+    32U,	// VMAXSSrm
+    32U,	// VMAXSSrm_Int
+    4U,	// VMAXSSrr
+    4U,	// VMAXSSrr_Int
     0U,	// VMCALL
     0U,	// VMCLEARm
     0U,	// VMFUNC
-    18U,	// VMINCPDYrm
-    2U,	// VMINCPDYrr
-    22U,	// VMINCPDrm
-    2U,	// VMINCPDrr
-    18U,	// VMINCPSYrm
-    2U,	// VMINCPSYrr
-    22U,	// VMINCPSrm
-    2U,	// VMINCPSrr
-    14U,	// VMINCSDrm
-    2U,	// VMINCSDrr
-    16U,	// VMINCSSrm
-    2U,	// VMINCSSrr
-    18U,	// VMINPDYrm
-    2U,	// VMINPDYrr
-    20U,	// VMINPDZrm
-    142U,	// VMINPDZrmb
-    2U,	// VMINPDZrr
-    22U,	// VMINPDrm
-    2U,	// VMINPDrr
-    18U,	// VMINPSYrm
-    2U,	// VMINPSYrr
-    20U,	// VMINPSZrm
-    208U,	// VMINPSZrmb
-    2U,	// VMINPSZrr
-    22U,	// VMINPSrm
-    2U,	// VMINPSrr
-    14U,	// VMINSDZrm
-    2U,	// VMINSDZrr
-    14U,	// VMINSDrm
-    14U,	// VMINSDrm_Int
-    2U,	// VMINSDrr
-    2U,	// VMINSDrr_Int
-    16U,	// VMINSSZrm
-    2U,	// VMINSSZrr
-    16U,	// VMINSSrm
-    16U,	// VMINSSrm_Int
-    2U,	// VMINSSrr
-    2U,	// VMINSSrr_Int
+    36U,	// VMINCPDYrm
+    4U,	// VMINCPDYrr
+    44U,	// VMINCPDrm
+    4U,	// VMINCPDrr
+    36U,	// VMINCPSYrm
+    4U,	// VMINCPSYrr
+    44U,	// VMINCPSrm
+    4U,	// VMINCPSrr
+    28U,	// VMINCSDrm
+    4U,	// VMINCSDrr
+    32U,	// VMINCSSrm
+    4U,	// VMINCSSrr
+    36U,	// VMINPDYrm
+    4U,	// VMINPDYrr
+    40U,	// VMINPDZrm
+    284U,	// VMINPDZrmb
+    4U,	// VMINPDZrr
+    44U,	// VMINPDrm
+    4U,	// VMINPDrr
+    36U,	// VMINPSYrm
+    4U,	// VMINPSYrr
+    40U,	// VMINPSZrm
+    416U,	// VMINPSZrmb
+    4U,	// VMINPSZrr
+    44U,	// VMINPSrm
+    4U,	// VMINPSrr
+    28U,	// VMINSDZrm
+    4U,	// VMINSDZrr
+    28U,	// VMINSDrm
+    28U,	// VMINSDrm_Int
+    4U,	// VMINSDrr
+    4U,	// VMINSDrr_Int
+    32U,	// VMINSSZrm
+    4U,	// VMINSSZrr
+    32U,	// VMINSSrm
+    32U,	// VMINSSrm_Int
+    4U,	// VMINSSrr
+    4U,	// VMINSSrr_Int
     0U,	// VMLAUNCH
     0U,	// VMLOAD32
     0U,	// VMLOAD64
@@ -8864,9 +9161,9 @@
     0U,	// VMOVAPDYrr_REV
     0U,	// VMOVAPDZmr
     0U,	// VMOVAPDZrm
-    31U,	// VMOVAPDZrmk
+    61U,	// VMOVAPDZrmk
     0U,	// VMOVAPDZrr
-    11U,	// VMOVAPDZrrk
+    21U,	// VMOVAPDZrrk
     0U,	// VMOVAPDmr
     0U,	// VMOVAPDrm
     0U,	// VMOVAPDrr
@@ -8877,9 +9174,9 @@
     0U,	// VMOVAPSYrr_REV
     0U,	// VMOVAPSZmr
     0U,	// VMOVAPSZrm
-    31U,	// VMOVAPSZrmk
+    61U,	// VMOVAPSZrmk
     0U,	// VMOVAPSZrr
-    11U,	// VMOVAPSZrrk
+    21U,	// VMOVAPSZrrk
     0U,	// VMOVAPSmr
     0U,	// VMOVAPSrm
     0U,	// VMOVAPSrr
@@ -8912,14 +9209,16 @@
     0U,	// VMOVDQArm
     0U,	// VMOVDQArr
     0U,	// VMOVDQArr_REV
+    0U,	// VMOVDQU32mr
     0U,	// VMOVDQU32rm
-    41U,	// VMOVDQU32rmk
+    81U,	// VMOVDQU32rmk
     0U,	// VMOVDQU32rr
-    11U,	// VMOVDQU32rrk
+    21U,	// VMOVDQU32rrk
+    0U,	// VMOVDQU64mr
     0U,	// VMOVDQU64rm
-    41U,	// VMOVDQU64rmk
+    81U,	// VMOVDQU64rmk
     0U,	// VMOVDQU64rr
-    11U,	// VMOVDQU64rrk
+    21U,	// VMOVDQU64rrk
     0U,	// VMOVDQUYmr
     0U,	// VMOVDQUYrm
     0U,	// VMOVDQUYrr
@@ -8928,31 +9227,23 @@
     0U,	// VMOVDQUrm
     0U,	// VMOVDQUrr
     0U,	// VMOVDQUrr_REV
-    2U,	// VMOVHLPSZrr
-    2U,	// VMOVHLPSrr
+    4U,	// VMOVHLPSZrr
+    4U,	// VMOVHLPSrr
     0U,	// VMOVHPDmr
-    14U,	// VMOVHPDrm
+    28U,	// VMOVHPDrm
     0U,	// VMOVHPSmr
-    14U,	// VMOVHPSrm
-    2U,	// VMOVLHPSZrr
-    2U,	// VMOVLHPSrr
+    28U,	// VMOVHPSrm
+    4U,	// VMOVLHPSZrr
+    4U,	// VMOVLHPSrr
     0U,	// VMOVLPDmr
-    14U,	// VMOVLPDrm
+    28U,	// VMOVLPDrm
     0U,	// VMOVLPSmr
-    14U,	// VMOVLPSrm
+    28U,	// VMOVLPSrm
     0U,	// VMOVLQ128mr
-    0U,	// VMOVMSKPDYr64r
-    0U,	// VMOVMSKPDYrr32
-    0U,	// VMOVMSKPDYrr64
-    0U,	// VMOVMSKPDr64r
-    0U,	// VMOVMSKPDrr32
-    0U,	// VMOVMSKPDrr64
-    0U,	// VMOVMSKPSYr64r
-    0U,	// VMOVMSKPSYrr32
-    0U,	// VMOVMSKPSYrr64
-    0U,	// VMOVMSKPSr64r
-    0U,	// VMOVMSKPSrr32
-    0U,	// VMOVMSKPSrr64
+    0U,	// VMOVMSKPDYrr
+    0U,	// VMOVMSKPDrr
+    0U,	// VMOVMSKPSYrr
+    0U,	// VMOVMSKPSrr
     0U,	// VMOVNTDQAYrm
     0U,	// VMOVNTDQArm
     0U,	// VMOVNTDQYmr
@@ -8971,28 +9262,28 @@
     0U,	// VMOVPQIto64rr
     0U,	// VMOVQI2PQIZrm
     0U,	// VMOVQI2PQIrm
-    0U,	// VMOVQd64rr
-    0U,	// VMOVQd64rr_alt
-    0U,	// VMOVQs64rr
-    0U,	// VMOVQxrxr
     0U,	// VMOVSDZmr
     0U,	// VMOVSDZrm
-    2U,	// VMOVSDZrr
-    2U,	// VMOVSDZrr_REV
+    4U,	// VMOVSDZrr
+    4U,	// VMOVSDZrr_REV
     0U,	// VMOVSDmr
     0U,	// VMOVSDrm
-    2U,	// VMOVSDrr
-    2U,	// VMOVSDrr_REV
+    4U,	// VMOVSDrr
+    4U,	// VMOVSDrr_REV
     0U,	// VMOVSDto64Zmr
     0U,	// VMOVSDto64Zrr
     0U,	// VMOVSDto64mr
     0U,	// VMOVSDto64rr
     0U,	// VMOVSHDUPYrm
     0U,	// VMOVSHDUPYrr
+    0U,	// VMOVSHDUPZrm
+    0U,	// VMOVSHDUPZrr
     0U,	// VMOVSHDUPrm
     0U,	// VMOVSHDUPrr
     0U,	// VMOVSLDUPYrm
     0U,	// VMOVSLDUPYrr
+    0U,	// VMOVSLDUPZrm
+    0U,	// VMOVSLDUPZrr
     0U,	// VMOVSLDUPrm
     0U,	// VMOVSLDUPrr
     0U,	// VMOVSS2DIZmr
@@ -9001,21 +9292,21 @@
     0U,	// VMOVSS2DIrr
     0U,	// VMOVSSZmr
     0U,	// VMOVSSZrm
-    2U,	// VMOVSSZrr
-    2U,	// VMOVSSZrr_REV
+    4U,	// VMOVSSZrr
+    4U,	// VMOVSSZrr_REV
     0U,	// VMOVSSmr
     0U,	// VMOVSSrm
-    2U,	// VMOVSSrr
-    2U,	// VMOVSSrr_REV
+    4U,	// VMOVSSrr
+    4U,	// VMOVSSrr_REV
     0U,	// VMOVUPDYmr
     0U,	// VMOVUPDYrm
     0U,	// VMOVUPDYrr
     0U,	// VMOVUPDYrr_REV
     0U,	// VMOVUPDZmr
     0U,	// VMOVUPDZrm
-    31U,	// VMOVUPDZrmk
+    61U,	// VMOVUPDZrmk
     0U,	// VMOVUPDZrr
-    11U,	// VMOVUPDZrrk
+    21U,	// VMOVUPDZrrk
     0U,	// VMOVUPDmr
     0U,	// VMOVUPDrm
     0U,	// VMOVUPDrr
@@ -9026,25 +9317,23 @@
     0U,	// VMOVUPSYrr_REV
     0U,	// VMOVUPSZmr
     0U,	// VMOVUPSZrm
-    31U,	// VMOVUPSZrmk
+    61U,	// VMOVUPSZrmk
     0U,	// VMOVUPSZrr
-    11U,	// VMOVUPSZrrk
+    21U,	// VMOVUPSZrrk
     0U,	// VMOVUPSmr
     0U,	// VMOVUPSrm
     0U,	// VMOVUPSrr
     0U,	// VMOVUPSrr_REV
-    0U,	// VMOVZDI2PDIrm
-    0U,	// VMOVZDI2PDIrr
     0U,	// VMOVZPQILo2PQIZrm
     0U,	// VMOVZPQILo2PQIZrr
     0U,	// VMOVZPQILo2PQIrm
     0U,	// VMOVZPQILo2PQIrr
     0U,	// VMOVZQI2PQIrm
     0U,	// VMOVZQI2PQIrr
-    4188U,	// VMPSADBWYrmi
-    4418U,	// VMPSADBWYrri
-    4184U,	// VMPSADBWrmi
-    4418U,	// VMPSADBWrri
+    8376U,	// VMPSADBWYrmi
+    8836U,	// VMPSADBWYrri
+    8368U,	// VMPSADBWrmi
+    8836U,	// VMPSADBWrri
     0U,	// VMPTRLDm
     0U,	// VMPTRSTm
     0U,	// VMREAD32rm
@@ -9056,46 +9345,46 @@
     0U,	// VMRUN64
     0U,	// VMSAVE32
     0U,	// VMSAVE64
-    18U,	// VMULPDYrm
-    2U,	// VMULPDYrr
-    20U,	// VMULPDZrm
-    142U,	// VMULPDZrmb
-    2U,	// VMULPDZrr
-    22U,	// VMULPDrm
-    2U,	// VMULPDrr
-    18U,	// VMULPSYrm
-    2U,	// VMULPSYrr
-    20U,	// VMULPSZrm
-    208U,	// VMULPSZrmb
-    2U,	// VMULPSZrr
-    22U,	// VMULPSrm
-    2U,	// VMULPSrr
-    14U,	// VMULSDZrm
-    2U,	// VMULSDZrr
-    14U,	// VMULSDrm
-    14U,	// VMULSDrm_Int
-    2U,	// VMULSDrr
-    2U,	// VMULSDrr_Int
-    16U,	// VMULSSZrm
-    2U,	// VMULSSZrr
-    16U,	// VMULSSrm
-    16U,	// VMULSSrm_Int
-    2U,	// VMULSSrr
-    2U,	// VMULSSrr_Int
+    36U,	// VMULPDYrm
+    4U,	// VMULPDYrr
+    40U,	// VMULPDZrm
+    284U,	// VMULPDZrmb
+    4U,	// VMULPDZrr
+    44U,	// VMULPDrm
+    4U,	// VMULPDrr
+    36U,	// VMULPSYrm
+    4U,	// VMULPSYrr
+    40U,	// VMULPSZrm
+    416U,	// VMULPSZrmb
+    4U,	// VMULPSZrr
+    44U,	// VMULPSrm
+    4U,	// VMULPSrr
+    28U,	// VMULSDZrm
+    4U,	// VMULSDZrr
+    28U,	// VMULSDrm
+    28U,	// VMULSDrm_Int
+    4U,	// VMULSDrr
+    4U,	// VMULSDrr_Int
+    32U,	// VMULSSZrm
+    4U,	// VMULSSZrr
+    32U,	// VMULSSrm
+    32U,	// VMULSSrm_Int
+    4U,	// VMULSSrr
+    4U,	// VMULSSrr_Int
     0U,	// VMWRITE32rm
     0U,	// VMWRITE32rr
     0U,	// VMWRITE64rm
     0U,	// VMWRITE64rr
     0U,	// VMXOFF
     0U,	// VMXON
-    18U,	// VORPDYrm
-    2U,	// VORPDYrr
-    22U,	// VORPDrm
-    2U,	// VORPDrr
-    18U,	// VORPSYrm
-    2U,	// VORPSYrr
-    22U,	// VORPSrm
-    2U,	// VORPSrr
+    36U,	// VORPDYrm
+    4U,	// VORPDYrr
+    44U,	// VORPDrm
+    4U,	// VORPDrr
+    36U,	// VORPSYrm
+    4U,	// VORPSYrr
+    44U,	// VORPSrm
+    4U,	// VORPSrr
     0U,	// VPABSBrm128
     0U,	// VPABSBrm256
     0U,	// VPABSBrr128
@@ -9112,119 +9401,123 @@
     0U,	// VPABSWrm256
     0U,	// VPABSWrr128
     0U,	// VPABSWrr256
-    28U,	// VPACKSSDWYrm
-    2U,	// VPACKSSDWYrr
-    24U,	// VPACKSSDWrm
-    2U,	// VPACKSSDWrr
-    28U,	// VPACKSSWBYrm
-    2U,	// VPACKSSWBYrr
-    24U,	// VPACKSSWBrm
-    2U,	// VPACKSSWBrr
-    28U,	// VPACKUSDWYrm
-    2U,	// VPACKUSDWYrr
-    24U,	// VPACKUSDWrm
-    2U,	// VPACKUSDWrr
-    28U,	// VPACKUSWBYrm
-    2U,	// VPACKUSWBYrr
-    24U,	// VPACKUSWBrm
-    2U,	// VPACKUSWBrr
-    28U,	// VPADDBYrm
-    2U,	// VPADDBYrr
-    24U,	// VPADDBrm
-    2U,	// VPADDBrr
-    28U,	// VPADDDYrm
-    2U,	// VPADDDYrr
-    26U,	// VPADDDZrm
-    196U,	// VPADDDZrmb
-    2U,	// VPADDDZrr
-    24U,	// VPADDDrm
-    2U,	// VPADDDrr
-    28U,	// VPADDQYrm
-    2U,	// VPADDQYrr
-    26U,	// VPADDQZrm
-    134U,	// VPADDQZrmb
-    2U,	// VPADDQZrr
-    24U,	// VPADDQrm
-    2U,	// VPADDQrr
-    28U,	// VPADDSBYrm
-    2U,	// VPADDSBYrr
-    24U,	// VPADDSBrm
-    2U,	// VPADDSBrr
-    28U,	// VPADDSWYrm
-    2U,	// VPADDSWYrr
-    24U,	// VPADDSWrm
-    2U,	// VPADDSWrr
-    28U,	// VPADDUSBYrm
-    2U,	// VPADDUSBYrr
-    24U,	// VPADDUSBrm
-    2U,	// VPADDUSBrr
-    28U,	// VPADDUSWYrm
-    2U,	// VPADDUSWYrr
-    24U,	// VPADDUSWrm
-    2U,	// VPADDUSWrr
-    28U,	// VPADDWYrm
-    2U,	// VPADDWYrr
-    24U,	// VPADDWrm
-    2U,	// VPADDWrr
-    4184U,	// VPALIGNR128rm
-    4418U,	// VPALIGNR128rr
-    4188U,	// VPALIGNR256rm
-    4418U,	// VPALIGNR256rr
-    26U,	// VPANDDZrm
-    196U,	// VPANDDZrmb
-    2U,	// VPANDDZrr
-    26U,	// VPANDNDZrm
-    196U,	// VPANDNDZrmb
-    2U,	// VPANDNDZrr
-    26U,	// VPANDNQZrm
-    134U,	// VPANDNQZrmb
-    2U,	// VPANDNQZrr
-    28U,	// VPANDNYrm
-    2U,	// VPANDNYrr
-    24U,	// VPANDNrm
-    2U,	// VPANDNrr
-    26U,	// VPANDQZrm
-    134U,	// VPANDQZrmb
-    2U,	// VPANDQZrr
-    28U,	// VPANDYrm
-    2U,	// VPANDYrr
-    24U,	// VPANDrm
-    2U,	// VPANDrr
-    28U,	// VPAVGBYrm
-    2U,	// VPAVGBYrr
-    24U,	// VPAVGBrm
-    2U,	// VPAVGBrr
-    28U,	// VPAVGWYrm
-    2U,	// VPAVGWYrr
-    24U,	// VPAVGWrm
-    2U,	// VPAVGWrr
-    4188U,	// VPBLENDDYrmi
-    4418U,	// VPBLENDDYrri
-    4184U,	// VPBLENDDrmi
-    4418U,	// VPBLENDDrri
-    578U,	// VPBLENDMDZrm
-    4419U,	// VPBLENDMDZrr
-    578U,	// VPBLENDMQZrm
-    4419U,	// VPBLENDMQZrr
-    4188U,	// VPBLENDVBYrm
-    4418U,	// VPBLENDVBYrr
-    4184U,	// VPBLENDVBrm
-    4418U,	// VPBLENDVBrr
-    4188U,	// VPBLENDWYrmi
-    4418U,	// VPBLENDWYrri
-    4184U,	// VPBLENDWrmi
-    4418U,	// VPBLENDWrri
+    56U,	// VPACKSSDWYrm
+    4U,	// VPACKSSDWYrr
+    48U,	// VPACKSSDWrm
+    4U,	// VPACKSSDWrr
+    56U,	// VPACKSSWBYrm
+    4U,	// VPACKSSWBYrr
+    48U,	// VPACKSSWBrm
+    4U,	// VPACKSSWBrr
+    56U,	// VPACKUSDWYrm
+    4U,	// VPACKUSDWYrr
+    48U,	// VPACKUSDWrm
+    4U,	// VPACKUSDWrr
+    56U,	// VPACKUSWBYrm
+    4U,	// VPACKUSWBYrr
+    48U,	// VPACKUSWBrm
+    4U,	// VPACKUSWBrr
+    56U,	// VPADDBYrm
+    4U,	// VPADDBYrr
+    48U,	// VPADDBrm
+    4U,	// VPADDBrr
+    56U,	// VPADDDYrm
+    4U,	// VPADDDYrr
+    52U,	// VPADDDZrm
+    392U,	// VPADDDZrmb
+    4U,	// VPADDDZrr
+    48U,	// VPADDDrm
+    4U,	// VPADDDrr
+    56U,	// VPADDQYrm
+    4U,	// VPADDQYrr
+    52U,	// VPADDQZrm
+    268U,	// VPADDQZrmb
+    4U,	// VPADDQZrr
+    48U,	// VPADDQrm
+    4U,	// VPADDQrr
+    56U,	// VPADDSBYrm
+    4U,	// VPADDSBYrr
+    48U,	// VPADDSBrm
+    4U,	// VPADDSBrr
+    56U,	// VPADDSWYrm
+    4U,	// VPADDSWYrr
+    48U,	// VPADDSWrm
+    4U,	// VPADDSWrr
+    56U,	// VPADDUSBYrm
+    4U,	// VPADDUSBYrr
+    48U,	// VPADDUSBrm
+    4U,	// VPADDUSBrr
+    56U,	// VPADDUSWYrm
+    4U,	// VPADDUSWYrr
+    48U,	// VPADDUSWrm
+    4U,	// VPADDUSWrr
+    56U,	// VPADDWYrm
+    4U,	// VPADDWYrr
+    48U,	// VPADDWrm
+    4U,	// VPADDWrr
+    8368U,	// VPALIGNR128rm
+    8836U,	// VPALIGNR128rr
+    8376U,	// VPALIGNR256rm
+    8836U,	// VPALIGNR256rr
+    52U,	// VPANDDZrm
+    392U,	// VPANDDZrmb
+    4U,	// VPANDDZrr
+    52U,	// VPANDNDZrm
+    392U,	// VPANDNDZrmb
+    4U,	// VPANDNDZrr
+    52U,	// VPANDNQZrm
+    268U,	// VPANDNQZrmb
+    4U,	// VPANDNQZrr
+    56U,	// VPANDNYrm
+    4U,	// VPANDNYrr
+    48U,	// VPANDNrm
+    4U,	// VPANDNrr
+    52U,	// VPANDQZrm
+    268U,	// VPANDQZrmb
+    4U,	// VPANDQZrr
+    56U,	// VPANDYrm
+    4U,	// VPANDYrr
+    48U,	// VPANDrm
+    4U,	// VPANDrr
+    56U,	// VPAVGBYrm
+    4U,	// VPAVGBYrr
+    48U,	// VPAVGBrm
+    4U,	// VPAVGBrr
+    56U,	// VPAVGWYrm
+    4U,	// VPAVGWYrr
+    48U,	// VPAVGWrm
+    4U,	// VPAVGWrr
+    8376U,	// VPBLENDDYrmi
+    8836U,	// VPBLENDDYrri
+    8368U,	// VPBLENDDrmi
+    8836U,	// VPBLENDDrri
+    1156U,	// VPBLENDMDZrm
+    1156U,	// VPBLENDMDZrm_Int
+    8837U,	// VPBLENDMDZrr
+    8837U,	// VPBLENDMDZrr_Int
+    1156U,	// VPBLENDMQZrm
+    1156U,	// VPBLENDMQZrm_Int
+    8837U,	// VPBLENDMQZrr
+    8837U,	// VPBLENDMQZrr_Int
+    8376U,	// VPBLENDVBYrm
+    8836U,	// VPBLENDVBYrr
+    8368U,	// VPBLENDVBrm
+    8836U,	// VPBLENDVBrr
+    8376U,	// VPBLENDWYrmi
+    8836U,	// VPBLENDWYrri
+    8368U,	// VPBLENDWrmi
+    8836U,	// VPBLENDWrri
     0U,	// VPBROADCASTBYrm
     0U,	// VPBROADCASTBYrr
     0U,	// VPBROADCASTBrm
     0U,	// VPBROADCASTBrr
     0U,	// VPBROADCASTDYrm
     0U,	// VPBROADCASTDYrr
-    5U,	// VPBROADCASTDZkrm
-    3U,	// VPBROADCASTDZkrr
+    9U,	// VPBROADCASTDZkrm
+    5U,	// VPBROADCASTDZkrr
     0U,	// VPBROADCASTDZrm
     0U,	// VPBROADCASTDZrr
-    3U,	// VPBROADCASTDrZkrr
+    5U,	// VPBROADCASTDrZkrr
     0U,	// VPBROADCASTDrZrr
     0U,	// VPBROADCASTDrm
     0U,	// VPBROADCASTDrr
@@ -9232,11 +9525,11 @@
     0U,	// VPBROADCASTMW2Drr
     0U,	// VPBROADCASTQYrm
     0U,	// VPBROADCASTQYrr
-    7U,	// VPBROADCASTQZkrm
-    3U,	// VPBROADCASTQZkrr
+    13U,	// VPBROADCASTQZkrm
+    5U,	// VPBROADCASTQZkrr
     0U,	// VPBROADCASTQZrm
     0U,	// VPBROADCASTQZrr
-    3U,	// VPBROADCASTQrZkrr
+    5U,	// VPBROADCASTQrZkrr
     0U,	// VPBROADCASTQrZrr
     0U,	// VPBROADCASTQrm
     0U,	// VPBROADCASTQrr
@@ -9244,175 +9537,193 @@
     0U,	// VPBROADCASTWYrr
     0U,	// VPBROADCASTWrm
     0U,	// VPBROADCASTWrr
-    4184U,	// VPCLMULQDQrm
-    4418U,	// VPCLMULQDQrr
-    4184U,	// VPCMOVmr
-    4178U,	// VPCMOVmrY
-    1858U,	// VPCMOVrm
-    2114U,	// VPCMOVrmY
-    4418U,	// VPCMOVrr
-    4418U,	// VPCMOVrrY
+    8368U,	// VPCLMULQDQrm
+    8836U,	// VPCLMULQDQrr
+    8368U,	// VPCMOVmr
+    8356U,	// VPCMOVmrY
+    3716U,	// VPCMOVrm
+    4228U,	// VPCMOVrmY
+    8836U,	// VPCMOVrr
+    8836U,	// VPCMOVrrY
     0U,	// VPCMPDZrmi
-    4186U,	// VPCMPDZrmi_alt
+    8372U,	// VPCMPDZrmi_alt
     0U,	// VPCMPDZrri
-    4418U,	// VPCMPDZrri_alt
-    28U,	// VPCMPEQBYrm
-    2U,	// VPCMPEQBYrr
-    24U,	// VPCMPEQBrm
-    2U,	// VPCMPEQBrr
-    28U,	// VPCMPEQDYrm
-    2U,	// VPCMPEQDYrr
-    26U,	// VPCMPEQDZrm
-    2U,	// VPCMPEQDZrr
-    24U,	// VPCMPEQDrm
-    2U,	// VPCMPEQDrr
-    28U,	// VPCMPEQQYrm
-    2U,	// VPCMPEQQYrr
-    26U,	// VPCMPEQQZrm
-    2U,	// VPCMPEQQZrr
-    24U,	// VPCMPEQQrm
-    2U,	// VPCMPEQQrr
-    28U,	// VPCMPEQWYrm
-    2U,	// VPCMPEQWYrr
-    24U,	// VPCMPEQWrm
-    2U,	// VPCMPEQWrr
+    8836U,	// VPCMPDZrri_alt
+    56U,	// VPCMPEQBYrm
+    4U,	// VPCMPEQBYrr
+    48U,	// VPCMPEQBrm
+    4U,	// VPCMPEQBrr
+    56U,	// VPCMPEQDYrm
+    4U,	// VPCMPEQDYrr
+    52U,	// VPCMPEQDZrm
+    4U,	// VPCMPEQDZrr
+    48U,	// VPCMPEQDrm
+    4U,	// VPCMPEQDrr
+    56U,	// VPCMPEQQYrm
+    4U,	// VPCMPEQQYrr
+    52U,	// VPCMPEQQZrm
+    4U,	// VPCMPEQQZrr
+    48U,	// VPCMPEQQrm
+    4U,	// VPCMPEQQrr
+    56U,	// VPCMPEQWYrm
+    4U,	// VPCMPEQWYrr
+    48U,	// VPCMPEQWrm
+    4U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
     0U,	// VPCMPESTRIrm
-    2U,	// VPCMPESTRIrr
+    4U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
     0U,	// VPCMPESTRM128rm
-    2U,	// VPCMPESTRM128rr
-    28U,	// VPCMPGTBYrm
-    2U,	// VPCMPGTBYrr
-    24U,	// VPCMPGTBrm
-    2U,	// VPCMPGTBrr
-    28U,	// VPCMPGTDYrm
-    2U,	// VPCMPGTDYrr
-    26U,	// VPCMPGTDZrm
-    2U,	// VPCMPGTDZrr
-    24U,	// VPCMPGTDrm
-    2U,	// VPCMPGTDrr
-    28U,	// VPCMPGTQYrm
-    2U,	// VPCMPGTQYrr
-    26U,	// VPCMPGTQZrm
-    2U,	// VPCMPGTQZrr
-    24U,	// VPCMPGTQrm
-    2U,	// VPCMPGTQrr
-    28U,	// VPCMPGTWYrm
-    2U,	// VPCMPGTWYrr
-    24U,	// VPCMPGTWrm
-    2U,	// VPCMPGTWrr
+    4U,	// VPCMPESTRM128rr
+    56U,	// VPCMPGTBYrm
+    4U,	// VPCMPGTBYrr
+    48U,	// VPCMPGTBrm
+    4U,	// VPCMPGTBrr
+    56U,	// VPCMPGTDYrm
+    4U,	// VPCMPGTDYrr
+    52U,	// VPCMPGTDZrm
+    4U,	// VPCMPGTDZrr
+    48U,	// VPCMPGTDrm
+    4U,	// VPCMPGTDrr
+    56U,	// VPCMPGTQYrm
+    4U,	// VPCMPGTQYrr
+    52U,	// VPCMPGTQZrm
+    4U,	// VPCMPGTQZrr
+    48U,	// VPCMPGTQrm
+    4U,	// VPCMPGTQrr
+    56U,	// VPCMPGTWYrm
+    4U,	// VPCMPGTWYrr
+    48U,	// VPCMPGTWrm
+    4U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
     0U,	// VPCMPISTRIrm
-    2U,	// VPCMPISTRIrr
+    4U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
     0U,	// VPCMPISTRM128rm
-    2U,	// VPCMPISTRM128rr
+    4U,	// VPCMPISTRM128rr
     0U,	// VPCMPQZrmi
-    4186U,	// VPCMPQZrmi_alt
+    8372U,	// VPCMPQZrmi_alt
     0U,	// VPCMPQZrri
-    4418U,	// VPCMPQZrri_alt
+    8836U,	// VPCMPQZrri_alt
     0U,	// VPCMPUDZrmi
-    4186U,	// VPCMPUDZrmi_alt
+    8372U,	// VPCMPUDZrmi_alt
     0U,	// VPCMPUDZrri
-    4418U,	// VPCMPUDZrri_alt
+    8836U,	// VPCMPUDZrri_alt
     0U,	// VPCMPUQZrmi
-    4186U,	// VPCMPUQZrmi_alt
+    8372U,	// VPCMPUQZrmi_alt
     0U,	// VPCMPUQZrri
-    4418U,	// VPCMPUQZrri_alt
-    4184U,	// VPCOMBmi
-    4418U,	// VPCOMBri
-    4184U,	// VPCOMDmi
-    4418U,	// VPCOMDri
-    4184U,	// VPCOMQmi
-    4418U,	// VPCOMQri
-    4184U,	// VPCOMUBmi
-    4418U,	// VPCOMUBri
-    4184U,	// VPCOMUDmi
-    4418U,	// VPCOMUDri
-    4184U,	// VPCOMUQmi
-    4418U,	// VPCOMUQri
-    4184U,	// VPCOMUWmi
-    4418U,	// VPCOMUWri
-    4184U,	// VPCOMWmi
-    4418U,	// VPCOMWri
-    4178U,	// VPERM2F128rm
-    4418U,	// VPERM2F128rr
-    4178U,	// VPERM2I128rm
-    4418U,	// VPERM2I128rr
-    28U,	// VPERMDYrm
-    2U,	// VPERMDYrr
-    26U,	// VPERMDZrm
-    2U,	// VPERMDZrr
-    40U,	// VPERMI2Drm
-    10U,	// VPERMI2Drr
-    40U,	// VPERMI2PDrm
-    10U,	// VPERMI2PDrr
-    40U,	// VPERMI2PSrm
-    10U,	// VPERMI2PSrr
-    40U,	// VPERMI2Qrm
-    10U,	// VPERMI2Qrr
-    86U,	// VPERMIL2PDmr
-    82U,	// VPERMIL2PDmrY
-    834U,	// VPERMIL2PDrm
-    1090U,	// VPERMIL2PDrmY
-    8514U,	// VPERMIL2PDrr
-    8514U,	// VPERMIL2PDrrY
-    86U,	// VPERMIL2PSmr
-    82U,	// VPERMIL2PSmrY
-    834U,	// VPERMIL2PSrm
-    1090U,	// VPERMIL2PSrmY
-    8514U,	// VPERMIL2PSrr
-    8514U,	// VPERMIL2PSrrY
+    8836U,	// VPCMPUQZrri_alt
+    8368U,	// VPCOMBmi
+    8836U,	// VPCOMBri
+    8368U,	// VPCOMDmi
+    8836U,	// VPCOMDri
+    8368U,	// VPCOMQmi
+    8836U,	// VPCOMQri
+    8368U,	// VPCOMUBmi
+    8836U,	// VPCOMUBri
+    8368U,	// VPCOMUDmi
+    8836U,	// VPCOMUDri
+    8368U,	// VPCOMUQmi
+    8836U,	// VPCOMUQri
+    8368U,	// VPCOMUWmi
+    8836U,	// VPCOMUWri
+    8368U,	// VPCOMWmi
+    8836U,	// VPCOMWri
+    0U,	// VPCONFLICTDrm
+    2U,	// VPCONFLICTDrmb
+    85U,	// VPCONFLICTDrmbk
+    393U,	// VPCONFLICTDrmbkz
+    81U,	// VPCONFLICTDrmk
+    53U,	// VPCONFLICTDrmkz
+    0U,	// VPCONFLICTDrr
+    21U,	// VPCONFLICTDrrk
+    5U,	// VPCONFLICTDrrkz
+    0U,	// VPCONFLICTQrm
+    2U,	// VPCONFLICTQrmb
+    89U,	// VPCONFLICTQrmbk
+    269U,	// VPCONFLICTQrmbkz
+    81U,	// VPCONFLICTQrmk
+    53U,	// VPCONFLICTQrmkz
+    0U,	// VPCONFLICTQrr
+    21U,	// VPCONFLICTQrrk
+    5U,	// VPCONFLICTQrrkz
+    8356U,	// VPERM2F128rm
+    8836U,	// VPERM2F128rr
+    8356U,	// VPERM2I128rm
+    8836U,	// VPERM2I128rr
+    56U,	// VPERMDYrm
+    4U,	// VPERMDYrr
+    52U,	// VPERMDZrm
+    4U,	// VPERMDZrr
+    80U,	// VPERMI2Drm
+    20U,	// VPERMI2Drr
+    80U,	// VPERMI2PDrm
+    20U,	// VPERMI2PDrr
+    80U,	// VPERMI2PSrm
+    20U,	// VPERMI2PSrr
+    80U,	// VPERMI2Qrm
+    20U,	// VPERMI2Qrr
+    172U,	// VPERMIL2PDmr
+    164U,	// VPERMIL2PDmrY
+    1668U,	// VPERMIL2PDrm
+    2180U,	// VPERMIL2PDrmY
+    17028U,	// VPERMIL2PDrr
+    17028U,	// VPERMIL2PDrrY
+    172U,	// VPERMIL2PSmr
+    164U,	// VPERMIL2PSmrY
+    1668U,	// VPERMIL2PSrm
+    2180U,	// VPERMIL2PSrmY
+    17028U,	// VPERMIL2PSrr
+    17028U,	// VPERMIL2PSrrY
     0U,	// VPERMILPDYmi
-    2U,	// VPERMILPDYri
-    28U,	// VPERMILPDYrm
-    2U,	// VPERMILPDYrr
+    4U,	// VPERMILPDYri
+    56U,	// VPERMILPDYrm
+    4U,	// VPERMILPDYrr
     0U,	// VPERMILPDZmi
-    2U,	// VPERMILPDZri
+    4U,	// VPERMILPDZri
     0U,	// VPERMILPDmi
-    2U,	// VPERMILPDri
-    24U,	// VPERMILPDrm
-    2U,	// VPERMILPDrr
+    4U,	// VPERMILPDri
+    48U,	// VPERMILPDrm
+    4U,	// VPERMILPDrr
     0U,	// VPERMILPSYmi
-    2U,	// VPERMILPSYri
-    28U,	// VPERMILPSYrm
-    2U,	// VPERMILPSYrr
+    4U,	// VPERMILPSYri
+    56U,	// VPERMILPSYrm
+    4U,	// VPERMILPSYrr
     0U,	// VPERMILPSZmi
-    2U,	// VPERMILPSZri
+    4U,	// VPERMILPSZri
     0U,	// VPERMILPSmi
-    2U,	// VPERMILPSri
-    24U,	// VPERMILPSrm
-    2U,	// VPERMILPSrr
+    4U,	// VPERMILPSri
+    48U,	// VPERMILPSrm
+    4U,	// VPERMILPSrr
     0U,	// VPERMPDYmi
-    2U,	// VPERMPDYri
+    4U,	// VPERMPDYri
     0U,	// VPERMPDZmi
-    2U,	// VPERMPDZri
-    20U,	// VPERMPDZrm
-    2U,	// VPERMPDZrr
-    28U,	// VPERMPSYrm
-    2U,	// VPERMPSYrr
-    20U,	// VPERMPSZrm
-    2U,	// VPERMPSZrr
+    4U,	// VPERMPDZri
+    40U,	// VPERMPDZrm
+    4U,	// VPERMPDZrr
+    56U,	// VPERMPSYrm
+    4U,	// VPERMPSYrr
+    40U,	// VPERMPSZrm
+    4U,	// VPERMPSZrr
     0U,	// VPERMQYmi
-    2U,	// VPERMQYri
+    4U,	// VPERMQYri
     0U,	// VPERMQZmi
-    2U,	// VPERMQZri
-    26U,	// VPERMQZrm
-    2U,	// VPERMQZrr
+    4U,	// VPERMQZri
+    52U,	// VPERMQZrm
+    4U,	// VPERMQZrr
     0U,	// VPEXTRBmr
-    2U,	// VPEXTRBrr
-    2U,	// VPEXTRBrr64
+    4U,	// VPEXTRBrr
     0U,	// VPEXTRDmr
-    2U,	// VPEXTRDrr
+    4U,	// VPEXTRDrr
     0U,	// VPEXTRQmr
-    2U,	// VPEXTRQrr
+    4U,	// VPEXTRQrr
     0U,	// VPEXTRWmr
-    2U,	// VPEXTRWri
+    4U,	// VPEXTRWri
+    4U,	// VPEXTRWrr_REV
     0U,	// VPGATHERDDYrm
     1U,	// VPGATHERDDZrm
     0U,	// VPGATHERDDrm
@@ -9433,14 +9744,14 @@
     0U,	// VPHADDBWrr
     0U,	// VPHADDDQrm
     0U,	// VPHADDDQrr
-    28U,	// VPHADDDYrm
-    2U,	// VPHADDDYrr
-    24U,	// VPHADDDrm
-    2U,	// VPHADDDrr
-    24U,	// VPHADDSWrm128
-    28U,	// VPHADDSWrm256
-    2U,	// VPHADDSWrr128
-    2U,	// VPHADDSWrr256
+    56U,	// VPHADDDYrm
+    4U,	// VPHADDDYrr
+    48U,	// VPHADDDrm
+    4U,	// VPHADDDrr
+    48U,	// VPHADDSWrm128
+    56U,	// VPHADDSWrm256
+    4U,	// VPHADDSWrr128
+    4U,	// VPHADDSWrr256
     0U,	// VPHADDUBDrm
     0U,	// VPHADDUBDrr
     0U,	// VPHADDUBQrm
@@ -9457,159 +9768,180 @@
     0U,	// VPHADDWDrr
     0U,	// VPHADDWQrm
     0U,	// VPHADDWQrr
-    28U,	// VPHADDWYrm
-    2U,	// VPHADDWYrr
-    24U,	// VPHADDWrm
-    2U,	// VPHADDWrr
+    56U,	// VPHADDWYrm
+    4U,	// VPHADDWYrr
+    48U,	// VPHADDWrm
+    4U,	// VPHADDWrr
     0U,	// VPHMINPOSUWrm128
     0U,	// VPHMINPOSUWrr128
     0U,	// VPHSUBBWrm
     0U,	// VPHSUBBWrr
     0U,	// VPHSUBDQrm
     0U,	// VPHSUBDQrr
-    28U,	// VPHSUBDYrm
-    2U,	// VPHSUBDYrr
-    24U,	// VPHSUBDrm
-    2U,	// VPHSUBDrr
-    24U,	// VPHSUBSWrm128
-    28U,	// VPHSUBSWrm256
-    2U,	// VPHSUBSWrr128
-    2U,	// VPHSUBSWrr256
+    56U,	// VPHSUBDYrm
+    4U,	// VPHSUBDYrr
+    48U,	// VPHSUBDrm
+    4U,	// VPHSUBDrr
+    48U,	// VPHSUBSWrm128
+    56U,	// VPHSUBSWrm256
+    4U,	// VPHSUBSWrr128
+    4U,	// VPHSUBSWrr256
     0U,	// VPHSUBWDrm
     0U,	// VPHSUBWDrr
-    28U,	// VPHSUBWYrm
-    2U,	// VPHSUBWYrr
-    24U,	// VPHSUBWrm
-    2U,	// VPHSUBWrr
-    42U,	// VPINSRBrm
-    4418U,	// VPINSRBrr
-    4164U,	// VPINSRDrm
-    4418U,	// VPINSRDrr
-    4166U,	// VPINSRQrm
-    4418U,	// VPINSRQrr
-    44U,	// VPINSRWrmi
-    4418U,	// VPINSRWrr64i
-    4418U,	// VPINSRWrri
-    4184U,	// VPMACSDDrm
-    4418U,	// VPMACSDDrr
-    4184U,	// VPMACSDQHrm
-    4418U,	// VPMACSDQHrr
-    4184U,	// VPMACSDQLrm
-    4418U,	// VPMACSDQLrr
-    4184U,	// VPMACSSDDrm
-    4418U,	// VPMACSSDDrr
-    4184U,	// VPMACSSDQHrm
-    4418U,	// VPMACSSDQHrr
-    4184U,	// VPMACSSDQLrm
-    4418U,	// VPMACSSDQLrr
-    4184U,	// VPMACSSWDrm
-    4418U,	// VPMACSSWDrr
-    4184U,	// VPMACSSWWrm
-    4418U,	// VPMACSSWWrr
-    4184U,	// VPMACSWDrm
-    4418U,	// VPMACSWDrr
-    4184U,	// VPMACSWWrm
-    4418U,	// VPMACSWWrr
-    4184U,	// VPMADCSSWDrm
-    4418U,	// VPMADCSSWDrr
-    4184U,	// VPMADCSWDrm
-    4418U,	// VPMADCSWDrr
-    24U,	// VPMADDUBSWrm128
-    28U,	// VPMADDUBSWrm256
-    2U,	// VPMADDUBSWrr128
-    2U,	// VPMADDUBSWrr256
-    28U,	// VPMADDWDYrm
-    2U,	// VPMADDWDYrr
-    24U,	// VPMADDWDrm
-    2U,	// VPMADDWDrr
+    56U,	// VPHSUBWYrm
+    4U,	// VPHSUBWYrr
+    48U,	// VPHSUBWrm
+    4U,	// VPHSUBWrr
+    92U,	// VPINSRBrm
+    8836U,	// VPINSRBrr
+    8328U,	// VPINSRDrm
+    8836U,	// VPINSRDrr
+    8332U,	// VPINSRQrm
+    8836U,	// VPINSRQrr
+    96U,	// VPINSRWrmi
+    8836U,	// VPINSRWrri
+    8368U,	// VPMACSDDrm
+    8836U,	// VPMACSDDrr
+    8368U,	// VPMACSDQHrm
+    8836U,	// VPMACSDQHrr
+    8368U,	// VPMACSDQLrm
+    8836U,	// VPMACSDQLrr
+    8368U,	// VPMACSSDDrm
+    8836U,	// VPMACSSDDrr
+    8368U,	// VPMACSSDQHrm
+    8836U,	// VPMACSSDQHrr
+    8368U,	// VPMACSSDQLrm
+    8836U,	// VPMACSSDQLrr
+    8368U,	// VPMACSSWDrm
+    8836U,	// VPMACSSWDrr
+    8368U,	// VPMACSSWWrm
+    8836U,	// VPMACSSWWrr
+    8368U,	// VPMACSWDrm
+    8836U,	// VPMACSWDrr
+    8368U,	// VPMACSWWrm
+    8836U,	// VPMACSWWrr
+    8368U,	// VPMADCSSWDrm
+    8836U,	// VPMADCSSWDrr
+    8368U,	// VPMADCSWDrm
+    8836U,	// VPMADCSWDrr
+    48U,	// VPMADDUBSWrm128
+    56U,	// VPMADDUBSWrm256
+    4U,	// VPMADDUBSWrr128
+    4U,	// VPMADDUBSWrr256
+    56U,	// VPMADDWDYrm
+    4U,	// VPMADDWDYrr
+    48U,	// VPMADDWDrm
+    4U,	// VPMADDWDrr
     0U,	// VPMASKMOVDYmr
-    28U,	// VPMASKMOVDYrm
+    56U,	// VPMASKMOVDYrm
     0U,	// VPMASKMOVDmr
-    24U,	// VPMASKMOVDrm
+    48U,	// VPMASKMOVDrm
     0U,	// VPMASKMOVQYmr
-    28U,	// VPMASKMOVQYrm
+    56U,	// VPMASKMOVQYrm
     0U,	// VPMASKMOVQmr
-    24U,	// VPMASKMOVQrm
-    28U,	// VPMAXSBYrm
-    2U,	// VPMAXSBYrr
-    24U,	// VPMAXSBrm
-    2U,	// VPMAXSBrr
-    28U,	// VPMAXSDYrm
-    2U,	// VPMAXSDYrr
-    24U,	// VPMAXSDrm
-    2U,	// VPMAXSDrr
-    28U,	// VPMAXSWYrm
-    2U,	// VPMAXSWYrr
-    24U,	// VPMAXSWrm
-    2U,	// VPMAXSWrr
-    28U,	// VPMAXUBYrm
-    2U,	// VPMAXUBYrr
-    24U,	// VPMAXUBrm
-    2U,	// VPMAXUBrr
-    28U,	// VPMAXUDYrm
-    2U,	// VPMAXUDYrr
-    24U,	// VPMAXUDrm
-    2U,	// VPMAXUDrr
-    28U,	// VPMAXUWYrm
-    2U,	// VPMAXUWYrr
-    24U,	// VPMAXUWrm
-    2U,	// VPMAXUWrr
-    28U,	// VPMINSBYrm
-    2U,	// VPMINSBYrr
-    24U,	// VPMINSBrm
-    2U,	// VPMINSBrr
-    28U,	// VPMINSDYrm
-    2U,	// VPMINSDYrr
-    24U,	// VPMINSDrm
-    2U,	// VPMINSDrr
-    28U,	// VPMINSWYrm
-    2U,	// VPMINSWYrr
-    24U,	// VPMINSWrm
-    2U,	// VPMINSWrr
-    28U,	// VPMINUBYrm
-    2U,	// VPMINUBYrr
-    24U,	// VPMINUBrm
-    2U,	// VPMINUBrr
-    28U,	// VPMINUDYrm
-    2U,	// VPMINUDYrr
-    24U,	// VPMINUDrm
-    2U,	// VPMINUDrr
-    28U,	// VPMINUWYrm
-    2U,	// VPMINUWYrr
-    24U,	// VPMINUWrm
-    2U,	// VPMINUWrr
-    3U,	// VPMOVDBkrr
+    48U,	// VPMASKMOVQrm
+    56U,	// VPMAXSBYrm
+    4U,	// VPMAXSBYrr
+    48U,	// VPMAXSBrm
+    4U,	// VPMAXSBrr
+    56U,	// VPMAXSDYrm
+    4U,	// VPMAXSDYrr
+    52U,	// VPMAXSDZrm
+    392U,	// VPMAXSDZrmb
+    4U,	// VPMAXSDZrr
+    48U,	// VPMAXSDrm
+    4U,	// VPMAXSDrr
+    52U,	// VPMAXSQZrm
+    268U,	// VPMAXSQZrmb
+    4U,	// VPMAXSQZrr
+    56U,	// VPMAXSWYrm
+    4U,	// VPMAXSWYrr
+    48U,	// VPMAXSWrm
+    4U,	// VPMAXSWrr
+    56U,	// VPMAXUBYrm
+    4U,	// VPMAXUBYrr
+    48U,	// VPMAXUBrm
+    4U,	// VPMAXUBrr
+    56U,	// VPMAXUDYrm
+    4U,	// VPMAXUDYrr
+    52U,	// VPMAXUDZrm
+    392U,	// VPMAXUDZrmb
+    4U,	// VPMAXUDZrr
+    48U,	// VPMAXUDrm
+    4U,	// VPMAXUDrr
+    52U,	// VPMAXUQZrm
+    268U,	// VPMAXUQZrmb
+    4U,	// VPMAXUQZrr
+    56U,	// VPMAXUWYrm
+    4U,	// VPMAXUWYrr
+    48U,	// VPMAXUWrm
+    4U,	// VPMAXUWrr
+    56U,	// VPMINSBYrm
+    4U,	// VPMINSBYrr
+    48U,	// VPMINSBrm
+    4U,	// VPMINSBrr
+    56U,	// VPMINSDYrm
+    4U,	// VPMINSDYrr
+    52U,	// VPMINSDZrm
+    392U,	// VPMINSDZrmb
+    4U,	// VPMINSDZrr
+    48U,	// VPMINSDrm
+    4U,	// VPMINSDrr
+    52U,	// VPMINSQZrm
+    268U,	// VPMINSQZrmb
+    4U,	// VPMINSQZrr
+    56U,	// VPMINSWYrm
+    4U,	// VPMINSWYrr
+    48U,	// VPMINSWrm
+    4U,	// VPMINSWrr
+    56U,	// VPMINUBYrm
+    4U,	// VPMINUBYrr
+    48U,	// VPMINUBrm
+    4U,	// VPMINUBrr
+    56U,	// VPMINUDYrm
+    4U,	// VPMINUDYrr
+    52U,	// VPMINUDZrm
+    392U,	// VPMINUDZrmb
+    4U,	// VPMINUDZrr
+    48U,	// VPMINUDrm
+    4U,	// VPMINUDrr
+    52U,	// VPMINUQZrm
+    268U,	// VPMINUQZrmb
+    4U,	// VPMINUQZrr
+    56U,	// VPMINUWYrm
+    4U,	// VPMINUWYrr
+    48U,	// VPMINUWrm
+    4U,	// VPMINUWrr
+    5U,	// VPMOVDBkrr
     0U,	// VPMOVDBmr
     0U,	// VPMOVDBrr
-    3U,	// VPMOVDWkrr
+    5U,	// VPMOVDWkrr
     0U,	// VPMOVDWmr
     0U,	// VPMOVDWrr
-    0U,	// VPMOVMSKBYr64r
     0U,	// VPMOVMSKBYrr
-    0U,	// VPMOVMSKBr64r
     0U,	// VPMOVMSKBrr
-    3U,	// VPMOVQBkrr
+    5U,	// VPMOVQBkrr
     0U,	// VPMOVQBmr
     0U,	// VPMOVQBrr
-    3U,	// VPMOVQDkrr
+    5U,	// VPMOVQDkrr
     0U,	// VPMOVQDmr
     0U,	// VPMOVQDrr
-    3U,	// VPMOVQWkrr
+    5U,	// VPMOVQWkrr
     0U,	// VPMOVQWmr
     0U,	// VPMOVQWrr
-    3U,	// VPMOVSDBkrr
+    5U,	// VPMOVSDBkrr
     0U,	// VPMOVSDBmr
     0U,	// VPMOVSDBrr
-    3U,	// VPMOVSDWkrr
+    5U,	// VPMOVSDWkrr
     0U,	// VPMOVSDWmr
     0U,	// VPMOVSDWrr
-    3U,	// VPMOVSQBkrr
+    5U,	// VPMOVSQBkrr
     0U,	// VPMOVSQBmr
     0U,	// VPMOVSQBrr
-    3U,	// VPMOVSQDkrr
+    5U,	// VPMOVSQDkrr
     0U,	// VPMOVSQDmr
     0U,	// VPMOVSQDrr
-    3U,	// VPMOVSQWkrr
+    5U,	// VPMOVSQWkrr
     0U,	// VPMOVSQWmr
     0U,	// VPMOVSQWrr
     0U,	// VPMOVSXBDYrm
@@ -9646,19 +9978,19 @@
     0U,	// VPMOVSXWQZrr
     0U,	// VPMOVSXWQrm
     0U,	// VPMOVSXWQrr
-    3U,	// VPMOVUSDBkrr
+    5U,	// VPMOVUSDBkrr
     0U,	// VPMOVUSDBmr
     0U,	// VPMOVUSDBrr
-    3U,	// VPMOVUSDWkrr
+    5U,	// VPMOVUSDWkrr
     0U,	// VPMOVUSDWmr
     0U,	// VPMOVUSDWrr
-    3U,	// VPMOVUSQBkrr
+    5U,	// VPMOVUSQBkrr
     0U,	// VPMOVUSQBmr
     0U,	// VPMOVUSQBrr
-    3U,	// VPMOVUSQDkrr
+    5U,	// VPMOVUSQDkrr
     0U,	// VPMOVUSQDmr
     0U,	// VPMOVUSQDrr
-    3U,	// VPMOVUSQWkrr
+    5U,	// VPMOVUSQWkrr
     0U,	// VPMOVUSQWmr
     0U,	// VPMOVUSQWrr
     0U,	// VPMOVZXBDYrm
@@ -9695,364 +10027,364 @@
     0U,	// VPMOVZXWQZrr
     0U,	// VPMOVZXWQrm
     0U,	// VPMOVZXWQrr
-    28U,	// VPMULDQYrm
-    2U,	// VPMULDQYrr
-    26U,	// VPMULDQZrm
-    2U,	// VPMULDQZrr
-    24U,	// VPMULDQrm
-    2U,	// VPMULDQrr
-    24U,	// VPMULHRSWrm128
-    28U,	// VPMULHRSWrm256
-    2U,	// VPMULHRSWrr128
-    2U,	// VPMULHRSWrr256
-    28U,	// VPMULHUWYrm
-    2U,	// VPMULHUWYrr
-    24U,	// VPMULHUWrm
-    2U,	// VPMULHUWrr
-    28U,	// VPMULHWYrm
-    2U,	// VPMULHWYrr
-    24U,	// VPMULHWrm
-    2U,	// VPMULHWrr
-    28U,	// VPMULLDYrm
-    2U,	// VPMULLDYrr
-    26U,	// VPMULLDZrm
-    196U,	// VPMULLDZrmb
-    2U,	// VPMULLDZrr
-    24U,	// VPMULLDrm
-    2U,	// VPMULLDrr
-    28U,	// VPMULLWYrm
-    2U,	// VPMULLWYrr
-    24U,	// VPMULLWrm
-    2U,	// VPMULLWrr
-    28U,	// VPMULUDQYrm
-    2U,	// VPMULUDQYrr
-    26U,	// VPMULUDQZrm
-    2U,	// VPMULUDQZrr
-    24U,	// VPMULUDQrm
-    2U,	// VPMULUDQrr
-    26U,	// VPORDZrm
-    196U,	// VPORDZrmb
-    2U,	// VPORDZrr
-    26U,	// VPORQZrm
-    134U,	// VPORQZrmb
-    2U,	// VPORQZrr
-    28U,	// VPORYrm
-    2U,	// VPORYrr
-    24U,	// VPORrm
-    2U,	// VPORrr
-    4184U,	// VPPERMmr
-    1858U,	// VPPERMrm
-    4418U,	// VPPERMrr
+    56U,	// VPMULDQYrm
+    4U,	// VPMULDQYrr
+    52U,	// VPMULDQZrm
+    4U,	// VPMULDQZrr
+    48U,	// VPMULDQrm
+    4U,	// VPMULDQrr
+    48U,	// VPMULHRSWrm128
+    56U,	// VPMULHRSWrm256
+    4U,	// VPMULHRSWrr128
+    4U,	// VPMULHRSWrr256
+    56U,	// VPMULHUWYrm
+    4U,	// VPMULHUWYrr
+    48U,	// VPMULHUWrm
+    4U,	// VPMULHUWrr
+    56U,	// VPMULHWYrm
+    4U,	// VPMULHWYrr
+    48U,	// VPMULHWrm
+    4U,	// VPMULHWrr
+    56U,	// VPMULLDYrm
+    4U,	// VPMULLDYrr
+    52U,	// VPMULLDZrm
+    392U,	// VPMULLDZrmb
+    4U,	// VPMULLDZrr
+    48U,	// VPMULLDrm
+    4U,	// VPMULLDrr
+    56U,	// VPMULLWYrm
+    4U,	// VPMULLWYrr
+    48U,	// VPMULLWrm
+    4U,	// VPMULLWrr
+    56U,	// VPMULUDQYrm
+    4U,	// VPMULUDQYrr
+    52U,	// VPMULUDQZrm
+    4U,	// VPMULUDQZrr
+    48U,	// VPMULUDQrm
+    4U,	// VPMULUDQrr
+    52U,	// VPORDZrm
+    392U,	// VPORDZrmb
+    4U,	// VPORDZrr
+    52U,	// VPORQZrm
+    268U,	// VPORQZrmb
+    4U,	// VPORQZrr
+    56U,	// VPORYrm
+    4U,	// VPORYrr
+    48U,	// VPORrm
+    4U,	// VPORrr
+    8368U,	// VPPERMmr
+    3716U,	// VPPERMrm
+    8836U,	// VPPERMrr
     0U,	// VPROTBmi
     0U,	// VPROTBmr
-    2U,	// VPROTBri
-    24U,	// VPROTBrm
-    2U,	// VPROTBrr
+    4U,	// VPROTBri
+    48U,	// VPROTBrm
+    4U,	// VPROTBrr
     0U,	// VPROTDmi
     0U,	// VPROTDmr
-    2U,	// VPROTDri
-    24U,	// VPROTDrm
-    2U,	// VPROTDrr
+    4U,	// VPROTDri
+    48U,	// VPROTDrm
+    4U,	// VPROTDrr
     0U,	// VPROTQmi
     0U,	// VPROTQmr
-    2U,	// VPROTQri
-    24U,	// VPROTQrm
-    2U,	// VPROTQrr
+    4U,	// VPROTQri
+    48U,	// VPROTQrm
+    4U,	// VPROTQrr
     0U,	// VPROTWmi
     0U,	// VPROTWmr
-    2U,	// VPROTWri
-    24U,	// VPROTWrm
-    2U,	// VPROTWrr
-    28U,	// VPSADBWYrm
-    2U,	// VPSADBWYrr
-    24U,	// VPSADBWrm
-    2U,	// VPSADBWrr
+    4U,	// VPROTWri
+    48U,	// VPROTWrm
+    4U,	// VPROTWrr
+    56U,	// VPSADBWYrm
+    4U,	// VPSADBWYrr
+    48U,	// VPSADBWrm
+    4U,	// VPSADBWrr
     0U,	// VPSCATTERDDZmr
     0U,	// VPSCATTERDQZmr
     0U,	// VPSCATTERQDZmr
     0U,	// VPSCATTERQQZmr
     0U,	// VPSHABmr
-    24U,	// VPSHABrm
-    2U,	// VPSHABrr
+    48U,	// VPSHABrm
+    4U,	// VPSHABrr
     0U,	// VPSHADmr
-    24U,	// VPSHADrm
-    2U,	// VPSHADrr
+    48U,	// VPSHADrm
+    4U,	// VPSHADrr
     0U,	// VPSHAQmr
-    24U,	// VPSHAQrm
-    2U,	// VPSHAQrr
+    48U,	// VPSHAQrm
+    4U,	// VPSHAQrr
     0U,	// VPSHAWmr
-    24U,	// VPSHAWrm
-    2U,	// VPSHAWrr
+    48U,	// VPSHAWrm
+    4U,	// VPSHAWrr
     0U,	// VPSHLBmr
-    24U,	// VPSHLBrm
-    2U,	// VPSHLBrr
+    48U,	// VPSHLBrm
+    4U,	// VPSHLBrr
     0U,	// VPSHLDmr
-    24U,	// VPSHLDrm
-    2U,	// VPSHLDrr
+    48U,	// VPSHLDrm
+    4U,	// VPSHLDrr
     0U,	// VPSHLQmr
-    24U,	// VPSHLQrm
-    2U,	// VPSHLQrr
+    48U,	// VPSHLQrm
+    4U,	// VPSHLQrr
     0U,	// VPSHLWmr
-    24U,	// VPSHLWrm
-    2U,	// VPSHLWrr
-    28U,	// VPSHUFBYrm
-    2U,	// VPSHUFBYrr
-    24U,	// VPSHUFBrm
-    2U,	// VPSHUFBrr
+    48U,	// VPSHLWrm
+    4U,	// VPSHLWrr
+    56U,	// VPSHUFBYrm
+    4U,	// VPSHUFBYrr
+    48U,	// VPSHUFBrm
+    4U,	// VPSHUFBrr
     0U,	// VPSHUFDYmi
-    2U,	// VPSHUFDYri
+    4U,	// VPSHUFDYri
     0U,	// VPSHUFDZmi
-    2U,	// VPSHUFDZri
+    4U,	// VPSHUFDZri
     0U,	// VPSHUFDmi
-    2U,	// VPSHUFDri
+    4U,	// VPSHUFDri
     0U,	// VPSHUFHWYmi
-    2U,	// VPSHUFHWYri
+    4U,	// VPSHUFHWYri
     0U,	// VPSHUFHWmi
-    2U,	// VPSHUFHWri
+    4U,	// VPSHUFHWri
     0U,	// VPSHUFLWYmi
-    2U,	// VPSHUFLWYri
+    4U,	// VPSHUFLWYri
     0U,	// VPSHUFLWmi
-    2U,	// VPSHUFLWri
-    28U,	// VPSIGNBYrm
-    2U,	// VPSIGNBYrr
-    24U,	// VPSIGNBrm
-    2U,	// VPSIGNBrr
-    28U,	// VPSIGNDYrm
-    2U,	// VPSIGNDYrr
-    24U,	// VPSIGNDrm
-    2U,	// VPSIGNDrr
-    28U,	// VPSIGNWYrm
-    2U,	// VPSIGNWYrr
-    24U,	// VPSIGNWrm
-    2U,	// VPSIGNWrr
-    2U,	// VPSLLDQYri
-    2U,	// VPSLLDQri
-    2U,	// VPSLLDYri
-    24U,	// VPSLLDYrm
-    2U,	// VPSLLDYrr
+    4U,	// VPSHUFLWri
+    56U,	// VPSIGNBYrm
+    4U,	// VPSIGNBYrr
+    48U,	// VPSIGNBrm
+    4U,	// VPSIGNBrr
+    56U,	// VPSIGNDYrm
+    4U,	// VPSIGNDYrr
+    48U,	// VPSIGNDrm
+    4U,	// VPSIGNDrr
+    56U,	// VPSIGNWYrm
+    4U,	// VPSIGNWYrr
+    48U,	// VPSIGNWrm
+    4U,	// VPSIGNWrr
+    4U,	// VPSLLDQYri
+    4U,	// VPSLLDQri
+    4U,	// VPSLLDYri
+    48U,	// VPSLLDYrm
+    4U,	// VPSLLDYrr
     0U,	// VPSLLDZmi
-    4187U,	// VPSLLDZmik
-    2U,	// VPSLLDZri
-    4419U,	// VPSLLDZrik
-    24U,	// VPSLLDZrm
-    1859U,	// VPSLLDZrmk
-    2U,	// VPSLLDZrr
-    4419U,	// VPSLLDZrrk
-    2U,	// VPSLLDri
-    24U,	// VPSLLDrm
-    2U,	// VPSLLDrr
-    2U,	// VPSLLQYri
-    24U,	// VPSLLQYrm
-    2U,	// VPSLLQYrr
+    8373U,	// VPSLLDZmik
+    4U,	// VPSLLDZri
+    8837U,	// VPSLLDZrik
+    48U,	// VPSLLDZrm
+    3717U,	// VPSLLDZrmk
+    4U,	// VPSLLDZrr
+    8837U,	// VPSLLDZrrk
+    4U,	// VPSLLDri
+    48U,	// VPSLLDrm
+    4U,	// VPSLLDrr
+    4U,	// VPSLLQYri
+    48U,	// VPSLLQYrm
+    4U,	// VPSLLQYrr
     0U,	// VPSLLQZmi
-    4187U,	// VPSLLQZmik
-    2U,	// VPSLLQZri
-    4419U,	// VPSLLQZrik
-    24U,	// VPSLLQZrm
-    1859U,	// VPSLLQZrmk
-    2U,	// VPSLLQZrr
-    4419U,	// VPSLLQZrrk
-    2U,	// VPSLLQri
-    24U,	// VPSLLQrm
-    2U,	// VPSLLQrr
-    28U,	// VPSLLVDYrm
-    2U,	// VPSLLVDYrr
-    26U,	// VPSLLVDZrm
-    2U,	// VPSLLVDZrr
-    24U,	// VPSLLVDrm
-    2U,	// VPSLLVDrr
-    28U,	// VPSLLVQYrm
-    2U,	// VPSLLVQYrr
-    26U,	// VPSLLVQZrm
-    2U,	// VPSLLVQZrr
-    24U,	// VPSLLVQrm
-    2U,	// VPSLLVQrr
-    2U,	// VPSLLWYri
-    24U,	// VPSLLWYrm
-    2U,	// VPSLLWYrr
-    2U,	// VPSLLWri
-    24U,	// VPSLLWrm
-    2U,	// VPSLLWrr
-    2U,	// VPSRADYri
-    24U,	// VPSRADYrm
-    2U,	// VPSRADYrr
+    8373U,	// VPSLLQZmik
+    4U,	// VPSLLQZri
+    8837U,	// VPSLLQZrik
+    48U,	// VPSLLQZrm
+    3717U,	// VPSLLQZrmk
+    4U,	// VPSLLQZrr
+    8837U,	// VPSLLQZrrk
+    4U,	// VPSLLQri
+    48U,	// VPSLLQrm
+    4U,	// VPSLLQrr
+    56U,	// VPSLLVDYrm
+    4U,	// VPSLLVDYrr
+    52U,	// VPSLLVDZrm
+    4U,	// VPSLLVDZrr
+    48U,	// VPSLLVDrm
+    4U,	// VPSLLVDrr
+    56U,	// VPSLLVQYrm
+    4U,	// VPSLLVQYrr
+    52U,	// VPSLLVQZrm
+    4U,	// VPSLLVQZrr
+    48U,	// VPSLLVQrm
+    4U,	// VPSLLVQrr
+    4U,	// VPSLLWYri
+    48U,	// VPSLLWYrm
+    4U,	// VPSLLWYrr
+    4U,	// VPSLLWri
+    48U,	// VPSLLWrm
+    4U,	// VPSLLWrr
+    4U,	// VPSRADYri
+    48U,	// VPSRADYrm
+    4U,	// VPSRADYrr
     0U,	// VPSRADZmi
-    4187U,	// VPSRADZmik
-    2U,	// VPSRADZri
-    4419U,	// VPSRADZrik
-    24U,	// VPSRADZrm
-    1859U,	// VPSRADZrmk
-    2U,	// VPSRADZrr
-    4419U,	// VPSRADZrrk
-    2U,	// VPSRADri
-    24U,	// VPSRADrm
-    2U,	// VPSRADrr
+    8373U,	// VPSRADZmik
+    4U,	// VPSRADZri
+    8837U,	// VPSRADZrik
+    48U,	// VPSRADZrm
+    3717U,	// VPSRADZrmk
+    4U,	// VPSRADZrr
+    8837U,	// VPSRADZrrk
+    4U,	// VPSRADri
+    48U,	// VPSRADrm
+    4U,	// VPSRADrr
     0U,	// VPSRAQZmi
-    4187U,	// VPSRAQZmik
-    2U,	// VPSRAQZri
-    4419U,	// VPSRAQZrik
-    24U,	// VPSRAQZrm
-    1859U,	// VPSRAQZrmk
-    2U,	// VPSRAQZrr
-    4419U,	// VPSRAQZrrk
-    28U,	// VPSRAVDYrm
-    2U,	// VPSRAVDYrr
-    26U,	// VPSRAVDZrm
-    2U,	// VPSRAVDZrr
-    24U,	// VPSRAVDrm
-    2U,	// VPSRAVDrr
-    26U,	// VPSRAVQZrm
-    2U,	// VPSRAVQZrr
-    2U,	// VPSRAWYri
-    24U,	// VPSRAWYrm
-    2U,	// VPSRAWYrr
-    2U,	// VPSRAWri
-    24U,	// VPSRAWrm
-    2U,	// VPSRAWrr
-    2U,	// VPSRLDQYri
-    2U,	// VPSRLDQri
-    2U,	// VPSRLDYri
-    24U,	// VPSRLDYrm
-    2U,	// VPSRLDYrr
+    8373U,	// VPSRAQZmik
+    4U,	// VPSRAQZri
+    8837U,	// VPSRAQZrik
+    48U,	// VPSRAQZrm
+    3717U,	// VPSRAQZrmk
+    4U,	// VPSRAQZrr
+    8837U,	// VPSRAQZrrk
+    56U,	// VPSRAVDYrm
+    4U,	// VPSRAVDYrr
+    52U,	// VPSRAVDZrm
+    4U,	// VPSRAVDZrr
+    48U,	// VPSRAVDrm
+    4U,	// VPSRAVDrr
+    52U,	// VPSRAVQZrm
+    4U,	// VPSRAVQZrr
+    4U,	// VPSRAWYri
+    48U,	// VPSRAWYrm
+    4U,	// VPSRAWYrr
+    4U,	// VPSRAWri
+    48U,	// VPSRAWrm
+    4U,	// VPSRAWrr
+    4U,	// VPSRLDQYri
+    4U,	// VPSRLDQri
+    4U,	// VPSRLDYri
+    48U,	// VPSRLDYrm
+    4U,	// VPSRLDYrr
     0U,	// VPSRLDZmi
-    4187U,	// VPSRLDZmik
-    2U,	// VPSRLDZri
-    4419U,	// VPSRLDZrik
-    24U,	// VPSRLDZrm
-    1859U,	// VPSRLDZrmk
-    2U,	// VPSRLDZrr
-    4419U,	// VPSRLDZrrk
-    2U,	// VPSRLDri
-    24U,	// VPSRLDrm
-    2U,	// VPSRLDrr
-    2U,	// VPSRLQYri
-    24U,	// VPSRLQYrm
-    2U,	// VPSRLQYrr
+    8373U,	// VPSRLDZmik
+    4U,	// VPSRLDZri
+    8837U,	// VPSRLDZrik
+    48U,	// VPSRLDZrm
+    3717U,	// VPSRLDZrmk
+    4U,	// VPSRLDZrr
+    8837U,	// VPSRLDZrrk
+    4U,	// VPSRLDri
+    48U,	// VPSRLDrm
+    4U,	// VPSRLDrr
+    4U,	// VPSRLQYri
+    48U,	// VPSRLQYrm
+    4U,	// VPSRLQYrr
     0U,	// VPSRLQZmi
-    4187U,	// VPSRLQZmik
-    2U,	// VPSRLQZri
-    4419U,	// VPSRLQZrik
-    24U,	// VPSRLQZrm
-    1859U,	// VPSRLQZrmk
-    2U,	// VPSRLQZrr
-    4419U,	// VPSRLQZrrk
-    2U,	// VPSRLQri
-    24U,	// VPSRLQrm
-    2U,	// VPSRLQrr
-    28U,	// VPSRLVDYrm
-    2U,	// VPSRLVDYrr
-    26U,	// VPSRLVDZrm
-    2U,	// VPSRLVDZrr
-    24U,	// VPSRLVDrm
-    2U,	// VPSRLVDrr
-    28U,	// VPSRLVQYrm
-    2U,	// VPSRLVQYrr
-    26U,	// VPSRLVQZrm
-    2U,	// VPSRLVQZrr
-    24U,	// VPSRLVQrm
-    2U,	// VPSRLVQrr
-    2U,	// VPSRLWYri
-    24U,	// VPSRLWYrm
-    2U,	// VPSRLWYrr
-    2U,	// VPSRLWri
-    24U,	// VPSRLWrm
-    2U,	// VPSRLWrr
-    28U,	// VPSUBBYrm
-    2U,	// VPSUBBYrr
-    24U,	// VPSUBBrm
-    2U,	// VPSUBBrr
-    28U,	// VPSUBDYrm
-    2U,	// VPSUBDYrr
-    26U,	// VPSUBDZrm
-    196U,	// VPSUBDZrmb
-    2U,	// VPSUBDZrr
-    24U,	// VPSUBDrm
-    2U,	// VPSUBDrr
-    28U,	// VPSUBQYrm
-    2U,	// VPSUBQYrr
-    26U,	// VPSUBQZrm
-    134U,	// VPSUBQZrmb
-    2U,	// VPSUBQZrr
-    24U,	// VPSUBQrm
-    2U,	// VPSUBQrr
-    28U,	// VPSUBSBYrm
-    2U,	// VPSUBSBYrr
-    24U,	// VPSUBSBrm
-    2U,	// VPSUBSBrr
-    28U,	// VPSUBSWYrm
-    2U,	// VPSUBSWYrr
-    24U,	// VPSUBSWrm
-    2U,	// VPSUBSWrr
-    28U,	// VPSUBUSBYrm
-    2U,	// VPSUBUSBYrr
-    24U,	// VPSUBUSBrm
-    2U,	// VPSUBUSBrr
-    28U,	// VPSUBUSWYrm
-    2U,	// VPSUBUSWYrr
-    24U,	// VPSUBUSWrm
-    2U,	// VPSUBUSWrr
-    28U,	// VPSUBWYrm
-    2U,	// VPSUBWYrr
-    24U,	// VPSUBWrm
-    2U,	// VPSUBWrr
-    20U,	// VPTESTMDZrm
-    2U,	// VPTESTMDZrr
-    20U,	// VPTESTMQZrm
-    2U,	// VPTESTMQZrr
+    8373U,	// VPSRLQZmik
+    4U,	// VPSRLQZri
+    8837U,	// VPSRLQZrik
+    48U,	// VPSRLQZrm
+    3717U,	// VPSRLQZrmk
+    4U,	// VPSRLQZrr
+    8837U,	// VPSRLQZrrk
+    4U,	// VPSRLQri
+    48U,	// VPSRLQrm
+    4U,	// VPSRLQrr
+    56U,	// VPSRLVDYrm
+    4U,	// VPSRLVDYrr
+    52U,	// VPSRLVDZrm
+    4U,	// VPSRLVDZrr
+    48U,	// VPSRLVDrm
+    4U,	// VPSRLVDrr
+    56U,	// VPSRLVQYrm
+    4U,	// VPSRLVQYrr
+    52U,	// VPSRLVQZrm
+    4U,	// VPSRLVQZrr
+    48U,	// VPSRLVQrm
+    4U,	// VPSRLVQrr
+    4U,	// VPSRLWYri
+    48U,	// VPSRLWYrm
+    4U,	// VPSRLWYrr
+    4U,	// VPSRLWri
+    48U,	// VPSRLWrm
+    4U,	// VPSRLWrr
+    56U,	// VPSUBBYrm
+    4U,	// VPSUBBYrr
+    48U,	// VPSUBBrm
+    4U,	// VPSUBBrr
+    56U,	// VPSUBDYrm
+    4U,	// VPSUBDYrr
+    52U,	// VPSUBDZrm
+    392U,	// VPSUBDZrmb
+    4U,	// VPSUBDZrr
+    48U,	// VPSUBDrm
+    4U,	// VPSUBDrr
+    56U,	// VPSUBQYrm
+    4U,	// VPSUBQYrr
+    52U,	// VPSUBQZrm
+    268U,	// VPSUBQZrmb
+    4U,	// VPSUBQZrr
+    48U,	// VPSUBQrm
+    4U,	// VPSUBQrr
+    56U,	// VPSUBSBYrm
+    4U,	// VPSUBSBYrr
+    48U,	// VPSUBSBrm
+    4U,	// VPSUBSBrr
+    56U,	// VPSUBSWYrm
+    4U,	// VPSUBSWYrr
+    48U,	// VPSUBSWrm
+    4U,	// VPSUBSWrr
+    56U,	// VPSUBUSBYrm
+    4U,	// VPSUBUSBYrr
+    48U,	// VPSUBUSBrm
+    4U,	// VPSUBUSBrr
+    56U,	// VPSUBUSWYrm
+    4U,	// VPSUBUSWYrr
+    48U,	// VPSUBUSWrm
+    4U,	// VPSUBUSWrr
+    56U,	// VPSUBWYrm
+    4U,	// VPSUBWYrr
+    48U,	// VPSUBWrm
+    4U,	// VPSUBWrr
+    40U,	// VPTESTMDZrm
+    4U,	// VPTESTMDZrr
+    40U,	// VPTESTMQZrm
+    4U,	// VPTESTMQZrr
     0U,	// VPTESTYrm
     0U,	// VPTESTYrr
     0U,	// VPTESTrm
     0U,	// VPTESTrr
-    28U,	// VPUNPCKHBWYrm
-    2U,	// VPUNPCKHBWYrr
-    24U,	// VPUNPCKHBWrm
-    2U,	// VPUNPCKHBWrr
-    28U,	// VPUNPCKHDQYrm
-    2U,	// VPUNPCKHDQYrr
-    26U,	// VPUNPCKHDQZrm
-    2U,	// VPUNPCKHDQZrr
-    24U,	// VPUNPCKHDQrm
-    2U,	// VPUNPCKHDQrr
-    28U,	// VPUNPCKHQDQYrm
-    2U,	// VPUNPCKHQDQYrr
-    26U,	// VPUNPCKHQDQZrm
-    2U,	// VPUNPCKHQDQZrr
-    24U,	// VPUNPCKHQDQrm
-    2U,	// VPUNPCKHQDQrr
-    28U,	// VPUNPCKHWDYrm
-    2U,	// VPUNPCKHWDYrr
-    24U,	// VPUNPCKHWDrm
-    2U,	// VPUNPCKHWDrr
-    28U,	// VPUNPCKLBWYrm
-    2U,	// VPUNPCKLBWYrr
-    24U,	// VPUNPCKLBWrm
-    2U,	// VPUNPCKLBWrr
-    28U,	// VPUNPCKLDQYrm
-    2U,	// VPUNPCKLDQYrr
-    26U,	// VPUNPCKLDQZrm
-    2U,	// VPUNPCKLDQZrr
-    24U,	// VPUNPCKLDQrm
-    2U,	// VPUNPCKLDQrr
-    28U,	// VPUNPCKLQDQYrm
-    2U,	// VPUNPCKLQDQYrr
-    26U,	// VPUNPCKLQDQZrm
-    2U,	// VPUNPCKLQDQZrr
-    24U,	// VPUNPCKLQDQrm
-    2U,	// VPUNPCKLQDQrr
-    28U,	// VPUNPCKLWDYrm
-    2U,	// VPUNPCKLWDYrr
-    24U,	// VPUNPCKLWDrm
-    2U,	// VPUNPCKLWDrr
-    26U,	// VPXORDZrm
-    196U,	// VPXORDZrmb
-    2U,	// VPXORDZrr
-    26U,	// VPXORQZrm
-    134U,	// VPXORQZrmb
-    2U,	// VPXORQZrr
-    28U,	// VPXORYrm
-    2U,	// VPXORYrr
-    24U,	// VPXORrm
-    2U,	// VPXORrr
+    56U,	// VPUNPCKHBWYrm
+    4U,	// VPUNPCKHBWYrr
+    48U,	// VPUNPCKHBWrm
+    4U,	// VPUNPCKHBWrr
+    56U,	// VPUNPCKHDQYrm
+    4U,	// VPUNPCKHDQYrr
+    52U,	// VPUNPCKHDQZrm
+    4U,	// VPUNPCKHDQZrr
+    48U,	// VPUNPCKHDQrm
+    4U,	// VPUNPCKHDQrr
+    56U,	// VPUNPCKHQDQYrm
+    4U,	// VPUNPCKHQDQYrr
+    52U,	// VPUNPCKHQDQZrm
+    4U,	// VPUNPCKHQDQZrr
+    48U,	// VPUNPCKHQDQrm
+    4U,	// VPUNPCKHQDQrr
+    56U,	// VPUNPCKHWDYrm
+    4U,	// VPUNPCKHWDYrr
+    48U,	// VPUNPCKHWDrm
+    4U,	// VPUNPCKHWDrr
+    56U,	// VPUNPCKLBWYrm
+    4U,	// VPUNPCKLBWYrr
+    48U,	// VPUNPCKLBWrm
+    4U,	// VPUNPCKLBWrr
+    56U,	// VPUNPCKLDQYrm
+    4U,	// VPUNPCKLDQYrr
+    52U,	// VPUNPCKLDQZrm
+    4U,	// VPUNPCKLDQZrr
+    48U,	// VPUNPCKLDQrm
+    4U,	// VPUNPCKLDQrr
+    56U,	// VPUNPCKLQDQYrm
+    4U,	// VPUNPCKLQDQYrr
+    52U,	// VPUNPCKLQDQZrm
+    4U,	// VPUNPCKLQDQZrr
+    48U,	// VPUNPCKLQDQrm
+    4U,	// VPUNPCKLQDQrr
+    56U,	// VPUNPCKLWDYrm
+    4U,	// VPUNPCKLWDYrr
+    48U,	// VPUNPCKLWDrm
+    4U,	// VPUNPCKLWDrr
+    52U,	// VPXORDZrm
+    392U,	// VPXORDZrmb
+    4U,	// VPXORDZrr
+    52U,	// VPXORQZrm
+    268U,	// VPXORQZrmb
+    4U,	// VPXORQZrr
+    56U,	// VPXORYrm
+    4U,	// VPXORYrr
+    48U,	// VPXORrm
+    4U,	// VPXORrr
     0U,	// VRCP14PDZm
     0U,	// VRCP14PDZm_Int
     0U,	// VRCP14PDZr
@@ -10061,12 +10393,26 @@
     0U,	// VRCP14PSZm_Int
     0U,	// VRCP14PSZr
     0U,	// VRCP14PSZr_Int
-    14U,	// VRCP14SDZm
-    14U,	// VRCP14SDZm_Int
-    2U,	// VRCP14SDZr
-    16U,	// VRCP14SSZm
-    16U,	// VRCP14SSZm_Int
-    2U,	// VRCP14SSZr
+    28U,	// VRCP14SDZm
+    28U,	// VRCP14SDZm_Int
+    4U,	// VRCP14SDZr
+    32U,	// VRCP14SSZm
+    32U,	// VRCP14SSZm_Int
+    4U,	// VRCP14SSZr
+    0U,	// VRCP28PDZm
+    0U,	// VRCP28PDZm_Int
+    0U,	// VRCP28PDZr
+    0U,	// VRCP28PDZr_Int
+    0U,	// VRCP28PSZm
+    0U,	// VRCP28PSZm_Int
+    0U,	// VRCP28PSZr
+    0U,	// VRCP28PSZr_Int
+    28U,	// VRCP28SDZm
+    28U,	// VRCP28SDZm_Int
+    4U,	// VRCP28SDZr
+    32U,	// VRCP28SSZm
+    32U,	// VRCP28SSZm_Int
+    4U,	// VRCP28SSZr
     0U,	// VRCPPSYm
     0U,	// VRCPPSYm_Int
     0U,	// VRCPPSYr
@@ -10075,33 +10421,33 @@
     0U,	// VRCPPSm_Int
     0U,	// VRCPPSr
     0U,	// VRCPPSr_Int
-    16U,	// VRCPSSm
-    16U,	// VRCPSSm_Int
-    2U,	// VRCPSSr
-    4174U,	// VRNDSCALESDm
-    4418U,	// VRNDSCALESDr
-    4418U,	// VRNDSCALESDr_Int
-    4176U,	// VRNDSCALESSm
-    4418U,	// VRNDSCALESSr
-    4418U,	// VRNDSCALESSr_Int
+    32U,	// VRCPSSm
+    32U,	// VRCPSSm_Int
+    4U,	// VRCPSSr
+    8348U,	// VRNDSCALESDm
+    8836U,	// VRNDSCALESDr
+    8836U,	// VRNDSCALESDr_Int
+    8352U,	// VRNDSCALESSm
+    8836U,	// VRNDSCALESSr
+    8836U,	// VRNDSCALESSr_Int
     0U,	// VRNDSCALEZPDm
-    2U,	// VRNDSCALEZPDr
+    4U,	// VRNDSCALEZPDr
     0U,	// VRNDSCALEZPSm
-    2U,	// VRNDSCALEZPSr
+    4U,	// VRNDSCALEZPSr
     0U,	// VROUNDPDm
-    2U,	// VROUNDPDr
+    4U,	// VROUNDPDr
     0U,	// VROUNDPSm
-    2U,	// VROUNDPSr
-    4174U,	// VROUNDSDm
-    4418U,	// VROUNDSDr
-    4418U,	// VROUNDSDr_Int
-    4176U,	// VROUNDSSm
-    4418U,	// VROUNDSSr
-    4418U,	// VROUNDSSr_Int
+    4U,	// VROUNDPSr
+    8348U,	// VROUNDSDm
+    8836U,	// VROUNDSDr
+    8836U,	// VROUNDSDr_Int
+    8352U,	// VROUNDSSm
+    8836U,	// VROUNDSSr
+    8836U,	// VROUNDSSr_Int
     0U,	// VROUNDYPDm
-    2U,	// VROUNDYPDr
+    4U,	// VROUNDYPDr
     0U,	// VROUNDYPSm
-    2U,	// VROUNDYPSr
+    4U,	// VROUNDYPSr
     0U,	// VRSQRT14PDZm
     0U,	// VRSQRT14PDZm_Int
     0U,	// VRSQRT14PDZr
@@ -10110,12 +10456,26 @@
     0U,	// VRSQRT14PSZm_Int
     0U,	// VRSQRT14PSZr
     0U,	// VRSQRT14PSZr_Int
-    14U,	// VRSQRT14SDZm
-    14U,	// VRSQRT14SDZm_Int
-    2U,	// VRSQRT14SDZr
-    16U,	// VRSQRT14SSZm
-    16U,	// VRSQRT14SSZm_Int
-    2U,	// VRSQRT14SSZr
+    28U,	// VRSQRT14SDZm
+    28U,	// VRSQRT14SDZm_Int
+    4U,	// VRSQRT14SDZr
+    32U,	// VRSQRT14SSZm
+    32U,	// VRSQRT14SSZm_Int
+    4U,	// VRSQRT14SSZr
+    0U,	// VRSQRT28PDZm
+    0U,	// VRSQRT28PDZm_Int
+    0U,	// VRSQRT28PDZr
+    0U,	// VRSQRT28PDZr_Int
+    0U,	// VRSQRT28PSZm
+    0U,	// VRSQRT28PSZm_Int
+    0U,	// VRSQRT28PSZr
+    0U,	// VRSQRT28PSZr_Int
+    28U,	// VRSQRT28SDZm
+    28U,	// VRSQRT28SDZm_Int
+    4U,	// VRSQRT28SDZr
+    32U,	// VRSQRT28SSZm
+    32U,	// VRSQRT28SSZm_Int
+    4U,	// VRSQRT28SSZr
     0U,	// VRSQRTPSYm
     0U,	// VRSQRTPSYm_Int
     0U,	// VRSQRTPSYr
@@ -10124,25 +10484,25 @@
     0U,	// VRSQRTPSm_Int
     0U,	// VRSQRTPSr
     0U,	// VRSQRTPSr_Int
-    16U,	// VRSQRTSSm
-    16U,	// VRSQRTSSm_Int
-    2U,	// VRSQRTSSr
+    32U,	// VRSQRTSSm
+    32U,	// VRSQRTSSm_Int
+    4U,	// VRSQRTSSr
     0U,	// VSCATTERDPDZmr
     0U,	// VSCATTERDPSZmr
     0U,	// VSCATTERQPDZmr
     0U,	// VSCATTERQPSZmr
-    0U,	// VSHUFPDYrmi
-    4418U,	// VSHUFPDYrri
-    4180U,	// VSHUFPDZrmi
-    4418U,	// VSHUFPDZrri
-    4182U,	// VSHUFPDrmi
-    4418U,	// VSHUFPDrri
-    4178U,	// VSHUFPSYrmi
-    4418U,	// VSHUFPSYrri
-    4180U,	// VSHUFPSZrmi
-    4418U,	// VSHUFPSZrri
-    4182U,	// VSHUFPSrmi
-    4418U,	// VSHUFPSrri
+    8356U,	// VSHUFPDYrmi
+    8836U,	// VSHUFPDYrri
+    8360U,	// VSHUFPDZrmi
+    8836U,	// VSHUFPDZrri
+    8364U,	// VSHUFPDrmi
+    8836U,	// VSHUFPDrri
+    8356U,	// VSHUFPSYrmi
+    8836U,	// VSHUFPSYrri
+    8360U,	// VSHUFPSZrmi
+    8836U,	// VSHUFPSZrri
+    8364U,	// VSHUFPSrmi
+    8836U,	// VSHUFPSrri
     0U,	// VSQRTPDYm
     0U,	// VSQRTPDYr
     0U,	// VSQRTPDZm_Int
@@ -10159,47 +10519,47 @@
     0U,	// VSQRTPSZrr
     0U,	// VSQRTPSm
     0U,	// VSQRTPSr
-    14U,	// VSQRTSDZm
-    14U,	// VSQRTSDZm_Int
-    2U,	// VSQRTSDZr
-    2U,	// VSQRTSDZr_Int
-    14U,	// VSQRTSDm
-    14U,	// VSQRTSDm_Int
-    2U,	// VSQRTSDr
-    16U,	// VSQRTSSZm
-    16U,	// VSQRTSSZm_Int
-    2U,	// VSQRTSSZr
-    2U,	// VSQRTSSZr_Int
-    16U,	// VSQRTSSm
-    16U,	// VSQRTSSm_Int
-    2U,	// VSQRTSSr
+    28U,	// VSQRTSDZm
+    28U,	// VSQRTSDZm_Int
+    4U,	// VSQRTSDZr
+    4U,	// VSQRTSDZr_Int
+    28U,	// VSQRTSDm
+    28U,	// VSQRTSDm_Int
+    4U,	// VSQRTSDr
+    32U,	// VSQRTSSZm
+    32U,	// VSQRTSSZm_Int
+    4U,	// VSQRTSSZr
+    4U,	// VSQRTSSZr_Int
+    32U,	// VSQRTSSm
+    32U,	// VSQRTSSm_Int
+    4U,	// VSQRTSSr
     0U,	// VSTMXCSR
-    18U,	// VSUBPDYrm
-    2U,	// VSUBPDYrr
-    20U,	// VSUBPDZrm
-    142U,	// VSUBPDZrmb
-    2U,	// VSUBPDZrr
-    22U,	// VSUBPDrm
-    2U,	// VSUBPDrr
-    18U,	// VSUBPSYrm
-    2U,	// VSUBPSYrr
-    20U,	// VSUBPSZrm
-    208U,	// VSUBPSZrmb
-    2U,	// VSUBPSZrr
-    22U,	// VSUBPSrm
-    2U,	// VSUBPSrr
-    14U,	// VSUBSDZrm
-    2U,	// VSUBSDZrr
-    14U,	// VSUBSDrm
-    14U,	// VSUBSDrm_Int
-    2U,	// VSUBSDrr
-    2U,	// VSUBSDrr_Int
-    16U,	// VSUBSSZrm
-    2U,	// VSUBSSZrr
-    16U,	// VSUBSSrm
-    16U,	// VSUBSSrm_Int
-    2U,	// VSUBSSrr
-    2U,	// VSUBSSrr_Int
+    36U,	// VSUBPDYrm
+    4U,	// VSUBPDYrr
+    40U,	// VSUBPDZrm
+    284U,	// VSUBPDZrmb
+    4U,	// VSUBPDZrr
+    44U,	// VSUBPDrm
+    4U,	// VSUBPDrr
+    36U,	// VSUBPSYrm
+    4U,	// VSUBPSYrr
+    40U,	// VSUBPSZrm
+    416U,	// VSUBPSZrmb
+    4U,	// VSUBPSZrr
+    44U,	// VSUBPSrm
+    4U,	// VSUBPSrr
+    28U,	// VSUBSDZrm
+    4U,	// VSUBSDZrr
+    28U,	// VSUBSDrm
+    28U,	// VSUBSDrm_Int
+    4U,	// VSUBSDrr
+    4U,	// VSUBSDrr_Int
+    32U,	// VSUBSSZrm
+    4U,	// VSUBSSZrr
+    32U,	// VSUBSSrm
+    32U,	// VSUBSSrm_Int
+    4U,	// VSUBSSrr
+    4U,	// VSUBSSrr_Int
     0U,	// VTESTPDYrm
     0U,	// VTESTPDYrr
     0U,	// VTESTPDrm
@@ -10216,38 +10576,38 @@
     0U,	// VUCOMISSZrr
     0U,	// VUCOMISSrm
     0U,	// VUCOMISSrr
-    18U,	// VUNPCKHPDYrm
-    2U,	// VUNPCKHPDYrr
-    20U,	// VUNPCKHPDZrm
-    2U,	// VUNPCKHPDZrr
-    22U,	// VUNPCKHPDrm
-    2U,	// VUNPCKHPDrr
-    18U,	// VUNPCKHPSYrm
-    2U,	// VUNPCKHPSYrr
-    20U,	// VUNPCKHPSZrm
-    2U,	// VUNPCKHPSZrr
-    22U,	// VUNPCKHPSrm
-    2U,	// VUNPCKHPSrr
-    18U,	// VUNPCKLPDYrm
-    2U,	// VUNPCKLPDYrr
-    20U,	// VUNPCKLPDZrm
-    2U,	// VUNPCKLPDZrr
-    22U,	// VUNPCKLPDrm
-    2U,	// VUNPCKLPDrr
-    18U,	// VUNPCKLPSYrm
-    2U,	// VUNPCKLPSYrr
-    20U,	// VUNPCKLPSZrm
-    2U,	// VUNPCKLPSZrr
-    22U,	// VUNPCKLPSrm
-    2U,	// VUNPCKLPSrr
-    18U,	// VXORPDYrm
-    2U,	// VXORPDYrr
-    22U,	// VXORPDrm
-    2U,	// VXORPDrr
-    18U,	// VXORPSYrm
-    2U,	// VXORPSYrr
-    22U,	// VXORPSrm
-    2U,	// VXORPSrr
+    36U,	// VUNPCKHPDYrm
+    4U,	// VUNPCKHPDYrr
+    40U,	// VUNPCKHPDZrm
+    4U,	// VUNPCKHPDZrr
+    44U,	// VUNPCKHPDrm
+    4U,	// VUNPCKHPDrr
+    36U,	// VUNPCKHPSYrm
+    4U,	// VUNPCKHPSYrr
+    40U,	// VUNPCKHPSZrm
+    4U,	// VUNPCKHPSZrr
+    44U,	// VUNPCKHPSrm
+    4U,	// VUNPCKHPSrr
+    36U,	// VUNPCKLPDYrm
+    4U,	// VUNPCKLPDYrr
+    40U,	// VUNPCKLPDZrm
+    4U,	// VUNPCKLPDZrr
+    44U,	// VUNPCKLPDrm
+    4U,	// VUNPCKLPDrr
+    36U,	// VUNPCKLPSYrm
+    4U,	// VUNPCKLPSYrr
+    40U,	// VUNPCKLPSZrm
+    4U,	// VUNPCKLPSZrr
+    44U,	// VUNPCKLPSrm
+    4U,	// VUNPCKLPSrr
+    36U,	// VXORPDYrm
+    4U,	// VXORPDYrr
+    44U,	// VXORPDrm
+    4U,	// VXORPDrr
+    36U,	// VXORPSYrm
+    4U,	// VXORPSYrr
+    44U,	// VXORPSrm
+    4U,	// VXORPSrr
     0U,	// VZEROALL
     0U,	// VZEROUPPER
     0U,	// V_SET0
@@ -10441,1003 +10801,1035 @@
   /* 901 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
   /* 910 */ 'a', 'd', 'c', 9, 0,
   /* 915 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 924 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 933 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 942 */ 'i', 'n', 'c', 9, 0,
-  /* 947 */ 'b', 't', 'c', 9, 0,
-  /* 952 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 9, 0,
-  /* 962 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 9, 0,
-  /* 979 */ 'a', 'a', 'd', 9, 0,
-  /* 984 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
-  /* 992 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 1000 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 1008 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 1018 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 1029 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 1038 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 1046 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 1057 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 1068 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 1075 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
-  /* 1082 */ 'x', 'a', 'd', 'd', 9, 0,
-  /* 1088 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 1097 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 1105 */ 'v', 'p', 'a', 'n', 'd', 'd', 9, 0,
-  /* 1113 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 1123 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 1135 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 9, 0,
-  /* 1148 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 1158 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 1169 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
-  /* 1177 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 1184 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 1193 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 1200 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 1209 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 1218 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 1224 */ 'f', 'l', 'd', 9, 0,
-  /* 1229 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 1237 */ 'f', 'i', 'l', 'd', 9, 0,
-  /* 1243 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 1251 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 1260 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 1268 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 1277 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 9, 0,
-  /* 1288 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 1296 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 1304 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 9, 0,
-  /* 1314 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 1321 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
-  /* 1329 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 9, 0,
-  /* 1338 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 9, 0,
-  /* 1347 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 1356 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 1363 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1379 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1392 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1406 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1422 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1435 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 1449 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1465 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1478 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1492 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1508 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1521 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 1535 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 9, 0,
-  /* 1546 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 1556 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 1568 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 1579 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 1591 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 1602 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1618 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1631 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1645 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1661 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1674 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 1688 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 9, 0,
-  /* 1698 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 9, 0,
-  /* 1710 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 1719 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 1727 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1740 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1751 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1760 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1770 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1781 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 1789 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1802 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1811 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1821 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1832 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 1840 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 1848 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 1858 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 1868 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 1880 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 1893 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 9, 0,
-  /* 1906 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 1915 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 1926 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 1935 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 1946 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 1957 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 1968 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 1976 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 1985 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 1993 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 9, 0,
-  /* 2004 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 2013 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 2022 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 2030 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 2037 */ 'v', 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 2046 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 2058 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 2071 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 2078 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 2086 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 2096 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 2105 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 2114 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 2123 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 2134 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 2142 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 2154 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 2162 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 2171 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 2181 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 2193 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 9, 0,
-  /* 2206 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 9, 0,
-  /* 2217 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 9, 0,
-  /* 2227 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 9, 0,
-  /* 2236 */ 's', 'h', 'r', 'd', 9, 0,
-  /* 2242 */ 'v', 'p', 'o', 'r', 'd', 9, 0,
-  /* 2249 */ 'v', 'p', 'x', 'o', 'r', 'd', 9, 0,
-  /* 2257 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 2266 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 2275 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2288 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2302 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2315 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 2329 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2342 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2356 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2369 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 2383 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 2394 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 2405 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2418 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2432 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2445 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 2459 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 9, 0,
-  /* 2469 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 9, 0,
-  /* 2481 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 2489 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 2499 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 2510 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 2518 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 2528 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 2539 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 2547 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 2557 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 9, 0,
-  /* 2570 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 2580 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 2589 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 2597 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 2606 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 2614 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 2622 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 2631 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 2640 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 2654 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 2662 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 2670 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 2679 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 2687 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 2696 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 2706 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 2714 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 2728 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 2737 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 2746 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 2755 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 2764 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 2773 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 2782 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 2791 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 2803 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 2810 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 2820 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 2830 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 2840 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 2852 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 2864 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 2874 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 2885 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 2896 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 2908 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 2919 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 2930 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 2941 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
-  /* 2949 */ 'j', 'a', 'e', 9, 0,
-  /* 2954 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 2961 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
-  /* 2969 */ 'j', 'b', 'e', 9, 0,
-  /* 2974 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 2981 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 2989 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 2996 */ 'j', 'g', 'e', 9, 0,
-  /* 3001 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 3010 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 3017 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
-  /* 3025 */ 'j', 'e', 9, 0,
-  /* 3029 */ 'j', 'l', 'e', 9, 0,
-  /* 3034 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 3041 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
-  /* 3049 */ 'j', 'n', 'e', 9, 0,
-  /* 3054 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 3062 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 3069 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 3077 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 3084 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3094 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3104 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3114 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 3124 */ 's', 'e', 't', 'e', 9, 0,
-  /* 3130 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
-  /* 3139 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 3150 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 3158 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 3166 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 3173 */ 'b', 's', 'f', 9, 0,
-  /* 3178 */ 'r', 'e', 't', 'f', 9, 0,
-  /* 3184 */ 'n', 'e', 'g', 9, 0,
-  /* 3189 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
-  /* 3198 */ 'j', 'g', 9, 0,
-  /* 3202 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 3210 */ 's', 'e', 't', 'g', 9, 0,
-  /* 3216 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
-  /* 3223 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 3233 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 3239 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 3250 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 3261 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 3273 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 3282 */ 'p', 'u', 's', 'h', 9, 0,
-  /* 3288 */ 'b', 'z', 'h', 'i', 9, 0,
-  /* 3294 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 3301 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 3309 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 3320 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 3330 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 3341 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 3351 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 3359 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 3368 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 3380 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 3392 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 3404 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 3415 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 3427 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 3438 */ 'b', 'l', 's', 'i', 9, 0,
-  /* 3444 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
-  /* 3452 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
-  /* 3460 */ 'r', 'c', 'l', 9, 0,
-  /* 3465 */ 's', 'h', 'l', 9, 0,
-  /* 3470 */ 'j', 'l', 9, 0,
-  /* 3474 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
-  /* 3481 */ 'r', 'o', 'l', 9, 0,
-  /* 3486 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 3492 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 3503 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 3515 */ 'l', 's', 'l', 9, 0,
-  /* 3520 */ 's', 'e', 't', 'l', 9, 0,
-  /* 3526 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 3533 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
-  /* 3540 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
-  /* 3547 */ 'a', 'a', 'm', 9, 0,
-  /* 3552 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 3558 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
-  /* 3565 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 3572 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 3580 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 3592 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 3604 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 3612 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 3620 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 3627 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 3634 */ 'j', 'o', 9, 0,
-  /* 3638 */ 'j', 'n', 'o', 9, 0,
-  /* 3643 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 3650 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
-  /* 3658 */ 's', 'e', 't', 'o', 9, 0,
-  /* 3664 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
-  /* 3671 */ 'b', 's', 'w', 'a', 'p', 9, 0,
-  /* 3678 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 3685 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 3692 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 3699 */ 'p', 'd', 'e', 'p', 9, 0,
-  /* 3705 */ 'j', 'p', 9, 0,
-  /* 3709 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 3716 */ 'c', 'm', 'p', 9, 0,
-  /* 3721 */ 'l', 'j', 'm', 'p', 9, 0,
-  /* 3727 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 3734 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
-  /* 3742 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 3750 */ 'j', 'n', 'p', 9, 0,
-  /* 3755 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 3762 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
-  /* 3770 */ 'n', 'o', 'p', 9, 0,
-  /* 3775 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 3781 */ 'p', 'o', 'p', 9, 0,
-  /* 3786 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 3794 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 3802 */ 's', 'e', 't', 'p', 9, 0,
-  /* 3808 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 3815 */ 'f', 's', 't', 'p', 9, 0,
-  /* 3821 */ 'f', 'i', 's', 't', 'p', 9, 0,
-  /* 3828 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
-  /* 3836 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 3846 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 3857 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 3868 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 3884 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 3891 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
-  /* 3898 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 9, 0,
-  /* 3915 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 9, 0,
-  /* 3925 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 3934 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 3942 */ 'v', 'p', 's', 'r', 'a', 'q', 9, 0,
-  /* 3950 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 3960 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 3971 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 3979 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 3990 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 4001 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 4013 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 4024 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 4033 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 4045 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 4056 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 4066 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 4074 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 4084 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 4096 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 4108 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 4117 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 4126 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 4135 */ 'v', 'p', 'a', 'n', 'd', 'q', 9, 0,
-  /* 4143 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 4156 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 4169 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 4181 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 4193 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 9, 0,
-  /* 4206 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 4216 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 9, 0,
-  /* 4229 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 9, 0,
-  /* 4242 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 4253 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 4263 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 4274 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 4285 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 4294 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
-  /* 4303 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 4311 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 4319 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 4327 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 9, 0,
-  /* 4338 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 4346 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 4354 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 9, 0,
-  /* 4364 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 9, 0,
-  /* 4373 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 9, 0,
-  /* 4382 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 4390 */ 'j', 'm', 'p', 'q', 9, 0,
-  /* 4396 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 4406 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 4418 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 9, 0,
-  /* 4431 */ 'v', 'p', 'o', 'r', 'q', 9, 0,
-  /* 4438 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
-  /* 4448 */ 'v', 'p', 'x', 'o', 'r', 'q', 9, 0,
-  /* 4456 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 4465 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 4474 */ 'v', 'p', 'a', 'b', 's', 'q', 9, 0,
-  /* 4482 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 4492 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 4500 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 4508 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 4517 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 4531 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 4540 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 4549 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 9, 0,
-  /* 4558 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 4567 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 4576 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 4588 */ 'v', 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 4596 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 4606 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 4617 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 4628 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 4639 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 4648 */ 'l', 'a', 'r', 9, 0,
-  /* 4653 */ 's', 'a', 'r', 9, 0,
-  /* 4658 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 4666 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
-  /* 4674 */ 'r', 'c', 'r', 9, 0,
-  /* 4679 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 4686 */ 's', 'h', 'r', 9, 0,
-  /* 4691 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 4701 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 4707 */ 'r', 'o', 'r', 9, 0,
-  /* 4712 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 4720 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 4729 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 4736 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 4742 */ 'b', 's', 'r', 9, 0,
-  /* 4747 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 4757 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 4767 */ 'b', 'l', 's', 'r', 9, 0,
-  /* 4773 */ 'b', 't', 'r', 9, 0,
-  /* 4778 */ 'l', 't', 'r', 9, 0,
-  /* 4783 */ 's', 't', 'r', 9, 0,
-  /* 4788 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 4795 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 4802 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
-  /* 4810 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
-  /* 4818 */ 'l', 'd', 's', 9, 0,
-  /* 4823 */ 'l', 'e', 's', 9, 0,
-  /* 4828 */ 'l', 'f', 's', 9, 0,
-  /* 4833 */ 'l', 'g', 's', 9, 0,
-  /* 4838 */ 'j', 's', 9, 0,
-  /* 4842 */ 'j', 'n', 's', 9, 0,
-  /* 4847 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 4854 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
-  /* 4862 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 4878 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 4891 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 4905 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 4921 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 4934 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 4948 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 4964 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 4977 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 4991 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5007 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5020 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 5034 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 5045 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 5056 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 9, 0,
-  /* 5067 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 5077 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 5089 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 5100 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 5112 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5128 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5141 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5155 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5171 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5184 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 5198 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 9, 0,
-  /* 5208 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 9, 0,
-  /* 5220 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 5229 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5242 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5253 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5262 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5272 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5283 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 5291 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5304 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5313 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5323 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5334 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 5342 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 5350 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 5360 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 5370 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 5382 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 5395 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 9, 0,
-  /* 5408 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 5417 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 5428 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 5438 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 5447 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 5458 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 5468 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 5479 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 5490 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 5498 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 5507 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 9, 0,
-  /* 5518 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 5527 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 5536 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 5544 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 5552 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 5559 */ 'v', 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 5568 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 5580 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 5593 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 5600 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 5608 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 5620 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 5630 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 5641 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 5651 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 5660 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 5669 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 5678 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 5689 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 5697 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 5709 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 5717 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 5726 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 5739 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 5753 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 5766 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 5780 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 5793 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 5807 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 5820 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 5834 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 5845 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
-  /* 5856 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 5869 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 5883 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 5896 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 5910 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 9, 0,
-  /* 5920 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 9, 0,
-  /* 5932 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 5942 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 5953 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 5961 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 5971 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 5982 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 5990 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 6000 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 9, 0,
-  /* 6013 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 6023 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 6032 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 6040 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 6048 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 6056 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 6064 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 6073 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 6083 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 6092 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 6106 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 6114 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 6122 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 6130 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 6139 */ 'b', 't', 's', 9, 0,
-  /* 6144 */ 's', 'e', 't', 's', 9, 0,
-  /* 6150 */ 'c', 'm', 'o', 'v', 's', 9, 0,
-  /* 6157 */ 'b', 't', 9, 0,
-  /* 6161 */ 'l', 'g', 'd', 't', 9, 0,
-  /* 6167 */ 's', 'g', 'd', 't', 9, 0,
-  /* 6173 */ 'l', 'i', 'd', 't', 9, 0,
-  /* 6179 */ 's', 'i', 'd', 't', 9, 0,
-  /* 6185 */ 'l', 'l', 'd', 't', 9, 0,
-  /* 6191 */ 's', 'l', 'd', 't', 9, 0,
-  /* 6197 */ 'r', 'e', 't', 9, 0,
-  /* 6202 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 6211 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
-  /* 6219 */ 'l', 'z', 'c', 'n', 't', 9, 0,
-  /* 6226 */ 't', 'z', 'c', 'n', 't', 9, 0,
-  /* 6233 */ 'i', 'n', 't', 9, 0,
-  /* 6238 */ 'n', 'o', 't', 9, 0,
-  /* 6243 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 6251 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 6261 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 6269 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 6278 */ 'v', 's', 'q', 'r', 't', 9, 0,
-  /* 6285 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 6298 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 6311 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 6319 */ 'f', 's', 't', 9, 0,
-  /* 6324 */ 'f', 'i', 's', 't', 9, 0,
-  /* 6330 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 6348 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 6357 */ 'o', 'u', 't', 9, 0,
-  /* 6362 */ 'p', 'e', 'x', 't', 9, 0,
-  /* 6368 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 6376 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 6389 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 6398 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 6404 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
-  /* 6411 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 6419 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 6428 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 6436 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 6444 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 6452 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 6462 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 6472 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 6481 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 6491 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 6503 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 9, 0,
-  /* 6513 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 6525 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 6536 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 6545 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 6553 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 6564 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 6575 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 6582 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 6590 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 6599 */ 'k', 'a', 'd', 'd', 'w', 9, 0,
-  /* 6606 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 6614 */ 'k', 'a', 'n', 'd', 'w', 9, 0,
-  /* 6621 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 6631 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 6642 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 6653 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 9, 0,
-  /* 6664 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 9, 0,
-  /* 6674 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 9, 0,
-  /* 6683 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 6690 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 6698 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 6706 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 6717 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 6727 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 6736 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 6743 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 6753 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 6761 */ 'c', 'a', 'l', 'l', 'w', 9, 0,
-  /* 6768 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 6776 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 6785 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 6793 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 9, 0,
-  /* 6803 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 6811 */ 'k', 'a', 'n', 'd', 'n', 'w', 9, 0,
-  /* 6819 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 6828 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 6838 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 9, 0,
-  /* 6849 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 9, 0,
-  /* 6859 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 9, 0,
-  /* 6868 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 6874 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 6883 */ 'k', 'o', 'r', 'w', 9, 0,
-  /* 6889 */ 'k', 'x', 'n', 'o', 'r', 'w', 9, 0,
-  /* 6897 */ 'k', 'x', 'o', 'r', 'w', 9, 0,
-  /* 6904 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 6913 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 9, 0,
-  /* 6923 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 6932 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 6940 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 6952 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 6962 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 6971 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 6981 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 6990 */ 'l', 'm', 's', 'w', 9, 0,
-  /* 6996 */ 's', 'm', 's', 'w', 9, 0,
-  /* 7002 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 7011 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 7022 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 7030 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 7040 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 7050 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 7059 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 7069 */ 'k', 'n', 'o', 't', 'w', 9, 0,
-  /* 7076 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 7084 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 7098 */ 'k', 't', 'e', 's', 't', 'w', 9, 0,
-  /* 7106 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 9, 0,
-  /* 7116 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 7126 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 7135 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 7144 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 7157 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 7166 */ 'k', 'm', 'o', 'v', 'w', 9, 0,
-  /* 7173 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 7183 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 7194 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 7201 */ 'a', 'd', 'c', 'x', 9, 0,
-  /* 7207 */ 's', 'h', 'l', 'x', 9, 0,
-  /* 7213 */ 'm', 'u', 'l', 'x', 9, 0,
-  /* 7219 */ 'a', 'd', 'o', 'x', 9, 0,
-  /* 7225 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 7238 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 7250 */ 's', 'a', 'r', 'x', 9, 0,
-  /* 7256 */ 's', 'h', 'r', 'x', 9, 0,
-  /* 7262 */ 'r', 'o', 'r', 'x', 9, 0,
-  /* 7268 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 7280 */ 'm', 'o', 'v', 's', 'x', 9, 0,
-  /* 7287 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
-  /* 7294 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 7301 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 7307 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 7314 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7330 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7345 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7362 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7378 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7394 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7409 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7425 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 7440 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 7449 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 7458 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
-  /* 7467 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 7476 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 7485 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
-  /* 7493 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
-  /* 7502 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
-  /* 7511 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
-  /* 7523 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
-  /* 7533 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
-  /* 7542 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 7551 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 7560 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
-  /* 7569 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 7578 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 7587 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
-  /* 7597 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
-  /* 7605 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
-  /* 7614 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
-  /* 7623 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
-  /* 7635 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
-  /* 7645 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
-  /* 7654 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7664 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7674 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7684 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7694 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7704 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7715 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7724 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7734 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7744 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7757 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7768 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 7778 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7788 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7798 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7808 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7818 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7828 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7839 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7849 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7859 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7872 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 7883 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 7894 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 7917 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 7941 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 7961 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 7981 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8001 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8022 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8043 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8064 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8086 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8107 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8129 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8151 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8171 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8192 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8213 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8235 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8254 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8274 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8294 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8314 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8333 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8353 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8373 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8392 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8410 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8429 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8448 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8468 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8487 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8507 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8527 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8547 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8567 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8587 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8606 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8626 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8646 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8665 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8683 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8702 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8721 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8741 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8760 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8780 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8799 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8819 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8837 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8856 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8875 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8895 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8913 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8932 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8950 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8969 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 8987 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9004 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9022 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9040 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9059 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9080 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 9101 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 9107 */ 'f', 'l', 'd', '1', 0,
-  /* 9112 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 9119 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 9125 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 9133 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 9152 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 9170 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 9183 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 9196 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 9214 */ 'u', 'd', '2', 0,
-  /* 9218 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 9225 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 9232 */ 'i', 'n', 't', '3', 0,
-  /* 9237 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 9256 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 9274 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 9287 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 9300 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 9318 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 9324 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 9331 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 9339 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 9352 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 9359 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 9369 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 9378 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 9396 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 9412 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 9424 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 9439 */ 'a', 'a', 'a', 0,
-  /* 9443 */ 'd', 'a', 'a', 0,
-  /* 9447 */ 'p', 'u', 's', 'h', 'a', 0,
-  /* 9453 */ 'p', 'o', 'p', 'a', 0,
-  /* 9458 */ 'u', 'd', '2', 'b', 0,
-  /* 9463 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 9473 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 9483 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 9493 */ 's', 'c', 'a', 's', 'b', 0,
-  /* 9499 */ 'l', 'o', 'd', 's', 'b', 0,
-  /* 9505 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 9515 */ 'c', 'm', 'p', 's', 'b', 0,
-  /* 9521 */ 'o', 'u', 't', 's', 'b', 0,
-  /* 9527 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 9537 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 9543 */ 'c', 'l', 'a', 'c', 0,
-  /* 9548 */ 's', 't', 'a', 'c', 0,
-  /* 9553 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 9563 */ 'c', 'l', 'c', 0,
-  /* 9567 */ 'c', 'm', 'c', 0,
-  /* 9571 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 9577 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 9584 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 9590 */ 's', 't', 'c', 0,
-  /* 9594 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 9601 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 9607 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 9613 */ 'c', 'l', 'd', 0,
-  /* 9617 */ 'x', 'e', 'n', 'd', 0,
-  /* 9622 */ 's', 'c', 'a', 's', 'd', 0,
-  /* 9628 */ 'l', 'o', 'd', 's', 'd', 0,
-  /* 9634 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 9644 */ 'c', 'm', 'p', 's', 'd', 0,
-  /* 9650 */ 'o', 'u', 't', 's', 'd', 0,
-  /* 9656 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 9666 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 9672 */ 's', 't', 'd', 0,
-  /* 9676 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 9683 */ 'c', 'w', 'd', 0,
-  /* 9687 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 9694 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 9701 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 9708 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 9715 */ 'c', 'w', 'd', 'e', 0,
-  /* 9720 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 9727 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 9736 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 9742 */ 'c', 'd', 'q', 'e', 0,
-  /* 9747 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 9756 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 9763 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 9772 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 9778 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 9784 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 9791 */ 'l', 'a', 'h', 'f', 0,
-  /* 9796 */ 's', 'a', 'h', 'f', 0,
-  /* 9801 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 9807 */ 'p', 'o', 'p', 'f', 0,
-  /* 9812 */ 'r', 'e', 't', 'f', 0,
-  /* 9817 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 9826 */ 'c', 'l', 'g', 'i', 0,
-  /* 9831 */ 's', 't', 'g', 'i', 0,
-  /* 9836 */ 'c', 'l', 'i', 0,
-  /* 9840 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 9846 */ 's', 't', 'i', 0,
-  /* 9850 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 9865 */ 'l', 'o', 'c', 'k', 0,
-  /* 9870 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 9881 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 9889 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 9896 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 9904 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 9913 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 9921 */ 'f', 'x', 'a', 'm', 0,
-  /* 9926 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 9932 */ 'r', 's', 'm', 0,
-  /* 9936 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 9943 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 9949 */ 'f', 's', 'i', 'n', 0,
-  /* 9954 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 9981 */ 'c', 'q', 'o', 0,
-  /* 9985 */ 'i', 'n', 't', 'o', 0,
-  /* 9990 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 9997 */ 'r', 'e', 'p', 0,
-  /* 10001 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 10007 */ 'v', 'c', 'm', 'p', 0,
-  /* 10012 */ 'f', 'n', 'o', 'p', 0,
-  /* 10017 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 10024 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 10032 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 10040 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 10048 */ 'c', 'd', 'q', 0,
-  /* 10052 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 10059 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 10065 */ 's', 'c', 'a', 's', 'q', 0,
-  /* 10071 */ 'l', 'o', 'd', 's', 'q', 0,
-  /* 10077 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 10087 */ 'c', 'm', 'p', 's', 'q', 0,
-  /* 10093 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 10103 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 10109 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 10120 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 10129 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 10137 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 10143 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 10149 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 10159 */ 'a', 'a', 's', 0,
-  /* 10163 */ 'd', 'a', 's', 0,
-  /* 10167 */ 'f', 'a', 'b', 's', 0,
-  /* 10172 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 10180 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 10188 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 10195 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 10203 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 10210 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 10218 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 10225 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 10233 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 10240 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 10247 */ 'f', 'c', 'h', 's', 0,
-  /* 10252 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 10297 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 10303 */ 'i', 'n', 's', 0,
-  /* 10307 */ 'f', 'c', 'o', 's', 0,
-  /* 10312 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 10320 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 10328 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 10335 */ 'c', 'l', 't', 's', 0,
-  /* 10340 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 10347 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 10355 */ 'i', 'r', 'e', 't', 0,
-  /* 10360 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 10367 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 10373 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 10380 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 10388 */ 'h', 'l', 't', 0,
-  /* 10392 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 10400 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 10406 */ 'x', 't', 'e', 's', 't', 0,
-  /* 10412 */ 'f', 't', 's', 't', 0,
-  /* 10417 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 10424 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 10431 */ 'c', 'b', 'w', 0,
-  /* 10435 */ 's', 'c', 'a', 's', 'w', 0,
-  /* 10441 */ 'l', 'o', 'd', 's', 'w', 0,
-  /* 10447 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 10457 */ 'c', 'm', 'p', 's', 'w', 0,
-  /* 10463 */ 'o', 'u', 't', 's', 'w', 0,
-  /* 10469 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 10479 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 10485 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
-  /* 10495 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 10506 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 10517 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 10528 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 10538 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 10549 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 10561 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 10572 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 10583 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 10593 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 10610 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 10627 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 10637 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 10647 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 10658 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 10665 */ 'f', 'l', 'd', 'z', 0,
+  /* 924 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 931 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 938 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 946 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 955 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 964 */ 'i', 'n', 'c', 9, 0,
+  /* 969 */ 'b', 't', 'c', 9, 0,
+  /* 974 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 9, 0,
+  /* 984 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 9, 0,
+  /* 1001 */ 'a', 'a', 'd', 9, 0,
+  /* 1006 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
+  /* 1014 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 1022 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 1030 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 1040 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 1051 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 1060 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 1068 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 1079 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 1090 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 1097 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
+  /* 1104 */ 'x', 'a', 'd', 'd', 9, 0,
+  /* 1110 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 1119 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 1127 */ 'v', 'p', 'a', 'n', 'd', 'd', 9, 0,
+  /* 1135 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 1145 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 1157 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 9, 0,
+  /* 1170 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 1180 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 1191 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
+  /* 1199 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 1206 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 1215 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 1222 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 1231 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 1240 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 1246 */ 'f', 'l', 'd', 9, 0,
+  /* 1251 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 1259 */ 'f', 'i', 'l', 'd', 9, 0,
+  /* 1265 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 1273 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 1282 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 1290 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 1299 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 9, 0,
+  /* 1310 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 1318 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 1326 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 9, 0,
+  /* 1336 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 1343 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
+  /* 1351 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 9, 0,
+  /* 1360 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 9, 0,
+  /* 1369 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 1378 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 1385 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1401 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1414 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1428 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1444 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1457 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 1471 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1487 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1500 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1514 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1530 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1543 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 1557 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 9, 0,
+  /* 1568 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 1578 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 1590 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 1601 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 1613 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 1624 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1640 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1653 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1667 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1683 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1696 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 1710 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 9, 0,
+  /* 1720 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 9, 0,
+  /* 1732 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 9, 0,
+  /* 1742 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 9, 0,
+  /* 1754 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 1763 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 1771 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1784 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1795 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1804 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1814 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1825 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 1833 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1846 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1855 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1865 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1876 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 1884 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 1892 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 1902 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 1912 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 1924 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 1937 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 9, 0,
+  /* 1950 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 1959 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 1970 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 1979 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 1990 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 2001 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 2012 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 2020 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 2029 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 2037 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 9, 0,
+  /* 2048 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 2057 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 2066 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 2074 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 2081 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 2089 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 2101 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 2114 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 2121 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 2129 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 2139 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 2148 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 2157 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 2166 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 2177 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 2185 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 2197 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 2205 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 2214 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 2224 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 2236 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 9, 0,
+  /* 2249 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 9, 0,
+  /* 2260 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 9, 0,
+  /* 2270 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 9, 0,
+  /* 2279 */ 's', 'h', 'r', 'd', 9, 0,
+  /* 2285 */ 'v', 'p', 'o', 'r', 'd', 9, 0,
+  /* 2292 */ 'v', 'p', 'x', 'o', 'r', 'd', 9, 0,
+  /* 2300 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 2309 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 2318 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2331 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2345 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2358 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 2372 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2385 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2399 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2412 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 2426 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 2437 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 2449 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 2460 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2473 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2487 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2500 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 2514 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 9, 0,
+  /* 2524 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 9, 0,
+  /* 2536 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 9, 0,
+  /* 2546 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 9, 0,
+  /* 2558 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 2566 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 2576 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 2587 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 2595 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 2605 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 2616 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 2624 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 2634 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 9, 0,
+  /* 2647 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 2657 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 2666 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 2674 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 2683 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 2691 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 2699 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 2708 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 2717 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 2731 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 2739 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 2747 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 2756 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 2764 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 2773 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 9, 0,
+  /* 2786 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 2796 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 2804 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 2818 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 2827 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 2836 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 2845 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 2854 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 2863 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 2872 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 2881 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 2893 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 2900 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 2910 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 2920 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 2930 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 2942 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 2954 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 2964 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 2975 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 2986 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 2998 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 3009 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 3020 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 3031 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
+  /* 3039 */ 'j', 'a', 'e', 9, 0,
+  /* 3044 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 3051 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
+  /* 3059 */ 'j', 'b', 'e', 9, 0,
+  /* 3064 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 3071 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 3079 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 3086 */ 'j', 'g', 'e', 9, 0,
+  /* 3091 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 3100 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 3107 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
+  /* 3115 */ 'j', 'e', 9, 0,
+  /* 3119 */ 'j', 'l', 'e', 9, 0,
+  /* 3124 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 3131 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
+  /* 3139 */ 'j', 'n', 'e', 9, 0,
+  /* 3144 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 3152 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 3159 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 3167 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 3174 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3184 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3194 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3204 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 3214 */ 's', 'e', 't', 'e', 9, 0,
+  /* 3220 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
+  /* 3229 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 3240 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 3248 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 3256 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 3263 */ 'b', 's', 'f', 9, 0,
+  /* 3268 */ 'r', 'e', 't', 'f', 9, 0,
+  /* 3274 */ 'n', 'e', 'g', 9, 0,
+  /* 3279 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
+  /* 3288 */ 'j', 'g', 9, 0,
+  /* 3292 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 3300 */ 's', 'e', 't', 'g', 9, 0,
+  /* 3306 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
+  /* 3313 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 3323 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 3329 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 3340 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 3351 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 3363 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 3372 */ 'p', 'u', 's', 'h', 9, 0,
+  /* 3378 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 3384 */ 'b', 'z', 'h', 'i', 9, 0,
+  /* 3390 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 3397 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 3405 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 3416 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 3426 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 3437 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 3447 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 3455 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 3464 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 3476 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 3488 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 3500 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 3511 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 3523 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 3534 */ 'b', 'l', 's', 'i', 9, 0,
+  /* 3540 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 9, 0,
+  /* 3553 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 9, 0,
+  /* 3565 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 9, 0,
+  /* 3578 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 9, 0,
+  /* 3590 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
+  /* 3598 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 3606 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
+  /* 3614 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 3621 */ 'r', 'c', 'l', 9, 0,
+  /* 3626 */ 's', 'h', 'l', 9, 0,
+  /* 3631 */ 'j', 'l', 9, 0,
+  /* 3635 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 3642 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 3651 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 3660 */ 'r', 'o', 'l', 9, 0,
+  /* 3665 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 3671 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 3682 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 3694 */ 'l', 's', 'l', 9, 0,
+  /* 3699 */ 's', 'e', 't', 'l', 9, 0,
+  /* 3705 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 3712 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 3719 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 3726 */ 'a', 'a', 'm', 9, 0,
+  /* 3731 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 3737 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 3744 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 3751 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 3759 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 3771 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 3783 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 3791 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 3799 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 3806 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 3813 */ 'j', 'o', 9, 0,
+  /* 3817 */ 'j', 'n', 'o', 9, 0,
+  /* 3822 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 3829 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 3837 */ 's', 'e', 't', 'o', 9, 0,
+  /* 3843 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 3850 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 3857 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 3864 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 3871 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 3878 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 3884 */ 'j', 'p', 9, 0,
+  /* 3888 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 3895 */ 'c', 'm', 'p', 9, 0,
+  /* 3900 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 3906 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 3913 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 3921 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 3929 */ 'j', 'n', 'p', 9, 0,
+  /* 3934 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 3941 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 3949 */ 'n', 'o', 'p', 9, 0,
+  /* 3954 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 3960 */ 'p', 'o', 'p', 9, 0,
+  /* 3965 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 3973 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 3981 */ 's', 'e', 't', 'p', 9, 0,
+  /* 3987 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 3994 */ 'f', 's', 't', 'p', 9, 0,
+  /* 4000 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 4007 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 4015 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 4025 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 4036 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 4047 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 4063 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 4070 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 4077 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 9, 0,
+  /* 4094 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 9, 0,
+  /* 4104 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 4113 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 4121 */ 'v', 'p', 's', 'r', 'a', 'q', 9, 0,
+  /* 4129 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 4139 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 4150 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 4158 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 4169 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 4180 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 4192 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 4203 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 4212 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 4224 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 4235 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 4245 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 4253 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 4263 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 4275 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 4287 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 4296 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 4305 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 4314 */ 'v', 'p', 'a', 'n', 'd', 'q', 9, 0,
+  /* 4322 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 4335 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 4348 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 4360 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 4372 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 9, 0,
+  /* 4385 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 4395 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 9, 0,
+  /* 4408 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 9, 0,
+  /* 4421 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 4432 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 4442 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 4453 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 4464 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 4473 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
+  /* 4482 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 4490 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 4498 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 4506 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 9, 0,
+  /* 4517 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 4525 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 4533 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 9, 0,
+  /* 4543 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 9, 0,
+  /* 4552 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 9, 0,
+  /* 4561 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 4569 */ 'j', 'm', 'p', 'q', 9, 0,
+  /* 4575 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 4585 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 4597 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 9, 0,
+  /* 4610 */ 'v', 'p', 'o', 'r', 'q', 9, 0,
+  /* 4617 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
+  /* 4627 */ 'v', 'p', 'x', 'o', 'r', 'q', 9, 0,
+  /* 4635 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 4644 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 4653 */ 'v', 'p', 'a', 'b', 's', 'q', 9, 0,
+  /* 4661 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 9, 0,
+  /* 4670 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 9, 0,
+  /* 4679 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 9, 0,
+  /* 4692 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 4702 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 4710 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 4718 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 4727 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 4741 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 4750 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 9, 0,
+  /* 4759 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 4768 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 9, 0,
+  /* 4777 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 9, 0,
+  /* 4786 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 4795 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 4804 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 4816 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 4823 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 4833 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 4844 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 4855 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 4866 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 4875 */ 'l', 'a', 'r', 9, 0,
+  /* 4880 */ 's', 'a', 'r', 9, 0,
+  /* 4885 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 4893 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 4901 */ 'r', 'c', 'r', 9, 0,
+  /* 4906 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 4913 */ 's', 'h', 'r', 9, 0,
+  /* 4918 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 4928 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 4934 */ 'r', 'o', 'r', 9, 0,
+  /* 4939 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 4947 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 4956 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 4963 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 4969 */ 'b', 's', 'r', 9, 0,
+  /* 4974 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 4984 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 4994 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 5000 */ 'b', 't', 'r', 9, 0,
+  /* 5005 */ 'l', 't', 'r', 9, 0,
+  /* 5010 */ 's', 't', 'r', 9, 0,
+  /* 5015 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 5022 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 5029 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 5037 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 5045 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 5051 */ 'l', 'd', 's', 9, 0,
+  /* 5056 */ 'l', 'e', 's', 9, 0,
+  /* 5061 */ 'l', 'f', 's', 9, 0,
+  /* 5066 */ 'l', 'g', 's', 9, 0,
+  /* 5071 */ 'j', 's', 9, 0,
+  /* 5075 */ 'j', 'n', 's', 9, 0,
+  /* 5080 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 5087 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 5095 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 5111 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 5124 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 5138 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 5154 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 5167 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 5181 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5197 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5210 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5224 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5240 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5253 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 5267 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 5278 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 5289 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 9, 0,
+  /* 5300 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 5310 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 5322 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 5333 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 5345 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5361 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5374 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5388 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5404 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5417 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 5431 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 9, 0,
+  /* 5441 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 9, 0,
+  /* 5453 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 9, 0,
+  /* 5463 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 9, 0,
+  /* 5475 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 5484 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5497 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5508 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5517 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5527 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5538 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 5546 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5559 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5568 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5578 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5589 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 5597 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 5605 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 5615 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 5625 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 5637 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 5650 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 9, 0,
+  /* 5663 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 5672 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 5683 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 5693 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 5702 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 5713 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 5723 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 5734 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 5745 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 5753 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 5762 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 9, 0,
+  /* 5773 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 5782 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 5791 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 5799 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 5807 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 5814 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 5822 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 5834 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 5847 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 5854 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 5862 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 5874 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 5884 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 5895 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 5905 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 5914 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 5923 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 5932 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 5943 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 5951 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 5963 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 5971 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 5980 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 5993 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 6007 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 6020 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 6034 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 6047 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 6061 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 6074 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 6088 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 6099 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 6110 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
+  /* 6122 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 6135 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 6149 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 6162 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 6176 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 9, 0,
+  /* 6186 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 9, 0,
+  /* 6198 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 9, 0,
+  /* 6208 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 9, 0,
+  /* 6220 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 6230 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 6241 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 6249 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 6259 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 6270 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 6278 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 6288 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 9, 0,
+  /* 6301 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 6311 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 6320 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 6328 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 6336 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 6344 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 6352 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 6361 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 6371 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 6380 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 6394 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 6402 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 6410 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 6418 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 6427 */ 'b', 't', 's', 9, 0,
+  /* 6432 */ 's', 'e', 't', 's', 9, 0,
+  /* 6438 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 6445 */ 'b', 't', 9, 0,
+  /* 6449 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 6455 */ 's', 'g', 'd', 't', 9, 0,
+  /* 6461 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 6467 */ 's', 'i', 'd', 't', 9, 0,
+  /* 6473 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 6479 */ 's', 'l', 'd', 't', 9, 0,
+  /* 6485 */ 'r', 'e', 't', 9, 0,
+  /* 6490 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 6499 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 6507 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 6514 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 6521 */ 'i', 'n', 't', 9, 0,
+  /* 6526 */ 'n', 'o', 't', 9, 0,
+  /* 6531 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 6539 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 6549 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 6557 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 6566 */ 'v', 's', 'q', 'r', 't', 9, 0,
+  /* 6573 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 6586 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 6599 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 6607 */ 'f', 's', 't', 9, 0,
+  /* 6612 */ 'f', 'i', 's', 't', 9, 0,
+  /* 6618 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 6636 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 6645 */ 'o', 'u', 't', 9, 0,
+  /* 6650 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 6656 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 6664 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 6677 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 6686 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 6692 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 6699 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 6707 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 6716 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 6724 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 6732 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 6740 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 6750 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 6760 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 6769 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 6779 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 6791 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 9, 0,
+  /* 6801 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 6813 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 6824 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 6833 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 6841 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 6852 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 6863 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 6870 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 6878 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 6887 */ 'k', 'a', 'd', 'd', 'w', 9, 0,
+  /* 6894 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 6902 */ 'k', 'a', 'n', 'd', 'w', 9, 0,
+  /* 6909 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 6919 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 6930 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 6941 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 9, 0,
+  /* 6952 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 9, 0,
+  /* 6962 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 9, 0,
+  /* 6971 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 6978 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 6986 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 6994 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 7005 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 7015 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 7024 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 7031 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 7041 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 7049 */ 'c', 'a', 'l', 'l', 'w', 9, 0,
+  /* 7056 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 7064 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 7073 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 7081 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 9, 0,
+  /* 7091 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 7099 */ 'k', 'a', 'n', 'd', 'n', 'w', 9, 0,
+  /* 7107 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 7116 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 7126 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 9, 0,
+  /* 7137 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 9, 0,
+  /* 7147 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 9, 0,
+  /* 7156 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 7162 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 7171 */ 'k', 'o', 'r', 'w', 9, 0,
+  /* 7177 */ 'k', 'x', 'n', 'o', 'r', 'w', 9, 0,
+  /* 7185 */ 'k', 'x', 'o', 'r', 'w', 9, 0,
+  /* 7192 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 7201 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 9, 0,
+  /* 7211 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 7220 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 7228 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 7240 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 7250 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 7259 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 7269 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 7278 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 7284 */ 's', 'm', 's', 'w', 9, 0,
+  /* 7290 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 7299 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 7310 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 7318 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 7328 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 7338 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 7347 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 7357 */ 'k', 'n', 'o', 't', 'w', 9, 0,
+  /* 7364 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 7372 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 7386 */ 'k', 't', 'e', 's', 't', 'w', 9, 0,
+  /* 7394 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 9, 0,
+  /* 7404 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 7414 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 7423 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 7432 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 7445 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 7454 */ 'k', 'm', 'o', 'v', 'w', 9, 0,
+  /* 7461 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 7471 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 7482 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 7489 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 7495 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 7501 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 7507 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 7513 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 7526 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 7538 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 7544 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 7550 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 7556 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 7568 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 7575 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 7582 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 7589 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 7595 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 7602 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7618 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7633 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7650 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7666 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7682 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7697 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7713 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 7728 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 7737 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 7746 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 7755 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 7764 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 7773 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 7781 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 7790 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 7799 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 7811 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 7821 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 7830 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 7839 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 7848 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 7857 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 7866 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 7875 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 7885 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 7893 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 7902 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 7911 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 7923 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 7933 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 7942 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7952 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7962 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7972 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7982 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 7992 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 8003 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 8012 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 8022 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 8032 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 8045 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 8056 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 8066 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8076 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8086 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8096 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8106 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8116 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8127 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8137 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8147 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8160 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 8171 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 8182 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 8205 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 8229 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8249 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8269 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8289 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8310 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8331 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8352 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8374 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8395 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8417 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8439 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8459 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8480 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8501 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8523 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8542 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8562 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8582 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8603 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8623 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8642 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8662 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8682 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8701 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8719 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8738 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8757 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8777 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8796 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8816 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8836 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8856 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8876 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8896 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8916 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8936 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8955 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8975 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 8995 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9014 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9032 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9051 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9070 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9090 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9109 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9129 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9148 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9168 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9186 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9205 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9224 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9244 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9262 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9281 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9299 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9318 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9336 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9353 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9371 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9389 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9408 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9429 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 9450 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 9456 */ 'f', 'l', 'd', '1', 0,
+  /* 9461 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 9468 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 9474 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 9482 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 9501 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 9519 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 9532 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 9545 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 9563 */ 'u', 'd', '2', 0,
+  /* 9567 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 9574 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 9581 */ 'i', 'n', 't', '3', 0,
+  /* 9586 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 9605 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 9623 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 9636 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 9649 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 9667 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 9673 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 9680 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 9688 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 9701 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 9708 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 9718 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 9727 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 9745 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 9761 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 9773 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 9788 */ 'a', 'a', 'a', 0,
+  /* 9792 */ 'd', 'a', 'a', 0,
+  /* 9796 */ 'p', 'u', 's', 'h', 'a', 0,
+  /* 9802 */ 'p', 'o', 'p', 'a', 0,
+  /* 9807 */ 'u', 'd', '2', 'b', 0,
+  /* 9812 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 9822 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 9832 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 9842 */ 's', 'c', 'a', 's', 'b', 0,
+  /* 9848 */ 'l', 'o', 'd', 's', 'b', 0,
+  /* 9854 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 9864 */ 'c', 'm', 'p', 's', 'b', 0,
+  /* 9870 */ 'o', 'u', 't', 's', 'b', 0,
+  /* 9876 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 9886 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 9892 */ 'c', 'l', 'a', 'c', 0,
+  /* 9897 */ 's', 't', 'a', 'c', 0,
+  /* 9902 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 9912 */ 'c', 'l', 'c', 0,
+  /* 9916 */ 'c', 'm', 'c', 0,
+  /* 9920 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 9926 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 9933 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 9939 */ 's', 't', 'c', 0,
+  /* 9943 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 9950 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 9956 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 9962 */ 'c', 'l', 'd', 0,
+  /* 9966 */ 'x', 'e', 'n', 'd', 0,
+  /* 9971 */ 's', 'c', 'a', 's', 'd', 0,
+  /* 9977 */ 'l', 'o', 'd', 's', 'd', 0,
+  /* 9983 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 9993 */ 'c', 'm', 'p', 's', 'd', 0,
+  /* 9999 */ 'o', 'u', 't', 's', 'd', 0,
+  /* 10005 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 10015 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 10021 */ 's', 't', 'd', 0,
+  /* 10025 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 10032 */ 'c', 'w', 'd', 0,
+  /* 10036 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 10043 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 10050 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 10057 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 10064 */ 'c', 'w', 'd', 'e', 0,
+  /* 10069 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 10076 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 10085 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 10091 */ 'c', 'd', 'q', 'e', 0,
+  /* 10096 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 10105 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 10112 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 10121 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 10127 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 10133 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 10140 */ 'l', 'a', 'h', 'f', 0,
+  /* 10145 */ 's', 'a', 'h', 'f', 0,
+  /* 10150 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 10156 */ 'p', 'o', 'p', 'f', 0,
+  /* 10161 */ 'r', 'e', 't', 'f', 0,
+  /* 10166 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 10175 */ 'c', 'l', 'g', 'i', 0,
+  /* 10180 */ 's', 't', 'g', 'i', 0,
+  /* 10185 */ 'c', 'l', 'i', 0,
+  /* 10189 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 10195 */ 's', 't', 'i', 0,
+  /* 10199 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 10214 */ 'l', 'o', 'c', 'k', 0,
+  /* 10219 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 10230 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 10238 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 10245 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 10253 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 10262 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 10270 */ 'f', 'x', 'a', 'm', 0,
+  /* 10275 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 10281 */ 'r', 's', 'm', 0,
+  /* 10285 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 10292 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 10298 */ 'f', 's', 'i', 'n', 0,
+  /* 10303 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 10330 */ 'c', 'q', 'o', 0,
+  /* 10334 */ 'i', 'n', 't', 'o', 0,
+  /* 10339 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 10346 */ 'r', 'e', 'p', 0,
+  /* 10350 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 10356 */ 'v', 'c', 'm', 'p', 0,
+  /* 10361 */ 'f', 'n', 'o', 'p', 0,
+  /* 10366 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 10373 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 10381 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 10389 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 10397 */ 'c', 'd', 'q', 0,
+  /* 10401 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 10408 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 10414 */ 's', 'c', 'a', 's', 'q', 0,
+  /* 10420 */ 'l', 'o', 'd', 's', 'q', 0,
+  /* 10426 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 10436 */ 'c', 'm', 'p', 's', 'q', 0,
+  /* 10442 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 10452 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 10458 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 10469 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 10478 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 10486 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 10492 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 10498 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 10508 */ 'a', 'a', 's', 0,
+  /* 10512 */ 'd', 'a', 's', 0,
+  /* 10516 */ 'f', 'a', 'b', 's', 0,
+  /* 10521 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 10529 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 10537 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 10544 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 10552 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 10559 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 10567 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 10574 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 10582 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 10589 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 10596 */ 'f', 'c', 'h', 's', 0,
+  /* 10601 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 10646 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 10652 */ 'i', 'n', 's', 0,
+  /* 10656 */ 'f', 'c', 'o', 's', 0,
+  /* 10661 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 10669 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 10677 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 10684 */ 'c', 'l', 't', 's', 0,
+  /* 10689 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 10696 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 10704 */ 'i', 'r', 'e', 't', 0,
+  /* 10709 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 10716 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 10722 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 10729 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 10737 */ 'h', 'l', 't', 0,
+  /* 10741 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 10749 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 10755 */ 'x', 't', 'e', 's', 't', 0,
+  /* 10761 */ 'f', 't', 's', 't', 0,
+  /* 10766 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 10773 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 10780 */ 'c', 'b', 'w', 0,
+  /* 10784 */ 's', 'c', 'a', 's', 'w', 0,
+  /* 10790 */ 'l', 'o', 'd', 's', 'w', 0,
+  /* 10796 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 10806 */ 'c', 'm', 'p', 's', 'w', 0,
+  /* 10812 */ 'o', 'u', 't', 's', 'w', 0,
+  /* 10818 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 10828 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 10834 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 10844 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 10855 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 10866 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 10877 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 10887 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 10898 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 10910 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 10921 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 10932 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 10942 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 10959 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 10976 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 10986 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 10996 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 11007 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 11014 */ 'f', 'l', 'd', 'z', 0,
   };
 
   // Emit the opcode for the instruction.
@@ -11762,7 +12154,7 @@
 
 
   //	printf("F2: %lu\n", (Bits >> 25) & 31);
-  // Fragment 2 encoded into 5 bits for 32 unique commands.
+  // Fragment 2 encoded into 5 bits for 31 unique commands.
   switch ((Bits >> 25) & 31) {
   default:   // unreachable.
   case 0:
@@ -11778,7 +12170,7 @@
     printOperand(MI, 2, O); 
     break;
   case 3:
-    // ADCX32rm, ADOX32rm, BEXTR32rm, BLSI32rm, BLSMSK32rm, BLSR32rm, BOUNDS3...
+    // ADCX32rm, ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCI...
     printi32mem(MI, 1, O); 
     break;
   case 4:
@@ -11786,7 +12178,7 @@
     printOperand(MI, 1, O); 
     break;
   case 5:
-    // ADCX64rm, ADOX64rm, BEXTR64rm, BLSI64rm, BLSMSK64rm, BLSR64rm, BSF64rm...
+    // ADCX64rm, ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCI...
     printi64mem(MI, 1, O); 
     break;
   case 6:
@@ -11869,7 +12261,7 @@
     printi512mem(MI, 1, O); 
     break;
   case 24:
-    // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPS2DQYrm, VCVTPS2PDZrm, VCVTTPD2DQYrm,...
+    // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPH2PSZrm, VCVTPS2DQYrm, VCVTPS2PDZrm, ...
     printf256mem(MI, 1, O); 
     break;
   case 25:
@@ -11877,7 +12269,7 @@
     printf512mem(MI, 1, O); 
     break;
   case 26:
-    // VCVTPS2PHYmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VEXTRACTF64x4mr, VEXTRA...
+    // VCVTPS2PHYmr, VCVTPS2PHZmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VEXTRACTF...
     printOperand(MI, 6, O); 
     return;
     break;
@@ -11905,21 +12297,12 @@
     printi512mem(MI, 2, O); 
     return;
     break;
-  case 31:
-    // VSHUFPDYrmi
-    printf256mem(MI, 2, O); 
-    SStream_concat(O, ", "); 
-    printf256mem(MI, 2, O); 
-    SStream_concat(O, ", "); 
-    printOperand(MI, 7, O); 
-    return;
-    break;
   }
 
 
-  //	printf("F3: %lu\n", (Bits >> 30) & 7);
-  // Fragment 3 encoded into 3 bits for 8 unique commands.
-  switch ((Bits >> 30) & 7) {
+  //	printf("F3: %lu\n", (Bits >> 30) & 15);
+  // Fragment 3 encoded into 4 bits for 10 unique commands.
+  switch ((Bits >> 30) & 15) {
   default:   // unreachable.
   case 0:
     // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
@@ -11940,7 +12323,7 @@
     return;
     break;
   case 4:
-    // VBLENDMPDZrr, VBLENDMPSZrr, VMOVAPDZrmk, VMOVAPDZrrk, VMOVAPSZrmk, VMO...
+    // VBLENDMPDZrr, VBLENDMPDZrr_Int, VBLENDMPSZrr, VBLENDMPSZrr_Int, VMOVAP...
     SStream_concat(O, "}, "); 
     break;
   case 5:
@@ -11957,27 +12340,37 @@
     // VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBROADCASTDrZkrr, VPBROADCASTQZkr...
     SStream_concat(O, "} {z}, "); 
     break;
+  case 8:
+    // VPCONFLICTDrmb
+    SStream_concat(O, "{1to16}"); 
+    return;
+    break;
+  case 9:
+    // VPCONFLICTQrmb
+    SStream_concat(O, "{1to8}"); 
+    return;
+    break;
   }
 
 
-  //	printf("F4: %lu\n", (Bits >> 33) & 31);
-  // Fragment 4 encoded into 5 bits for 23 unique commands.
-  switch ((Bits >> 33) & 31) {
+  //	printf("F4: %lu\n", (Bits >> 34) & 31);
+  // Fragment 4 encoded into 5 bits for 25 unique commands.
+  switch ((Bits >> 34) & 31) {
   default:   // unreachable.
   case 0:
-    // AESKEYGENASSIST128rm, BEXTR32rm, BEXTR64rm, BZHI32rm, BZHI64rm, EXTRAC...
+    // AESKEYGENASSIST128rm, BEXTR32rm, BEXTR64rm, BEXTRI32mi, BEXTRI64mi, BZ...
     printOperand(MI, 6, O); 
     break;
   case 1:
-    // AESKEYGENASSIST128rr, ANDN32rr, ANDN64rr, BEXTR32rr, BEXTR64rr, BZHI32...
+    // AESKEYGENASSIST128rr, ANDN32rr, ANDN64rr, BEXTR32rr, BEXTR64rr, BEXTRI...
     printOperand(MI, 2, O); 
     break;
   case 2:
-    // ANDN32rm, Int_VCVTSI2SDrm, Int_VCVTSI2SSrm, MULX32rm, PDEP32rm, PEXT32...
+    // ANDN32rm, Int_VCVTSI2SDZrm, Int_VCVTSI2SDrm, Int_VCVTSI2SSZrm, Int_VCV...
     printi32mem(MI, 2, O); 
     break;
   case 3:
-    // ANDN64rm, Int_VCVTSI2SD64rm, Int_VCVTSI2SS64rm, MULX64rm, PDEP64rm, PE...
+    // ANDN64rm, Int_VCVTSI2SD64Zrm, Int_VCVTSI2SD64rm, Int_VCVTSI2SS64Zrm, I...
     printi64mem(MI, 2, O); 
     break;
   case 4:
@@ -11996,7 +12389,7 @@
     return;
     break;
   case 7:
-    // Int_CVTSD2SSrm, Int_VCMPSDrm, Int_VCVTSD2SSrm, VADDPDZrmb, VADDSDZrm, ...
+    // Int_VCMPSDrm, Int_VCVTSD2SSrm, VADDPDZrmb, VADDSDZrm, VADDSDrm, VADDSD...
     printf64mem(MI, 2, O); 
     break;
   case 8:
@@ -12051,18 +12444,30 @@
     return;
     break;
   case 20:
-    // VMOVDQU32rmk, VMOVDQU64rmk, VPERMI2Drm, VPERMI2PDrm, VPERMI2PSrm, VPER...
+    // VMOVDQU32rmk, VMOVDQU64rmk, VPCONFLICTDrmk, VPCONFLICTQrmk, VPERMI2Drm...
     printi512mem(MI, 3, O); 
     return;
     break;
   case 21:
+    // VPCONFLICTDrmbk
+    printi32mem(MI, 3, O); 
+    SStream_concat(O, "{1to16}"); 
+    return;
+    break;
+  case 22:
+    // VPCONFLICTQrmbk
+    printi64mem(MI, 3, O); 
+    SStream_concat(O, "{1to8}"); 
+    return;
+    break;
+  case 23:
     // VPINSRBrm
     printi8mem(MI, 2, O); 
     SStream_concat(O, ", "); 
     printOperand(MI, 7, O); 
     return;
     break;
-  case 22:
+  case 24:
     // VPINSRWrmi
     printi16mem(MI, 2, O); 
     SStream_concat(O, ", "); 
@@ -12072,9 +12477,9 @@
   }
 
 
-  //	printf("F5: %lu\n", (Bits >> 38) & 3);
+  //	printf("F5: %lu\n", (Bits >> 39) & 3);
   // Fragment 5 encoded into 2 bits for 4 unique commands.
-  switch ((Bits >> 38) & 3) {
+  switch ((Bits >> 39) & 3) {
   default:   // unreachable.
   case 0:
     // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
@@ -12097,20 +12502,20 @@
   }
 
 
-  //	printf("F6: %lu\n", (Bits >> 40) & 15);
+  //	printf("F6: %lu\n", (Bits >> 41) & 15);
   // Fragment 6 encoded into 4 bits for 9 unique commands.
-  switch ((Bits >> 40) & 15) {
+  switch ((Bits >> 41) & 15) {
   default:   // unreachable.
   case 0:
     // VAARG_64, VALIGNDrmi, VALIGNQrmi, VBLENDPDYrmi, VBLENDPDrmi, VBLENDPSY...
     printOperand(MI, 7, O); 
     break;
   case 1:
-    // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPSZrr, VBLENDPDYrri, VBLE...
+    // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPDZrr_Int, VBLENDMPSZrr, ...
     printOperand(MI, 3, O); 
     break;
   case 2:
-    // VBLENDMPDZrm, VBLENDMPSZrm, VPBLENDMDZrm, VPBLENDMQZrm
+    // VBLENDMPDZrm, VBLENDMPDZrm_Int, VBLENDMPSZrm, VBLENDMPSZrm_Int, VPBLEN...
     printf512mem(MI, 3, O); 
     return;
     break;
@@ -12145,9 +12550,9 @@
   }
 
 
-  //	printf("F7: %lu\n", (Bits >> 44) & 1);
+  //	printf("F7: %lu\n", (Bits >> 45) & 1);
   // Fragment 7 encoded into 1 bits for 2 unique commands.
-  if ((Bits >> 44) & 1) {
+  if ((Bits >> 45) & 1) {
     // VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrr, VBLENDMP...
     return;
   } else {
@@ -12156,9 +12561,9 @@
   }
 
 
-  //	printf("F8: %lu\n", (Bits >> 45) & 1);
+  //	printf("F8: %lu\n", (Bits >> 46) & 1);
   // Fragment 8 encoded into 1 bits for 2 unique commands.
-  if ((Bits >> 45) & 1) {
+  if ((Bits >> 46) & 1) {
     // VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL2PSrr, VPERMIL2PSrrY
     printOperand(MI, 4, O); 
     return;